From e0fcbaee124d3e8c4c11bdda662f88e082352058 Mon Sep 17 00:00:00 2001 From: jcorgan Date: Mon, 8 Sep 2008 01:00:12 +0000 Subject: [PATCH] Merged r9433:9527 from features/gr-usrp2 into trunk. Adds usrp2 and gr-usrp2 top-level components. Trunk passes distcheck with mb-gcc installed, but currently not without them. The key issue is that when mb-gcc is not installed, the build system skips over the usrp2/firmware directory, and the firmware include files don't get put into the dist tarball. But we can't do the usual DIST_SUBDIRS method as the firmware is a subpackage. git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@9528 221aa14e-8319-0410-a670-987f0aec2ac5 --- Makefile.am | 2 +- bootstrap | 3 + config/Makefile.am | 1 + config/grc_gr_usrp2.m4 | 37 + config/grc_usrp2.m4 | 86 + configure.ac | 2 + gnuradio-core/src/utils/Makefile.am | 1 + gnuradio-core/src/utils/read_cshort_binary.m | 46 + gr-usrp2/Makefile.am | 24 + gr-usrp2/src/Makefile.am | 136 + gr-usrp2/src/qa_usrp2.py | 40 + gr-usrp2/src/run_tests.in | 17 + gr-usrp2/src/rx_16sc_handler.cc | 31 + gr-usrp2/src/rx_16sc_handler.h | 75 + gr-usrp2/src/rx_32fc_handler.cc | 31 + gr-usrp2/src/rx_32fc_handler.h | 76 + gr-usrp2/src/usrp2.i | 146 + gr-usrp2/src/usrp2_sink_32fc.cc | 46 + gr-usrp2/src/usrp2_sink_32fc.h | 47 + gr-usrp2/src/usrp2_sink_base.cc | 49 + gr-usrp2/src/usrp2_sink_base.h | 46 + gr-usrp2/src/usrp2_source_16sc.cc | 77 + gr-usrp2/src/usrp2_source_16sc.h | 54 + gr-usrp2/src/usrp2_source_32fc.cc | 77 + gr-usrp2/src/usrp2_source_32fc.h | 54 + gr-usrp2/src/usrp2_source_base.cc | 92 + gr-usrp2/src/usrp2_source_base.h | 85 + gr-utils/src/python/Makefile.am | 4 +- gr-utils/src/python/usrp2_fft.py | 268 + gr-utils/src/python/usrp2_rx_cfile.py | 119 + usrp2/Makefile.am | 23 + usrp2/doc/inband-signaling-eth | 390 + usrp2/firmware/AUTHORS | 2 + usrp2/firmware/COPYING | 674 + usrp2/firmware/ChangeLog | 22 + usrp2/firmware/INSTALL | 234 + usrp2/firmware/Makefile.am | 21 + usrp2/firmware/Makefile.common | 59 + usrp2/firmware/NEWS | 0 usrp2/firmware/README | 5 + usrp2/firmware/apps/Makefile.am | 63 + usrp2/firmware/apps/app_common_v2.c | 477 + usrp2/firmware/apps/app_common_v2.h | 62 + usrp2/firmware/apps/app_passthru_v2.c | 244 + usrp2/firmware/apps/app_passthru_v2.h | 54 + usrp2/firmware/apps/bitrot/tx_drop.c | 261 + usrp2/firmware/apps/bitrot/tx_drop2.c | 292 + .../apps/bitrot/tx_drop_rate_limited.c | 233 + usrp2/firmware/apps/blink_leds.c | 40 + usrp2/firmware/apps/blink_leds2.c | 53 + usrp2/firmware/apps/buf_ram_test.c | 89 + usrp2/firmware/apps/can_i_sub.c | 25 + usrp2/firmware/apps/double_buffer_fragment.c | 138 + usrp2/firmware/apps/echo.c | 34 + usrp2/firmware/apps/eth_serdes.c | 230 + usrp2/firmware/apps/gen_eth_packets.c | 181 + usrp2/firmware/apps/gen_pause_frames.c | 207 + usrp2/firmware/apps/hello.c | 30 + usrp2/firmware/apps/ibs_rx_test.c | 82 + usrp2/firmware/apps/ibs_tx_test.c | 160 + usrp2/firmware/apps/rcv_eth_packets.c | 233 + usrp2/firmware/apps/read_dbids.c | 59 + usrp2/firmware/apps/rx_only_v2.c | 263 + usrp2/firmware/apps/sd_bounce.c | 153 + usrp2/firmware/apps/sd_gentest.c | 269 + usrp2/firmware/apps/serdes_to_dsp.c | 188 + usrp2/firmware/apps/serdes_txrx.c | 346 + usrp2/firmware/apps/test1.c | 282 + usrp2/firmware/apps/test_db_spi.c | 35 + usrp2/firmware/apps/test_i2c.c | 108 + usrp2/firmware/apps/test_lsadc.c | 57 + usrp2/firmware/apps/test_lsdac.c | 51 + usrp2/firmware/apps/test_phy_comm.c | 113 + usrp2/firmware/apps/test_serdes.c | 192 + usrp2/firmware/apps/timer_test.c | 59 + usrp2/firmware/apps/tx_only_v2.c | 171 + usrp2/firmware/apps/tx_standalone.c | 338 + usrp2/firmware/apps/txrx.c | 344 + usrp2/firmware/bootstrap | 30 + usrp2/firmware/config.guess | 1516 + usrp2/firmware/config.sub | 1626 + usrp2/firmware/configure.ac | 46 + usrp2/firmware/divisors.py | 34 + usrp2/firmware/include/Makefile.am | 27 + usrp2/firmware/include/usrp2_cdefs.h | 34 + usrp2/firmware/include/usrp2_eth_packet.h | 374 + usrp2/firmware/include/usrp2_fpga_regs.h | 80 + usrp2/firmware/include/usrp2_i2c_addr.h | 82 + usrp2/firmware/include/usrp2_mac_addr.h | 28 + usrp2/firmware/include/usrp2_mimo_config.h | 46 + usrp2/firmware/include/usrp2_types.h | 106 + usrp2/firmware/lib/Makefile.am | 90 + usrp2/firmware/lib/ad9510.c | 42 + usrp2/firmware/lib/ad9510.h | 30 + usrp2/firmware/lib/ad9777.c | 47 + usrp2/firmware/lib/ad9777.h | 31 + usrp2/firmware/lib/ad9777_regs.h | 71 + usrp2/firmware/lib/bool.h | 26 + usrp2/firmware/lib/buffer_pool.c | 72 + usrp2/firmware/lib/buffer_pool.h | 75 + usrp2/firmware/lib/clocks.c | 182 + usrp2/firmware/lib/clocks.h | 63 + usrp2/firmware/lib/db.h | 94 + usrp2/firmware/lib/db_base.h | 68 + usrp2/firmware/lib/db_basic.c | 161 + usrp2/firmware/lib/db_init.c | 340 + usrp2/firmware/lib/db_rfx.c | 609 + usrp2/firmware/lib/db_tvrx.c | 240 + usrp2/firmware/lib/dbsm.c | 298 + usrp2/firmware/lib/dbsm.h | 90 + usrp2/firmware/lib/eeprom.c | 69 + usrp2/firmware/lib/eth_mac.c | 134 + usrp2/firmware/lib/eth_mac.h | 32 + usrp2/firmware/lib/eth_mac_regs.h | 97 + usrp2/firmware/lib/eth_phy.h | 219 + usrp2/firmware/lib/ethernet.c | 281 + usrp2/firmware/lib/ethernet.h | 75 + usrp2/firmware/lib/hal_io.c | 312 + usrp2/firmware/lib/hal_io.h | 174 + usrp2/firmware/lib/hal_uart.c | 67 + usrp2/firmware/lib/hal_uart.h | 63 + usrp2/firmware/lib/i2c.c | 127 + usrp2/firmware/lib/i2c.h | 39 + usrp2/firmware/lib/lsadc.c | 73 + usrp2/firmware/lib/lsadc.h | 45 + usrp2/firmware/lib/lsdac.c | 68 + usrp2/firmware/lib/lsdac.h | 47 + usrp2/firmware/lib/mdelay.c | 73 + usrp2/firmware/lib/mdelay.h | 29 + usrp2/firmware/lib/memcpy_wa.c | 42 + usrp2/firmware/lib/memcpy_wa.h | 32 + usrp2/firmware/lib/memory_map.h | 583 + usrp2/firmware/lib/memset_wa.c | 45 + usrp2/firmware/lib/memset_wa.h | 27 + usrp2/firmware/lib/microblaze.ld | 163 + usrp2/firmware/lib/nonstdio.c | 80 + usrp2/firmware/lib/nonstdio.h | 46 + usrp2/firmware/lib/pic.c | 94 + usrp2/firmware/lib/pic.h | 35 + usrp2/firmware/lib/print_buffer.c | 36 + usrp2/firmware/lib/print_fxpt.c | 83 + usrp2/firmware/lib/print_mac_addr.c | 30 + usrp2/firmware/lib/print_rmon_regs.c | 44 + usrp2/firmware/lib/print_rmon_regs.h | 24 + usrp2/firmware/lib/printf.c | 134 + usrp2/firmware/lib/printf.c.smaller | 134 + usrp2/firmware/lib/spi.c | 61 + usrp2/firmware/lib/spi.h | 52 + usrp2/firmware/lib/stdint.h | 34 + usrp2/firmware/lib/stdio.h | 38 + usrp2/firmware/lib/u2_init.c | 111 + usrp2/firmware/lib/u2_init.h | 26 + usrp2/firmware/lib/usrp2_bytesex.h | 66 + usrp2/firmware/lib/wb16550.h | 98 + usrp2/firmware/u2_flash_tool | 116 + usrp2/fpga/boot_cpld/_impact.cmd | 34 + usrp2/fpga/boot_cpld/boot_cpld.ipf | Bin 0 -> 2967 bytes usrp2/fpga/boot_cpld/boot_cpld.ise | Bin 0 -> 227573 bytes usrp2/fpga/boot_cpld/boot_cpld.lfp | 5 + usrp2/fpga/boot_cpld/boot_cpld.ucf | 34 + usrp2/fpga/boot_cpld/boot_cpld.v | 85 + usrp2/fpga/control_lib/CRC16_D16.v | 89 + usrp2/fpga/control_lib/SYSCTRL.sav | 24 + usrp2/fpga/control_lib/WB_SIM.sav | 47 + usrp2/fpga/control_lib/atr_controller.v | 57 + usrp2/fpga/control_lib/bin2gray.v | 10 + usrp2/fpga/control_lib/bootrom.mem | 26 + usrp2/fpga/control_lib/buffer_int.v | 191 + usrp2/fpga/control_lib/buffer_int_tb.v | 447 + usrp2/fpga/control_lib/buffer_pool.v | 323 + usrp2/fpga/control_lib/buffer_pool_tb.v | 50 + usrp2/fpga/control_lib/cascadefifo.v | 50 + usrp2/fpga/control_lib/cascadefifo2.v | 56 + usrp2/fpga/control_lib/clock_bootstrap_rom.v | 34 + usrp2/fpga/control_lib/clock_control.v | 115 + usrp2/fpga/control_lib/clock_control_tb.sav | 28 + usrp2/fpga/control_lib/clock_control_tb.v | 35 + usrp2/fpga/control_lib/cmdfile | 18 + usrp2/fpga/control_lib/dcache.v | 165 + usrp2/fpga/control_lib/decoder_3_8.v | 21 + usrp2/fpga/control_lib/dpram32.v | 82 + usrp2/fpga/control_lib/extram_interface.v | 53 + usrp2/fpga/control_lib/fifo_2clock.v | 66 + usrp2/fpga/control_lib/fifo_2clock_casc.v | 31 + usrp2/fpga/control_lib/fifo_reader.v | 28 + usrp2/fpga/control_lib/fifo_tb.v | 153 + usrp2/fpga/control_lib/fifo_writer.v | 31 + usrp2/fpga/control_lib/gray2bin.v | 13 + usrp2/fpga/control_lib/gray_send.v | 29 + usrp2/fpga/control_lib/icache.v | 134 + usrp2/fpga/control_lib/longfifo.v | 122 + usrp2/fpga/control_lib/medfifo.v | 49 + usrp2/fpga/control_lib/mux4.v | 16 + usrp2/fpga/control_lib/mux8.v | 21 + usrp2/fpga/control_lib/mux_32_4.v | 13 + usrp2/fpga/control_lib/nsgpio.v | 107 + usrp2/fpga/control_lib/oneshot_2clk.v | 35 + usrp2/fpga/control_lib/ram_2port.v | 42 + usrp2/fpga/control_lib/ram_harv_cache.v | 72 + usrp2/fpga/control_lib/ram_loader.v | 225 + usrp2/fpga/control_lib/ram_wb_harvard.v | 86 + usrp2/fpga/control_lib/sd_spi.v | 70 + usrp2/fpga/control_lib/sd_spi_tb.v | 40 + usrp2/fpga/control_lib/sd_spi_wb.v | 66 + usrp2/fpga/control_lib/setting_reg.v | 23 + usrp2/fpga/control_lib/settings_bus.v | 49 + usrp2/fpga/control_lib/shortfifo.v | 63 + usrp2/fpga/control_lib/simple_uart.v | 61 + usrp2/fpga/control_lib/simple_uart_rx.v | 64 + usrp2/fpga/control_lib/simple_uart_tx.v | 60 + usrp2/fpga/control_lib/spi.v | 84 + usrp2/fpga/control_lib/srl.v | 21 + usrp2/fpga/control_lib/ss_rcvr.v | 81 + usrp2/fpga/control_lib/system_control.v | 47 + usrp2/fpga/control_lib/system_control_tb.v | 57 + usrp2/fpga/control_lib/traffic_cop.v | 25 + usrp2/fpga/control_lib/wb_1master.v | 430 + usrp2/fpga/control_lib/wb_bus_writer.v | 57 + usrp2/fpga/control_lib/wb_output_pins32.v | 49 + usrp2/fpga/control_lib/wb_ram_block.v | 36 + usrp2/fpga/control_lib/wb_ram_dist.v | 33 + usrp2/fpga/control_lib/wb_readback_mux.v | 60 + usrp2/fpga/control_lib/wb_regfile_2clock.v | 107 + usrp2/fpga/control_lib/wb_semaphore.v | 42 + usrp2/fpga/control_lib/wb_sim.v | 79 + usrp2/fpga/coregen/coregen.cgp | 20 + .../coregen/fifo_generator_release_notes.txt | 160 + usrp2/fpga/coregen/fifo_generator_ug175.pdf | Bin 0 -> 1069823 bytes usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy | 49 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc | 3 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym | 74 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v | 173 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo | 53 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd | 156 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho | 76 + usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco | 82 + ...x_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso | 3 + ...clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt | 105 + .../coregen/fifo_xlnx_2Kx36_2clk_flist.txt | 12 + .../coregen/fifo_xlnx_2Kx36_2clk_readme.txt | 55 + .../coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl | 84 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy | 49 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc | 3 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym | 74 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v | 173 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo | 53 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd | 156 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho | 76 + usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco | 82 + ..._512x36_2clk_fifo_generator_v4_3_xst_1.lso | 3 + ...clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt | 103 + .../coregen/fifo_xlnx_512x36_2clk_flist.txt | 12 + .../coregen/fifo_xlnx_512x36_2clk_readme.txt | 55 + .../coregen/fifo_xlnx_512x36_2clk_xmdf.tcl | 84 + usrp2/fpga/eth/bench/verilog/100m.scr | 38 + usrp2/fpga/eth/bench/verilog/Phy_sim.v | 113 + usrp2/fpga/eth/bench/verilog/User_int_sim.v | 230 + usrp2/fpga/eth/bench/verilog/error.scr | 146 + usrp2/fpga/eth/bench/verilog/files.lst | 42 + usrp2/fpga/eth/bench/verilog/host_sim.v | 82 + usrp2/fpga/eth/bench/verilog/icomp.bat | 1 + usrp2/fpga/eth/bench/verilog/isim.bat | 1 + usrp2/fpga/eth/bench/verilog/jumbo_err.scr | 40 + usrp2/fpga/eth/bench/verilog/jumbos.scr | 27 + usrp2/fpga/eth/bench/verilog/mdio.scr | 52 + usrp2/fpga/eth/bench/verilog/miim_model.v | 14 + usrp2/fpga/eth/bench/verilog/misc.scr | 92 + usrp2/fpga/eth/bench/verilog/pause.scr | 45 + usrp2/fpga/eth/bench/verilog/tb_top.v | 1057 + usrp2/fpga/eth/bench/verilog/test.scr | 23 + usrp2/fpga/eth/bench/verilog/txmac.scr | 93 + usrp2/fpga/eth/bench/verilog/xlnx_glbl.v | 29 + usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v | 1535 + usrp2/fpga/eth/demo/verilog/demo.ucf | 52 + usrp2/fpga/eth/demo/verilog/demo.v | 378 + .../verilog/demo_packet_descriptor_memory.v | 384 + .../eth/demo/verilog/demo_packet_generator.v | 274 + usrp2/fpga/eth/demo/verilog/demo_uart.v | 235 + .../eth/demo/verilog/demo_wishbone_master.v | 376 + usrp2/fpga/eth/demo/verilog/tb_demo.v | 348 + usrp2/fpga/eth/header_ram.v | 24 + usrp2/fpga/eth/mac_rxfifo_int.v | 80 + usrp2/fpga/eth/mac_txfifo_int.v | 77 + usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v | 133 + usrp2/fpga/eth/rtl/verilog/MAC_rx.v | 242 + .../eth/rtl/verilog/MAC_rx/Broadcast_filter.v | 107 + usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v | 128 + usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v | 167 + .../eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v | 158 + .../fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v | 664 + usrp2/fpga/eth/rtl/verilog/MAC_top.v | 516 + usrp2/fpga/eth/rtl/verilog/MAC_tx.v | 245 + usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v | 169 + usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v | 722 + .../eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v | 128 + .../fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v | 648 + .../fpga/eth/rtl/verilog/MAC_tx/Random_gen.v | 109 + usrp2/fpga/eth/rtl/verilog/Phy_int.v | 205 + usrp2/fpga/eth/rtl/verilog/RMON.v | 163 + .../fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v | 295 + usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v | 283 + usrp2/fpga/eth/rtl/verilog/Reg_int.v | 270 + .../fpga/eth/rtl/verilog/TECH/eth_clk_div2.v | 71 + .../eth/rtl/verilog/TECH/eth_clk_switch.v | 81 + .../eth/rtl/verilog/TECH/xilinx/BUFGMUX.v | 64 + .../rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v | 2204 + usrp2/fpga/eth/rtl/verilog/elastic_buffer.v | 93 + .../fpga/eth/rtl/verilog/elastic_buffer_tb.v | 66 + usrp2/fpga/eth/rtl/verilog/eth_miim.v | 470 + usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v | 85 + usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v | 36 + usrp2/fpga/eth/rtl/verilog/header.vh | 7 + .../fpga/eth/rtl/verilog/miim/eth_clockgen.v | 141 + .../eth/rtl/verilog/miim/eth_outputcontrol.v | 158 + .../fpga/eth/rtl/verilog/miim/eth_shiftreg.v | 159 + usrp2/fpga/eth/rx_prot_engine.v | 156 + usrp2/fpga/eth/tx_prot_engine.v | 144 + usrp2/fpga/models/BUFG.v | 33 + usrp2/fpga/models/CY7C1356C/cy1356.inp | 140 + usrp2/fpga/models/CY7C1356C/cy1356.v | 485 + usrp2/fpga/models/CY7C1356C/readme.txt | 33 + usrp2/fpga/models/CY7C1356C/testbench.v | 189 + usrp2/fpga/models/FIFO_GENERATOR_V4_3.v | 4093 + usrp2/fpga/models/M24LC024B.v | 459 + usrp2/fpga/models/M24LC02B.v | 455 + usrp2/fpga/models/MULT18X18S.v | 20 + usrp2/fpga/models/RAMB16_S36_S36.v | 2194 + usrp2/fpga/models/SRL16E.v | 53 + usrp2/fpga/models/SRLC16E.v | 61 + usrp2/fpga/models/adc_model.v | 49 + usrp2/fpga/models/cpld_model.v | 96 + usrp2/fpga/models/math_real.v | 495 + usrp2/fpga/models/serdes_model.v | 34 + usrp2/fpga/models/uart_rx.v | 48 + usrp2/fpga/opencores/8b10b/8b10b_a.mem | 268 + usrp2/fpga/opencores/8b10b/README | 4 + usrp2/fpga/opencores/8b10b/decode_8b10b.v | 165 + usrp2/fpga/opencores/8b10b/encode_8b10b.v | 120 + usrp2/fpga/opencores/8b10b/validate_8b10b.v | 168 + usrp2/fpga/opencores/README | 11 + usrp2/fpga/opencores/aemb/CVS/Entries | 4 + usrp2/fpga/opencores/aemb/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/CVS/Root | 1 + usrp2/fpga/opencores/aemb/CVS/Template | 0 usrp2/fpga/opencores/aemb/doc/CVS/Entries | 2 + usrp2/fpga/opencores/aemb/doc/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/doc/CVS/Root | 1 + usrp2/fpga/opencores/aemb/doc/CVS/Template | 0 .../opencores/aemb/doc/aeMB_datasheet.pdf | Bin 0 -> 119495 bytes usrp2/fpga/opencores/aemb/rtl/CVS/Entries | 1 + usrp2/fpga/opencores/aemb/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/rtl/CVS/Root | 1 + usrp2/fpga/opencores/aemb/rtl/CVS/Template | 0 .../opencores/aemb/rtl/verilog/CVS/Entries | 38 + .../opencores/aemb/rtl/verilog/CVS/Repository | 1 + .../fpga/opencores/aemb/rtl/verilog/CVS/Root | 1 + .../opencores/aemb/rtl/verilog/CVS/Template | 0 .../opencores/aemb/rtl/verilog/aeMB_bpcu.v | 184 + .../opencores/aemb/rtl/verilog/aeMB_core.v | 137 + .../opencores/aemb/rtl/verilog/aeMB_core_BE.v | 62 + .../opencores/aemb/rtl/verilog/aeMB_ctrl.v | 336 + .../opencores/aemb/rtl/verilog/aeMB_edk32.v | 289 + .../opencores/aemb/rtl/verilog/aeMB_ibuf.v | 192 + .../opencores/aemb/rtl/verilog/aeMB_regf.v | 241 + .../opencores/aemb/rtl/verilog/aeMB_sim.v | 306 + .../opencores/aemb/rtl/verilog/aeMB_xecu.v | 412 + usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav | 16 + usrp2/fpga/opencores/aemb/sim/CVS/Entries | 3 + usrp2/fpga/opencores/aemb/sim/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/sim/CVS/Root | 1 + usrp2/fpga/opencores/aemb/sim/CVS/Template | 0 usrp2/fpga/opencores/aemb/sim/cversim | 22 + usrp2/fpga/opencores/aemb/sim/iversim | 21 + .../opencores/aemb/sim/verilog/CVS/Entries | 3 + .../opencores/aemb/sim/verilog/CVS/Repository | 1 + .../fpga/opencores/aemb/sim/verilog/CVS/Root | 1 + .../opencores/aemb/sim/verilog/CVS/Template | 0 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v | 242 + usrp2/fpga/opencores/aemb/sim/verilog/edk32.v | 288 + usrp2/fpga/opencores/aemb/sw/CVS/Entries | 2 + usrp2/fpga/opencores/aemb/sw/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/sw/CVS/Root | 1 + usrp2/fpga/opencores/aemb/sw/CVS/Template | 0 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries | 3 + usrp2/fpga/opencores/aemb/sw/c/CVS/Repository | 1 + usrp2/fpga/opencores/aemb/sw/c/CVS/Root | 1 + usrp2/fpga/opencores/aemb/sw/c/CVS/Template | 0 .../fpga/opencores/aemb/sw/c/aeMB_testbench.c | 385 + usrp2/fpga/opencores/aemb/sw/c/endian-test.c | 86 + usrp2/fpga/opencores/aemb/sw/c/libaemb.h | 218 + usrp2/fpga/opencores/aemb/sw/gccrom | 62 + .../opencores/ethernet_tri_mode/CVS/Entries | 6 + .../ethernet_tri_mode/CVS/Repository | 1 + .../fpga/opencores/ethernet_tri_mode/CVS/Root | 1 + .../opencores/ethernet_tri_mode/CVS/Template | 0 .../ethernet_tri_mode/bench/CVS/Entries | 1 + .../ethernet_tri_mode/bench/CVS/Repository | 1 + .../ethernet_tri_mode/bench/CVS/Root | 1 + .../ethernet_tri_mode/bench/CVS/Template | 0 .../bench/verilog/CVS/Entries | 7 + .../bench/verilog/CVS/Repository | 1 + .../ethernet_tri_mode/bench/verilog/CVS/Root | 1 + .../bench/verilog/CVS/Template | 0 .../ethernet_tri_mode/bench/verilog/Phy_sim.v | 102 + .../bench/verilog/User_int_sim.v | 148 + .../bench/verilog/altera_mf.v | 34538 + .../bench/verilog/host_sim.v | 77 + .../bench/verilog/reg_int_sim.v | 135 + .../ethernet_tri_mode/bench/verilog/tb_top.v | 230 + .../fpga/opencores/ethernet_tri_mode/cmdfile | 7 + .../ethernet_tri_mode/doc/CVS/Entries | 3 + .../ethernet_tri_mode/doc/CVS/Repository | 1 + .../opencores/ethernet_tri_mode/doc/CVS/Root | 1 + .../ethernet_tri_mode/doc/CVS/Template | 0 .../Tri-mode_Ethernet_MAC_Specifications.pdf | Bin 0 -> 313150 bytes ...ri-mode_Ethernet_MAC_Verification_plan.pdf | Bin 0 -> 144899 bytes .../ethernet_tri_mode/rtl/CVS/Entries | 1 + .../ethernet_tri_mode/rtl/CVS/Repository | 1 + .../opencores/ethernet_tri_mode/rtl/CVS/Root | 1 + .../ethernet_tri_mode/rtl/CVS/Template | 0 .../ethernet_tri_mode/rtl/verilog/CVS/Entries | 14 + .../rtl/verilog/CVS/Repository | 1 + .../ethernet_tri_mode/rtl/verilog/CVS/Root | 1 + .../rtl/verilog/CVS/Template | 0 .../ethernet_tri_mode/rtl/verilog/Clk_ctrl.v | 127 + .../ethernet_tri_mode/rtl/verilog/MAC_rx.v | 230 + .../rtl/verilog/MAC_rx/Broadcast_filter.v | 107 + .../rtl/verilog/MAC_rx/CRC_chk.v | 129 + .../rtl/verilog/MAC_rx/CVS/Entries | 6 + .../rtl/verilog/MAC_rx/CVS/Repository | 1 + .../rtl/verilog/MAC_rx/CVS/Root | 1 + .../rtl/verilog/MAC_rx/CVS/Template | 0 .../rtl/verilog/MAC_rx/MAC_rx_FF.v | 659 + .../rtl/verilog/MAC_rx/MAC_rx_add_chk.v | 156 + .../rtl/verilog/MAC_rx/MAC_rx_ctrl.v | 536 + .../ethernet_tri_mode/rtl/verilog/MAC_top.v | 430 + .../ethernet_tri_mode/rtl/verilog/MAC_tx.v | 266 + .../rtl/verilog/MAC_tx/CRC_gen.v | 168 + .../rtl/verilog/MAC_tx/CVS/Entries | 7 + .../rtl/verilog/MAC_tx/CVS/Repository | 1 + .../rtl/verilog/MAC_tx/CVS/Root | 1 + .../rtl/verilog/MAC_tx/CVS/Template | 0 .../rtl/verilog/MAC_tx/MAC_tx_FF.v | 745 + .../rtl/verilog/MAC_tx/MAC_tx_addr_add.v | 128 + .../rtl/verilog/MAC_tx/MAC_tx_ctrl.v | 646 + .../rtl/verilog/MAC_tx/flow_ctrl.v | 203 + .../rtl/verilog/MAC_tx/random_gen.v | 123 + .../ethernet_tri_mode/rtl/verilog/Phy_int.v | 227 + .../ethernet_tri_mode/rtl/verilog/RMON.v | 180 + .../rtl/verilog/RMON/CVS/Entries | 4 + .../rtl/verilog/RMON/CVS/Repository | 1 + .../rtl/verilog/RMON/CVS/Root | 1 + .../rtl/verilog/RMON/CVS/Template | 0 .../rtl/verilog/RMON/RMON_addr_gen.v | 295 + .../rtl/verilog/RMON/RMON_ctrl.v | 290 + .../rtl/verilog/RMON/RMON_dpram.v | 46 + .../rtl/verilog/TECH/CLK_SWITCH.v | 68 + .../rtl/verilog/TECH/CVS/Entries | 5 + .../rtl/verilog/TECH/CVS/Repository | 1 + .../rtl/verilog/TECH/CVS/Root | 1 + .../rtl/verilog/TECH/CVS/Template | 0 .../rtl/verilog/TECH/altera/CLK_DIV2.v | 74 + .../rtl/verilog/TECH/altera/CLK_SWITCH.v | 71 + .../rtl/verilog/TECH/altera/CVS/Entries | 4 + .../rtl/verilog/TECH/altera/CVS/Repository | 1 + .../rtl/verilog/TECH/altera/CVS/Root | 1 + .../rtl/verilog/TECH/altera/CVS/Template | 0 .../rtl/verilog/TECH/altera/duram.v | 87 + .../rtl/verilog/TECH/clkdiv2.v | 71 + .../rtl/verilog/TECH/duram.v | 103 + .../rtl/verilog/TECH/xilinx/CLK_DIV2.v | 74 + .../rtl/verilog/TECH/xilinx/CLK_SWITCH.v | 77 + .../rtl/verilog/TECH/xilinx/CVS/Entries | 4 + .../rtl/verilog/TECH/xilinx/CVS/Repository | 1 + .../rtl/verilog/TECH/xilinx/CVS/Root | 1 + .../rtl/verilog/TECH/xilinx/CVS/Template | 0 .../rtl/verilog/TECH/xilinx/duram.v | 60 + .../ethernet_tri_mode/rtl/verilog/cmdfile | 7 + .../ethernet_tri_mode/rtl/verilog/eth_miim.v | 475 + .../rtl/verilog/eth_wrapper.v | 103 + .../ethernet_tri_mode/rtl/verilog/header.v | 5 + .../ethernet_tri_mode/rtl/verilog/mac_tb.v | 55 + .../rtl/verilog/miim/CVS/Entries | 5 + .../rtl/verilog/miim/CVS/Repository | 1 + .../rtl/verilog/miim/CVS/Root | 1 + .../rtl/verilog/miim/CVS/Template | 0 .../rtl/verilog/miim/eth_clockgen.v | 142 + .../rtl/verilog/miim/eth_outputcontrol.v | 162 + .../rtl/verilog/miim/eth_shiftreg.v | 164 + .../rtl/verilog/miim/timescale.v | 62 + .../ethernet_tri_mode/rtl/verilog/reg_int.v | 179 + .../ethernet_tri_mode/sim/CVS/Entries | 1 + .../ethernet_tri_mode/sim/CVS/Repository | 1 + .../opencores/ethernet_tri_mode/sim/CVS/Root | 1 + .../ethernet_tri_mode/sim/CVS/Template | 0 .../ethernet_tri_mode/sim/rtl_sim/CVS/Entries | 1 + .../sim/rtl_sim/CVS/Repository | 1 + .../ethernet_tri_mode/sim/rtl_sim/CVS/Root | 1 + .../sim/rtl_sim/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/CVS/Entries | 6 + .../sim/rtl_sim/ncsim_sim/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/bin/CVS/Entries | 12 + .../sim/rtl_sim/ncsim_sim/bin/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/bin/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/bin/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/bin/cds.lib | 2 + .../sim/rtl_sim/ncsim_sim/bin/com.nc | 36 + .../sim/rtl_sim/ncsim_sim/bin/config.ini | 3 + .../sim/rtl_sim/ncsim_sim/bin/hdl.var | 1 + .../rtl_sim/ncsim_sim/bin/ip_32W_check.dll | Bin 0 -> 40960 bytes .../ncsim_sim/bin/ip_32W_check_vpi.dll | Bin 0 -> 32768 bytes .../sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll | Bin 0 -> 45056 bytes .../rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll | Bin 0 -> 45056 bytes .../sim/rtl_sim/ncsim_sim/bin/sim.nc | 37 + .../sim/rtl_sim/ncsim_sim/bin/sim_only.nc | 13 + .../sim/rtl_sim/ncsim_sim/bin/vlog.list | 41 + .../ncsim_sim/data/1000Mbps_duplex.vec | 1 + .../rtl_sim/ncsim_sim/data/100Mbps_duplex.vec | 1 + .../rtl_sim/ncsim_sim/data/10Mbps_duplex.vec | 1 + .../sim/rtl_sim/ncsim_sim/data/46-50.ini | 1 + .../sim/rtl_sim/ncsim_sim/data/CPU.vec | 0 .../sim/rtl_sim/ncsim_sim/data/CVS/Entries | 11 + .../sim/rtl_sim/ncsim_sim/data/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/data/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/data/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/data/batch.dat | 3 + .../sim/rtl_sim/ncsim_sim/data/config.ini | 1 + .../sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec | 4 + .../ncsim_sim/data/source_mac_replace.vec | 24 + .../ncsim_sim/data/target_mac_check.vec | 25 + .../sim/rtl_sim/ncsim_sim/log/CVS/Entries | 2 + .../sim/rtl_sim/ncsim_sim/log/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/log/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/log/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/log/ncsim.log | 245 + .../sim/rtl_sim/ncsim_sim/out/CVS/Entries | 1 + .../sim/rtl_sim/ncsim_sim/out/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/out/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/out/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/run/CVS/Entries | 1 + .../sim/rtl_sim/ncsim_sim/run/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/run/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/run/CVS/Template | 0 .../sim/rtl_sim/ncsim_sim/script/CVS/Entries | 9 + .../rtl_sim/ncsim_sim/script/CVS/Repository | 1 + .../sim/rtl_sim/ncsim_sim/script/CVS/Root | 1 + .../sim/rtl_sim/ncsim_sim/script/CVS/Template | 0 .../rtl_sim/ncsim_sim/script/batch_mode.tcl | 148 + .../sim/rtl_sim/ncsim_sim/script/filesel.tcl | 325 + .../sim/rtl_sim/ncsim_sim/script/run.tcl | 26 + .../sim/rtl_sim/ncsim_sim/script/run_proc.tcl | 27 + .../rtl_sim/ncsim_sim/script/set_reg_data.tcl | 192 + .../rtl_sim/ncsim_sim/script/set_stimulus.tcl | 101 + .../rtl_sim/ncsim_sim/script/start_verify.tcl | 127 + .../sim/rtl_sim/ncsim_sim/script/user_lib.tcl | 17 + .../opencores/ethernet_tri_mode/start.tcl | 180 + .../ethernet_tri_mode/syn/CVS/Entries | 4 + .../ethernet_tri_mode/syn/CVS/Repository | 1 + .../opencores/ethernet_tri_mode/syn/CVS/Root | 1 + .../ethernet_tri_mode/syn/CVS/Template | 0 .../opencores/ethernet_tri_mode/syn/syn.prj | 86 + .../ethernet_tri_mode/syn/syn_altrea.prj | 91 + .../ethernet_tri_mode/syn/syn_xilinx.prj | 92 + usrp2/fpga/opencores/i2c/CVS/Entries | 8 + usrp2/fpga/opencores/i2c/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/CVS/Root | 1 + usrp2/fpga/opencores/i2c/CVS/Template | 0 usrp2/fpga/opencores/i2c/bench/CVS/Entries | 1 + usrp2/fpga/opencores/i2c/bench/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/bench/CVS/Root | 1 + usrp2/fpga/opencores/i2c/bench/CVS/Template | 0 .../opencores/i2c/bench/verilog/CVS/Entries | 5 + .../i2c/bench/verilog/CVS/Repository | 1 + .../fpga/opencores/i2c/bench/verilog/CVS/Root | 1 + .../opencores/i2c/bench/verilog/CVS/Template | 0 .../i2c/bench/verilog/i2c_slave_model.v | 360 + .../i2c/bench/verilog/spi_slave_model.v | 128 + .../i2c/bench/verilog/tst_bench_top.v | 467 + .../i2c/bench/verilog/wb_master_model.v | 205 + usrp2/fpga/opencores/i2c/doc/CVS/Entries | 2 + usrp2/fpga/opencores/i2c/doc/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/doc/CVS/Root | 1 + usrp2/fpga/opencores/i2c/doc/CVS/Template | 0 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf | Bin 0 -> 211471 bytes usrp2/fpga/opencores/i2c/doc/src/CVS/Entries | 2 + .../fpga/opencores/i2c/doc/src/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/doc/src/CVS/Root | 1 + usrp2/fpga/opencores/i2c/doc/src/CVS/Template | 0 .../fpga/opencores/i2c/doc/src/I2C_specs.doc | Bin 0 -> 464896 bytes .../opencores/i2c/documentation/CVS/Entries | 1 + .../i2c/documentation/CVS/Repository | 1 + .../fpga/opencores/i2c/documentation/CVS/Root | 1 + .../opencores/i2c/documentation/CVS/Template | 0 usrp2/fpga/opencores/i2c/rtl/CVS/Entries | 2 + usrp2/fpga/opencores/i2c/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/rtl/CVS/Root | 1 + usrp2/fpga/opencores/i2c/rtl/CVS/Template | 0 .../opencores/i2c/rtl/verilog/CVS/Entries | 6 + .../opencores/i2c/rtl/verilog/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root | 1 + .../opencores/i2c/rtl/verilog/CVS/Template | 0 .../i2c/rtl/verilog/i2c_master_bit_ctrl.v | 538 + .../i2c/rtl/verilog/i2c_master_byte_ctrl.v | 344 + .../i2c/rtl/verilog/i2c_master_defines.v | 64 + .../i2c/rtl/verilog/i2c_master_top.v | 301 + .../opencores/i2c/rtl/verilog/timescale.v | 2 + usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries | 7 + .../opencores/i2c/rtl/vhdl/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root | 1 + .../fpga/opencores/i2c/rtl/vhdl/CVS/Template | 0 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD | 620 + .../i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd | 495 + .../i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd | 370 + .../opencores/i2c/rtl/vhdl/i2c_master_top.vhd | 359 + usrp2/fpga/opencores/i2c/rtl/vhdl/readme | 25 + .../opencores/i2c/rtl/vhdl/tst_ds1621.vhd | 283 + usrp2/fpga/opencores/i2c/sim/CVS/Entries | 1 + usrp2/fpga/opencores/i2c/sim/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/sim/CVS/Root | 1 + usrp2/fpga/opencores/i2c/sim/CVS/Template | 0 .../opencores/i2c/sim/i2c_verilog/CVS/Entries | 1 + .../i2c/sim/i2c_verilog/CVS/Repository | 1 + .../opencores/i2c/sim/i2c_verilog/CVS/Root | 1 + .../i2c/sim/i2c_verilog/CVS/Template | 0 .../i2c/sim/i2c_verilog/run/CVS/Entries | 6 + .../i2c/sim/i2c_verilog/run/CVS/Repository | 1 + .../i2c/sim/i2c_verilog/run/CVS/Root | 1 + .../i2c/sim/i2c_verilog/run/CVS/Template | 0 .../sim/i2c_verilog/run/INCA_libs/CVS/Entries | 1 + .../i2c_verilog/run/INCA_libs/CVS/Repository | 1 + .../sim/i2c_verilog/run/INCA_libs/CVS/Root | 1 + .../i2c_verilog/run/INCA_libs/CVS/Template | 0 .../i2c/sim/i2c_verilog/run/bench.vcd | 1496812 ++++++++++++++ .../i2c/sim/i2c_verilog/run/ncverilog.key | 1 + .../i2c/sim/i2c_verilog/run/ncverilog.log | 118 + .../opencores/i2c/sim/i2c_verilog/run/run | 25 + .../i2c/sim/i2c_verilog/run/waves/CVS/Entries | 1 + .../sim/i2c_verilog/run/waves/CVS/Repository | 1 + .../i2c/sim/i2c_verilog/run/waves/CVS/Root | 1 + .../sim/i2c_verilog/run/waves/CVS/Template | 0 usrp2/fpga/opencores/i2c/software/CVS/Entries | 2 + .../opencores/i2c/software/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/software/CVS/Root | 1 + .../fpga/opencores/i2c/software/CVS/Template | 0 .../i2c/software/drivers/CVS/Entries | 1 + .../i2c/software/drivers/CVS/Repository | 1 + .../opencores/i2c/software/drivers/CVS/Root | 1 + .../i2c/software/drivers/CVS/Template | 0 .../i2c/software/include/CVS/Entries | 2 + .../i2c/software/include/CVS/Repository | 1 + .../opencores/i2c/software/include/CVS/Root | 1 + .../i2c/software/include/CVS/Template | 0 .../i2c/software/include/oc_i2c_master.h | 102 + usrp2/fpga/opencores/i2c/verilog/CVS/Entries | 1 + .../fpga/opencores/i2c/verilog/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/verilog/CVS/Root | 1 + usrp2/fpga/opencores/i2c/verilog/CVS/Template | 0 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries | 1 + usrp2/fpga/opencores/i2c/vhdl/CVS/Repository | 1 + usrp2/fpga/opencores/i2c/vhdl/CVS/Root | 1 + usrp2/fpga/opencores/i2c/vhdl/CVS/Template | 0 .../fpga/opencores/sd_interface/RTL/RxFifo.v | 134 + .../opencores/sd_interface/RTL/RxFifoBI.v | 149 + .../fpga/opencores/sd_interface/RTL/TxFifo.v | 132 + .../opencores/sd_interface/RTL/TxFifoBI.v | 139 + .../opencores/sd_interface/RTL/ctrlStsRegBI.v | 267 + .../opencores/sd_interface/RTL/dpMem_dc.v | 84 + .../fpga/opencores/sd_interface/RTL/fifoRTL.v | 164 + .../opencores/sd_interface/RTL/initSD.asf | 226 + .../fpga/opencores/sd_interface/RTL/initSD.v | 386 + .../sd_interface/RTL/readWriteSDBlock.asf | 528 + .../sd_interface/RTL/readWriteSDBlock.v | 730 + .../sd_interface/RTL/readWriteSPIWireData.asf | 121 + .../sd_interface/RTL/readWriteSPIWireData.v | 229 + .../opencores/sd_interface/RTL/sendCmd.asf | 231 + .../fpga/opencores/sd_interface/RTL/sendCmd.v | 369 + .../opencores/sd_interface/RTL/spiCtrl.asf | 141 + .../fpga/opencores/sd_interface/RTL/spiCtrl.v | 224 + .../opencores/sd_interface/RTL/spiMaster.v | 383 + .../sd_interface/RTL/spiMaster_defines.v | 125 + .../opencores/sd_interface/RTL/spiTxRxData.v | 108 + .../opencores/sd_interface/RTL/timescale.v | 5 + .../opencores/sd_interface/RTL/wishBoneBI.v | 145 + .../opencores/sd_interface/bench/testCase0.v | 126 + .../sd_interface/bench/testHarness.v | 105 + .../sd_interface/doc/spiMaster_FSM.pdf | Bin 0 -> 3871409 bytes .../doc/spiMaster_Specification.pdf | Bin 0 -> 45134 bytes .../doc/src/spiMaster_Specification.sxw | Bin 0 -> 42063 bytes .../opencores/sd_interface/model/sdModel.v | 99 + .../sd_interface/model/wb_master_model.v | 176 + .../sd_interface/sim/build_icarus.bat | 4 + .../opencores/sd_interface/sim/compile.do | 22 + .../sd_interface/sim/filelist.icarus | 21 + usrp2/fpga/opencores/sd_interface/sim/run.do | 5 + .../opencores/sd_interface/sim/run_icarus.bat | 2 + .../opencores/sd_interface/sim/testHarness | 5458 + usrp2/fpga/opencores/sd_interface/sim/wave.do | 133 + .../opencores/sd_interface/syn/spiMaster.qpf | 23 + .../opencores/sd_interface/syn/spiMaster.qsf | 57 + usrp2/fpga/opencores/simple_gpio/CVS/Entries | 1 + .../fpga/opencores/simple_gpio/CVS/Repository | 1 + usrp2/fpga/opencores/simple_gpio/CVS/Root | 1 + usrp2/fpga/opencores/simple_gpio/CVS/Template | 0 .../opencores/simple_gpio/rtl/CVS/Entries | 2 + .../opencores/simple_gpio/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root | 1 + .../opencores/simple_gpio/rtl/CVS/Template | 0 .../opencores/simple_gpio/rtl/simple_gpio.v | 193 + usrp2/fpga/opencores/simple_pic/CVS/Entries | 1 + .../fpga/opencores/simple_pic/CVS/Repository | 1 + usrp2/fpga/opencores/simple_pic/CVS/Root | 1 + usrp2/fpga/opencores/simple_pic/CVS/Template | 0 .../fpga/opencores/simple_pic/rtl/CVS/Entries | 2 + .../opencores/simple_pic/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/simple_pic/rtl/CVS/Root | 1 + .../opencores/simple_pic/rtl/CVS/Template | 0 .../opencores/simple_pic/rtl/simple_pic.v | 228 + usrp2/fpga/opencores/spi/CVS/Entries | 4 + usrp2/fpga/opencores/spi/CVS/Repository | 1 + usrp2/fpga/opencores/spi/CVS/Root | 1 + usrp2/fpga/opencores/spi/CVS/Template | 0 usrp2/fpga/opencores/spi/bench/CVS/Entries | 1 + usrp2/fpga/opencores/spi/bench/CVS/Repository | 1 + usrp2/fpga/opencores/spi/bench/CVS/Root | 1 + usrp2/fpga/opencores/spi/bench/CVS/Template | 0 .../opencores/spi/bench/verilog/CVS/Entries | 4 + .../spi/bench/verilog/CVS/Repository | 1 + .../fpga/opencores/spi/bench/verilog/CVS/Root | 1 + .../opencores/spi/bench/verilog/CVS/Template | 0 .../spi/bench/verilog/spi_slave_model.v | 73 + .../opencores/spi/bench/verilog/tb_spi_top.v | 339 + .../spi/bench/verilog/wb_master_model.v | 176 + usrp2/fpga/opencores/spi/doc/CVS/Entries | 2 + usrp2/fpga/opencores/spi/doc/CVS/Repository | 1 + usrp2/fpga/opencores/spi/doc/CVS/Root | 1 + usrp2/fpga/opencores/spi/doc/CVS/Template | 0 usrp2/fpga/opencores/spi/doc/spi.pdf | Bin 0 -> 78741 bytes usrp2/fpga/opencores/spi/doc/src/CVS/Entries | 2 + .../fpga/opencores/spi/doc/src/CVS/Repository | 1 + usrp2/fpga/opencores/spi/doc/src/CVS/Root | 1 + usrp2/fpga/opencores/spi/doc/src/CVS/Template | 0 usrp2/fpga/opencores/spi/doc/src/spi.doc | Bin 0 -> 231936 bytes usrp2/fpga/opencores/spi/rtl/CVS/Entries | 1 + usrp2/fpga/opencores/spi/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/spi/rtl/CVS/Root | 1 + usrp2/fpga/opencores/spi/rtl/CVS/Template | 0 .../opencores/spi/rtl/verilog/CVS/Entries | 6 + .../opencores/spi/rtl/verilog/CVS/Repository | 1 + usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root | 1 + .../opencores/spi/rtl/verilog/CVS/Template | 0 .../opencores/spi/rtl/verilog/spi_clgen.v | 108 + .../opencores/spi/rtl/verilog/spi_defines.v | 159 + .../opencores/spi/rtl/verilog/spi_shift.v | 238 + .../fpga/opencores/spi/rtl/verilog/spi_top.v | 287 + .../opencores/spi/rtl/verilog/timescale.v | 2 + usrp2/fpga/opencores/spi/sim/CVS/Entries | 2 + usrp2/fpga/opencores/spi/sim/CVS/Repository | 1 + usrp2/fpga/opencores/spi/sim/CVS/Root | 1 + usrp2/fpga/opencores/spi/sim/CVS/Template | 0 .../opencores/spi/sim/rtl_sim/CVS/Entries | 1 + .../opencores/spi/sim/rtl_sim/CVS/Repository | 1 + usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root | 1 + .../opencores/spi/sim/rtl_sim/CVS/Template | 0 .../opencores/spi/sim/rtl_sim/run/CVS/Entries | 4 + .../spi/sim/rtl_sim/run/CVS/Repository | 1 + .../opencores/spi/sim/rtl_sim/run/CVS/Root | 1 + .../spi/sim/rtl_sim/run/CVS/Template | 0 .../fpga/opencores/spi/sim/rtl_sim/run/rtl.fl | 3 + .../opencores/spi/sim/rtl_sim/run/run_sim | 108 + .../fpga/opencores/spi/sim/rtl_sim/run/sim.fl | 3 + usrp2/fpga/opencores/spi/sim/run/CVS/Entries | 1 + .../fpga/opencores/spi/sim/run/CVS/Repository | 1 + usrp2/fpga/opencores/spi/sim/run/CVS/Root | 1 + usrp2/fpga/opencores/spi/sim/run/CVS/Template | 0 usrp2/fpga/opencores/spi_boot/COMPILE_LIST | 33 + usrp2/fpga/opencores/spi_boot/COPYING | 340 + usrp2/fpga/opencores/spi_boot/CVS/Entries | 9 + usrp2/fpga/opencores/spi_boot/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/CVS/Root | 1 + usrp2/fpga/opencores/spi_boot/CVS/Template | 0 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS | 4 + usrp2/fpga/opencores/spi_boot/README | 170 + .../fpga/opencores/spi_boot/bench/CVS/Entries | 1 + .../opencores/spi_boot/bench/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/bench/CVS/Root | 1 + .../opencores/spi_boot/bench/CVS/Template | 0 .../opencores/spi_boot/bench/vhdl/CVS/Entries | 13 + .../spi_boot/bench/vhdl/CVS/Repository | 1 + .../opencores/spi_boot/bench/vhdl/CVS/Root | 1 + .../spi_boot/bench/vhdl/CVS/Template | 0 .../opencores/spi_boot/bench/vhdl/card-c.vhd | 14 + .../opencores/spi_boot/bench/vhdl/card.vhd | 446 + .../opencores/spi_boot/bench/vhdl/tb-c.vhd | 31 + .../fpga/opencores/spi_boot/bench/vhdl/tb.vhd | 201 + .../spi_boot/bench/vhdl/tb_elem-full-c.vhd | 23 + .../spi_boot/bench/vhdl/tb_elem-minimal-c.vhd | 23 + .../spi_boot/bench/vhdl/tb_elem-mmc-c.vhd | 23 + .../spi_boot/bench/vhdl/tb_elem-sd-c.vhd | 23 + .../opencores/spi_boot/bench/vhdl/tb_elem.vhd | 376 + .../spi_boot/bench/vhdl/tb_pack-p.vhd | 93 + .../opencores/spi_boot/bench/vhdl/tb_rl-c.vhd | 27 + .../opencores/spi_boot/bench/vhdl/tb_rl.vhd | 259 + usrp2/fpga/opencores/spi_boot/doc/CVS/Entries | 3 + .../opencores/spi_boot/doc/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/doc/CVS/Root | 1 + .../fpga/opencores/spi_boot/doc/CVS/Template | 0 .../fpga/opencores/spi_boot/doc/spi_boot.pdf | Bin 0 -> 113923 bytes .../spi_boot/doc/spi_boot_schematic.pdf | Bin 0 -> 87189 bytes .../opencores/spi_boot/doc/src/CVS/Entries | 10 + .../opencores/spi_boot/doc/src/CVS/Repository | 1 + .../fpga/opencores/spi_boot/doc/src/CVS/Root | 1 + .../opencores/spi_boot/doc/src/CVS/Template | 0 .../spi_boot/doc/src/architecture.eps | 512 + .../spi_boot/doc/src/architecture.fig | 222 + .../spi_boot/doc/src/initialization.eps | 303 + .../spi_boot/doc/src/initialization.fig | 119 + .../spi_boot/doc/src/memory_organization.eps | 421 + .../spi_boot/doc/src/memory_organization.fig | 176 + .../opencores/spi_boot/doc/src/spi_boot.sxw | Bin 0 -> 39665 bytes .../opencores/spi_boot/doc/src/transfer.eps | 323 + .../opencores/spi_boot/doc/src/transfer.fig | 119 + usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries | 1 + .../opencores/spi_boot/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/rtl/CVS/Root | 1 + .../fpga/opencores/spi_boot/rtl/CVS/Template | 0 .../opencores/spi_boot/rtl/vhdl/CVS/Entries | 15 + .../spi_boot/rtl/vhdl/CVS/Repository | 1 + .../fpga/opencores/spi_boot/rtl/vhdl/CVS/Root | 1 + .../opencores/spi_boot/rtl/vhdl/CVS/Template | 0 .../opencores/spi_boot/rtl/vhdl/chip-e.vhd | 91 + .../spi_boot/rtl/vhdl/chip-full-a.vhd | 164 + .../spi_boot/rtl/vhdl/chip-full-c.vhd | 19 + .../spi_boot/rtl/vhdl/chip-minimal-a.vhd | 164 + .../spi_boot/rtl/vhdl/chip-minimal-c.vhd | 19 + .../spi_boot/rtl/vhdl/chip-mmc-a.vhd | 164 + .../spi_boot/rtl/vhdl/chip-mmc-c.vhd | 19 + .../opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd | 164 + .../opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd | 19 + .../spi_boot/rtl/vhdl/sample/CVS/Entries | 3 + .../spi_boot/rtl/vhdl/sample/CVS/Repository | 1 + .../spi_boot/rtl/vhdl/sample/CVS/Root | 1 + .../spi_boot/rtl/vhdl/sample/CVS/Template | 0 .../spi_boot/rtl/vhdl/sample/ram_loader-c.vhd | 10 + .../spi_boot/rtl/vhdl/sample/ram_loader.vhd | 355 + .../spi_boot/rtl/vhdl/spi_boot-c.vhd | 27 + .../opencores/spi_boot/rtl/vhdl/spi_boot.vhd | 979 + .../spi_boot/rtl/vhdl/spi_boot_pack-p.vhd | 54 + .../spi_boot/rtl/vhdl/spi_counter-c.vhd | 14 + .../spi_boot/rtl/vhdl/spi_counter.vhd | 118 + usrp2/fpga/opencores/spi_boot/sim/CVS/Entries | 1 + .../opencores/spi_boot/sim/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/sim/CVS/Root | 1 + .../fpga/opencores/spi_boot/sim/CVS/Template | 0 .../spi_boot/sim/rtl_sim/CVS/Entries | 2 + .../spi_boot/sim/rtl_sim/CVS/Repository | 1 + .../opencores/spi_boot/sim/rtl_sim/CVS/Root | 1 + .../spi_boot/sim/rtl_sim/CVS/Template | 0 .../opencores/spi_boot/sim/rtl_sim/Makefile | 159 + usrp2/fpga/opencores/spi_boot/sw/CVS/Entries | 1 + .../fpga/opencores/spi_boot/sw/CVS/Repository | 1 + usrp2/fpga/opencores/spi_boot/sw/CVS/Root | 1 + usrp2/fpga/opencores/spi_boot/sw/CVS/Template | 0 .../opencores/spi_boot/sw/misc/CVS/Entries | 2 + .../opencores/spi_boot/sw/misc/CVS/Repository | 1 + .../fpga/opencores/spi_boot/sw/misc/CVS/Root | 1 + .../opencores/spi_boot/sw/misc/CVS/Template | 0 .../opencores/spi_boot/sw/misc/bit_reverse.c | 74 + usrp2/fpga/opencores/uart16550/CVS/Entries | 1 + .../fpga/opencores/uart16550/CVS/Entries.Log | 9 + usrp2/fpga/opencores/uart16550/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/CVS/Root | 1 + usrp2/fpga/opencores/uart16550/CVS/Template | 0 .../opencores/uart16550/bench/CVS/Entries | 1 + .../opencores/uart16550/bench/CVS/Entries.Log | 2 + .../opencores/uart16550/bench/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/bench/CVS/Root | 1 + .../opencores/uart16550/bench/CVS/Template | 0 .../uart16550/bench/verilog/CVS/Entries | 14 + .../uart16550/bench/verilog/CVS/Entries.Log | 1 + .../uart16550/bench/verilog/CVS/Repository | 1 + .../uart16550/bench/verilog/CVS/Root | 1 + .../uart16550/bench/verilog/CVS/Template | 0 .../uart16550/bench/verilog/readme.txt | 114 + .../bench/verilog/test_cases/CVS/Entries | 2 + .../bench/verilog/test_cases/CVS/Repository | 1 + .../bench/verilog/test_cases/CVS/Root | 1 + .../bench/verilog/test_cases/CVS/Template | 0 .../bench/verilog/test_cases/uart_int.v | 279 + .../uart16550/bench/verilog/uart_device.v | 717 + .../bench/verilog/uart_device_utilities.v | 323 + .../uart16550/bench/verilog/uart_log.v | 209 + .../uart16550/bench/verilog/uart_test.v | 339 + .../uart16550/bench/verilog/uart_testbench.v | 1366 + .../bench/verilog/uart_testbench_defines.v | 89 + .../bench/verilog/uart_testbench_utilities.v | 323 + .../bench/verilog/uart_wb_utilities.v | 362 + .../uart16550/bench/verilog/vapi.log | 117 + .../uart16550/bench/verilog/wb_mast.v | 640 + .../uart16550/bench/verilog/wb_master_model.v | 844 + .../bench/verilog/wb_model_defines.v | 82 + .../opencores/uart16550/bench/vhdl/.keepme | 0 .../uart16550/bench/vhdl/CVS/Entries | 2 + .../uart16550/bench/vhdl/CVS/Repository | 1 + .../opencores/uart16550/bench/vhdl/CVS/Root | 1 + .../uart16550/bench/vhdl/CVS/Template | 0 .../fpga/opencores/uart16550/doc/CHANGES.txt | 111 + .../fpga/opencores/uart16550/doc/CVS/Entries | 3 + .../opencores/uart16550/doc/CVS/Entries.Log | 1 + .../opencores/uart16550/doc/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/doc/CVS/Root | 1 + .../fpga/opencores/uart16550/doc/CVS/Template | 0 .../opencores/uart16550/doc/UART_spec.pdf | Bin 0 -> 163447 bytes .../opencores/uart16550/doc/src/CVS/Entries | 2 + .../uart16550/doc/src/CVS/Repository | 1 + .../fpga/opencores/uart16550/doc/src/CVS/Root | 1 + .../opencores/uart16550/doc/src/CVS/Template | 0 .../opencores/uart16550/doc/src/UART_spec.doc | Bin 0 -> 129024 bytes usrp2/fpga/opencores/uart16550/fv/.keepme | 0 usrp2/fpga/opencores/uart16550/fv/CVS/Entries | 2 + .../opencores/uart16550/fv/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/fv/CVS/Root | 1 + .../fpga/opencores/uart16550/fv/CVS/Template | 0 .../fpga/opencores/uart16550/lint/CVS/Entries | 1 + .../opencores/uart16550/lint/CVS/Entries.Log | 4 + .../opencores/uart16550/lint/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/lint/CVS/Root | 1 + .../opencores/uart16550/lint/CVS/Template | 0 .../fpga/opencores/uart16550/lint/bin/.keepme | 0 .../opencores/uart16550/lint/bin/CVS/Entries | 2 + .../uart16550/lint/bin/CVS/Repository | 1 + .../opencores/uart16550/lint/bin/CVS/Root | 1 + .../opencores/uart16550/lint/bin/CVS/Template | 0 .../fpga/opencores/uart16550/lint/log/.keepme | 0 .../opencores/uart16550/lint/log/CVS/Entries | 2 + .../uart16550/lint/log/CVS/Repository | 1 + .../opencores/uart16550/lint/log/CVS/Root | 1 + .../opencores/uart16550/lint/log/CVS/Template | 0 .../fpga/opencores/uart16550/lint/out/.keepme | 0 .../opencores/uart16550/lint/out/CVS/Entries | 2 + .../uart16550/lint/out/CVS/Repository | 1 + .../opencores/uart16550/lint/out/CVS/Root | 1 + .../opencores/uart16550/lint/out/CVS/Template | 0 .../fpga/opencores/uart16550/lint/run/.keepme | 0 .../opencores/uart16550/lint/run/CVS/Entries | 2 + .../uart16550/lint/run/CVS/Repository | 1 + .../opencores/uart16550/lint/run/CVS/Root | 1 + .../opencores/uart16550/lint/run/CVS/Template | 0 .../fpga/opencores/uart16550/rtl/CVS/Entries | 1 + .../opencores/uart16550/rtl/CVS/Entries.Log | 3 + .../opencores/uart16550/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/rtl/CVS/Root | 1 + .../fpga/opencores/uart16550/rtl/CVS/Template | 0 .../uart16550/rtl/verilog-backup/CVS/Entries | 9 + .../rtl/verilog-backup/CVS/Repository | 1 + .../uart16550/rtl/verilog-backup/CVS/Root | 1 + .../uart16550/rtl/verilog-backup/CVS/Template | 0 .../uart16550/rtl/verilog-backup/timescale.v | 3 + .../rtl/verilog-backup/uart_defines.v | 177 + .../uart16550/rtl/verilog-backup/uart_fifo.v | 278 + .../rtl/verilog-backup/uart_receiver.v | 341 + .../uart16550/rtl/verilog-backup/uart_regs.v | 532 + .../uart16550/rtl/verilog-backup/uart_top.v | 170 + .../rtl/verilog-backup/uart_transmitter.v | 288 + .../uart16550/rtl/verilog-backup/uart_wb.v | 125 + .../uart16550/rtl/verilog/CVS/Entries | 13 + .../uart16550/rtl/verilog/CVS/Repository | 1 + .../opencores/uart16550/rtl/verilog/CVS/Root | 1 + .../uart16550/rtl/verilog/CVS/Template | 0 .../opencores/uart16550/rtl/verilog/raminfr.v | 114 + .../uart16550/rtl/verilog/timescale.v | 64 + .../uart16550/rtl/verilog/uart_debug_if.v | 129 + .../uart16550/rtl/verilog/uart_defines.v | 250 + .../uart16550/rtl/verilog/uart_receiver.v | 485 + .../uart16550/rtl/verilog/uart_regs.v | 903 + .../uart16550/rtl/verilog/uart_rfifo.v | 323 + .../uart16550/rtl/verilog/uart_sync_flops.v | 125 + .../uart16550/rtl/verilog/uart_tfifo.v | 246 + .../uart16550/rtl/verilog/uart_top.v | 337 + .../uart16550/rtl/verilog/uart_transmitter.v | 355 + .../opencores/uart16550/rtl/verilog/uart_wb.v | 308 + .../fpga/opencores/uart16550/rtl/vhdl/.keepme | 0 .../opencores/uart16550/rtl/vhdl/CVS/Entries | 2 + .../uart16550/rtl/vhdl/CVS/Repository | 1 + .../opencores/uart16550/rtl/vhdl/CVS/Root | 1 + .../opencores/uart16550/rtl/vhdl/CVS/Template | 0 .../fpga/opencores/uart16550/sim/CVS/Entries | 1 + .../opencores/uart16550/sim/CVS/Entries.Log | 2 + .../opencores/uart16550/sim/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/sim/CVS/Root | 1 + .../fpga/opencores/uart16550/sim/CVS/Template | 0 .../uart16550/sim/gate_sim/CVS/Entries | 1 + .../uart16550/sim/gate_sim/CVS/Entries.Log | 5 + .../uart16550/sim/gate_sim/CVS/Repository | 1 + .../opencores/uart16550/sim/gate_sim/CVS/Root | 1 + .../uart16550/sim/gate_sim/CVS/Template | 0 .../uart16550/sim/gate_sim/bin/.keepme | 0 .../uart16550/sim/gate_sim/bin/CVS/Entries | 2 + .../uart16550/sim/gate_sim/bin/CVS/Repository | 1 + .../uart16550/sim/gate_sim/bin/CVS/Root | 1 + .../uart16550/sim/gate_sim/bin/CVS/Template | 0 .../uart16550/sim/gate_sim/log/.keepme | 0 .../uart16550/sim/gate_sim/log/CVS/Entries | 2 + .../uart16550/sim/gate_sim/log/CVS/Repository | 1 + .../uart16550/sim/gate_sim/log/CVS/Root | 1 + .../uart16550/sim/gate_sim/log/CVS/Template | 0 .../uart16550/sim/gate_sim/out/.keepme | 0 .../uart16550/sim/gate_sim/out/CVS/Entries | 2 + .../uart16550/sim/gate_sim/out/CVS/Repository | 1 + .../uart16550/sim/gate_sim/out/CVS/Root | 1 + .../uart16550/sim/gate_sim/out/CVS/Template | 0 .../uart16550/sim/gate_sim/run/.keepme | 0 .../uart16550/sim/gate_sim/run/CVS/Entries | 2 + .../uart16550/sim/gate_sim/run/CVS/Repository | 1 + .../uart16550/sim/gate_sim/run/CVS/Root | 1 + .../uart16550/sim/gate_sim/run/CVS/Template | 0 .../uart16550/sim/gate_sim/src/.keepme | 0 .../uart16550/sim/gate_sim/src/CVS/Entries | 2 + .../uart16550/sim/gate_sim/src/CVS/Repository | 1 + .../uart16550/sim/gate_sim/src/CVS/Root | 1 + .../uart16550/sim/gate_sim/src/CVS/Template | 0 .../uart16550/sim/rtl_sim/CVS/Entries | 1 + .../uart16550/sim/rtl_sim/CVS/Entries.Log | 5 + .../uart16550/sim/rtl_sim/CVS/Repository | 1 + .../opencores/uart16550/sim/rtl_sim/CVS/Root | 1 + .../uart16550/sim/rtl_sim/CVS/Template | 0 .../uart16550/sim/rtl_sim/bin/CVS/Entries | 3 + .../uart16550/sim/rtl_sim/bin/CVS/Repository | 1 + .../uart16550/sim/rtl_sim/bin/CVS/Root | 1 + .../uart16550/sim/rtl_sim/bin/CVS/Template | 0 .../uart16550/sim/rtl_sim/bin/nc.scr | 9 + .../uart16550/sim/rtl_sim/bin/sim.tcl | 5 + .../uart16550/sim/rtl_sim/log/.keepme | 0 .../uart16550/sim/rtl_sim/log/CVS/Entries | 4 + .../uart16550/sim/rtl_sim/log/CVS/Repository | 1 + .../uart16550/sim/rtl_sim/log/CVS/Root | 1 + .../uart16550/sim/rtl_sim/log/CVS/Template | 0 .../rtl_sim/log/uart_interrupts_report.log | 23 + .../rtl_sim/log/uart_interrupts_verbose.log | 104 + .../uart16550/sim/rtl_sim/out/.keepme | 0 .../uart16550/sim/rtl_sim/out/CVS/Entries | 2 + .../uart16550/sim/rtl_sim/out/CVS/Repository | 1 + .../uart16550/sim/rtl_sim/out/CVS/Root | 1 + .../uart16550/sim/rtl_sim/out/CVS/Template | 0 .../uart16550/sim/rtl_sim/run/CVS/Entries | 4 + .../uart16550/sim/rtl_sim/run/CVS/Repository | 1 + .../uart16550/sim/rtl_sim/run/CVS/Root | 1 + .../uart16550/sim/rtl_sim/run/CVS/Template | 0 .../uart16550/sim/rtl_sim/run/run_signalscan | 2 + .../uart16550/sim/rtl_sim/run/run_sim | 1 + .../uart16550/sim/rtl_sim/run/run_sim.scr | 345 + .../uart16550/sim/rtl_sim/src/.keepme | 0 .../uart16550/sim/rtl_sim/src/CVS/Entries | 2 + .../uart16550/sim/rtl_sim/src/CVS/Repository | 1 + .../uart16550/sim/rtl_sim/src/CVS/Root | 1 + .../uart16550/sim/rtl_sim/src/CVS/Template | 0 .../fpga/opencores/uart16550/syn/CVS/Entries | 1 + .../opencores/uart16550/syn/CVS/Entries.Log | 5 + .../opencores/uart16550/syn/CVS/Repository | 1 + usrp2/fpga/opencores/uart16550/syn/CVS/Root | 1 + .../fpga/opencores/uart16550/syn/CVS/Template | 0 .../fpga/opencores/uart16550/syn/bin/.keepme | 0 .../opencores/uart16550/syn/bin/CVS/Entries | 2 + .../uart16550/syn/bin/CVS/Repository | 1 + .../fpga/opencores/uart16550/syn/bin/CVS/Root | 1 + .../opencores/uart16550/syn/bin/CVS/Template | 0 .../fpga/opencores/uart16550/syn/log/.keepme | 0 .../opencores/uart16550/syn/log/CVS/Entries | 2 + .../uart16550/syn/log/CVS/Repository | 1 + .../fpga/opencores/uart16550/syn/log/CVS/Root | 1 + .../opencores/uart16550/syn/log/CVS/Template | 0 .../fpga/opencores/uart16550/syn/out/.keepme | 0 .../opencores/uart16550/syn/out/CVS/Entries | 2 + .../uart16550/syn/out/CVS/Repository | 1 + .../fpga/opencores/uart16550/syn/out/CVS/Root | 1 + .../opencores/uart16550/syn/out/CVS/Template | 0 .../fpga/opencores/uart16550/syn/run/.keepme | 0 .../opencores/uart16550/syn/run/CVS/Entries | 2 + .../uart16550/syn/run/CVS/Repository | 1 + .../fpga/opencores/uart16550/syn/run/CVS/Root | 1 + .../opencores/uart16550/syn/run/CVS/Template | 0 .../fpga/opencores/uart16550/syn/src/.keepme | 0 .../opencores/uart16550/syn/src/CVS/Entries | 2 + .../uart16550/syn/src/CVS/Repository | 1 + .../fpga/opencores/uart16550/syn/src/CVS/Root | 1 + .../opencores/uart16550/syn/src/CVS/Template | 0 .../opencores/uart16550/verilog/CVS/Entries | 1 + .../uart16550/verilog/CVS/Repository | 1 + .../fpga/opencores/uart16550/verilog/CVS/Root | 1 + .../opencores/uart16550/verilog/CVS/Template | 0 usrp2/fpga/opencores/wb_conbus/CVS/Entries | 2 + usrp2/fpga/opencores/wb_conbus/CVS/Repository | 1 + usrp2/fpga/opencores/wb_conbus/CVS/Root | 1 + usrp2/fpga/opencores/wb_conbus/CVS/Template | 0 .../opencores/wb_conbus/bench/CVS/Entries | 1 + .../opencores/wb_conbus/bench/CVS/Repository | 1 + usrp2/fpga/opencores/wb_conbus/bench/CVS/Root | 1 + .../opencores/wb_conbus/bench/CVS/Template | 0 .../wb_conbus/bench/verilog/CVS/Entries | 6 + .../wb_conbus/bench/verilog/CVS/Repository | 1 + .../wb_conbus/bench/verilog/CVS/Root | 1 + .../wb_conbus/bench/verilog/CVS/Template | 0 .../bench/verilog/tb_wb_conbus_top.v | 759 + .../opencores/wb_conbus/bench/verilog/tests.v | 828 + .../wb_conbus/bench/verilog/wb_mast_model.v | 693 + .../bench/verilog/wb_model_defines.v | 64 + .../wb_conbus/bench/verilog/wb_slv_model.v | 167 + .../fpga/opencores/wb_conbus/rtl/CVS/Entries | 1 + .../opencores/wb_conbus/rtl/CVS/Repository | 1 + usrp2/fpga/opencores/wb_conbus/rtl/CVS/Root | 1 + .../fpga/opencores/wb_conbus/rtl/CVS/Template | 0 .../wb_conbus/rtl/verilog/CVS/Entries | 4 + .../wb_conbus/rtl/verilog/CVS/Repository | 1 + .../opencores/wb_conbus/rtl/verilog/CVS/Root | 1 + .../wb_conbus/rtl/verilog/CVS/Template | 0 .../wb_conbus/rtl/verilog/wb_conbus_arb.v | 252 + .../wb_conbus/rtl/verilog/wb_conbus_defines.v | 42 + .../wb_conbus/rtl/verilog/wb_conbus_top.v | 655 + usrp2/fpga/sdr_lib/HB.sav | 56 + usrp2/fpga/sdr_lib/SMALL_HB.sav | 40 + usrp2/fpga/sdr_lib/acc.v | 28 + usrp2/fpga/sdr_lib/add2.v | 11 + usrp2/fpga/sdr_lib/add2_and_round.v | 11 + usrp2/fpga/sdr_lib/add2_and_round_reg.v | 16 + usrp2/fpga/sdr_lib/add2_reg.v | 17 + usrp2/fpga/sdr_lib/cic_dec_shifter.v | 106 + usrp2/fpga/sdr_lib/cic_decim.v | 88 + usrp2/fpga/sdr_lib/cic_int_shifter.v | 100 + usrp2/fpga/sdr_lib/cic_interp.v | 87 + usrp2/fpga/sdr_lib/cic_strober.v | 45 + usrp2/fpga/sdr_lib/clip.v | 36 + usrp2/fpga/sdr_lib/clip_and_round.v | 43 + usrp2/fpga/sdr_lib/clip_and_round_reg.v | 40 + usrp2/fpga/sdr_lib/clip_reg.v | 38 + usrp2/fpga/sdr_lib/cordic.v | 109 + usrp2/fpga/sdr_lib/cordic_stage.v | 60 + usrp2/fpga/sdr_lib/ddc.v | 97 + usrp2/fpga/sdr_lib/dsp_core_rx.v | 146 + usrp2/fpga/sdr_lib/dsp_core_tx.v | 132 + usrp2/fpga/sdr_lib/duc.v | 95 + usrp2/fpga/sdr_lib/dummy_rx.v | 62 + usrp2/fpga/sdr_lib/gen_cordic_consts.py | 10 + usrp2/fpga/sdr_lib/halfband_ideal.v | 84 + usrp2/fpga/sdr_lib/halfband_tb.v | 120 + usrp2/fpga/sdr_lib/hb/acc.v | 22 + usrp2/fpga/sdr_lib/hb/coeff_ram.v | 26 + usrp2/fpga/sdr_lib/hb/coeff_rom.v | 19 + usrp2/fpga/sdr_lib/hb/halfband_decim.v | 163 + usrp2/fpga/sdr_lib/hb/halfband_interp.v | 121 + usrp2/fpga/sdr_lib/hb/hbd_tb/HBD | 80 + usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden | 142 + usrp2/fpga/sdr_lib/hb/hbd_tb/regression | 95 + usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd | 4 + usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v | 75 + usrp2/fpga/sdr_lib/hb/mac.v | 58 + usrp2/fpga/sdr_lib/hb/mult.v | 16 + usrp2/fpga/sdr_lib/hb/ram16_2port.v | 22 + usrp2/fpga/sdr_lib/hb/ram16_2sum.v | 27 + usrp2/fpga/sdr_lib/hb/ram32_2sum.v | 22 + usrp2/fpga/sdr_lib/hb_dec.v | 170 + usrp2/fpga/sdr_lib/hb_dec_tb.v | 140 + usrp2/fpga/sdr_lib/hb_interp.v | 157 + usrp2/fpga/sdr_lib/hb_interp_tb.v | 132 + usrp2/fpga/sdr_lib/hb_tb.v | 155 + usrp2/fpga/sdr_lib/input.dat | 341 + usrp2/fpga/sdr_lib/output.dat | 130 + usrp2/fpga/sdr_lib/round.v | 33 + usrp2/fpga/sdr_lib/round_reg.v | 39 + usrp2/fpga/sdr_lib/rssi.v | 30 + usrp2/fpga/sdr_lib/rx_control.v | 213 + usrp2/fpga/sdr_lib/rx_dcoffset.v | 43 + usrp2/fpga/sdr_lib/rx_dcoffset_tb.v | 25 + usrp2/fpga/sdr_lib/sign_extend.v | 35 + usrp2/fpga/sdr_lib/small_hb_dec.v | 99 + usrp2/fpga/sdr_lib/small_hb_dec_tb.v | 140 + usrp2/fpga/sdr_lib/small_hb_int.v | 85 + usrp2/fpga/sdr_lib/small_hb_int_tb.v | 132 + usrp2/fpga/sdr_lib/tx_control.v | 160 + usrp2/fpga/serdes/serdes.v | 65 + usrp2/fpga/serdes/serdes_fc_rx.v | 62 + usrp2/fpga/serdes/serdes_fc_tx.v | 24 + usrp2/fpga/serdes/serdes_rx.v | 353 + usrp2/fpga/serdes/serdes_tb.v | 328 + usrp2/fpga/serdes/serdes_tx.v | 199 + usrp2/fpga/testbench/BOOTSTRAP.sav | 82 + usrp2/fpga/testbench/Makefile | 10 + usrp2/fpga/testbench/PAUSE.sav | 62 + usrp2/fpga/testbench/README | 5 + usrp2/fpga/testbench/SERDES.sav | 35 + usrp2/fpga/testbench/U2_SIM.sav | 95 + usrp2/fpga/testbench/cmdfile | 39 + usrp2/fpga/timing/time_receiver.v | 94 + usrp2/fpga/timing/time_sender.v | 110 + usrp2/fpga/timing/time_sync.v | 110 + usrp2/fpga/timing/time_transfer_tb.v | 50 + usrp2/fpga/timing/timer.v | 40 + usrp2/fpga/top/eth_test/eth_sim_top.v | 437 + usrp2/fpga/top/eth_test/eth_tb.v | 257 + usrp2/fpga/top/single_u2_sim/single_u2_sim.v | 322 + usrp2/fpga/top/tcl/ise_helper.tcl | 89 + usrp2/fpga/top/u2_core/u2_core.v | 678 + usrp2/fpga/top/u2_fpga/Makefile | 129 + usrp2/fpga/top/u2_fpga/u2_fpga.ise | Bin 0 -> 477678 bytes usrp2/fpga/top/u2_fpga/u2_fpga.ucf | 341 + usrp2/fpga/top/u2_fpga/u2_fpga_top.prj | 102 + usrp2/fpga/top/u2_fpga/u2_fpga_top.v | 393 + usrp2/fpga/top/u2_rev2/Makefile | 257 + usrp2/fpga/top/u2_rev2/u2_rev2.ucf | 337 + usrp2/fpga/top/u2_rev2/u2_rev2.v | 413 + usrp2/fpga/top/u2_rev3/Makefile | 244 + usrp2/fpga/top/u2_rev3/u2_rev3.ucf | 333 + usrp2/fpga/top/u2_rev3/u2_rev3.v | 426 + usrp2/fpga/top/u2plus/u2plus.ucf | 280 + usrp2/fpga/top/u2plus/u2plus.v | 377 + usrp2/host/Makefile.am | 29 + usrp2/host/apps/Makefile.am | 44 + usrp2/host/apps/find_usrps.cc | 70 + usrp2/host/apps/gen_2tone.py | 75 + usrp2/host/apps/gen_const.cc | 27 + usrp2/host/apps/gen_sine.py | 63 + usrp2/host/apps/rx_samples.cc | 382 + usrp2/host/apps/rx_streaming_samples.cc | 372 + usrp2/host/apps/stdin_int32_fft.py | 201 + usrp2/host/apps/streaming_fft.py | 76 + usrp2/host/apps/test.sh | 4 + usrp2/host/apps/tx_samples.cc | 263 + usrp2/host/apps/usrp2_burn_mac_addr.cc | 176 + usrp2/host/include/Makefile.am | 23 + usrp2/host/include/usrp2/Makefile.am | 34 + usrp2/host/include/usrp2/copiers.h | 63 + usrp2/host/include/usrp2/copy_handler.h | 51 + usrp2/host/include/usrp2/data_handler.h | 55 + usrp2/host/include/usrp2/metadata.h | 60 + usrp2/host/include/usrp2/rx_nop_handler.h | 128 + usrp2/host/include/usrp2/rx_sample_handler.h | 58 + usrp2/host/include/usrp2/strtod_si.h | 39 + usrp2/host/include/usrp2/tune_result.h | 45 + usrp2/host/include/usrp2/usrp2.h | 287 + usrp2/host/include/usrp2/usrp2_cdefs.h | 34 + usrp2/host/lib/Makefile.am | 67 + usrp2/host/lib/control.cc | 57 + usrp2/host/lib/control.h | 117 + usrp2/host/lib/copiers.cc | 133 + usrp2/host/lib/copy_handler.cc | 60 + usrp2/host/lib/data_handler.cc | 32 + usrp2/host/lib/eth_buffer.cc | 273 + usrp2/host/lib/eth_buffer.h | 198 + usrp2/host/lib/eth_common.h | 38 + usrp2/host/lib/ethernet.cc | 228 + usrp2/host/lib/ethernet.h | 124 + usrp2/host/lib/find.cc | 181 + usrp2/host/lib/open_usrp2_socket.cc | 130 + usrp2/host/lib/open_usrp2_socket.h | 34 + usrp2/host/lib/pktfilter.cc | 152 + usrp2/host/lib/pktfilter.h | 55 + usrp2/host/lib/ring.cc | 78 + usrp2/host/lib/ring.h | 83 + usrp2/host/lib/rx_nop_handler.cc | 35 + usrp2/host/lib/rx_sample_handler.cc | 27 + usrp2/host/lib/strtod_si.c | 53 + usrp2/host/lib/usrp2.cc | 291 + usrp2/host/lib/usrp2_bytesex.h | 19 + usrp2/host/lib/usrp2_impl.cc | 870 + usrp2/host/lib/usrp2_impl.h | 133 + usrp2/host/lib/usrp2_socket_opener.cc | 143 + usrp2/host/lib/usrp2_thread.cc | 63 + usrp2/host/lib/usrp2_thread.h | 47 + usrp2/host/usrp2.pc.in | 11 + 1269 files changed, 1656783 insertions(+), 2 deletions(-) create mode 100644 config/grc_gr_usrp2.m4 create mode 100644 config/grc_usrp2.m4 create mode 100644 gnuradio-core/src/utils/read_cshort_binary.m create mode 100644 gr-usrp2/Makefile.am create mode 100644 gr-usrp2/src/Makefile.am create mode 100755 gr-usrp2/src/qa_usrp2.py create mode 100644 gr-usrp2/src/run_tests.in create mode 100644 gr-usrp2/src/rx_16sc_handler.cc create mode 100644 gr-usrp2/src/rx_16sc_handler.h create mode 100644 gr-usrp2/src/rx_32fc_handler.cc create mode 100644 gr-usrp2/src/rx_32fc_handler.h create mode 100644 gr-usrp2/src/usrp2.i create mode 100644 gr-usrp2/src/usrp2_sink_32fc.cc create mode 100644 gr-usrp2/src/usrp2_sink_32fc.h create mode 100644 gr-usrp2/src/usrp2_sink_base.cc create mode 100644 gr-usrp2/src/usrp2_sink_base.h create mode 100644 gr-usrp2/src/usrp2_source_16sc.cc create mode 100644 gr-usrp2/src/usrp2_source_16sc.h create mode 100644 gr-usrp2/src/usrp2_source_32fc.cc create mode 100644 gr-usrp2/src/usrp2_source_32fc.h create mode 100644 gr-usrp2/src/usrp2_source_base.cc create mode 100644 gr-usrp2/src/usrp2_source_base.h create mode 100755 gr-utils/src/python/usrp2_fft.py create mode 100755 gr-utils/src/python/usrp2_rx_cfile.py create mode 100644 usrp2/Makefile.am create mode 100644 usrp2/doc/inband-signaling-eth create mode 100644 usrp2/firmware/AUTHORS create mode 100644 usrp2/firmware/COPYING create mode 100644 usrp2/firmware/ChangeLog create mode 100644 usrp2/firmware/INSTALL create mode 100644 usrp2/firmware/Makefile.am create mode 100644 usrp2/firmware/Makefile.common create mode 100644 usrp2/firmware/NEWS create mode 100644 usrp2/firmware/README create mode 100644 usrp2/firmware/apps/Makefile.am create mode 100644 usrp2/firmware/apps/app_common_v2.c create mode 100644 usrp2/firmware/apps/app_common_v2.h create mode 100644 usrp2/firmware/apps/app_passthru_v2.c create mode 100644 usrp2/firmware/apps/app_passthru_v2.h create mode 100644 usrp2/firmware/apps/bitrot/tx_drop.c create mode 100644 usrp2/firmware/apps/bitrot/tx_drop2.c create mode 100644 usrp2/firmware/apps/bitrot/tx_drop_rate_limited.c create mode 100644 usrp2/firmware/apps/blink_leds.c create mode 100644 usrp2/firmware/apps/blink_leds2.c create mode 100644 usrp2/firmware/apps/buf_ram_test.c create mode 100644 usrp2/firmware/apps/can_i_sub.c create mode 100644 usrp2/firmware/apps/double_buffer_fragment.c create mode 100644 usrp2/firmware/apps/echo.c create mode 100644 usrp2/firmware/apps/eth_serdes.c create mode 100644 usrp2/firmware/apps/gen_eth_packets.c create mode 100644 usrp2/firmware/apps/gen_pause_frames.c create mode 100644 usrp2/firmware/apps/hello.c create mode 100644 usrp2/firmware/apps/ibs_rx_test.c create mode 100644 usrp2/firmware/apps/ibs_tx_test.c create mode 100644 usrp2/firmware/apps/rcv_eth_packets.c create mode 100644 usrp2/firmware/apps/read_dbids.c create mode 100644 usrp2/firmware/apps/rx_only_v2.c create mode 100644 usrp2/firmware/apps/sd_bounce.c create mode 100644 usrp2/firmware/apps/sd_gentest.c create mode 100644 usrp2/firmware/apps/serdes_to_dsp.c create mode 100644 usrp2/firmware/apps/serdes_txrx.c create mode 100644 usrp2/firmware/apps/test1.c create mode 100644 usrp2/firmware/apps/test_db_spi.c create mode 100644 usrp2/firmware/apps/test_i2c.c create mode 100644 usrp2/firmware/apps/test_lsadc.c create mode 100644 usrp2/firmware/apps/test_lsdac.c create mode 100644 usrp2/firmware/apps/test_phy_comm.c create mode 100644 usrp2/firmware/apps/test_serdes.c create mode 100644 usrp2/firmware/apps/timer_test.c create mode 100644 usrp2/firmware/apps/tx_only_v2.c create mode 100644 usrp2/firmware/apps/tx_standalone.c create mode 100644 usrp2/firmware/apps/txrx.c create mode 100755 usrp2/firmware/bootstrap create mode 100644 usrp2/firmware/config.guess create mode 100644 usrp2/firmware/config.sub create mode 100644 usrp2/firmware/configure.ac create mode 100755 usrp2/firmware/divisors.py create mode 100644 usrp2/firmware/include/Makefile.am create mode 100644 usrp2/firmware/include/usrp2_cdefs.h create mode 100644 usrp2/firmware/include/usrp2_eth_packet.h create mode 100644 usrp2/firmware/include/usrp2_fpga_regs.h create mode 100644 usrp2/firmware/include/usrp2_i2c_addr.h create mode 100644 usrp2/firmware/include/usrp2_mac_addr.h create mode 100644 usrp2/firmware/include/usrp2_mimo_config.h create mode 100644 usrp2/firmware/include/usrp2_types.h create mode 100644 usrp2/firmware/lib/Makefile.am create mode 100644 usrp2/firmware/lib/ad9510.c create mode 100644 usrp2/firmware/lib/ad9510.h create mode 100644 usrp2/firmware/lib/ad9777.c create mode 100644 usrp2/firmware/lib/ad9777.h create mode 100644 usrp2/firmware/lib/ad9777_regs.h create mode 100644 usrp2/firmware/lib/bool.h create mode 100644 usrp2/firmware/lib/buffer_pool.c create mode 100644 usrp2/firmware/lib/buffer_pool.h create mode 100644 usrp2/firmware/lib/clocks.c create mode 100644 usrp2/firmware/lib/clocks.h create mode 100644 usrp2/firmware/lib/db.h create mode 100644 usrp2/firmware/lib/db_base.h create mode 100644 usrp2/firmware/lib/db_basic.c create mode 100644 usrp2/firmware/lib/db_init.c create mode 100644 usrp2/firmware/lib/db_rfx.c create mode 100644 usrp2/firmware/lib/db_tvrx.c create mode 100644 usrp2/firmware/lib/dbsm.c create mode 100644 usrp2/firmware/lib/dbsm.h create mode 100644 usrp2/firmware/lib/eeprom.c create mode 100644 usrp2/firmware/lib/eth_mac.c create mode 100644 usrp2/firmware/lib/eth_mac.h create mode 100644 usrp2/firmware/lib/eth_mac_regs.h create mode 100644 usrp2/firmware/lib/eth_phy.h create mode 100644 usrp2/firmware/lib/ethernet.c create mode 100644 usrp2/firmware/lib/ethernet.h create mode 100644 usrp2/firmware/lib/hal_io.c create mode 100644 usrp2/firmware/lib/hal_io.h create mode 100644 usrp2/firmware/lib/hal_uart.c create mode 100644 usrp2/firmware/lib/hal_uart.h create mode 100644 usrp2/firmware/lib/i2c.c create mode 100644 usrp2/firmware/lib/i2c.h create mode 100644 usrp2/firmware/lib/lsadc.c create mode 100644 usrp2/firmware/lib/lsadc.h create mode 100644 usrp2/firmware/lib/lsdac.c create mode 100644 usrp2/firmware/lib/lsdac.h create mode 100644 usrp2/firmware/lib/mdelay.c create mode 100644 usrp2/firmware/lib/mdelay.h create mode 100644 usrp2/firmware/lib/memcpy_wa.c create mode 100644 usrp2/firmware/lib/memcpy_wa.h create mode 100644 usrp2/firmware/lib/memory_map.h create mode 100644 usrp2/firmware/lib/memset_wa.c create mode 100644 usrp2/firmware/lib/memset_wa.h create mode 100644 usrp2/firmware/lib/microblaze.ld create mode 100644 usrp2/firmware/lib/nonstdio.c create mode 100644 usrp2/firmware/lib/nonstdio.h create mode 100644 usrp2/firmware/lib/pic.c create mode 100644 usrp2/firmware/lib/pic.h create mode 100644 usrp2/firmware/lib/print_buffer.c create mode 100644 usrp2/firmware/lib/print_fxpt.c create mode 100644 usrp2/firmware/lib/print_mac_addr.c create mode 100644 usrp2/firmware/lib/print_rmon_regs.c create mode 100644 usrp2/firmware/lib/print_rmon_regs.h create mode 100644 usrp2/firmware/lib/printf.c create mode 100644 usrp2/firmware/lib/printf.c.smaller create mode 100644 usrp2/firmware/lib/spi.c create mode 100644 usrp2/firmware/lib/spi.h create mode 100644 usrp2/firmware/lib/stdint.h create mode 100644 usrp2/firmware/lib/stdio.h create mode 100644 usrp2/firmware/lib/u2_init.c create mode 100644 usrp2/firmware/lib/u2_init.h create mode 100644 usrp2/firmware/lib/usrp2_bytesex.h create mode 100644 usrp2/firmware/lib/wb16550.h create mode 100755 usrp2/firmware/u2_flash_tool create mode 100755 usrp2/fpga/boot_cpld/_impact.cmd create mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ipf create mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ise create mode 100755 usrp2/fpga/boot_cpld/boot_cpld.lfp create mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ucf create mode 100755 usrp2/fpga/boot_cpld/boot_cpld.v create mode 100644 usrp2/fpga/control_lib/CRC16_D16.v create mode 100644 usrp2/fpga/control_lib/SYSCTRL.sav create mode 100644 usrp2/fpga/control_lib/WB_SIM.sav create mode 100644 usrp2/fpga/control_lib/atr_controller.v create mode 100644 usrp2/fpga/control_lib/bin2gray.v create mode 100644 usrp2/fpga/control_lib/bootrom.mem create mode 100644 usrp2/fpga/control_lib/buffer_int.v create mode 100644 usrp2/fpga/control_lib/buffer_int_tb.v create mode 100644 usrp2/fpga/control_lib/buffer_pool.v create mode 100644 usrp2/fpga/control_lib/buffer_pool_tb.v create mode 100644 usrp2/fpga/control_lib/cascadefifo.v create mode 100644 usrp2/fpga/control_lib/cascadefifo2.v create mode 100644 usrp2/fpga/control_lib/clock_bootstrap_rom.v create mode 100644 usrp2/fpga/control_lib/clock_control.v create mode 100644 usrp2/fpga/control_lib/clock_control_tb.sav create mode 100644 usrp2/fpga/control_lib/clock_control_tb.v create mode 100644 usrp2/fpga/control_lib/cmdfile create mode 100644 usrp2/fpga/control_lib/dcache.v create mode 100644 usrp2/fpga/control_lib/decoder_3_8.v create mode 100644 usrp2/fpga/control_lib/dpram32.v create mode 100644 usrp2/fpga/control_lib/extram_interface.v create mode 100644 usrp2/fpga/control_lib/fifo_2clock.v create mode 100644 usrp2/fpga/control_lib/fifo_2clock_casc.v create mode 100644 usrp2/fpga/control_lib/fifo_reader.v create mode 100644 usrp2/fpga/control_lib/fifo_tb.v create mode 100644 usrp2/fpga/control_lib/fifo_writer.v create mode 100644 usrp2/fpga/control_lib/gray2bin.v create mode 100644 usrp2/fpga/control_lib/gray_send.v create mode 100644 usrp2/fpga/control_lib/icache.v create mode 100644 usrp2/fpga/control_lib/longfifo.v create mode 100644 usrp2/fpga/control_lib/medfifo.v create mode 100644 usrp2/fpga/control_lib/mux4.v create mode 100644 usrp2/fpga/control_lib/mux8.v create mode 100644 usrp2/fpga/control_lib/mux_32_4.v create mode 100644 usrp2/fpga/control_lib/nsgpio.v create mode 100644 usrp2/fpga/control_lib/oneshot_2clk.v create mode 100644 usrp2/fpga/control_lib/ram_2port.v create mode 100644 usrp2/fpga/control_lib/ram_harv_cache.v create mode 100644 usrp2/fpga/control_lib/ram_loader.v create mode 100644 usrp2/fpga/control_lib/ram_wb_harvard.v create mode 100644 usrp2/fpga/control_lib/sd_spi.v create mode 100644 usrp2/fpga/control_lib/sd_spi_tb.v create mode 100644 usrp2/fpga/control_lib/sd_spi_wb.v create mode 100644 usrp2/fpga/control_lib/setting_reg.v create mode 100644 usrp2/fpga/control_lib/settings_bus.v create mode 100644 usrp2/fpga/control_lib/shortfifo.v create mode 100644 usrp2/fpga/control_lib/simple_uart.v create mode 100644 usrp2/fpga/control_lib/simple_uart_rx.v create mode 100644 usrp2/fpga/control_lib/simple_uart_tx.v create mode 100644 usrp2/fpga/control_lib/spi.v create mode 100644 usrp2/fpga/control_lib/srl.v create mode 100644 usrp2/fpga/control_lib/ss_rcvr.v create mode 100644 usrp2/fpga/control_lib/system_control.v create mode 100644 usrp2/fpga/control_lib/system_control_tb.v create mode 100644 usrp2/fpga/control_lib/traffic_cop.v create mode 100644 usrp2/fpga/control_lib/wb_1master.v create mode 100644 usrp2/fpga/control_lib/wb_bus_writer.v create mode 100644 usrp2/fpga/control_lib/wb_output_pins32.v create mode 100644 usrp2/fpga/control_lib/wb_ram_block.v create mode 100644 usrp2/fpga/control_lib/wb_ram_dist.v create mode 100644 usrp2/fpga/control_lib/wb_readback_mux.v create mode 100644 usrp2/fpga/control_lib/wb_regfile_2clock.v create mode 100644 usrp2/fpga/control_lib/wb_semaphore.v create mode 100644 usrp2/fpga/control_lib/wb_sim.v create mode 100644 usrp2/fpga/coregen/coregen.cgp create mode 100644 usrp2/fpga/coregen/fifo_generator_release_notes.txt create mode 100644 usrp2/fpga/coregen/fifo_generator_ug175.pdf create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt create mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl create mode 100644 usrp2/fpga/eth/bench/verilog/100m.scr create mode 100644 usrp2/fpga/eth/bench/verilog/Phy_sim.v create mode 100644 usrp2/fpga/eth/bench/verilog/User_int_sim.v create mode 100644 usrp2/fpga/eth/bench/verilog/error.scr create mode 100644 usrp2/fpga/eth/bench/verilog/files.lst create mode 100644 usrp2/fpga/eth/bench/verilog/host_sim.v create mode 100644 usrp2/fpga/eth/bench/verilog/icomp.bat create mode 100644 usrp2/fpga/eth/bench/verilog/isim.bat create mode 100644 usrp2/fpga/eth/bench/verilog/jumbo_err.scr create mode 100644 usrp2/fpga/eth/bench/verilog/jumbos.scr create mode 100644 usrp2/fpga/eth/bench/verilog/mdio.scr create mode 100644 usrp2/fpga/eth/bench/verilog/miim_model.v create mode 100644 usrp2/fpga/eth/bench/verilog/misc.scr create mode 100644 usrp2/fpga/eth/bench/verilog/pause.scr create mode 100644 usrp2/fpga/eth/bench/verilog/tb_top.v create mode 100644 usrp2/fpga/eth/bench/verilog/test.scr create mode 100644 usrp2/fpga/eth/bench/verilog/txmac.scr create mode 100644 usrp2/fpga/eth/bench/verilog/xlnx_glbl.v create mode 100644 usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v create mode 100644 usrp2/fpga/eth/demo/verilog/demo.ucf create mode 100644 usrp2/fpga/eth/demo/verilog/demo.v create mode 100644 usrp2/fpga/eth/demo/verilog/demo_packet_descriptor_memory.v create mode 100644 usrp2/fpga/eth/demo/verilog/demo_packet_generator.v create mode 100644 usrp2/fpga/eth/demo/verilog/demo_uart.v create mode 100644 usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v create mode 100644 usrp2/fpga/eth/demo/verilog/tb_demo.v create mode 100644 usrp2/fpga/eth/header_ram.v create mode 100644 usrp2/fpga/eth/mac_rxfifo_int.v create mode 100644 usrp2/fpga/eth/mac_txfifo_int.v create mode 100644 usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_top.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v create mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v create mode 100644 usrp2/fpga/eth/rtl/verilog/Phy_int.v create mode 100644 usrp2/fpga/eth/rtl/verilog/RMON.v create mode 100644 usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v create mode 100644 usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v create mode 100644 usrp2/fpga/eth/rtl/verilog/Reg_int.v create mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v create mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v create mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v create mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v create mode 100644 usrp2/fpga/eth/rtl/verilog/elastic_buffer.v create mode 100644 usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v create mode 100644 usrp2/fpga/eth/rtl/verilog/eth_miim.v create mode 100644 usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v create mode 100644 usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v create mode 100644 usrp2/fpga/eth/rtl/verilog/header.vh create mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v create mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_outputcontrol.v create mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v create mode 100644 usrp2/fpga/eth/rx_prot_engine.v create mode 100644 usrp2/fpga/eth/tx_prot_engine.v create mode 100644 usrp2/fpga/models/BUFG.v create mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.inp create mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.v create mode 100644 usrp2/fpga/models/CY7C1356C/readme.txt create mode 100644 usrp2/fpga/models/CY7C1356C/testbench.v create mode 100644 usrp2/fpga/models/FIFO_GENERATOR_V4_3.v create mode 100644 usrp2/fpga/models/M24LC024B.v create mode 100644 usrp2/fpga/models/M24LC02B.v create mode 100644 usrp2/fpga/models/MULT18X18S.v create mode 100644 usrp2/fpga/models/RAMB16_S36_S36.v create mode 100644 usrp2/fpga/models/SRL16E.v create mode 100644 usrp2/fpga/models/SRLC16E.v create mode 100644 usrp2/fpga/models/adc_model.v create mode 100644 usrp2/fpga/models/cpld_model.v create mode 100644 usrp2/fpga/models/math_real.v create mode 100644 usrp2/fpga/models/serdes_model.v create mode 100644 usrp2/fpga/models/uart_rx.v create mode 100644 usrp2/fpga/opencores/8b10b/8b10b_a.mem create mode 100644 usrp2/fpga/opencores/8b10b/README create mode 100644 usrp2/fpga/opencores/8b10b/decode_8b10b.v create mode 100644 usrp2/fpga/opencores/8b10b/encode_8b10b.v create mode 100644 usrp2/fpga/opencores/8b10b/validate_8b10b.v create mode 100644 usrp2/fpga/opencores/README create mode 100644 usrp2/fpga/opencores/aemb/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf create mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v create mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v create mode 100644 usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav create mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Template create mode 100755 usrp2/fpga/opencores/aemb/sim/cversim create mode 100755 usrp2/fpga/opencores/aemb/sim/iversim create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v create mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/edk32.v create mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries create mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Repository create mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Root create mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Template create mode 100644 usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c create mode 100644 usrp2/fpga/opencores/aemb/sw/c/endian-test.c create mode 100644 usrp2/fpga/opencores/aemb/sw/c/libaemb.h create mode 100755 usrp2/fpga/opencores/aemb/sw/gccrom create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/reg_int_sim.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/tb_top.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/cmdfile create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Specifications.pdf create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Verification_plan.pdf create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Clk_ctrl.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/Broadcast_filter.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CRC_chk.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_FF.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_DIV2.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_SWITCH.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/duram.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/cmdfile create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_miim.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_wrapper.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/header.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/mac_tb.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_clockgen.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CPU.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/start.tcl create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Template create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj create mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj create mode 100644 usrp2/fpga/opencores/i2c/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v create mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v create mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf create mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/doc/src/I2C_specs.doc create mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v create mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/readme create mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd create mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log create mode 100755 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h create mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/initSD.asf create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/initSD.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/timescale.v create mode 100644 usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v create mode 100644 usrp2/fpga/opencores/sd_interface/bench/testCase0.v create mode 100644 usrp2/fpga/opencores/sd_interface/bench/testHarness.v create mode 100644 usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf create mode 100644 usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf create mode 100644 usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw create mode 100644 usrp2/fpga/opencores/sd_interface/model/sdModel.v create mode 100644 usrp2/fpga/opencores/sd_interface/model/wb_master_model.v create mode 100644 usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat create mode 100644 usrp2/fpga/opencores/sd_interface/sim/compile.do create mode 100644 usrp2/fpga/opencores/sd_interface/sim/filelist.icarus create mode 100644 usrp2/fpga/opencores/sd_interface/sim/run.do create mode 100644 usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat create mode 100644 usrp2/fpga/opencores/sd_interface/sim/testHarness create mode 100644 usrp2/fpga/opencores/sd_interface/sim/wave.do create mode 100644 usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf create mode 100644 usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf create mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Entries create mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Repository create mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Root create mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Template create mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v create mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Entries create mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Repository create mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Root create mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Template create mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v create mode 100644 usrp2/fpga/opencores/spi/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v create mode 100644 usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v create mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/doc/spi.pdf create mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Template create mode 100755 usrp2/fpga/opencores/spi/doc/src/spi.doc create mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v create mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/timescale.v create mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Template create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl create mode 100755 usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim create mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl create mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Root create mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/COMPILE_LIST create mode 100644 usrp2/fpga/opencores/spi_boot/COPYING create mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS create mode 100644 usrp2/fpga/opencores/spi_boot/README create mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf create mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot_schematic.pdf create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps create mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd create mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile create mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries create mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository create mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root create mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Template create mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c create mode 100644 usrp2/fpga/opencores/uart16550/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Template create mode 100755 usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/wb_master_model.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v create mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/doc/CHANGES.txt create mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf create mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/doc/src/UART_spec.doc create mode 100644 usrp2/fpga/opencores/uart16550/fv/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/lint/log/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/lint/out/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/lint/run/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/timescale.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_defines.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_fifo.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_receiver.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_regs.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v create mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Template create mode 100755 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan create mode 100755 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log create mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/log/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/out/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/run/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/syn/src/.keepme create mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Template create mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Entries create mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Repository create mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Root create mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Root create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_mast_model.v create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_model_defines.v create mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_slv_model.v create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Entries create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Repository create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Root create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Entries create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Repository create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Root create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Template create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_arb.v create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_defines.v create mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_top.v create mode 100644 usrp2/fpga/sdr_lib/HB.sav create mode 100644 usrp2/fpga/sdr_lib/SMALL_HB.sav create mode 100644 usrp2/fpga/sdr_lib/acc.v create mode 100644 usrp2/fpga/sdr_lib/add2.v create mode 100644 usrp2/fpga/sdr_lib/add2_and_round.v create mode 100644 usrp2/fpga/sdr_lib/add2_and_round_reg.v create mode 100644 usrp2/fpga/sdr_lib/add2_reg.v create mode 100644 usrp2/fpga/sdr_lib/cic_dec_shifter.v create mode 100755 usrp2/fpga/sdr_lib/cic_decim.v create mode 100644 usrp2/fpga/sdr_lib/cic_int_shifter.v create mode 100755 usrp2/fpga/sdr_lib/cic_interp.v create mode 100644 usrp2/fpga/sdr_lib/cic_strober.v create mode 100644 usrp2/fpga/sdr_lib/clip.v create mode 100644 usrp2/fpga/sdr_lib/clip_and_round.v create mode 100644 usrp2/fpga/sdr_lib/clip_and_round_reg.v create mode 100644 usrp2/fpga/sdr_lib/clip_reg.v create mode 100755 usrp2/fpga/sdr_lib/cordic.v create mode 100755 usrp2/fpga/sdr_lib/cordic_stage.v create mode 100755 usrp2/fpga/sdr_lib/ddc.v create mode 100644 usrp2/fpga/sdr_lib/dsp_core_rx.v create mode 100644 usrp2/fpga/sdr_lib/dsp_core_tx.v create mode 100755 usrp2/fpga/sdr_lib/duc.v create mode 100644 usrp2/fpga/sdr_lib/dummy_rx.v create mode 100755 usrp2/fpga/sdr_lib/gen_cordic_consts.py create mode 100644 usrp2/fpga/sdr_lib/halfband_ideal.v create mode 100644 usrp2/fpga/sdr_lib/halfband_tb.v create mode 100644 usrp2/fpga/sdr_lib/hb/acc.v create mode 100644 usrp2/fpga/sdr_lib/hb/coeff_ram.v create mode 100644 usrp2/fpga/sdr_lib/hb/coeff_rom.v create mode 100644 usrp2/fpga/sdr_lib/hb/halfband_decim.v create mode 100644 usrp2/fpga/sdr_lib/hb/halfband_interp.v create mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/HBD create mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden create mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/regression create mode 100755 usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd create mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v create mode 100644 usrp2/fpga/sdr_lib/hb/mac.v create mode 100644 usrp2/fpga/sdr_lib/hb/mult.v create mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2port.v create mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2sum.v create mode 100644 usrp2/fpga/sdr_lib/hb/ram32_2sum.v create mode 100644 usrp2/fpga/sdr_lib/hb_dec.v create mode 100644 usrp2/fpga/sdr_lib/hb_dec_tb.v create mode 100644 usrp2/fpga/sdr_lib/hb_interp.v create mode 100644 usrp2/fpga/sdr_lib/hb_interp_tb.v create mode 100644 usrp2/fpga/sdr_lib/hb_tb.v create mode 100644 usrp2/fpga/sdr_lib/input.dat create mode 100644 usrp2/fpga/sdr_lib/output.dat create mode 100644 usrp2/fpga/sdr_lib/round.v create mode 100644 usrp2/fpga/sdr_lib/round_reg.v create mode 100644 usrp2/fpga/sdr_lib/rssi.v create mode 100644 usrp2/fpga/sdr_lib/rx_control.v create mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset.v create mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset_tb.v create mode 100644 usrp2/fpga/sdr_lib/sign_extend.v create mode 100644 usrp2/fpga/sdr_lib/small_hb_dec.v create mode 100644 usrp2/fpga/sdr_lib/small_hb_dec_tb.v create mode 100644 usrp2/fpga/sdr_lib/small_hb_int.v create mode 100644 usrp2/fpga/sdr_lib/small_hb_int_tb.v create mode 100644 usrp2/fpga/sdr_lib/tx_control.v create mode 100644 usrp2/fpga/serdes/serdes.v create mode 100644 usrp2/fpga/serdes/serdes_fc_rx.v create mode 100644 usrp2/fpga/serdes/serdes_fc_tx.v create mode 100644 usrp2/fpga/serdes/serdes_rx.v create mode 100644 usrp2/fpga/serdes/serdes_tb.v create mode 100644 usrp2/fpga/serdes/serdes_tx.v create mode 100644 usrp2/fpga/testbench/BOOTSTRAP.sav create mode 100644 usrp2/fpga/testbench/Makefile create mode 100644 usrp2/fpga/testbench/PAUSE.sav create mode 100644 usrp2/fpga/testbench/README create mode 100644 usrp2/fpga/testbench/SERDES.sav create mode 100644 usrp2/fpga/testbench/U2_SIM.sav create mode 100644 usrp2/fpga/testbench/cmdfile create mode 100644 usrp2/fpga/timing/time_receiver.v create mode 100644 usrp2/fpga/timing/time_sender.v create mode 100644 usrp2/fpga/timing/time_sync.v create mode 100644 usrp2/fpga/timing/time_transfer_tb.v create mode 100644 usrp2/fpga/timing/timer.v create mode 100644 usrp2/fpga/top/eth_test/eth_sim_top.v create mode 100644 usrp2/fpga/top/eth_test/eth_tb.v create mode 100644 usrp2/fpga/top/single_u2_sim/single_u2_sim.v create mode 100644 usrp2/fpga/top/tcl/ise_helper.tcl create mode 100755 usrp2/fpga/top/u2_core/u2_core.v create mode 100644 usrp2/fpga/top/u2_fpga/Makefile create mode 100644 usrp2/fpga/top/u2_fpga/u2_fpga.ise create mode 100755 usrp2/fpga/top/u2_fpga/u2_fpga.ucf create mode 100644 usrp2/fpga/top/u2_fpga/u2_fpga_top.prj create mode 100644 usrp2/fpga/top/u2_fpga/u2_fpga_top.v create mode 100644 usrp2/fpga/top/u2_rev2/Makefile create mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.ucf create mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.v create mode 100644 usrp2/fpga/top/u2_rev3/Makefile create mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.ucf create mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.v create mode 100755 usrp2/fpga/top/u2plus/u2plus.ucf create mode 100644 usrp2/fpga/top/u2plus/u2plus.v create mode 100644 usrp2/host/Makefile.am create mode 100644 usrp2/host/apps/Makefile.am create mode 100644 usrp2/host/apps/find_usrps.cc create mode 100755 usrp2/host/apps/gen_2tone.py create mode 100644 usrp2/host/apps/gen_const.cc create mode 100755 usrp2/host/apps/gen_sine.py create mode 100644 usrp2/host/apps/rx_samples.cc create mode 100644 usrp2/host/apps/rx_streaming_samples.cc create mode 100755 usrp2/host/apps/stdin_int32_fft.py create mode 100755 usrp2/host/apps/streaming_fft.py create mode 100755 usrp2/host/apps/test.sh create mode 100644 usrp2/host/apps/tx_samples.cc create mode 100644 usrp2/host/apps/usrp2_burn_mac_addr.cc create mode 100644 usrp2/host/include/Makefile.am create mode 100644 usrp2/host/include/usrp2/Makefile.am create mode 100644 usrp2/host/include/usrp2/copiers.h create mode 100644 usrp2/host/include/usrp2/copy_handler.h create mode 100644 usrp2/host/include/usrp2/data_handler.h create mode 100644 usrp2/host/include/usrp2/metadata.h create mode 100644 usrp2/host/include/usrp2/rx_nop_handler.h create mode 100644 usrp2/host/include/usrp2/rx_sample_handler.h create mode 100644 usrp2/host/include/usrp2/strtod_si.h create mode 100644 usrp2/host/include/usrp2/tune_result.h create mode 100644 usrp2/host/include/usrp2/usrp2.h create mode 100644 usrp2/host/include/usrp2/usrp2_cdefs.h create mode 100644 usrp2/host/lib/Makefile.am create mode 100644 usrp2/host/lib/control.cc create mode 100644 usrp2/host/lib/control.h create mode 100644 usrp2/host/lib/copiers.cc create mode 100644 usrp2/host/lib/copy_handler.cc create mode 100644 usrp2/host/lib/data_handler.cc create mode 100644 usrp2/host/lib/eth_buffer.cc create mode 100644 usrp2/host/lib/eth_buffer.h create mode 100644 usrp2/host/lib/eth_common.h create mode 100644 usrp2/host/lib/ethernet.cc create mode 100644 usrp2/host/lib/ethernet.h create mode 100644 usrp2/host/lib/find.cc create mode 100644 usrp2/host/lib/open_usrp2_socket.cc create mode 100644 usrp2/host/lib/open_usrp2_socket.h create mode 100644 usrp2/host/lib/pktfilter.cc create mode 100644 usrp2/host/lib/pktfilter.h create mode 100644 usrp2/host/lib/ring.cc create mode 100644 usrp2/host/lib/ring.h create mode 100644 usrp2/host/lib/rx_nop_handler.cc create mode 100644 usrp2/host/lib/rx_sample_handler.cc create mode 100644 usrp2/host/lib/strtod_si.c create mode 100644 usrp2/host/lib/usrp2.cc create mode 100644 usrp2/host/lib/usrp2_bytesex.h create mode 100644 usrp2/host/lib/usrp2_impl.cc create mode 100644 usrp2/host/lib/usrp2_impl.h create mode 100644 usrp2/host/lib/usrp2_socket_opener.cc create mode 100644 usrp2/host/lib/usrp2_thread.cc create mode 100644 usrp2/host/lib/usrp2_thread.h create mode 100644 usrp2/host/usrp2.pc.in diff --git a/Makefile.am b/Makefile.am index 9262c5bc..91b0e5d2 100644 --- a/Makefile.am +++ b/Makefile.am @@ -32,5 +32,5 @@ EXTRA_DIST = \ README.hacking \ README.building-boost -SUBDIRS = @build_dirs@ +SUBDIRS = @build_dirs@ $(subdirs) DIST_SUBDIRS = @build_dirs@ @skipped_dirs@ @with_dirs@ diff --git a/bootstrap b/bootstrap index 7daff90d..463ff165 100755 --- a/bootstrap +++ b/bootstrap @@ -28,3 +28,6 @@ autoheader libtoolize --automake automake --add-missing -Wno-portability -Wno-override -Wnone #automake --add-missing -Wno-portability + +# Run bootstrap in any subprojects +(cd usrp2/firmware ; ./bootstrap) diff --git a/config/Makefile.am b/config/Makefile.am index abc34e34..0ee6096a 100644 --- a/config/Makefile.am +++ b/config/Makefile.am @@ -74,6 +74,7 @@ m4macros = \ gr_check_usrp.m4 \ grc_pmt.m4 \ grc_usrp.m4 \ + grc_usrp2.m4 \ grc_gr_msdd6000.m4 \ gr_doxygen.m4 \ gr_fortran.m4 \ diff --git a/config/grc_gr_usrp2.m4 b/config/grc_gr_usrp2.m4 new file mode 100644 index 00000000..223cac03 --- /dev/null +++ b/config/grc_gr_usrp2.m4 @@ -0,0 +1,37 @@ +dnl Copyright 2008 Free Software Foundation, Inc. +dnl +dnl This file is part of GNU Radio +dnl +dnl GNU Radio is free software; you can redistribute it and/or modify +dnl it under the terms of the GNU General Public License as published by +dnl the Free Software Foundation; either version 3, or (at your option) +dnl any later version. +dnl +dnl GNU Radio is distributed in the hope that it will be useful, +dnl but WITHOUT ANY WARRANTY; without even the implied warranty of +dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +dnl GNU General Public License for more details. +dnl +dnl You should have received a copy of the GNU General Public License +dnl along with GNU Radio; see the file COPYING. If not, write to +dnl the Free Software Foundation, Inc., 51 Franklin Street, +dnl Boston, MA 02110-1301, USA. + +AC_DEFUN([GRC_GR_USRP2],[ + GRC_ENABLE(gr-usrp2) + + dnl Don't do gr-usrp if gnuradio-core or usrp2 skipped + GRC_CHECK_DEPENDENCY(gr-usrp2, usrp2) + GRC_CHECK_DEPENDENCY(gr-usrp2, gnuradio-core) + + AC_CONFIG_FILES([ \ + gr-usrp2/Makefile \ + gr-usrp2/src/Makefile \ + gr-usrp2/src/run_tests \ + ]) + + GRC_BUILD_CONDITIONAL(gr-usrp2,[ + dnl run_tests is created from run_tests.in. Make it executable. + AC_CONFIG_COMMANDS([run_tests_usrp2], [chmod +x gr-usrp2/src/run_tests]) + ]) +]) diff --git a/config/grc_usrp2.m4 b/config/grc_usrp2.m4 new file mode 100644 index 00000000..f842a79a --- /dev/null +++ b/config/grc_usrp2.m4 @@ -0,0 +1,86 @@ +dnl Copyright 2008 Free Software Foundation, Inc. +dnl +dnl This file is part of GNU Radio +dnl +dnl GNU Radio is free software; you can redistribute it and/or modify +dnl it under the terms of the GNU General Public License as published by +dnl the Free Software Foundation; either version 3, or (at your option) +dnl any later version. +dnl +dnl GNU Radio is distributed in the hope that it will be useful, +dnl but WITHOUT ANY WARRANTY; without even the implied warranty of +dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +dnl GNU General Public License for more details. +dnl +dnl You should have received a copy of the GNU General Public License +dnl along with GNU Radio; see the file COPYING. If not, write to +dnl the Free Software Foundation, Inc., 51 Franklin Street, +dnl Boston, MA 02110-1301, USA. + +AC_DEFUN([GRC_USRP2],[ + GRC_ENABLE(usrp2) + + dnl Don't do usrp if omnithread or gruel is skipped + GRC_CHECK_DEPENDENCY(usrp2, gruel) + GRC_CHECK_DEPENDENCY(usrp2, omnithread) + + dnl USRP2 host code only works on Linux at the moment + AC_MSG_CHECKING([whether host_cpu is linux*]) + case "$host_os" in + linux*) + AC_MSG_RESULT("yes") + ;; + *) + AC_MSG_RESULT("no") + echo "USRP2 requires Linux host OS, not found" + passed="no" + ;; + esac + + dnl If execution gets to here, $passed will be: + dnl with : if the --with code didn't error out + dnl yes : if the --enable code passed muster and all dependencies are met + dnl no : otherwise + if test $passed = yes; then + dnl Only do firmware if mb-gcc can be found + AC_CHECK_PROG([MB_GCC],[mb-gcc],[yes],[no]) + if test $MB_GCC = yes; then + dnl Adds usrp2/firmware to $(subdirs), hierarchical build + AC_CONFIG_SUBDIRS([usrp2/firmware]) + fi + + dnl Needed for usrp2_socket_opener + AC_CHECK_HEADERS(arpa/inet.h byteswap.h linux/if_packet.h sys/socket.h sys/un.h) + AC_CHECK_MEMBERS([struct msghdr.msg_control, + struct msghdr.msg_accrights, + struct cmsgcred.cmcred_uid, + struct ucred.uid], + [],[], + [#define __USE_GNU 1 + #include + #include + #include ]) + fi + if test $passed != with; then + dnl how and where to find INCLUDES and LA + USRP2_INCLUDES="-I\${abs_top_srcdir}/usrp2/host/include \ + -I\${abs_top_srcdir}/usrp2/firmware/include" + USRP2_LA="\${abs_top_builddir}/usrp2/host/lib/libusrp2.la" + fi + + dnl Include the usrp2 INCLUDES and LA + AC_SUBST(USRP2_INCLUDES) + AC_SUBST(USRP2_LA) + + AC_CONFIG_FILES([ \ + usrp2/Makefile + usrp2/host/Makefile \ + usrp2/host/usrp2.pc \ + usrp2/host/include/Makefile + usrp2/host/include/usrp2/Makefile + usrp2/host/lib/Makefile + usrp2/host/apps/Makefile + ]) + + GRC_BUILD_CONDITIONAL(usrp2) +]) diff --git a/configure.ac b/configure.ac index bae9f344..4b581a16 100644 --- a/configure.ac +++ b/configure.ac @@ -272,7 +272,9 @@ GRC_GNURADIO_CORE GRC_PMT GRC_MBLOCK dnl this must come after GRC_PMT GRC_USRP +GRC_USRP2 GRC_GR_USRP dnl this must come after GRC_USRP +GRC_GR_USRP2 GRC_GR_GCELL dnl this must come after GRC_GCELL and GRC_GNURADIO_CORE GRC_GR_MSDD6000 GRC_GR_AUDIO_ALSA diff --git a/gnuradio-core/src/utils/Makefile.am b/gnuradio-core/src/utils/Makefile.am index 78c80dab..07960a07 100644 --- a/gnuradio-core/src/utils/Makefile.am +++ b/gnuradio-core/src/utils/Makefile.am @@ -39,6 +39,7 @@ EXTRA_DIST = \ read_float_binary.m \ read_int_binary.m \ read_short_binary.m \ + read_cshort_binary.m \ single_pole_iir.m \ write_float_binary.m \ write_short_binary.m diff --git a/gnuradio-core/src/utils/read_cshort_binary.m b/gnuradio-core/src/utils/read_cshort_binary.m new file mode 100644 index 00000000..04706710 --- /dev/null +++ b/gnuradio-core/src/utils/read_cshort_binary.m @@ -0,0 +1,46 @@ +% +% Copyright 2001,2008 Free Software Foundation, Inc. +% +% This file is part of GNU Radio +% +% GNU Radio is free software; you can redistribute it and/or modify +% it under the terms of the GNU General Public License as published by +% the Free Software Foundation; either version 3, or (at your option) +% any later version. +% +% GNU Radio is distributed in the hope that it will be useful, +% but WITHOUT ANY WARRANTY; without even the implied warranty of +% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +% GNU General Public License for more details. +% +% You should have received a copy of the GNU General Public License +% along with GNU Radio; see the file COPYING. If not, write to +% the Free Software Foundation, Inc., 51 Franklin Street, +% Boston, MA 02110-1301, USA. +% + +function cv = read_cshort_binary (filename, count) + + %% usage: read_cshort_binary (filename, [count]) + %% + %% open filename and return the contents, treating them as + %% signed short integers + %% + + m = nargchk (1,2,nargin); + if (m) + usage (m); + end + + if (nargin < 2) + count = Inf; + end + + f = fopen (filename, 'rb'); + if (f < 0) + cv = 0; + else + v = fread (f, count, 'short'); + fclose (f); + cv = v(1:2:end)+v(2:2:end)*j; + end diff --git a/gr-usrp2/Makefile.am b/gr-usrp2/Makefile.am new file mode 100644 index 00000000..a9a7a3a8 --- /dev/null +++ b/gr-usrp2/Makefile.am @@ -0,0 +1,24 @@ +# +# Copyright 2001,2006,2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +include $(top_srcdir)/Makefile.common + +SUBDIRS = src diff --git a/gr-usrp2/src/Makefile.am b/gr-usrp2/src/Makefile.am new file mode 100644 index 00000000..da626d59 --- /dev/null +++ b/gr-usrp2/src/Makefile.am @@ -0,0 +1,136 @@ +# +# Copyright 2004,2005,2006,2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +include $(top_srcdir)/Makefile.common + +# ---------------------------------------------------------------------- +# C++ block API interface librar(ies) +# +# libgr-usrp.so +# ---------------------------------------------------------------------- +AM_CPPFLAGS = \ + $(STD_DEFINES_AND_INCLUDES) \ + $(GRUEL_INCLUDES) \ + $(PYTHON_CPPFLAGS) \ + $(USRP2_INCLUDES) \ + $(WITH_INCLUDES) + +lib_LTLIBRARIES = libgr-usrp2.la + +libgr_usrp2_la_SOURCES = \ + rx_16sc_handler.cc \ + rx_32fc_handler.cc \ + usrp2_source_base.cc \ + usrp2_source_16sc.cc \ + usrp2_source_32fc.cc +# usrp2_source_16sc.cc +# usrp2_sink_base.cc +# usrp2_sink_32fc.cc +# usrp2_sink_16sc.cc + +libgr_usrp2_la_LIBADD = \ + $(USRP2_LA) \ + $(GNURADIO_CORE_LA) + +grinclude_HEADERS = \ + usrp2_source_base.h \ + usrp2_source_32fc.h \ + usrp2_source_16sc.h +# usrp2_sink_base.h \ +# usrp2_sink_32fc.h \ +# usrp2_sink_16sc.h + +noinst_HEADERS = \ + rx_16sc_handler.h \ + rx_32fc_handler.h + +# ---------------------------------------------------------------------- +# Python SWIG wrapper around C++ library +# +# usrp2.py +# _usrp2.so +# ---------------------------------------------------------------------- + +# Python installation locations +ourpythondir = $(grpythondir) +ourlibdir = $(grpyexecdir) + +# SWIG interface files +LOCAL_IFILES = $(srcdir)/usrp2.i +NON_LOCAL_IFILES = $(GNURADIO_I) +ALL_IFILES = $(LOCAL_IFILES) $(NON_LOCAL_IFILES) + +# Install the SWIG interface files +swiginclude_HEADERS = $(LOCAL_IFILES) + +# Generated by SWIG wrapper generator +BUILT_SOURCES = usrp2.cc usrp2.py + +# Install the SWIG python output +ourpython_PYTHON = usrp2.py + +# Arguments to the swig wrapper generator +SWIGPYTHONARGS = \ + $(STD_DEFINES_AND_INCLUDES) \ + $(SWIGPYTHONFLAGS) \ + $(USRP2_INCLUDES) \ + $(WITH_INCLUDES) \ + $(WITH_SWIG_INCLUDES) + +# Invoke SWIG wrapper generator +usrp2.cc usrp2.py: $(ALL_IFILES) + $(SWIG) $(SWIGPYTHONARGS) -module usrp2 -o usrp2.cc $(LOCAL_IFILES) + +# Create the Python shared library +ourlib_LTLIBRARIES = _usrp2.la +_usrp2_la_SOURCES = usrp2.cc +_usrp2_la_CXXFLAGS = @swig_CXXFLAGS@ +_usrp2_la_LDFLAGS = $(swig_CXXFLAGS) $(NO_UNDEFINED) -module -avoid-version + +# Link the library as needed +_usrp2_la_LIBADD = \ + $(PYTHON_LDFLAGS) \ + libgr-usrp2.la \ + $(GNURADIO_CORE_LA) \ + $(USRP2_LIBS) \ + -lstdc++ + +# Don't distribute output of swig +dist-hook: + @for file in $(BUILT_SOURCES); do echo $(RM) $(distdir)/$$file; done + @for file in $(BUILT_SOURCES); do $(RM) $(distdir)/$$file; done + +# ---------------------------------------------------------------------- +# Local Python files, not installed +# +# qa_usrp2.py +# ---------------------------------------------------------------------- + +noinst_PYTHON = qa_usrp2.py + + +# ---------------------------------------------------------------------- +# Miscellaneous build operations +# ---------------------------------------------------------------------- + +EXTRA_DIST = run_tests.in +TESTS = run_tests +MOSTLYCLEANFILES = $(BUILT_SOURCES) *.pyc diff --git a/gr-usrp2/src/qa_usrp2.py b/gr-usrp2/src/qa_usrp2.py new file mode 100755 index 00000000..bc6664a1 --- /dev/null +++ b/gr-usrp2/src/qa_usrp2.py @@ -0,0 +1,40 @@ +#!/usr/bin/env python +# +# Copyright 2005,2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +from gnuradio import gr, gr_unittest +import usrp2 + +class qa_usrp2(gr_unittest.TestCase): + + def setUp(self): + self.tb = gr.top_block() + + def tearDown(self): + self.tb = None + + def test_000_nop (self): + """Just see if we can import the module... + They may not have a USRP2 connected, etc. Don't try to run anything""" + pass + +if __name__ == '__main__': + gr_unittest.main () diff --git a/gr-usrp2/src/run_tests.in b/gr-usrp2/src/run_tests.in new file mode 100644 index 00000000..99190b81 --- /dev/null +++ b/gr-usrp2/src/run_tests.in @@ -0,0 +1,17 @@ +#!/bin/sh + +# 1st parameter is absolute path to component source directory +# 2nd parameter is absolute path to component build directory +# 3rd parameter is path to Python QA directory + +# For OS/X +DYLD_LIBRARY_PATH=@abs_top_builddir@/usrp2/host/lib/legacy:@abs_top_builddir@/usrp2/host/lib/legacy/.libs:$DYLD_LIBRARY_PATH +export DYLD_LIBRARY_PATH + +# For Win32 +PATH=@abs_top_builddir@/usrp2/host/lib/legacy:@abs_top_builddir@/usrp2/host/lib/legacy/.libs:$PATH + +@top_builddir@/run_tests.sh \ + @abs_top_srcdir@/gr-usrp2 \ + @abs_top_builddir@/gr-usrp2 \ + @srcdir@ diff --git a/gr-usrp2/src/rx_16sc_handler.cc b/gr-usrp2/src/rx_16sc_handler.cc new file mode 100644 index 00000000..a3ab2a2b --- /dev/null +++ b/gr-usrp2/src/rx_16sc_handler.cc @@ -0,0 +1,31 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include + +rx_16sc_handler::~rx_16sc_handler() +{ +} diff --git a/gr-usrp2/src/rx_16sc_handler.h b/gr-usrp2/src/rx_16sc_handler.h new file mode 100644 index 00000000..cb83d860 --- /dev/null +++ b/gr-usrp2/src/rx_16sc_handler.h @@ -0,0 +1,75 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_RX_16SC_HANDLER_H +#define INCLUDED_RX_16SC_HANDLER_H + +#include +#include + +#define RX_16SC_HANDLER_DEBUG 0 + +class rx_16sc_handler : public usrp2::rx_nop_handler +{ + std::complex *d_dest; + + // Private constructor + rx_16sc_handler(uint64_t max_samples, uint64_t max_quantum, std::complex *dest) + : rx_nop_handler(max_samples, max_quantum), d_dest(dest) {} + +public: + // Shared pointer to one of these + typedef boost::shared_ptr sptr; + + // Factory function to return a shared pointer to a new instance + static sptr make(uint64_t max_samples, uint64_t max_quantum, std::complex *dest) + { + if (RX_16SC_HANDLER_DEBUG) + printf("rx_16sc_handler: max_samples=%li max_quantum=%li\n", max_samples, max_quantum); + + return sptr(new rx_16sc_handler(max_samples, max_quantum, dest)); + } + + // Invoked by USRP2 API when samples are available + bool operator()(const uint32_t *items, size_t nitems, const usrp2::rx_metadata *metadata) + { + if (RX_16SC_HANDLER_DEBUG) + printf("rx_16sc_handler: called with items=%zu ", nitems); + + // Copy/reformat/endian swap USRP2 data to destination buffer + usrp2::copy_u2_complex_16_to_host_complex_16(nitems, items, d_dest); + d_dest += nitems; + + // FIXME: do something with metadata + + // Determine if there is room to be called again + bool ok = rx_nop_handler::operator()(items, nitems, metadata); + if (RX_16SC_HANDLER_DEBUG) + printf("ok to call again=%i\n", ok); + + return ok; + } + + ~rx_16sc_handler(); +}; + +#endif /* INCLUDED_RX_16SC_HANDLER_H */ diff --git a/gr-usrp2/src/rx_32fc_handler.cc b/gr-usrp2/src/rx_32fc_handler.cc new file mode 100644 index 00000000..f8347633 --- /dev/null +++ b/gr-usrp2/src/rx_32fc_handler.cc @@ -0,0 +1,31 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include + +rx_32fc_handler::~rx_32fc_handler() +{ +} diff --git a/gr-usrp2/src/rx_32fc_handler.h b/gr-usrp2/src/rx_32fc_handler.h new file mode 100644 index 00000000..87502796 --- /dev/null +++ b/gr-usrp2/src/rx_32fc_handler.h @@ -0,0 +1,76 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_RX_32FC_HANDLER_H +#define INCLUDED_RX_32FC_HANDLER_H + +#include +#include +#include + +#define RX_32FC_HANDLER_DEBUG 0 + +class rx_32fc_handler : public usrp2::rx_nop_handler +{ + gr_complex *d_dest; + + // Private constructor + rx_32fc_handler(uint64_t max_samples, uint64_t max_quantum, gr_complex *dest) + : rx_nop_handler(max_samples, max_quantum), d_dest(dest) {} + +public: + // Shared pointer to one of these + typedef boost::shared_ptr sptr; + + // Factory function to return a shared pointer to a new instance + static sptr make(uint64_t max_samples, uint64_t max_quantum, gr_complex *dest) + { + if (RX_32FC_HANDLER_DEBUG) + printf("rx_32fc_handler: max_samples=%li max_quantum=%li\n", max_samples, max_quantum); + + return sptr(new rx_32fc_handler(max_samples, max_quantum, dest)); + } + + // Invoked by USRP2 API when samples are available + bool operator()(const uint32_t *items, size_t nitems, const usrp2::rx_metadata *metadata) + { + if (RX_32FC_HANDLER_DEBUG) + printf("rx_32fc_handler: called with items=%zu ", nitems); + + // Copy/reformat/endian swap USRP2 data to destination buffer + usrp2::copy_u2_complex_16_to_host_complex_float(nitems, items, d_dest); + d_dest += nitems; + + // FIXME: do something with metadata + + // Determine if there is room to be called again + bool ok = rx_nop_handler::operator()(items, nitems, metadata); + if (RX_32FC_HANDLER_DEBUG) + printf("ok to call again=%i\n", ok); + + return ok; + } + + ~rx_32fc_handler(); +}; + +#endif /* INCLUDED_RX_32FC_HANDLER_H */ diff --git a/gr-usrp2/src/usrp2.i b/gr-usrp2/src/usrp2.i new file mode 100644 index 00000000..fba6c18e --- /dev/null +++ b/gr-usrp2/src/usrp2.i @@ -0,0 +1,146 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +%feature("autodoc", "1"); // generate python docstrings + +%include "exception.i" +%import "gnuradio.i" // the common stuff + +%{ +#include +//#include "usrp2_sink_32fc.h" +#include "usrp2_source_16sc.h" +#include "usrp2_source_32fc.h" +%} + +%include + +#if 0 +// ---------------------------------------------------------------- + +class usrp2_sink_base : public gr_sync_block { + +protected: + usrp2_sink_base(const std::string &name, + gr_io_signature_sptr input_signature) + throw (std::runtime_error); + +public: + ~usrp2_sink_base(); + +}; + +// ---------------------------------------------------------------- + +GR_SWIG_BLOCK_MAGIC(usrp2,sink_32fc) + +usrp2_sink_32fc_sptr +usrp2_make_sink_32fc() throw (std::runtime_error); + +class usrp2_sink_32fc : public usrp2_sink_base { + +protected: + usrp2_sink_32fc(); + +public: + ~usrp2_sink_32fc(); + +}; +#endif + +// ---------------------------------------------------------------- + +class usrp2_source_base : public gr_sync_block { + +protected: + usrp2_source_base(const char *name, + gr_io_signature_sptr output_signature) + throw (std::runtime_error); + +public: + ~usrp2_source_base(); +}; + +// ---------------------------------------------------------------- + +GR_SWIG_BLOCK_MAGIC(usrp2,source_32fc) + +usrp2_source_32fc_sptr +usrp2_make_source_32fc(const std::string ifc, const std::string mac) + throw (std::runtime_error); + +class usrp2_source_32fc : public usrp2_source_base { + +protected: + usrp2_source_32fc(const std::string &ifc, const std::string &mac); + +public: + ~usrp2_source_32fc(); + + bool set_gain(double gain); + %rename(_real_set_center_freq) set_center_freq; + bool set_center_freq(double frequency, usrp2::tune_result *r); + bool set_decim(int decimation_factor); + + std::string mac_addr(); +}; + +// ---------------------------------------------------------------- + +GR_SWIG_BLOCK_MAGIC(usrp2,source_16sc) + +usrp2_source_16sc_sptr +usrp2_make_source_16sc(const std::string ifc, const std::string mac) + throw (std::runtime_error); + +class usrp2_source_16sc : public usrp2_source_base { + +protected: + usrp2_source_16sc(const std::string &ifc, const std::string &mac); + +public: + ~usrp2_source_16sc(); + + bool set_gain(double gain); + %rename(_real_set_center_freq) set_center_freq; + bool set_center_freq(double frequency, usrp2::tune_result *r); + bool set_decim(int decimation_factor); + + std::string mac_addr(); +}; + +// ---------------------------------------------------------------- + +// create a more pythonic interface +%pythoncode %{ + +def __set_center_freq(self, freq): + tr = tune_result() + r = self._real_set_center_freq(freq, tr) + if r: + return tr + else: + return None + +usrp2_source_32fc_sptr.set_center_freq = __set_center_freq +usrp2_source_16sc_sptr.set_center_freq = __set_center_freq +%} diff --git a/gr-usrp2/src/usrp2_sink_32fc.cc b/gr-usrp2/src/usrp2_sink_32fc.cc new file mode 100644 index 00000000..56cde6ba --- /dev/null +++ b/gr-usrp2/src/usrp2_sink_32fc.cc @@ -0,0 +1,46 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include +#include +#include +#include + +usrp2_sink_32fc_sptr +usrp2_make_sink_32fc() throw (std::runtime_error) +{ + return usrp2_sink_32fc_sptr(new usrp2_sink_32fc()); +} + +usrp2_sink_32fc::usrp2_sink_32fc() throw (std::runtime_error) + : usrp2_sink_base("usrp2_sink_32fc", + gr_make_io_signature(1, 1, sizeof(gr_complex))) +{ +} + +usrp2_sink_32fc::~usrp2_sink_32fc() +{ +} diff --git a/gr-usrp2/src/usrp2_sink_32fc.h b/gr-usrp2/src/usrp2_sink_32fc.h new file mode 100644 index 00000000..eeb80b19 --- /dev/null +++ b/gr-usrp2/src/usrp2_sink_32fc.h @@ -0,0 +1,47 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_SINK_32FC_H +#define INCLUDED_USRP2_SINK_32FC_H + +#include + +class usrp2_sink_32fc; +typedef boost::shared_ptr usrp2_sink_32fc_sptr; + +usrp2_sink_32fc_sptr +usrp2_make_sink_32fc() throw (std::runtime_error); + +class usrp2_sink_32fc : public usrp2_sink_base { +private: + + friend usrp2_sink_32fc_sptr + usrp2_make_sink_32fc() throw (std::runtime_error); + +protected: + usrp2_sink_32fc() throw (std::runtime_error); + +public: + ~usrp2_sink_32fc(); +}; + +#endif /* INCLUDED_USRP2_SINK_32FC_H */ diff --git a/gr-usrp2/src/usrp2_sink_base.cc b/gr-usrp2/src/usrp2_sink_base.cc new file mode 100644 index 00000000..ebef9390 --- /dev/null +++ b/gr-usrp2/src/usrp2_sink_base.cc @@ -0,0 +1,49 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include +#include + +usrp2_sink_base::usrp2_sink_base(const std::string &name, + gr_io_signature_sptr input_signature) + throw (std::runtime_error) + : gr_sync_block(name, + input_signature, + gr_make_io_signature(0, 0, 0)) +{ +} + +usrp2_sink_base::~usrp2_sink_base () +{ +} + +int +usrp2_sink_base::work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items) +{ + return noutput_items; +} diff --git a/gr-usrp2/src/usrp2_sink_base.h b/gr-usrp2/src/usrp2_sink_base.h new file mode 100644 index 00000000..a999a6ca --- /dev/null +++ b/gr-usrp2/src/usrp2_sink_base.h @@ -0,0 +1,46 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_SINK_BASE_H +#define INCLUDED_USRP2_SINK_BASE_H + +#include +#include + +class usrp2_sink_base : public gr_sync_block { + +private: + +protected: + usrp2_sink_base(const std::string &name, + gr_io_signature_sptr input_signature) + throw (std::runtime_error); + +public: + ~usrp2_sink_base(); + + int work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items); +}; + +#endif /* INCLUDED_USRP2_SINK_BASE_H */ diff --git a/gr-usrp2/src/usrp2_source_16sc.cc b/gr-usrp2/src/usrp2_source_16sc.cc new file mode 100644 index 00000000..b60b61c1 --- /dev/null +++ b/gr-usrp2/src/usrp2_source_16sc.cc @@ -0,0 +1,77 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include +#include +#include +#include + +#define USRP2_SOURCE_16SC_DEBUG 0 + +usrp2_source_16sc_sptr +usrp2_make_source_16sc(const std::string &ifc, const std::string &mac_addr) + throw (std::runtime_error) +{ + return usrp2_source_16sc_sptr(new usrp2_source_16sc(ifc, mac_addr)); +} + +usrp2_source_16sc::usrp2_source_16sc(const std::string &ifc, const std::string &mac_addr) + throw (std::runtime_error) + : usrp2_source_base("usrp2_source_16sc", + gr_make_io_signature(1, 1, sizeof(std::complex)), + ifc, mac_addr) +{ + set_output_multiple(USRP2_MIN_RX_SAMPLES); +} + +usrp2_source_16sc::~usrp2_source_16sc() +{ +} + +int +usrp2_source_16sc::work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items) +{ + if (USRP2_SOURCE_16SC_DEBUG) + printf("work: noutput_items=%i\n", noutput_items); + + std::complex *out = (std::complex *)output_items[0]; + + rx_16sc_handler::sptr handler = rx_16sc_handler::make(noutput_items, USRP2_MIN_RX_SAMPLES, out); + + bool ok = d_u2->rx_samples(0, handler.get()); + if (!ok) + std::cerr << "usrp2::rx_samples() failed" << std::endl; + + int j = handler->nsamples(); + int f = handler->nframes(); + + if (USRP2_SOURCE_16SC_DEBUG) + printf("work: produced=%i items from %i frames\n\n", j, f); + + return j; +} diff --git a/gr-usrp2/src/usrp2_source_16sc.h b/gr-usrp2/src/usrp2_source_16sc.h new file mode 100644 index 00000000..fa277f73 --- /dev/null +++ b/gr-usrp2/src/usrp2_source_16sc.h @@ -0,0 +1,54 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_SOURCE_C_H +#define INCLUDED_USRP2_SOURCE_C_H + +#include + +class usrp2_source_16sc; +typedef boost::shared_ptr usrp2_source_16sc_sptr; + +usrp2_source_16sc_sptr +usrp2_make_source_16sc(const std::string &ifc="eth0", + const std::string &mac="") + throw (std::runtime_error); + +class usrp2_source_16sc : public usrp2_source_base { + +private: + friend usrp2_source_16sc_sptr + usrp2_make_source_16sc(const std::string &ifc, + const std::string &mac) throw (std::runtime_error); + +protected: + usrp2_source_16sc(const std::string &ifc, const std::string &mac) throw (std::runtime_error); + +public: + ~usrp2_source_16sc(); + + int work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items); +}; + +#endif /* INCLUDED_USRP2_SOURCE_16SC_H */ diff --git a/gr-usrp2/src/usrp2_source_32fc.cc b/gr-usrp2/src/usrp2_source_32fc.cc new file mode 100644 index 00000000..0d34931a --- /dev/null +++ b/gr-usrp2/src/usrp2_source_32fc.cc @@ -0,0 +1,77 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include +#include +#include +#include + +#define USRP2_SOURCE_32FC_DEBUG 0 + +usrp2_source_32fc_sptr +usrp2_make_source_32fc(const std::string &ifc, const std::string &mac_addr) + throw (std::runtime_error) +{ + return usrp2_source_32fc_sptr(new usrp2_source_32fc(ifc, mac_addr)); +} + +usrp2_source_32fc::usrp2_source_32fc(const std::string &ifc, const std::string &mac_addr) + throw (std::runtime_error) + : usrp2_source_base("usrp2_source_32fc", + gr_make_io_signature(1, 1, sizeof(gr_complex)), + ifc, mac_addr) +{ + set_output_multiple(USRP2_MIN_RX_SAMPLES); +} + +usrp2_source_32fc::~usrp2_source_32fc() +{ +} + +int +usrp2_source_32fc::work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items) +{ + if (USRP2_SOURCE_32FC_DEBUG) + printf("work: noutput_items=%i\n", noutput_items); + + gr_complex *out = (gr_complex *)output_items[0]; + + rx_32fc_handler::sptr handler = rx_32fc_handler::make(noutput_items, USRP2_MIN_RX_SAMPLES, out); + + bool ok = d_u2->rx_samples(0, handler.get()); + if (!ok) + std::cerr << "usrp2::rx_samples() failed" << std::endl; + + int j = handler->nsamples(); + int f = handler->nframes(); + + if (USRP2_SOURCE_32FC_DEBUG) + printf("work: produced=%i items from %i frames\n\n", j, f); + + return j; +} diff --git a/gr-usrp2/src/usrp2_source_32fc.h b/gr-usrp2/src/usrp2_source_32fc.h new file mode 100644 index 00000000..c6091091 --- /dev/null +++ b/gr-usrp2/src/usrp2_source_32fc.h @@ -0,0 +1,54 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_SOURCE_32FC_H +#define INCLUDED_USRP2_SOURCE_32FC_H + +#include + +class usrp2_source_32fc; +typedef boost::shared_ptr usrp2_source_32fc_sptr; + +usrp2_source_32fc_sptr +usrp2_make_source_32fc(const std::string &ifc="eth0", + const std::string &mac="") + throw (std::runtime_error); + +class usrp2_source_32fc : public usrp2_source_base { + +private: + friend usrp2_source_32fc_sptr + usrp2_make_source_32fc(const std::string &ifc, + const std::string &mac) throw (std::runtime_error); + +protected: + usrp2_source_32fc(const std::string &ifc, const std::string &mac) throw (std::runtime_error); + +public: + ~usrp2_source_32fc(); + + int work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items); +}; + +#endif /* INCLUDED_USRP2_SOURCE_32FC_H */ diff --git a/gr-usrp2/src/usrp2_source_base.cc b/gr-usrp2/src/usrp2_source_base.cc new file mode 100644 index 00000000..631b50c1 --- /dev/null +++ b/gr-usrp2/src/usrp2_source_base.cc @@ -0,0 +1,92 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include +#include +#include + +#define USRP2_SOURCE_BASE_DEBUG 0 + +usrp2_source_base::usrp2_source_base(const char *name, + gr_io_signature_sptr output_signature, + const std::string &ifc, + const std::string &mac) + throw (std::runtime_error) + : gr_sync_block(name, + gr_make_io_signature(0, 0, 0), + output_signature), + d_u2(usrp2::usrp2::sptr()) +{ + d_u2 = usrp2::usrp2::make(ifc, mac); + if (!d_u2) + throw std::runtime_error("Unable to initialize USRP2!"); +} + +usrp2_source_base::~usrp2_source_base () +{ +} + +bool +usrp2_source_base::set_gain(double gain) +{ + return d_u2->set_rx_gain(gain); +} + +bool +usrp2_source_base::set_center_freq(double frequency, usrp2::tune_result *tr) +{ + return d_u2->set_rx_center_freq(frequency, tr); +} + +bool +usrp2_source_base::set_decim(int decimation_factor) +{ + return d_u2->set_rx_decim(decimation_factor); +} + +std::string +usrp2_source_base::mac_addr() +{ + return d_u2->mac_addr(); +} + +bool +usrp2_source_base::start() +{ + if (USRP2_SOURCE_BASE_DEBUG) + printf("usrp2_source_base::start()\n"); + + return d_u2->start_rx_streaming(0); // FIXME: someday sources will have channel #s +} + +bool +usrp2_source_base::stop() +{ + if (USRP2_SOURCE_BASE_DEBUG) + printf("usrp2_source_base::stop()\n"); + + return d_u2->stop_rx_streaming(0); // FIXME: someday sources will have channel #s +} diff --git a/gr-usrp2/src/usrp2_source_base.h b/gr-usrp2/src/usrp2_source_base.h new file mode 100644 index 00000000..34d9fea0 --- /dev/null +++ b/gr-usrp2/src/usrp2_source_base.h @@ -0,0 +1,85 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_SOURCE_BASE_H +#define INCLUDED_USRP2_SOURCE_BASE_H + +#include +#include +#include + +// BIG ASS FIXME: get from lower layer MTU calculation +#define USRP2_MIN_RX_SAMPLES 371 + +class usrp2_source_base : public gr_sync_block { + +protected: + usrp2_source_base(const char *name, + gr_io_signature_sptr output_signature, + const std::string &ifc, + const std::string &mac) + throw (std::runtime_error); + + usrp2::usrp2::sptr d_u2; + +public: + ~usrp2_source_base(); + + /*! + * \brief Set receiver gain + */ + bool set_gain(double gain); + + /*! + * \brief Set receiver center frequency + */ + bool set_center_freq(double frequency, usrp2::tune_result *tr); + + /*! + * \brief Set receive decimation rate + */ + bool set_decim(int decimation_factor); + + /*! + * \brief Get USRP2 hardware MAC address + */ + std::string mac_addr(); + + /*! + * \brief Called by scheduler when starting flowgraph + */ + bool start(); + + /*! + * \brief Called by scheduler when stopping flowgraph + */ + bool stop(); + + /*! + * \brief Derived class must override this + */ + virtual int work(int noutput_items, + gr_vector_const_void_star &input_items, + gr_vector_void_star &output_items) = 0; +}; + +#endif /* INCLUDED_USRP2_SOURCE_BASE_H */ diff --git a/gr-utils/src/python/Makefile.am b/gr-utils/src/python/Makefile.am index 6852bbe1..a48180b4 100644 --- a/gr-utils/src/python/Makefile.am +++ b/gr-utils/src/python/Makefile.am @@ -47,6 +47,8 @@ bin_SCRIPTS = \ usrp_rx_nogui.py \ usrp_siggen.py \ usrp_test_counting.py \ - usrp_test_loopback.py + usrp_test_loopback.py \ + usrp2_fft.py \ + usrp2_rx_cfile.py MOSTLYCLEANFILES = *~ *.pyc diff --git a/gr-utils/src/python/usrp2_fft.py b/gr-utils/src/python/usrp2_fft.py new file mode 100755 index 00000000..f4303f1f --- /dev/null +++ b/gr-utils/src/python/usrp2_fft.py @@ -0,0 +1,268 @@ +#!/usr/bin/env python +# +# Copyright 2004,2005,2007,2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +from gnuradio import gr, gru +from gnuradio import usrp2 +from gnuradio import eng_notation +from gnuradio.eng_option import eng_option +from gnuradio.wxgui import stdgui2, fftsink2, waterfallsink2, scopesink2, form, slider +from optparse import OptionParser +import wx +import sys +import numpy + +class app_top_block(stdgui2.std_top_block): + def __init__(self, frame, panel, vbox, argv): + stdgui2.std_top_block.__init__(self, frame, panel, vbox, argv) + + self.frame = frame + self.panel = panel + + parser = OptionParser(option_class=eng_option) + parser.add_option("-e", "--interface", type="string", default="eth0", + help="select Ethernet interface, default is eth0") + parser.add_option("-m", "--mac-addr", type="string", default="", + help="select USRP by MAC address, default is auto-select") + #parser.add_option("-A", "--antenna", default=None, + # help="select Rx Antenna (only on RFX-series boards)") + parser.add_option("-d", "--decim", type="int", default=16, + help="set fgpa decimation rate to DECIM [default=%default]") + parser.add_option("-f", "--freq", type="eng_float", default=None, + help="set frequency to FREQ", metavar="FREQ") + parser.add_option("-g", "--gain", type="eng_float", default=None, + help="set gain in dB (default is midpoint)") + parser.add_option("-W", "--waterfall", action="store_true", default=False, + help="Enable waterfall display") + parser.add_option("-S", "--oscilloscope", action="store_true", default=False, + help="Enable oscilloscope display") + parser.add_option("", "--avg-alpha", type="eng_float", default=1e-1, + help="Set fftsink averaging factor, default=[%default]") + parser.add_option("", "--ref-scale", type="eng_float", default=1.0, + help="Set dBFS=0dB input value, default=[%default]") + (options, args) = parser.parse_args() + if len(args) != 0: + parser.print_help() + sys.exit(1) + self.options = options + self.show_debug_info = True + + self.u = usrp2.source_32fc(options.interface, options.mac_addr) + self.u.set_decim(options.decim) + + #input_rate = self.u.adc_freq() / self.u.decim_rate() + input_rate = 100e6/options.decim + + if options.waterfall: + self.scope = \ + waterfallsink2.waterfall_sink_c (panel, fft_size=1024, sample_rate=input_rate) + elif options.oscilloscope: + self.scope = scopesink2.scope_sink_c(panel, sample_rate=input_rate) + else: + self.scope = fftsink2.fft_sink_c (panel, fft_size=1024, sample_rate=input_rate, + ref_scale=options.ref_scale, ref_level=0.0, y_divs = 10, + avg_alpha=options.avg_alpha) + + self.connect(self.u, self.scope) + + self._build_gui(vbox) + self._setup_events() + + # set initial values + + if options.gain is None: + # if no gain was specified, use the mid-point in dB + #g = self.subdev.gain_range() + #options.gain = float(g[0]+g[1])/2 + options.gain = 0 + + if options.freq is None: + # if no freq was specified, use the mid-point + #r = self.subdev.freq_range() + #options.freq = float(r[0]+r[1])/2 + options.freq = 0 + + self.set_gain(options.gain) + + #if options.antenna is not None: + # print "Selecting antenna %s" % (options.antenna,) + # self.subdev.select_rx_antenna(options.antenna) + + if self.show_debug_info: + self.myform['decim'].set_value(options.decim) + self.myform['fs@usb'].set_value(100e6/options.decim) #self.u.adc_freq() / self.u.decim_rate()) + #self.myform['dbname'].set_value(self.subdev.name()) + self.myform['baseband'].set_value(0) + self.myform['ddc'].set_value(0) + + if not(self.u.set_center_freq(options.freq)): + self._set_status_msg("Failed to set initial frequency") + + def _set_status_msg(self, msg): + self.frame.GetStatusBar().SetStatusText(msg, 0) + + def _build_gui(self, vbox): + + def _form_set_freq(kv): + return self.set_freq(kv['freq']) + + vbox.Add(self.scope.win, 10, wx.EXPAND) + + # add control area at the bottom + self.myform = myform = form.form() + hbox = wx.BoxSizer(wx.HORIZONTAL) + hbox.Add((5,0), 0, 0) + myform['freq'] = form.float_field( + parent=self.panel, sizer=hbox, label="Center freq", weight=1, + callback=myform.check_input_and_call(_form_set_freq, self._set_status_msg)) + + hbox.Add((5,0), 0, 0) + #g = self.subdev.gain_range() + g = [0, 100, 1] #FIXME + myform['gain'] = form.slider_field(parent=self.panel, sizer=hbox, label="Gain", + weight=3, + min=int(g[0]), max=int(g[1]), + callback=self.set_gain) + + hbox.Add((5,0), 0, 0) + vbox.Add(hbox, 0, wx.EXPAND) + + self._build_subpanel(vbox) + + def _build_subpanel(self, vbox_arg): + # build a secondary information panel (sometimes hidden) + + # FIXME figure out how to have this be a subpanel that is always + # created, but has its visibility controlled by foo.Show(True/False) + + def _form_set_decim(kv): + return self.set_decim(kv['decim']) + + if not(self.show_debug_info): + return + + panel = self.panel + vbox = vbox_arg + myform = self.myform + + #panel = wx.Panel(self.panel, -1) + #vbox = wx.BoxSizer(wx.VERTICAL) + + hbox = wx.BoxSizer(wx.HORIZONTAL) + hbox.Add((5,0), 0) + + myform['decim'] = form.int_field( + parent=panel, sizer=hbox, label="Decim", + callback=myform.check_input_and_call(_form_set_decim, self._set_status_msg)) + + hbox.Add((5,0), 1) + myform['fs@usb'] = form.static_float_field( + parent=panel, sizer=hbox, label="Fs@USB") + + hbox.Add((5,0), 1) + myform['dbname'] = form.static_text_field( + parent=panel, sizer=hbox) + + hbox.Add((5,0), 1) + myform['baseband'] = form.static_float_field( + parent=panel, sizer=hbox, label="Analog BB") + + hbox.Add((5,0), 1) + myform['ddc'] = form.static_float_field( + parent=panel, sizer=hbox, label="DDC") + + hbox.Add((5,0), 0) + vbox.Add(hbox, 0, wx.EXPAND) + + + def set_freq(self, target_freq): + """ + Set the center frequency we're interested in. + + @param target_freq: frequency in Hz + @rypte: bool + + Tuning is a two step process. First we ask the front-end to + tune as close to the desired frequency as it can. Then we use + the result of that operation and our target_frequency to + determine the value for the digital down converter. + """ + r = self.u.set_center_freq(target_freq) + + if r: + self.myform['freq'].set_value(target_freq) # update displayed value + if self.show_debug_info: + self.myform['baseband'].set_value(r.baseband_freq) + self.myform['ddc'].set_value(r.dxc_freq) + if not self.options.oscilloscope: + self.scope.win.set_baseband_freq(target_freq) + return True + + return False + + def set_gain(self, gain): + self.myform['gain'].set_value(gain) # update displayed value + self.u.set_gain(gain) + + def set_decim(self, decim): + ok = self.u.set_decim(decim) + if not ok: + print "set_decim failed" + #input_rate = self.u.adc_freq() / self.u.decim_rate() + input_rate = 100e6/decim # FIXME + self.scope.set_sample_rate(input_rate) + if self.show_debug_info: # update displayed values + self.myform['decim'].set_value(decim) #self.u.decim_rate()) + self.myform['fs@usb'].set_value(input_rate) #self.u.adc_freq() / self.u.decim_rate()) + return ok + + def _setup_events(self): + if not self.options.waterfall and not self.options.oscilloscope: + self.scope.win.Bind(wx.EVT_LEFT_DCLICK, self.evt_left_dclick) + + def evt_left_dclick(self, event): + (ux, uy) = self.scope.win.GetXY(event) + if event.CmdDown(): + # Re-center on maximum power + points = self.scope.win._points + if self.scope.win.peak_hold: + if self.scope.win.peak_vals is not None: + ind = numpy.argmax(self.scope.win.peak_vals) + else: + ind = int(points.shape()[0]/2) + else: + ind = numpy.argmax(points[:,1]) + (freq, pwr) = points[ind] + target_freq = freq/self.scope.win._scale_factor + print ind, freq, pwr + self.set_freq(target_freq) + else: + # Re-center on clicked frequency + target_freq = ux/self.scope.win._scale_factor + self.set_freq(target_freq) + + +def main (): + app = stdgui2.stdapp(app_top_block, "USRP2 FFT", nstatus=1) + app.MainLoop() + +if __name__ == '__main__': + main () diff --git a/gr-utils/src/python/usrp2_rx_cfile.py b/gr-utils/src/python/usrp2_rx_cfile.py new file mode 100755 index 00000000..9ab28607 --- /dev/null +++ b/gr-utils/src/python/usrp2_rx_cfile.py @@ -0,0 +1,119 @@ +#!/usr/bin/env python + +""" +Read samples from the USRP2 and write to file formatted as binary +outputs single precision complex float values or complex short values (interleaved 16 bit signed short integers). + +""" + +from gnuradio import gr, eng_notation +from gnuradio import usrp2 +from gnuradio.eng_option import eng_option +from optparse import OptionParser +import sys + +n2s = eng_notation.num_to_str + +class rx_cfile_block(gr.top_block): + + def __init__(self, options, filename): + gr.top_block.__init__(self) + + # Create a USRP2 source + if options.output_shorts: + self._u = usrp2.source_16sc(options.interface, options.mac_addr) + self._sink = gr.file_sink(gr.sizeof_short*2, filename) + else: + self._u = usrp2.source_32fc(options.interface, options.mac_addr) + self._sink = gr.file_sink(gr.sizeof_gr_complex, filename) + + # Set receiver decimation rate + self._u.set_decim(options.decim) + + # Set receive daughterboard gain + if options.gain is None: + #g = self._u.gain_range() + #options.gain = float(g[0]+g[1])/2 + options.gain = 0 # Until gain range is implemented + self._u.set_gain(options.gain) + + # Set receive frequency + tr = self._u.set_center_freq(options.freq) + if tr == None: + sys.stderr.write('Failed to set center frequency\n') + raise SystemExit, 1 + + # Create head block if needed wire it up + if options.nsamples is None: + self.connect(self._u, self._sink) + else: + if options.output_shorts: + self._head = gr.head(gr.sizeof_short*2, int(options.nsamples)) + else: + self._head = gr.head(gr.sizeof_gr_complex, int(options.nsamples)) + + self.connect(self._u, self._head, self._sink) + + #input_rate = self.u.adc_freq() / self.u.decim_rate() + input_rate = 100e6/options.decim + + if options.verbose: + print "Network interface:", options.interface + print "USRP2 address:", self._u.mac_addr() + #print "Using RX d'board %s" % (self._u.rx_name(),) + print "Rx gain:", options.gain + print "Rx baseband frequency:", n2s(tr.baseband_freq) + print "Rx DDC frequency:", n2s(tr.dxc_freq) + print "Rx residual frequency:", n2s(tr.residual_freq) + print "Rx decimation rate:", options.decim + print "Rx sample rate:", n2s(input_rate) + if options.nsamples is None: + print "Receiving samples until Ctrl-C" + else: + print "Receving", n2s(options.nsamples), "samples" + if options.output_shorts: + print "Writing 16-bit complex shorts" + else: + print "Writing 32-bit complex floats" + print "Output filename:", filename + +def get_options(): + usage="%prog: [options] output_filename" + parser = OptionParser(option_class=eng_option, usage=usage) + parser.add_option("-e", "--interface", type="string", default="eth0", + help="use specified Ethernet interface [default=%default]") + parser.add_option("-m", "--mac-addr", type="string", default="", + help="use USRP2 at specified MAC address [default=None]") + parser.add_option("-d", "--decim", type="int", default=16, + help="set fgpa decimation rate to DECIM [default=%default]") + parser.add_option("-f", "--freq", type="eng_float", default=None, + help="set frequency to FREQ", metavar="FREQ") + parser.add_option("-g", "--gain", type="eng_float", default=None, + help="set gain in dB (default is midpoint)") + parser.add_option( "-s","--output-shorts", action="store_true", default=False, + help="output interleaved shorts instead of complex floats") + parser.add_option("-N", "--nsamples", type="eng_float", default=None, + help="number of samples to collect [default=+inf]") + parser.add_option("-v", "--verbose", action="store_true", default=False, + help="verbose output") + (options, args) = parser.parse_args () + if len(args) != 1: + parser.print_help() + raise SystemExit, 1 + + if options.freq is None: + parser.print_help() + sys.stderr.write('You must specify the frequency with -f FREQ\n'); + raise SystemExit, 1 + + return (options, args[0]) + + +if __name__ == '__main__': + (options, filename) = get_options() + tb = rx_cfile_block(options, filename) + + try: + tb.run() + except KeyboardInterrupt: + pass diff --git a/usrp2/Makefile.am b/usrp2/Makefile.am new file mode 100644 index 00000000..1ea05890 --- /dev/null +++ b/usrp2/Makefile.am @@ -0,0 +1,23 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along +# with this program; if not, write to the Free Software Foundation, Inc., +# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +# + +include $(top_srcdir)/Makefile.common + +SUBDIRS = host diff --git a/usrp2/doc/inband-signaling-eth b/usrp2/doc/inband-signaling-eth new file mode 100644 index 00000000..f4f497be --- /dev/null +++ b/usrp2/doc/inband-signaling-eth @@ -0,0 +1,390 @@ +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + + +FIXME Needless to say, this is _very much_ a work in progress + + + +This file specifies the format of ethernet packets used for in-band data +transmission and signaling on the USRP2. + +IN packets are sent towards the host. +OUT packets are sent away from the host. + +The layout is 32-bits wide. All data is transmitted in BIG-endian +format across the ethernet. + + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Chan | mbz |I|S|E| + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Timestamp | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | | + + + + | Payload | + . . + . . + . . + | | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + + + mbz Must be Zero: these bits must be zero in both IN and OUT packets. + + I Send Immediately. Set on Tx data that should be transmitted now. + FIXME: change definition to honor 0xffffffff timestamp. + + S Start of Burst Flag: Set in an OUT packet if the data is the + first segment of what is logically a continuous burst of data. + Must be zero in IN packets. + + E End of Burst Flag: Set in an OUT packet if the data is the + last segment of what is logically a continuous burst of data. + Must be zero in IN packets. Underruns are not reported + when the FPGA runs out of samples between bursts. + + + Chan 5-bit logical channel number. Channel number 0x1f is reserved + for control information. See "Control Channel" below. Other + channels are "data channels." Each data channel is logically + independent of the others. A data channel payload field + contains a sequence of homogeneous samples. The format of the + samples is determined by the configuration associated with the + given channel. It is often the case that the payload field + contains 32-bit complex samples, each containing 16-bit real + and imaginary components. + + Timestamp: 32-bit timestamp. + + FIXME: update to reflect that the time is measured at the + time the samples inserted into or pulled out of the + DSP pipeline, not the A/D time. Using A/D time is problematic + because of group delay through filtering, etc. + + On IN packets, the timestamp indicates the time at which the + first sample of the packet was produced by the A/D converter(s) + for that channel. On OUT packets, the timestamp specifies the + time at which the first sample in the packet should go out the + D/A converter(s) for that channel. If a packet reaches the + head of the transmit queue, and the current time is later than + the timestamp, an error is assumed to have occurred and the + packet is discarded. As a special case, the timestamp + 0xffffffff is interpreted as "Now". + + The time base is a free running 32-bit counter that is + incremented by the A/D sample-clock. + + Payload: Variable length field. Length is specified by the + length of the containing ethernet frame. + + +// FIXME need to revisit this stuff + + O Overrun Flag: set in an IN packet if an overrun condition was + detected. Must be zero in OUT packets. Overrun occurs when + the FPGA has data to transmit to the host and there is no + buffer space available. This generally indicates a problem on + the host. Either it is not keeping up, or it has configured + the FPGA to transmit data at a higher rate than the transport + (USB) can support. + + U Underrun Flag: set in an IN packet if an underrun condition + was detected. Must be zero in OUT packets. Underrun occurs + when the FPGA runs out of samples, and it's not between + bursts. See the "End of Burst flag" below. + + D Dropped Packet Flag: Set in an IN packet if the FPGA + discarded an OUT packet because its timestamp had already + passed. + + + RSSI 6-bit Received Strength Signal Indicator: Must be zero in OUT + packets. In IN packets, indicates RSSI as reported by front end. + FIXME The format and interpretation are to be determined. + + + Tag 4-bit tag for matching IN packets with OUT packets. + [FIXME, write more...] + + + +"Data Channel" payload format: +------------------------------- + +If Chan != 0x1f, the packet is a "data packet" and the payload is a +sequence of homogeneous samples. The format of the samples is +determined by the configuration associated with the given channel. +It is often the case that the payload field contains 32-bit complex +samples, each containing 16-bit real and imaginary components. + + +"Control Channel" payload format: +--------------------------------- + +If Chan == 0x1f, the packet is a "control packet". The control channel +payload consists of a sequence of 0 or more sub-packets. + +Each sub-packet starts on a 32-bit boundary, and consists of an 8-bit +Opcode field, an 8-bit Length field, Length bytes of arguments, and 0, +1, 2 or 3 bytes of padding to align the tail of the sub-packet to +a 32-bit boundary. + +Control channel packets shall be processed at the head of the queue, +and shall observe the timestamp semantics described above. + + +General sub-packet format: +-------------------------- + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-//-+-+-+-+-+-+-+-+ + | Opcode | Length | ... | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-//-+-+-+-+-+-+-+-+ + + +Specific sub-packet formats: +---------------------------- + + RID: 8-bit Request-ID. Copied from request sub-packet into corresponding + reply sub-packet. RID allows the host to match requests and replies. + + Reg Number: 8-bit Register Number. + + + +Identify: + + Opcode: OP_ID + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 2 | RID | mbz | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Identify Reply: + + Opcode: OP_ID_REPLY + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 50 | RID | mbz | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Mac Addr 0 | Mac Addr 1 | Mac Addr 2 | Mac Addr 3 | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Mac Addr 4 | Mac Addr 5 | H/W rev major | H/W rev minor | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Serial Num 0 | Serial Num 1 | Serial Num 2 | Serial Num 3 | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Serial Num 4 | Serial Num 5 | Serial Num 6 | Serial Num 7 | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | | + + + + | | + + FPGA MD5SUM + + | | + + + + | | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | | + + + + | | + + S/W MD5SUM + + | | + + + + | | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Write Register: + + Opcode: OP_WRITE_REG + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 6 | mbz | Reg Number | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Register Value | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Write Register Masked: + + Opcode: OP_WRITE_REG_MASKED + + REG[Num] = (REG[Num] & ~Mask) | (Value & Mask) + + That is, only the register bits that correspond to 1's in the + mask are written with the new value. + + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 10 | mbz | Reg Number | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Register Value | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Mask Value | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Read Register: + + Opcode: OP_READ_REG + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 2 | RID | Reg Number | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Read Register Reply: + + Opcode: OP_READ_REG_REPLY + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 6 | RID | Reg Number | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Register Value | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +// FIXME these may not be implemented... + +I2C Write: + + Opcode: OP_I2C_WRITE + I2C Addr: 7-bit I2C address + Data: The bytes to write to the I2C bus + Length: Length of Data + 2 + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | Length | RID | I2C Addr | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Data ... . + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +I2C Write Reply: + + Opcode: OP_I2C_WRITE_REPLY + Length: 2 + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 2 | RID | OK | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +OK is 1 if successful, else 0. + + +I2C Read: + + Opcode: OP_I2C_READ + I2C Addr: 7-bit I2C address + Nbytes: Number of bytes to read from I2C bus + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 3 | RID | I2C Addr | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Nbytes | unspecified padding | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +I2C Read Reply: + + Opcode: OP_I2C_READ_REPLY + I2C Addr: 7-bit I2C address + Data: Length - 2 bytes of data read from I2C bus. + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | Length | RID | OK | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Data ... . + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + +OK is 1 if successful, else 0 + + +SPI Write: + + Opcode: OP_SPI_WRITE + Enables: Which SPI enables to assert (mask) + Format: Specifies format of SPI data and Opt Header Bytes + Opt Header Bytes: 2-byte field containing optional Tx bytes; see Format + Data: The bytes to write to the SPI bus + Length: Length of Data + 6 + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | Length | RID | mbz | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Enables | Format | Opt Header Bytes | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Data ... . + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +SPI Write Reply: + + Opcode: OP_SPI_WRITE_REPLY + Length: 2 + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 2 | RID | OK | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +OK is 1 if successful, else 0. + + +SPI Read: + + Opcode: OP_SPI_READ + Enables: Which SPI enables to assert (mask) + Format: Specifies format of SPI data and Opt Header Bytes + Opt Header Bytes: 2-byte field containing optional Tx bytes; see Format + Nbytes: Number of bytes to read from SPI bus. + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 7 | RID | mbz | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Enables | Format | Opt Header Bytes | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Nbytes | unspecified padding | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +SPI Read Reply: + + Opcode: OP_SPI_READ_REPLY + Data: Length - 2 bytes of data read from SPI bus. + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | Length | RID | mbz | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Data ... . + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + + +Delay: + + Opcode: OP_DELAY + Ticks: 16-bit unsigned delay count + + Delay Ticks clock ticks before executing next operation. + + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + | Opcode | 2 | Ticks | + +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + diff --git a/usrp2/firmware/AUTHORS b/usrp2/firmware/AUTHORS new file mode 100644 index 00000000..6bd41174 --- /dev/null +++ b/usrp2/firmware/AUTHORS @@ -0,0 +1,2 @@ +Eric Blossom +Matt Ettus diff --git a/usrp2/firmware/COPYING b/usrp2/firmware/COPYING new file mode 100644 index 00000000..94a9ed02 --- /dev/null +++ b/usrp2/firmware/COPYING @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. diff --git a/usrp2/firmware/ChangeLog b/usrp2/firmware/ChangeLog new file mode 100644 index 00000000..3154fc43 --- /dev/null +++ b/usrp2/firmware/ChangeLog @@ -0,0 +1,22 @@ +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along +# with this program; if not, write to the Free Software Foundation, Inc., +# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +# + +Please use the trac interface to see what's changed. +http://gnuradio.org/trac/timeline diff --git a/usrp2/firmware/INSTALL b/usrp2/firmware/INSTALL new file mode 100644 index 00000000..5458714e --- /dev/null +++ b/usrp2/firmware/INSTALL @@ -0,0 +1,234 @@ +Installation Instructions +************************* + +Copyright (C) 1994, 1995, 1996, 1999, 2000, 2001, 2002, 2004, 2005, +2006 Free Software Foundation, Inc. + +This file is free documentation; the Free Software Foundation gives +unlimited permission to copy, distribute and modify it. + +Basic Installation +================== + +Briefly, the shell commands `./configure; make; make install' should +configure, build, and install this package. The following +more-detailed instructions are generic; see the `README' file for +instructions specific to this package. + + The `configure' shell script attempts to guess correct values for +various system-dependent variables used during compilation. It uses +those values to create a `Makefile' in each directory of the package. +It may also create one or more `.h' files containing system-dependent +definitions. Finally, it creates a shell script `config.status' that +you can run in the future to recreate the current configuration, and a +file `config.log' containing compiler output (useful mainly for +debugging `configure'). + + It can also use an optional file (typically called `config.cache' +and enabled with `--cache-file=config.cache' or simply `-C') that saves +the results of its tests to speed up reconfiguring. Caching is +disabled by default to prevent problems with accidental use of stale +cache files. + + If you need to do unusual things to compile the package, please try +to figure out how `configure' could check whether to do them, and mail +diffs or instructions to the address given in the `README' so they can +be considered for the next release. If you are using the cache, and at +some point `config.cache' contains results you don't want to keep, you +may remove or edit it. + + The file `configure.ac' (or `configure.in') is used to create +`configure' by a program called `autoconf'. You need `configure.ac' if +you want to change it or regenerate `configure' using a newer version +of `autoconf'. + +The simplest way to compile this package is: + + 1. `cd' to the directory containing the package's source code and type + `./configure' to configure the package for your system. + + Running `configure' might take a while. While running, it prints + some messages telling which features it is checking for. + + 2. Type `make' to compile the package. + + 3. Optionally, type `make check' to run any self-tests that come with + the package. + + 4. Type `make install' to install the programs and any data files and + documentation. + + 5. You can remove the program binaries and object files from the + source code directory by typing `make clean'. To also remove the + files that `configure' created (so you can compile the package for + a different kind of computer), type `make distclean'. There is + also a `make maintainer-clean' target, but that is intended mainly + for the package's developers. If you use it, you may have to get + all sorts of other programs in order to regenerate files that came + with the distribution. + +Compilers and Options +===================== + +Some systems require unusual options for compilation or linking that the +`configure' script does not know about. Run `./configure --help' for +details on some of the pertinent environment variables. + + You can give `configure' initial values for configuration parameters +by setting variables in the command line or in the environment. Here +is an example: + + ./configure CC=c99 CFLAGS=-g LIBS=-lposix + + *Note Defining Variables::, for more details. + +Compiling For Multiple Architectures +==================================== + +You can compile the package for more than one kind of computer at the +same time, by placing the object files for each architecture in their +own directory. To do this, you can use GNU `make'. `cd' to the +directory where you want the object files and executables to go and run +the `configure' script. `configure' automatically checks for the +source code in the directory that `configure' is in and in `..'. + + With a non-GNU `make', it is safer to compile the package for one +architecture at a time in the source code directory. After you have +installed the package for one architecture, use `make distclean' before +reconfiguring for another architecture. + +Installation Names +================== + +By default, `make install' installs the package's commands under +`/usr/local/bin', include files under `/usr/local/include', etc. You +can specify an installation prefix other than `/usr/local' by giving +`configure' the option `--prefix=PREFIX'. + + You can specify separate installation prefixes for +architecture-specific files and architecture-independent files. If you +pass the option `--exec-prefix=PREFIX' to `configure', the package uses +PREFIX as the prefix for installing programs and libraries. +Documentation and other data files still use the regular prefix. + + In addition, if you use an unusual directory layout you can give +options like `--bindir=DIR' to specify different values for particular +kinds of files. Run `configure --help' for a list of the directories +you can set and what kinds of files go in them. + + If the package supports it, you can cause programs to be installed +with an extra prefix or suffix on their names by giving `configure' the +option `--program-prefix=PREFIX' or `--program-suffix=SUFFIX'. + +Optional Features +================= + +Some packages pay attention to `--enable-FEATURE' options to +`configure', where FEATURE indicates an optional part of the package. +They may also pay attention to `--with-PACKAGE' options, where PACKAGE +is something like `gnu-as' or `x' (for the X Window System). The +`README' should mention any `--enable-' and `--with-' options that the +package recognizes. + + For packages that use the X Window System, `configure' can usually +find the X include and library files automatically, but if it doesn't, +you can use the `configure' options `--x-includes=DIR' and +`--x-libraries=DIR' to specify their locations. + +Specifying the System Type +========================== + +There may be some features `configure' cannot figure out automatically, +but needs to determine by the type of machine the package will run on. +Usually, assuming the package is built to be run on the _same_ +architectures, `configure' can figure that out, but if it prints a +message saying it cannot guess the machine type, give it the +`--build=TYPE' option. TYPE can either be a short name for the system +type, such as `sun4', or a canonical name which has the form: + + CPU-COMPANY-SYSTEM + +where SYSTEM can have one of these forms: + + OS KERNEL-OS + + See the file `config.sub' for the possible values of each field. If +`config.sub' isn't included in this package, then this package doesn't +need to know the machine type. + + If you are _building_ compiler tools for cross-compiling, you should +use the option `--target=TYPE' to select the type of system they will +produce code for. + + If you want to _use_ a cross compiler, that generates code for a +platform different from the build platform, you should specify the +"host" platform (i.e., that on which the generated programs will +eventually be run) with `--host=TYPE'. + +Sharing Defaults +================ + +If you want to set default values for `configure' scripts to share, you +can create a site shell script called `config.site' that gives default +values for variables like `CC', `cache_file', and `prefix'. +`configure' looks for `PREFIX/share/config.site' if it exists, then +`PREFIX/etc/config.site' if it exists. Or, you can set the +`CONFIG_SITE' environment variable to the location of the site script. +A warning: not all `configure' scripts look for a site script. + +Defining Variables +================== + +Variables not defined in a site shell script can be set in the +environment passed to `configure'. However, some packages may run +configure again during the build, and the customized values of these +variables may be lost. In order to avoid this problem, you should set +them in the `configure' command line, using `VAR=value'. For example: + + ./configure CC=/usr/local2/bin/gcc + +causes the specified `gcc' to be used as the C compiler (unless it is +overridden in the site shell script). + +Unfortunately, this technique does not work for `CONFIG_SHELL' due to +an Autoconf bug. Until the bug is fixed you can use this workaround: + + CONFIG_SHELL=/bin/bash /bin/bash ./configure CONFIG_SHELL=/bin/bash + +`configure' Invocation +====================== + +`configure' recognizes the following options to control how it operates. + +`--help' +`-h' + Print a summary of the options to `configure', and exit. + +`--version' +`-V' + Print the version of Autoconf used to generate the `configure' + script, and exit. + +`--cache-file=FILE' + Enable the cache: use and save the results of the tests in FILE, + traditionally `config.cache'. FILE defaults to `/dev/null' to + disable caching. + +`--config-cache' +`-C' + Alias for `--cache-file=config.cache'. + +`--quiet' +`--silent' +`-q' + Do not print messages saying which checks are being made. To + suppress all normal output, redirect it to `/dev/null' (any error + messages will still be shown). + +`--srcdir=DIR' + Look for the package's source code in directory DIR. Usually + `configure' can determine that directory automatically. + +`configure' also accepts some other, not widely useful, options. Run +`configure --help' for more details. + diff --git a/usrp2/firmware/Makefile.am b/usrp2/firmware/Makefile.am new file mode 100644 index 00000000..437f2322 --- /dev/null +++ b/usrp2/firmware/Makefile.am @@ -0,0 +1,21 @@ +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +SUBDIRS = include lib apps + diff --git a/usrp2/firmware/Makefile.common b/usrp2/firmware/Makefile.common new file mode 100644 index 00000000..f2f8f7d6 --- /dev/null +++ b/usrp2/firmware/Makefile.common @@ -0,0 +1,59 @@ +# -*- Makefile -*- +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib + +#HAL_IO = -DHAL_IO_USES_DBOARD_PINS +HAL_IO = -DHAL_IO_USES_UART + +AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES) + +STD_CFLAGS = -O2 -g -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float + +#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul +AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift +#AM_CFLAGS = $(STD_CFLAGS) -mno-xl-soft-mul +#AM_CFLAGS = $(STD_CFLAGS) -mno-xl-soft-mul -mxl-barrel-shift + + +LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld +#AM_LDFLAGS = -Wl,-T$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024 +#AM_LDFLAGS = -Wl,-T$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map +AM_LDFLAGS = -Wl,-T$(LINKER_SCRIPT) + + +%.bin : % + mb-objcopy -O binary $< $@ + +%.dump : % + mb-objdump -DSC $< > $@ + +%.rom : %.bin + hexdump -v -e'1/1 "%.2X\n"' $< > $@ + + +.PRECIOUS : %.bin + + +BINS = $(noinst_PROGRAMS:=.bin) +ROMS = $(noinst_PROGRAMS:=.rom) +DUMPS = $(noinst_PROGRAMS:=.dump) + +noinst_DATA = $(BINS) $(ROMS) $(DUMPS) + +CLEANFILES = $(ROMS) $(DUMPS) $(BINS) diff --git a/usrp2/firmware/NEWS b/usrp2/firmware/NEWS new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/firmware/README b/usrp2/firmware/README new file mode 100644 index 00000000..8b72bf9c --- /dev/null +++ b/usrp2/firmware/README @@ -0,0 +1,5 @@ +Stay tuned for additional info as it becomes available ... + + $ ./bootstrap + $ ./configure + $ make diff --git a/usrp2/firmware/apps/Makefile.am b/usrp2/firmware/apps/Makefile.am new file mode 100644 index 00000000..092dd944 --- /dev/null +++ b/usrp2/firmware/apps/Makefile.am @@ -0,0 +1,63 @@ +# +# Copyright 2007,2008 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +LDADD = ../lib/libu2fw.a + +noinst_PROGRAMS = \ + blink_leds \ + blink_leds2 \ + buf_ram_test \ + can_i_sub \ + echo \ + eth_serdes \ + gen_eth_packets \ + hello \ + ibs_rx_test \ + ibs_tx_test \ + rcv_eth_packets \ + rx_only_v2 \ + read_dbids \ + test1 \ + test_db_spi \ + test_i2c \ + test_phy_comm \ + test_lsadc \ + test_lsdac \ + test_serdes \ + timer_test \ + tx_only_v2 \ + tx_standalone \ + txrx \ + serdes_txrx \ + sd_gentest \ + sd_bounce + + +# tx_drop_SOURCES = tx_drop.c app_common.c +# tx_drop_rate_limited_SOURCES = tx_drop_rate_limited.c app_common.c +# tx_drop2_SOURCES = tx_drop2.c app_common.c +rx_only_v2_SOURCES = rx_only_v2.c app_common_v2.c +tx_only_v2_SOURCES = tx_only_v2.c app_common_v2.c +txrx_SOURCES = txrx.c app_common_v2.c +eth_serdes_SOURCES = eth_serdes.c app_passthru_v2.c +serdes_txrx_SOURCES = serdes_txrx.c app_common_v2.c + +noinst_HEADERS = \ + app_common_v2.h \ + app_passthru_v2.h diff --git a/usrp2/firmware/apps/app_common_v2.c b/usrp2/firmware/apps/app_common_v2.c new file mode 100644 index 00000000..4dc254f8 --- /dev/null +++ b/usrp2/firmware/apps/app_common_v2.c @@ -0,0 +1,477 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "app_common_v2.h" +#include "buffer_pool.h" +#include "memcpy_wa.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "print_rmon_regs.h" +#include "db.h" +#include "clocks.h" +#include + +volatile bool link_is_up = false; // eth handler sets this +int cpu_tx_buf_dest_port = PORT_ETH; + +// If this is non-zero, this dbsm could be writing to the ethernet +dbsm_t *ac_could_be_sending_to_eth; + +static unsigned char exp_seqno __attribute__((unused)) = 0; + + +static bool +burn_mac_addr(const op_burn_mac_addr_t *p) +{ + return ethernet_set_mac_addr(&p->addr); +} + +static bool +config_mimo_cmd(const op_config_mimo_t *p) +{ + clocks_mimo_config(p->flags); + return true; +} + +void +set_reply_hdr(u2_eth_packet_t *reply_pkt, u2_eth_packet_t const *cmd_pkt) +{ + reply_pkt->ehdr.dst = cmd_pkt->ehdr.src; + reply_pkt->ehdr.ethertype = U2_ETHERTYPE; + reply_pkt->thdr.flags = 0; + reply_pkt->thdr.fifo_status = 0; // written by protocol engine + reply_pkt->thdr.seqno = 0; // written by protocol engine + reply_pkt->thdr.ack = 0; // written by protocol engine + u2p_set_word0(&reply_pkt->fixed, 0, CONTROL_CHAN); + reply_pkt->fixed.timestamp = timer_regs->time; +} + +static void +send_reply(unsigned char *reply, size_t reply_len) +{ + if (reply_len < 64) + reply_len = 64; + + // wait for buffer to become idle + hal_set_leds(0x4, 0x4); + while((buffer_pool_status->status & BPS_IDLE(CPU_TX_BUF)) == 0) + ; + hal_set_leds(0x0, 0x4); + + // copy reply into CPU_TX_BUF + memcpy_wa(buffer_ram(CPU_TX_BUF), reply, reply_len); + + // wait until nobody else is sending to the ethernet + if (ac_could_be_sending_to_eth){ + hal_set_leds(0x8, 0x8); + dbsm_wait_for_opening(ac_could_be_sending_to_eth); + hal_set_leds(0x0, 0x8); + } + + if (0){ + printf("sending_reply to port %d, len = %d\n", cpu_tx_buf_dest_port, reply_len); + print_buffer(buffer_ram(CPU_TX_BUF), reply_len/4); + } + + // fire it off + bp_send_from_buf(CPU_TX_BUF, cpu_tx_buf_dest_port, 1, 0, reply_len/4); + + // wait for it to complete (not long, it's a small pkt) + while((buffer_pool_status->status & (BPS_DONE(CPU_TX_BUF) | BPS_ERROR(CPU_TX_BUF))) == 0) + ; + + bp_clear_buf(CPU_TX_BUF); +} + + +static size_t +op_id_cmd(const op_generic_t *p, + void *reply_payload, size_t reply_payload_space) +{ + op_id_reply_t *r = (op_id_reply_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) // no room + return 0; + + // Build reply subpacket + + r->opcode = OP_ID_REPLY; + r->len = sizeof(op_id_reply_t); + r->rid = p->rid; + r->addr = *ethernet_mac_addr(); + r->hw_rev = 0x0000; // FIXME + // r->fpga_md5sum = ; // FIXME + // r->sw_md5sum = ; // FIXME + + // FIXME Add d'board info, including dbid, min/max gain, min/max freq + + return r->len; +} + + +static size_t +config_tx_v2_cmd(const op_config_tx_v2_t *p, + void *reply_payload, size_t reply_payload_space) +{ + op_config_tx_reply_v2_t *r = (op_config_tx_reply_v2_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + struct tune_result tune_result; + memset(&tune_result, 0, sizeof(tune_result)); + + bool ok = true; + + if (p->valid & CFGV_GAIN){ + ok &= db_set_gain(tx_dboard, p->gain); + } + + if (p->valid & CFGV_FREQ){ + u2_fxpt_freq_t f = u2_fxpt_freq_from_hilo(p->freq_hi, p->freq_lo); + bool tune_ok = db_tune(tx_dboard, f, &tune_result); + ok &= tune_ok; + print_tune_result("Tx", tune_ok, f, &tune_result); + } + + if (p->valid & CFGV_INTERP_DECIM){ + int interp = p->interp; + int hb1 = 0; + int hb2 = 0; + + if (!(interp & 1)){ + hb2 = 1; + interp = interp >> 1; + } + + if (!(interp & 1)){ + hb1 = 1; + interp = interp >> 1; + } + + if (p->interp < MIN_INTERP || p->interp > MAX_INTERP) + ok = false; + else { + dsp_tx_regs->interp_rate = (hb1<<9) | (hb2<<8) | interp; + // printf("Interp: %d, register %d\n", p->interp, (hb1<<9) | (hb2<<8) | interp); + } + } + + if (p->valid & CFGV_SCALE_IQ){ + dsp_tx_regs->scale_iq = p->scale_iq; + } + + // Build reply subpacket + + r->opcode = OP_CONFIG_TX_REPLY_V2; + r->len = sizeof(*r); + r->rid = p->rid; + r->ok = ok; + r->inverted = tune_result.inverted; + r->baseband_freq_hi = u2_fxpt_freq_hi(tune_result.baseband_freq); + r->baseband_freq_lo = u2_fxpt_freq_lo(tune_result.baseband_freq); + r->duc_freq_hi = u2_fxpt_freq_hi(tune_result.dxc_freq); + r->duc_freq_lo = u2_fxpt_freq_lo(tune_result.dxc_freq); + r->residual_freq_hi = u2_fxpt_freq_hi(tune_result.residual_freq); + r->residual_freq_lo = u2_fxpt_freq_lo(tune_result.residual_freq); + return r->len; +} + +static size_t +config_rx_v2_cmd(const op_config_rx_v2_t *p, + void *reply_payload, size_t reply_payload_space) +{ + op_config_rx_reply_v2_t *r = (op_config_rx_reply_v2_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + struct tune_result tune_result; + memset(&tune_result, 0, sizeof(tune_result)); + + bool ok = true; + + if (p->valid & CFGV_GAIN){ + ok &= db_set_gain(rx_dboard, p->gain); + } + + if (p->valid & CFGV_FREQ){ + u2_fxpt_freq_t f = u2_fxpt_freq_from_hilo(p->freq_hi, p->freq_lo); + bool tune_ok = db_tune(rx_dboard, f, &tune_result); + ok &= tune_ok; + print_tune_result("Rx", tune_ok, f, &tune_result); + } + + if (p->valid & CFGV_INTERP_DECIM){ + int decim = p->decim; + int hb1 = 0; + int hb2 = 0; + + if(!(decim & 1)) { + hb2 = 1; + decim = decim >> 1; + } + + if(!(decim & 1)) { + hb1 = 1; + decim = decim >> 1; + } + + if (decim < MIN_DECIM || decim > MAX_DECIM) + ok = false; + else { + dsp_rx_regs->decim_rate = (hb1<<9) | (hb2<<8) | decim; + // printf("Decim: %d, register %d\n", p->decim, (hb1<<9) | (hb2<<8) | decim); + } + } + + if (p->valid & CFGV_SCALE_IQ){ + dsp_rx_regs->scale_iq = p->scale_iq; + } + + // Build reply subpacket + + r->opcode = OP_CONFIG_RX_REPLY_V2; + r->len = sizeof(*r); + r->rid = p->rid; + r->ok = ok; + r->inverted = tune_result.inverted; + r->baseband_freq_hi = u2_fxpt_freq_hi(tune_result.baseband_freq); + r->baseband_freq_lo = u2_fxpt_freq_lo(tune_result.baseband_freq); + r->ddc_freq_hi = u2_fxpt_freq_hi(tune_result.dxc_freq); + r->ddc_freq_lo = u2_fxpt_freq_lo(tune_result.dxc_freq); + r->residual_freq_hi = u2_fxpt_freq_hi(tune_result.residual_freq); + r->residual_freq_lo = u2_fxpt_freq_lo(tune_result.residual_freq); + + return r->len; +} + +static size_t +read_time_cmd(const op_generic_t *p, + void *reply_payload, size_t reply_payload_space) +{ + op_read_time_reply_t *r = (op_read_time_reply_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + r->opcode = OP_READ_TIME_REPLY; + r->len = sizeof(*r); + r->rid = p->rid; + r->time = timer_regs->time; + + return r->len; +} + +static size_t +generic_reply(const op_generic_t *p, + void *reply_payload, size_t reply_payload_space, + bool ok) +{ + op_generic_t *r = (op_generic_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + r->opcode = p->opcode | OP_REPLY_BIT; + r->len = sizeof(*r); + r->rid = p->rid; + r->ok = ok; + + return r->len; +} + +static size_t +add_eop(void *reply_payload, size_t reply_payload_space) +{ + op_generic_t *r = (op_generic_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + r->opcode = OP_EOP; + r->len = sizeof(*r); + r->rid = 0; + r->ok = 0; + + return r->len; +} + +void +handle_control_chan_frame(u2_eth_packet_t *pkt, size_t len) +{ + unsigned char reply[sizeof(u2_eth_packet_t) + 4 * sizeof(u2_subpkt_t)] _AL4; + unsigned char *reply_payload = &reply[sizeof(u2_eth_packet_t)]; + int reply_payload_space = sizeof(reply) - sizeof(u2_eth_packet_t); + + // initialize reply + memset(reply, 0, sizeof(reply)); + set_reply_hdr((u2_eth_packet_t *) reply, pkt); + + // point to beginning of payload (subpackets) + unsigned char *payload = ((unsigned char *) pkt) + sizeof(u2_eth_packet_t); + int payload_len = len - sizeof(u2_eth_packet_t); + + size_t subpktlen = 0; + + while (payload_len >= sizeof(op_generic_t)){ + const op_generic_t *gp = (const op_generic_t *) payload; + subpktlen = 0; + + switch(gp->opcode){ + case OP_EOP: // end of subpackets + goto end_of_subpackets; + + case OP_ID: + subpktlen = op_id_cmd(gp, reply_payload, reply_payload_space); + break; + + case OP_CONFIG_TX_V2: + subpktlen = config_tx_v2_cmd((op_config_tx_v2_t *) payload, + reply_payload, reply_payload_space); + break; + + case OP_CONFIG_RX_V2: + subpktlen = config_rx_v2_cmd((op_config_rx_v2_t *) payload, + reply_payload, reply_payload_space); + break; + + case OP_START_RX_STREAMING: + start_rx_streaming_cmd(&pkt->ehdr.src, (op_start_rx_streaming_t *) payload); + subpktlen = generic_reply(gp, reply_payload, reply_payload_space, true); + break; + + case OP_STOP_RX: + stop_rx_cmd(); + subpktlen = generic_reply(gp, reply_payload, reply_payload_space, true); + break; + + case OP_BURN_MAC_ADDR: + subpktlen = generic_reply(gp, reply_payload, reply_payload_space, + burn_mac_addr((op_burn_mac_addr_t *) payload)); + break; + + case OP_CONFIG_MIMO: + subpktlen = generic_reply(gp, reply_payload, reply_payload_space, + config_mimo_cmd((op_config_mimo_t *) payload)); + break; + + case OP_READ_TIME: + subpktlen = read_time_cmd(gp, reply_payload, reply_payload_space); + break; + + default: + printf("app_common_v2: unhandled opcode = %d\n", gp->opcode); + break; + } + + int t = (gp->len + 3) & ~3; // bump to a multiple of 4 + payload += t; + payload_len -= t; + + subpktlen = (subpktlen + 3) & ~3; // bump to a multiple of 4 + reply_payload += subpktlen; + reply_payload_space -= subpktlen; + } + + end_of_subpackets: + + // add the EOP marker + subpktlen = add_eop(reply_payload, reply_payload_space); + subpktlen = (subpktlen + 3) & ~3; // bump to a multiple of 4 + reply_payload += subpktlen; + reply_payload_space -= subpktlen; + + send_reply(reply, reply_payload - reply); +} + + +/* + * Called when an ethernet packet is received. + * Return true if we handled it here, otherwise + * it'll be passed on to the DSP Tx pipe + */ +bool +eth_pkt_inspector(dbsm_t *sm, int bufno) +{ + u2_eth_packet_t *pkt = (u2_eth_packet_t *) buffer_ram(bufno); + size_t byte_len = (buffer_pool_status->last_line[bufno] - 3) * 4; + + //static size_t last_len = 0; + + // hal_toggle_leds(0x1); + + // inspect rcvd frame and figure out what do do. + + if (pkt->ehdr.ethertype != U2_ETHERTYPE) + return true; // ignore, probably bogus PAUSE frame from MAC + + int chan = u2p_chan(&pkt->fixed); + + switch (chan){ + case CONTROL_CHAN: + handle_control_chan_frame(pkt, byte_len); + return true; // we handled the packet + break; + + case 0: + default: +#if 0 + if (last_len != 0){ + if (byte_len != last_len){ + printf("Len: %d last: %d\n", byte_len, last_len); + } + } + last_len = byte_len; + + if((pkt->thdr.seqno) == exp_seqno){ + exp_seqno++; + //putchar('.'); + } + else { + // putchar('S'); + //printf("S%d %d ",exp_seqno,pkt->thdr.seqno); + exp_seqno = pkt->thdr.seqno + 1; + } +#endif + return false; // pass it on to Tx DSP + break; + } +} + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + link_is_up = speed != 0; + hal_set_leds(link_is_up ? 0x20 : 0x0, 0x20); + printf("\neth link changed: speed = %d\n", speed); +} + + +void +print_tune_result(char *msg, bool tune_ok, + u2_fxpt_freq_t target_freq, struct tune_result *r) +{ + printf("db_tune %s %s\n", msg, tune_ok ? "true" : "false"); + putstr(" target_freq "); print_fxpt_freq(target_freq); newline(); + putstr(" baseband_freq "); print_fxpt_freq(r->baseband_freq); newline(); + putstr(" dxc_freq "); print_fxpt_freq(r->dxc_freq); newline(); + putstr(" residual_freq "); print_fxpt_freq(r->residual_freq); newline(); + printf(" inverted %s\n", r->inverted ? "true" : "false"); +} diff --git a/usrp2/firmware/apps/app_common_v2.h b/usrp2/firmware/apps/app_common_v2.h new file mode 100644 index 00000000..30f54876 --- /dev/null +++ b/usrp2/firmware/apps/app_common_v2.h @@ -0,0 +1,62 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_APP_COMMON_H +#define INCLUDED_APP_COMMON_H + +#include "bool.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "memory_map.h" +#include "hal_io.h" +#include +#include + +#define CPU_TX_BUF 1 // cpu -> eth + +#define _AL4 __attribute__((aligned (4))) + +extern volatile bool link_is_up; // eth handler sets this + +// If there's a dbsm that sends to the ethernet, put it's address here +extern dbsm_t *ac_could_be_sending_to_eth; + +extern int cpu_tx_buf_dest_port; + +void set_reply_hdr(u2_eth_packet_t *reply_pkt, u2_eth_packet_t const *cmd_pkt); + +/* + * Called when an ethernet packet is received. + * Return true if we handled it here, otherwise + * it'll be passed on to the DSP Tx pipe + */ +bool eth_pkt_inspector(dbsm_t *sm, int bufno); + +void link_changed_callback(int speed); + +void +print_tune_result(char *msg, bool tune_ok, + u2_fxpt_freq_t target_freq, struct tune_result *r); + + +void start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p); +void stop_rx_cmd(void); + +void handle_control_chan_frame(u2_eth_packet_t *pkt, size_t len); + +#endif /* INCLUDED_APP_COMMON_H */ diff --git a/usrp2/firmware/apps/app_passthru_v2.c b/usrp2/firmware/apps/app_passthru_v2.c new file mode 100644 index 00000000..1689e8b7 --- /dev/null +++ b/usrp2/firmware/apps/app_passthru_v2.c @@ -0,0 +1,244 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "app_passthru_v2.h" +#include "buffer_pool.h" +#include "memcpy_wa.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "print_rmon_regs.h" +#include "db.h" +#include "clocks.h" +#include + +volatile bool link_is_up = false; // eth handler sets this + + +// If this is non-zero, this dbsm could be writing to the ethernet +dbsm_t *ac_could_be_sending_to_eth; + +//static unsigned char exp_seqno = 0; + +void +set_reply_hdr(u2_eth_packet_t *reply_pkt, u2_eth_packet_t const *cmd_pkt) +{ + reply_pkt->ehdr.dst = cmd_pkt->ehdr.src; + reply_pkt->ehdr.ethertype = U2_ETHERTYPE; + reply_pkt->thdr.flags = 0; + reply_pkt->thdr.fifo_status = 0; // written by protocol engine + reply_pkt->thdr.seqno = 0; // written by protocol engine + reply_pkt->thdr.ack = 0; // written by protocol engine + u2p_set_word0(&reply_pkt->fixed, 0, CONTROL_CHAN); + reply_pkt->fixed.timestamp = timer_regs->time; +} + +static void +send_reply(unsigned char *reply, size_t reply_len) +{ + if (reply_len < 64) + reply_len = 64; + + // wait for buffer to become idle + hal_set_leds(0x4, 0x4); + while((buffer_pool_status->status & BPS_IDLE(CPU_TX_BUF)) == 0) + ; + hal_set_leds(0x0, 0x4); + + // copy reply into CPU_TX_BUF + memcpy_wa(buffer_ram(CPU_TX_BUF), reply, reply_len); + + // wait until nobody else is sending to the ethernet + if (ac_could_be_sending_to_eth){ + hal_set_leds(0x8, 0x8); + dbsm_wait_for_opening(ac_could_be_sending_to_eth); + hal_set_leds(0x0, 0x8); + } + + // fire it off + bp_send_from_buf(CPU_TX_BUF, PORT_ETH, 1, 0, reply_len/4); + + // wait for it to complete (not long, it's a small pkt) + while((buffer_pool_status->status & (BPS_DONE(CPU_TX_BUF) | BPS_ERROR(CPU_TX_BUF))) == 0) + ; + + bp_clear_buf(CPU_TX_BUF); +} + + +static size_t +op_id_cmd(const op_generic_t *p, + void *reply_payload, size_t reply_payload_space) +{ + op_id_reply_t *r = (op_id_reply_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) // no room + return 0; + + // Build reply subpacket + + r->opcode = OP_ID_REPLY; + r->len = sizeof(op_id_reply_t); + r->rid = p->rid; + r->addr = *ethernet_mac_addr(); + r->hw_rev = 0x0000; // FIXME + // r->fpga_md5sum = ; // FIXME + // r->sw_md5sum = ; // FIXME + + // FIXME Add d'board info, including dbid, min/max gain, min/max freq + + return r->len; +} + +static size_t +add_eop(void *reply_payload, size_t reply_payload_space) +{ + op_generic_t *r = (op_generic_t *) reply_payload; + if (reply_payload_space < sizeof(*r)) + return 0; // no room + + r->opcode = OP_EOP; + r->len = sizeof(*r); + r->rid = 0; + r->ok = 0; + + return r->len; +} + +bool +handle_control_chan_frame(u2_eth_packet_t *pkt, size_t len) +{ + unsigned char reply[sizeof(u2_eth_packet_t) + 4 * sizeof(u2_subpkt_t)] _AL4; + unsigned char *reply_payload = &reply[sizeof(u2_eth_packet_t)]; + int reply_payload_space = sizeof(reply) - sizeof(u2_eth_packet_t); + + bool handled_it = false; + + // initialize reply + memset(reply, 0, sizeof(reply)); + set_reply_hdr((u2_eth_packet_t *) reply, pkt); + + // point to beginning of payload (subpackets) + unsigned char *payload = ((unsigned char *) pkt) + sizeof(u2_eth_packet_t); + int payload_len = len - sizeof(u2_eth_packet_t); + + size_t subpktlen = 0; + + while (payload_len >= sizeof(op_generic_t)){ + const op_generic_t *gp = (const op_generic_t *) payload; + subpktlen = 0; + + switch(gp->opcode){ + case OP_EOP: // end of subpackets + goto end_of_subpackets; + + case OP_ID: + subpktlen = op_id_cmd(gp, reply_payload, reply_payload_space); + handled_it = true; + break; + } + + int t = (gp->len + 3) & ~3; // bump to a multiple of 4 + payload += t; + payload_len -= t; + + subpktlen = (subpktlen + 3) & ~3; // bump to a multiple of 4 + reply_payload += subpktlen; + reply_payload_space -= subpktlen; + } + + end_of_subpackets: + + if (handled_it){ + // add the EOP marker + subpktlen = add_eop(reply_payload, reply_payload_space); + subpktlen = (subpktlen + 3) & ~3; // bump to a multiple of 4 + reply_payload += subpktlen; + reply_payload_space -= subpktlen; + + send_reply(reply, reply_payload - reply); + } + + return handled_it; +} + + +/* + * Called when an ethernet packet is received. + * Return true if we handled it here, otherwise + * it'll be passed on to the DSP Tx pipe + */ +bool +eth_pkt_inspector(dbsm_t *sm, int bufno) +{ + u2_eth_packet_t *pkt = (u2_eth_packet_t *) buffer_ram(bufno); + size_t byte_len = (buffer_pool_status->last_line[bufno] - 3) * 4; + + //static size_t last_len = 0; + + // hal_toggle_leds(0x1); + + // inspect rcvd frame and figure out what do do. + + if (pkt->ehdr.ethertype != U2_ETHERTYPE) + return true; // ignore, probably bogus PAUSE frame from MAC + + int chan = u2p_chan(&pkt->fixed); + + switch (chan){ + case CONTROL_CHAN: + return handle_control_chan_frame(pkt, byte_len); + break; + + case 0: + default: +#if 0 + if (last_len != 0){ + if (byte_len != last_len){ + printf("Len: %d last: %d\n", byte_len, last_len); + } + } + last_len = byte_len; + + if((pkt->thdr.seqno) == exp_seqno){ + exp_seqno++; + //putchar('.'); + } + else { + // putchar('S'); + //printf("S%d %d ",exp_seqno,pkt->thdr.seqno); + exp_seqno = pkt->thdr.seqno + 1; + } +#endif + return false; // pass it on to Tx DSP + break; + } +} + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + link_is_up = speed != 0; + hal_set_leds(link_is_up ? 0x10 : 0x0, 0x10); + printf("\neth link changed: speed = %d\n", speed); +} diff --git a/usrp2/firmware/apps/app_passthru_v2.h b/usrp2/firmware/apps/app_passthru_v2.h new file mode 100644 index 00000000..10224364 --- /dev/null +++ b/usrp2/firmware/apps/app_passthru_v2.h @@ -0,0 +1,54 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_APP_COMMON_H +#define INCLUDED_APP_COMMON_H + +#include "bool.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "memory_map.h" +#include "hal_io.h" +#include +#include + +#define CPU_TX_BUF 1 // cpu -> eth + +#define _AL4 __attribute__((aligned (4))) + +extern volatile bool link_is_up; // eth handler sets this + + +// If there's a dbsm that sends to the ethernet, put it's address here +extern dbsm_t *ac_could_be_sending_to_eth; + + +void set_reply_hdr(u2_eth_packet_t *reply_pkt, u2_eth_packet_t const *cmd_pkt); + +/* + * Called when an ethernet packet is received. + * Return true if we handled it here, otherwise + * it'll be passed on to the DSP Tx pipe + */ +bool eth_pkt_inspector(dbsm_t *sm, int bufno); + +void link_changed_callback(int speed); + +bool handle_control_chan_frame(u2_eth_packet_t *pkt, size_t len); + +#endif /* INCLUDED_APP_COMMON_H */ diff --git a/usrp2/firmware/apps/bitrot/tx_drop.c b/usrp2/firmware/apps/bitrot/tx_drop.c new file mode 100644 index 00000000..d5d6557d --- /dev/null +++ b/usrp2/firmware/apps/bitrot/tx_drop.c @@ -0,0 +1,261 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common.h" +#include "print_rmon_regs.h" +#include +#include +#include + + +/* + * Like tx_only.c, but we discard data packets instead of sending them to the + * DSP TX pipeline. + */ + +int total_rx_pkts = 0; +int total_rx_bytes = 0; + + +static int timer_delta = MASTER_CLK_RATE/1000; // tick at 1kHz + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + + +/* + * ================================================================ + * configure DSP TX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE 4 +#define DSP_TX_SAMPLES_PER_FRAME 250 // not used except w/ debugging +#define DSP_TX_EXTRA_LINES 2 // reads word0 + timestamp + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past ethernet header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + + +// ---------------------------------------------------------------- + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout +} + +// Tx DSP underrun +void +underrun_irq_handler(unsigned irq) +{ + putchar('U'); + + dbsm_stop(&dsp_tx_sm); + dsp_tx_regs->clear_state = 1; + dbsm_start(&dsp_tx_sm); // restart sm so we're listening to ethernet again + + // putstr("\nirq: underrun\n"); +} + + +void +start_rx_cmd(const u2_mac_addr_t *host, op_start_rx_t *p) +{ +} + +void +stop_rx_cmd(void) +{ +} + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + op_config_tx_t def_config; + memset(&def_config, 0, sizeof(def_config)); + def_config.phase_inc = 408021893; // 9.5 MHz [2**32 * fc/fsample] + def_config.scale_iq = (tx_scale << 16) | tx_scale; + def_config.interp = interp; + + // setup Tx DSP regs + config_tx_cmd(&def_config); +} + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (status & BPS_ERROR_ALL){ + // FIXME rare path, handle error conditions + putstr("Errors! status = "); + puthex32_nl(status); + + printf("total_rx_pkts = %d\n", total_rx_pkts); + printf("total_rx_bytes = %d\n", total_rx_bytes); + + print_rmon_regs(); + + if (status & (BPS_ERROR(DSP_TX_BUF_0) | BPS_ERROR(DSP_TX_BUF_1))){ + dbsm_stop(&dsp_tx_sm); + dsp_tx_regs->clear_state = 1; // try to restart + dbsm_start(&dsp_tx_sm); + return; + } + } + + dbsm_process_status(&dsp_tx_sm, status); + + if (status & BPS_DONE(CPU_TX_BUF)){ + bp_clear_buf(CPU_TX_BUF); + } +} + + +/* + * Called when an ethernet packet is received. + * + * Claim that we handled all the packets, + * dropping those destined for the TX DSP chain + * on the ground. + */ +bool +nop_eth_pkt_inspector(dbsm_t *sm, int bufno) +{ + hal_toggle_leds(0x1); + + u2_eth_packet_t *pkt = (u2_eth_packet_t *) buffer_ram(bufno); + size_t byte_len = (buffer_pool_status->last_line[bufno] - 1) * 4; + + total_rx_pkts++; + total_rx_bytes += byte_len; + + // inspect rcvd frame and figure out what do do. + + if (pkt->ehdr.ethertype != U2_ETHERTYPE) + return true; // ignore, probably bogus PAUSE frame from MAC + + int chan = u2p_chan(&pkt->fixed); + + switch (chan){ + case CONTROL_CHAN: + handle_control_chan_frame(pkt, byte_len); + return true; // we handled the packet + break; + + case 0: + default: + return true; // We handled the data by dropping it :) + break; + } +} + + +int +main(void) +{ + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + hal_gpio_set_tx_mode(15, 0, GPIOM_FPGA_1); + hal_gpio_set_rx_mode(15, 0, GPIOM_FPGA_1); + + putstr("\ntx_drop\n"); + + // Control LEDs + hal_set_leds(0x0, 0x3); + + pic_register_handler(IRQ_UNDERRUN, underrun_irq_handler); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + nop_eth_pkt_inspector); + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + while(1){ + buffer_irq_handler(0); + } +} + diff --git a/usrp2/firmware/apps/bitrot/tx_drop2.c b/usrp2/firmware/apps/bitrot/tx_drop2.c new file mode 100644 index 00000000..7f9b7a56 --- /dev/null +++ b/usrp2/firmware/apps/bitrot/tx_drop2.c @@ -0,0 +1,292 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common.h" +#include "print_rmon_regs.h" +#include +#include +#include + + +/* + * Like tx_only.c, but we discard data packets instead of sending them to the + * DSP TX pipeline. + */ + +int total_rx_pkts = 0; +int total_rx_bytes = 0; + + +static int timer_delta = MASTER_CLK_RATE/1000; // tick at 1kHz + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + + +/* + * ================================================================ + * configure DSP RX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line (word0) +// DSP Rx writes timestamp followed by nlines_per_frame of samples +#define DSP_RX_FIRST_LINE 5 +#define DSP_RX_SAMPLES_PER_FRAME 128 +#define DSP_RX_EXTRA_LINES 1 // writes timestamp + +// Receive from DSP Rx +buf_cmd_args_t dsp_rx_recv_args = { + PORT_DSP, + DSP_RX_FIRST_LINE, + BP_LAST_LINE +}; + +// send to ethernet +buf_cmd_args_t dsp_rx_send_args = { + PORT_ETH, + 0, // starts with ethernet header in line 0 + 0, // filled in from last_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + +/* + * ================================================================ + * configure DSP TX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE 4 +#define DSP_TX_SAMPLES_PER_FRAME 250 // not used except w/ debugging +#define DSP_TX_EXTRA_LINES 2 // reads word0 + timestamp + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past ethernet header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + + +// ---------------------------------------------------------------- + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout +} + +// Tx DSP underrun +void +underrun_irq_handler(unsigned irq) +{ + putchar('U'); + + dbsm_stop(&dsp_tx_sm); + dsp_tx_regs->clear_state = 1; + dbsm_start(&dsp_tx_sm); // restart sm so we're listening to ethernet again + + // putstr("\nirq: underrun\n"); +} + + +void +start_rx_cmd(const u2_mac_addr_t *host, op_start_rx_t *p) +{ +} + +void +stop_rx_cmd(void) +{ +} + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + +#if 1 + int tx_scale = 256; + int interp = 32; + + op_config_tx_t def_config; + memset(&def_config, 0, sizeof(def_config)); + def_config.phase_inc = 408021893; // 9.5 MHz [2**32 * fc/fsample] + def_config.scale_iq = (tx_scale << 16) | tx_scale; + def_config.interp = interp; + + // setup Tx DSP regs + config_tx_cmd(&def_config); +#endif +} + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (status & BPS_ERROR_ALL){ + // FIXME rare path, handle error conditions + putstr("Errors! status = "); + puthex32_nl(status); + + printf("total_rx_pkts = %d\n", total_rx_pkts); + printf("total_rx_bytes = %d\n", total_rx_bytes); + + print_rmon_regs(); + + if (status & (BPS_ERROR(DSP_TX_BUF_0) | BPS_ERROR(DSP_TX_BUF_1))){ + dbsm_stop(&dsp_tx_sm); + dsp_tx_regs->clear_state = 1; // try to restart + dbsm_start(&dsp_tx_sm); + return; + } + } + + dbsm_process_status(&dsp_tx_sm, status); + + if (status & BPS_DONE(CPU_TX_BUF)){ + bp_clear_buf(CPU_TX_BUF); + } +} + +/* + * Called when an ethernet packet is received. + * Return true if we handled it here (always!) + */ +bool +nop_eth_pkt_inspector(dbsm_t *sm, int bufno) +{ + hal_toggle_leds(0x1); + + u2_eth_packet_t *pkt = (u2_eth_packet_t *) buffer_ram(bufno); + size_t byte_len = (buffer_pool_status->last_line[bufno] - 1) * 4; + + total_rx_pkts++; + total_rx_bytes += byte_len; + + // inspect rcvd frame and figure out what do do. + + if (pkt->ehdr.ethertype != U2_ETHERTYPE) + return true; // ignore, probably bogus PAUSE frame from MAC + + int chan = u2p_chan(&pkt->fixed); + + switch (chan){ + case CONTROL_CHAN: + handle_control_chan_frame(pkt, byte_len); + return true; // we handled the packet + break; + + case 0: + default: + return true; // say we handled it + break; + } +} + + +int +main(void) +{ + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + hal_gpio_set_tx_mode(15, 0, GPIOM_FPGA_1); + hal_gpio_set_rx_mode(15, 0, GPIOM_FPGA_1); // no printing... + + putstr("\ntx_drop2\n"); + + // Control LEDs + hal_set_leds(0x0, 0x3); + + // pic_register_handler(IRQ_OVERRUN, overrun_irq_handler); + pic_register_handler(IRQ_UNDERRUN, underrun_irq_handler); + + //pic_register_handler(IRQ_TIMER, timer_irq_handler); + //hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + + ethernet_init(); + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + nop_eth_pkt_inspector); + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + while(1){ + buffer_irq_handler(0); + } +} + diff --git a/usrp2/firmware/apps/bitrot/tx_drop_rate_limited.c b/usrp2/firmware/apps/bitrot/tx_drop_rate_limited.c new file mode 100644 index 00000000..0eab25b3 --- /dev/null +++ b/usrp2/firmware/apps/bitrot/tx_drop_rate_limited.c @@ -0,0 +1,233 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common.h" +#include "print_rmon_regs.h" +#include "eth_mac.h" +#include +#include +#include + + +/* + * receive packets from ethernet at a fixed rate and discard them + */ + +int total_rx_pkts = 0; +int total_rx_bytes = 0; + + +static int timer_delta = (int)(MASTER_CLK_RATE * 10e-6); // 10us / tick + + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + + + +// ---------------------------------------------------------------- + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +static volatile bool receive_packet_now = false; + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + receive_packet_now = true; +} + + +// Tx DSP underrun +void +underrun_irq_handler(unsigned irq) +{ + putchar('U'); +} + + +void +start_rx_cmd(const u2_mac_addr_t *host, op_start_rx_t *p) +{ +} + +void +stop_rx_cmd(void) +{ +} + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + op_config_tx_t def_config; + memset(&def_config, 0, sizeof(def_config)); + def_config.phase_inc = 408021893; // 9.5 MHz [2**32 * fc/fsample] + def_config.scale_iq = (tx_scale << 16) | tx_scale; + def_config.interp = interp; + + // setup Tx DSP regs + config_tx_cmd(&def_config); +} + + +/* + * Called when an ethernet packet is received. + * + * Claim that we handled all the packets, + * dropping those destined for the TX DSP chain + * on the ground. + */ +bool +nop_eth_pkt_inspector(dbsm_t *sm, int bufno) +{ + hal_toggle_leds(0x1); + + u2_eth_packet_t *pkt = (u2_eth_packet_t *) buffer_ram(bufno); + size_t byte_len = (buffer_pool_status->last_line[bufno] - 1) * 4; + + total_rx_pkts++; + total_rx_bytes += byte_len; + + // inspect rcvd frame and figure out what do do. + + if (pkt->ehdr.ethertype != U2_ETHERTYPE) + return true; // ignore, probably bogus PAUSE frame from MAC + + int chan = u2p_chan(&pkt->fixed); + + switch (chan){ + case CONTROL_CHAN: + handle_control_chan_frame(pkt, byte_len); + return true; // we handled the packet + break; + + case 0: + default: + return true; // We handled the data by dropping it :) + break; + } +} + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (status & (BPS_DONE(CPU_TX_BUF) | BPS_ERROR(CPU_TX_BUF))) + bp_clear_buf(CPU_TX_BUF); + + if (status & (BPS_DONE(DSP_TX_BUF_0) | BPS_ERROR(DSP_TX_BUF_0))){ + bp_clear_buf(DSP_TX_BUF_0); + + if (status & BPS_ERROR(DSP_TX_BUF_0)){ + int crc = eth_mac_read_rmon(0x05); + int fifo_full = eth_mac_read_rmon(0x06); + int too_short_too_long = eth_mac_read_rmon(0x07); + putstr("Errors! status = "); + puthex32_nl(status); + + printf("crc_err\t\t= %d\n", crc); + printf("fifo_full\t\t= %d\n", fifo_full); + printf("too_short_too_long\t= %d\n", too_short_too_long); + + printf("total_rx_pkts = %d\n", total_rx_pkts); + printf("total_rx_bytes = %d\n", total_rx_bytes); + } + else + nop_eth_pkt_inspector(0, DSP_TX_BUF_0); + } + + if (receive_packet_now && (status & BPS_IDLE(DSP_TX_BUF_0))){ + receive_packet_now = false; + bp_receive_to_buf(DSP_TX_BUF_0, PORT_ETH, 1, 0, BP_LAST_LINE); + } +} + + +int +main(void) +{ + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + hal_gpio_set_tx_mode(15, 0, GPIOM_FPGA_1); + hal_gpio_set_rx_mode(15, 0, GPIOM_FPGA_1); + + putstr("\ntx_drop_rate_limited\n"); + + // Control LEDs + hal_set_leds(0x0, 0x3); + + pic_register_handler(IRQ_UNDERRUN, underrun_irq_handler); + + pic_register_handler(IRQ_TIMER, timer_irq_handler); + hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + // program tx registers + setup_tx(); + + // start a receive from ethernet + bp_receive_to_buf(DSP_TX_BUF_0, PORT_ETH, 1, 0, BP_LAST_LINE); + + while(1){ + buffer_irq_handler(0); + } +} + diff --git a/usrp2/firmware/apps/blink_leds.c b/usrp2/firmware/apps/blink_leds.c new file mode 100644 index 00000000..682ca8db --- /dev/null +++ b/usrp2/firmware/apps/blink_leds.c @@ -0,0 +1,40 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "hal_io.h" +#include "nonstdio.h" + +int +main(void) +{ + int counter = 0; + + u2_init(); + + putstr("blink_leds\n"); + while(1){ + output_regs->leds = (counter++ & 0x3); + } + + return 0; +} diff --git a/usrp2/firmware/apps/blink_leds2.c b/usrp2/firmware/apps/blink_leds2.c new file mode 100644 index 00000000..d4bd89a1 --- /dev/null +++ b/usrp2/firmware/apps/blink_leds2.c @@ -0,0 +1,53 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "hal_io.h" +#include "pic.h" +#include "nonstdio.h" + +//#define DELTA_T (MASTER_CLK_RATE/2) // 0.5s (10ns per tick) +#define DELTA_T 5000 // 5 us (10ns per tick) + + +void +timer_handler(unsigned irq) +{ + hal_set_timeout(DELTA_T); // schedule next timeout + hal_toggle_leds(0x2); +} + +int +main(void) +{ + u2_init(); + + putstr("blink_leds2\n"); + pic_register_handler(IRQ_TIMER, timer_handler); + hal_set_timeout(DELTA_T); // schedule next timeout + + while(1){ + hal_toggle_leds(0x1); + } + + return 0; +} diff --git a/usrp2/firmware/apps/buf_ram_test.c b/usrp2/firmware/apps/buf_ram_test.c new file mode 100644 index 00000000..e639166a --- /dev/null +++ b/usrp2/firmware/apps/buf_ram_test.c @@ -0,0 +1,89 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "memory_map.h" +#include "bool.h" +#include "nonstdio.h" +#include "hal_io.h" +#include "mdelay.h" + + +static void +write_bufs(void) +{ + int i, n; + int counter = 0; + + for (n = 0; n < NBUFFERS; n++){ + volatile int *p = buffer_ram(n); + for (i = 0; i < BP_NLINES; i++) + p[i] = counter++; + } +} + +// return number of errors detected +static int +check_bufs(void) +{ + int i, n; + int counter = 0; + int nerrors = 0; + + for (n = 0; n < NBUFFERS; n++){ + volatile int *p = buffer_ram(n); + for (i = 0; i < BP_NLINES; i++, counter++){ + int rd = p[i]; + if (rd != counter){ + putchar('b'); + putchar(n + '0'); + putchar('['); + puthex16(i); + putstr("] exp: "); + puthex32(counter); + putstr(" got: "); + puthex32_nl(rd); + nerrors++; + } + } + } + return nerrors; +} + + +int +main(void) +{ + u2_init(); + + output_regs->leds = 0; + + write_bufs(); + int nerrors = check_bufs(); + + if (nerrors == 0){ + output_regs->leds = 0x3; // leds on -> PASS + putstr("PASS\n"); + } + else { + output_regs->leds = 0x0; // leds off -> FAIL + putstr("FAIL\n"); + } + + hal_finish(); + return 0; +} diff --git a/usrp2/firmware/apps/can_i_sub.c b/usrp2/firmware/apps/can_i_sub.c new file mode 100644 index 00000000..ed49791f --- /dev/null +++ b/usrp2/firmware/apps/can_i_sub.c @@ -0,0 +1,25 @@ +#include +#include + +//typedef long long int64_t; + + +int64_t sub(int64_t a, int64_t b); +void print(int64_t d); + +int main(void) +{ + u2_init(); + + int64_t d = sub(462550990848000LL, 462028800000000LL); + print_uint64(d); + newline(); + return 0; +} + +int64_t sub(int64_t a, int64_t b) +{ + return a - b; +} + + diff --git a/usrp2/firmware/apps/double_buffer_fragment.c b/usrp2/firmware/apps/double_buffer_fragment.c new file mode 100644 index 00000000..cfc06124 --- /dev/null +++ b/usrp2/firmware/apps/double_buffer_fragment.c @@ -0,0 +1,138 @@ +#if 0 +void +double_buffering(int port) { + unsigned int localstatus = buffer_pool_status->status; + + if(localstatus & BPS_DONE_0) { + bp_clear_buf(0); + if(buffer_state[0] == FILLING) { + buffer_state[0] = FULL; + if(buffer_state[1] == EMPTY) { + bp_receive_to_buf(1, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[1] = FILLING; + } + else + dsp_rx_idle = 1; + if(serdes_tx_idle) { + serdes_tx_idle = 0; + bp_send_from_buf(0, port, 1, 10, 509); // SERDES_TX from buffer 0 + buffer_state[0] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[0] = EMPTY; + if(dsp_rx_idle) { + dsp_rx_idle = 0; + bp_receive_to_buf(0, 1, 1, 10, 509); // DSP_RX to buffer 0, use 500 lines + buffer_state[0] = FILLING; + } + if(buffer_state[1] == FULL) { + bp_send_from_buf(1, port, 1, 10, 509); // SERDES_TX from buffer 1 + buffer_state[1] = EMPTYING; + } + else + serdes_tx_idle = 1; + } + putstr("Int Proc'ed 0\n"); + } + + if(localstatus & BPS_DONE_1) { + bp_clear_buf(1); + if(buffer_state[1] == FILLING) { + buffer_state[1] = FULL; + if(buffer_state[0] == EMPTY) { + bp_receive_to_buf(0, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[0] = FILLING; + } + else + dsp_rx_idle = 1; + if(serdes_tx_idle) { + serdes_tx_idle = 0; + bp_send_from_buf(1, port, 1, 10, 509); // SERDES_TX from buffer 1 + buffer_state[1] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[1] = EMPTY; + if(dsp_rx_idle) { + dsp_rx_idle = 0; + bp_receive_to_buf(1, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[1] = FILLING; + } + if(buffer_state[0] == FULL) { + bp_send_from_buf(0, port, 1, 10, 509); // SERDES_TX from buffer 0 + buffer_state[0] = EMPTYING; + } + else + serdes_tx_idle = 1; + } + putstr("Int Proc'ed 1\n"); + } + + if(localstatus & BPS_DONE_2) { + bp_clear_buf(2); + if(buffer_state[2] == FILLING) { + buffer_state[2] = FULL; + if(buffer_state[3] == EMPTY) { + bp_receive_to_buf(3, port, 1, 5, 504); // SERDES_RX to buffer 3, use 500 lines + buffer_state[3] = FILLING; + } + else + serdes_rx_idle = 1; + if(dsp_tx_idle) { + dsp_tx_idle = 0; + bp_send_from_buf(2, 1, 1, 5, 504); // DSP_TX from buffer 2 + buffer_state[2] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[2] = EMPTY; + if(serdes_rx_idle) { + serdes_rx_idle = 0; + bp_receive_to_buf(2, port, 1, 5, 504); // SERDES_RX to buffer 2 + buffer_state[2] = FILLING; + } + if(buffer_state[3] == FULL) { + bp_send_from_buf(3, 1, 1, 5, 504); // DSP_TX from buffer 3 + buffer_state[3] = EMPTYING; + } + else + dsp_tx_idle = 1; + } + putstr("Int Proc'ed 2\n"); + } + + if(localstatus & BPS_DONE_3) { + bp_clear_buf(3); + if(buffer_state[3] == FILLING) { + buffer_state[3] = FULL; + if(buffer_state[2] == EMPTY) { + bp_receive_to_buf(2, port, 1, 5, 504); // SERDES_RX to buffer 2, use 500 lines + buffer_state[2] = FILLING; + } + else + serdes_rx_idle = 1; + if(dsp_tx_idle) { + dsp_tx_idle = 0; + bp_send_from_buf(3, 1, 1, 5, 504); // DSP_TX from buffer 3 + buffer_state[3] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[3] = EMPTY; + if(serdes_rx_idle) { + serdes_rx_idle = 0; + bp_receive_to_buf(3, port, 1, 5, 504); // SERDES_RX to buffer 3 + buffer_state[3] = FILLING; + } + if(buffer_state[2] == FULL) { + bp_send_from_buf(2, 1, 1, 5, 504); // DSP_TX from buffer 2 + buffer_state[2] = EMPTYING; + } + else + dsp_tx_idle = 1; + } + putstr("Int Proc'ed 3\n"); + } +} +#endif diff --git a/usrp2/firmware/apps/echo.c b/usrp2/firmware/apps/echo.c new file mode 100644 index 00000000..89108ee8 --- /dev/null +++ b/usrp2/firmware/apps/echo.c @@ -0,0 +1,34 @@ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "stdio.h" + +int +main(void) +{ + u2_init(); + + puts("\n>>> echo <<<"); + + while (1){ + int ch = getchar(); + putchar(ch); + } + + return 0; +} diff --git a/usrp2/firmware/apps/eth_serdes.c b/usrp2/firmware/apps/eth_serdes.c new file mode 100644 index 00000000..c47b8ebd --- /dev/null +++ b/usrp2/firmware/apps/eth_serdes.c @@ -0,0 +1,230 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_passthru_v2.h" +#include "memcpy_wa.h" +#include "clocks.h" +#include +#include +#include + + +#define FW_SETS_SEQNO 1 // define to 0 or 1 (FIXME must be 1 for now) + +#if (FW_SETS_SEQNO) +static int fw_seqno __attribute__((unused)); // used when f/w is filling in sequence numbers +#endif + + +/* + * Full duplex Tx and Rx between ethernet and serdes + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu + +#define DSP_RX_BUF_0 2 // serdes -> eth (double buffer) +#define DSP_RX_BUF_1 3 // serdes -> eth +#define DSP_TX_BUF_0 4 // eth -> serdes (double buffer) +#define DSP_TX_BUF_1 5 // eth -> serdes + +/* + * ================================================================ + * configure serdes double buffering state machine (eth -> serdes) + * ================================================================ + */ + + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to serdes +buf_cmd_args_t dsp_tx_send_args = { + PORT_SERDES, + 0, + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + +/* + * ==================================================================== + * configure serdes RX double buffering state machine (serdes -> eth) + * ==================================================================== + */ + +// receive from serdes +buf_cmd_args_t dsp_rx_recv_args = { + PORT_SERDES, + 0, + BP_LAST_LINE +}; + +// send to ETH +buf_cmd_args_t dsp_rx_send_args = { + PORT_ETH, + 0, // starts with ethernet header in line 0 + 0, // filled in from list_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +// ---------------------------------------------------------------- + + +#if (FW_SETS_SEQNO) +/* + * Debugging ONLY. This will be handled by the tx_protocol_engine. + * + * This is called when the DSP Rx chain has filled in a packet. + * We set and increment the seqno, then return false, indicating + * that we didn't handle the packet. A bit of a kludge + * but it should work. + */ + +bool +fw_sets_seqno_inspector(dbsm_t *sm, int buf_this) // returns false +{ +#if 0 + uint32_t *p = buffer_ram(buf_this); + uint32_t last_line = buffer_pool_status->last_line[buf_this] - sm->last_line_adj; + printf("fw_sets_seqno_inspector: buf_this = %d, last_line = %d\n", + buf_this, last_line); + + print_buffer(p, (last_line + 1)); +#endif + +#if 0 + uint32_t *p = buffer_ram(buf_this); + uint32_t seqno = fw_seqno++; + + // KLUDGE all kinds of nasty magic numbers and embedded knowledge + uint32_t t = p[4]; + t = (t & 0xffff00ff) | ((seqno & 0xff) << 8); + p[4] = t; +#endif + + return false; // we didn't handle the packet +} +#endif + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (0 && (status & ~BPS_IDLE_ALL)){ + putstr("status = "); + puthex32_nl(status); + } + + dbsm_process_status(&dsp_tx_sm, status); + dbsm_process_status(&dsp_rx_sm, status); +} + +int +main(void) +{ + u2_init(); + + putstr("\neth <-> serdes\n"); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + // clocks_mimo_config(MC_WE_LOCK_TO_SMA | MC_PROVIDE_CLK_TO_MIMO); + clocks_mimo_config(MC_WE_DONT_LOCK | MC_PROVIDE_CLK_TO_MIMO); + +#if 0 + // make bit 15 of Tx gpio's be a s/w output + hal_gpio_set_sel(GPIO_TX_BANK, 15, 's'); + hal_gpio_set_ddr(GPIO_TX_BANK, 0x8000, 0x8000); +#endif + +#if 1 + output_regs->debug_mux_ctrl = 1; + hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + hal_gpio_set_ddr(GPIO_TX_BANK, 0xffff, 0xffff); + hal_gpio_set_ddr(GPIO_RX_BANK, 0xffff, 0xffff); +#endif + + + // initialize double buffering state machine for ethernet -> serdes + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + eth_pkt_inspector); + + + // initialize double buffering state machine for serdes -> ethernet + + if (FW_SETS_SEQNO){ + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + fw_sets_seqno_inspector); + } + else { + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + dbsm_nop_inspector); + } + + // tell app_common that this dbsm could be sending to the ethernet + ac_could_be_sending_to_eth = &dsp_rx_sm; + + + // kick off the state machines + dbsm_start(&dsp_tx_sm); + dbsm_start(&dsp_rx_sm); + + //int which = 0; + + while(1){ + // hal_gpio_write(GPIO_TX_BANK, which, 0x8000); + // which ^= 0x8000; + + buffer_irq_handler(0); + } +} diff --git a/usrp2/firmware/apps/gen_eth_packets.c b/usrp2/firmware/apps/gen_eth_packets.c new file mode 100644 index 00000000..ce1e8160 --- /dev/null +++ b/usrp2/firmware/apps/gen_eth_packets.c @@ -0,0 +1,181 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "memcpy_wa.h" +#include "print_rmon_regs.h" +#include +#include +#include + + +// ---------------------------------------------------------------- + +static u2_mac_addr_t dst_mac_addr = + {{ 0xff, 0xff, 0xff, 0xff, 0xff, 0xff }}; + +// ---------------------------------------------------------------- + +// #define PACKET_SIZE 1500 // bytes +// #define ETH_DATA_RATE 1000000 // 1MB/s +// #define ETH_PACKET_RATE (ETH_DATA_RATE/PACKET_SIZE) // 13,3333 pkts/s + +// static int timer_delta = MASTER_CLK_RATE/ETH_PACKET_RATE; // ticks between interrupts + +static int timer_delta = (int)(MASTER_CLK_RATE * 1e-3); // tick at 1 kHz +static int sim_timer_delta = (int)(MASTER_CLK_RATE * 100e-6); // tick at 10 kHz + +static volatile bool send_packet_now = false; // timer handler sets this +static volatile bool link_is_up = false; // eth handler sets this + +int packet_number = 0; + + +#define CPU_TX_BUF 0 // cpu xmits ethernet frames from here +#define CPU_RX_BUF 1 // receive ethernet frames here + +// ---------------------------------------------------------------- + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + link_is_up = speed == 0 ? false : true; + hal_set_leds(link_is_up ? 0x2 : 0x0, 0x2); + printf("\neth link changed: speed = %d\n", speed); +} + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + send_packet_now = 1; +} + + +static void +init_packet(int *buf, const u2_eth_packet_t *pkt, int bufnum) +{ + int i = 0; + int mark = ((bufnum & 0xff) << 24) | 0x005A0000; + + for (i = 0; i < BP_NLINES; i++){ + buf[i] = mark | i; + mark ^= 0x00FF0000; + } + + // copy header into buffer + memcpy_wa(buf, pkt, sizeof(*pkt)); +} + +static void +init_packets(void) +{ + u2_eth_packet_t pkt __attribute__((aligned (4))); + + memset(&pkt, 0, sizeof(pkt)); + + pkt.ehdr.dst = dst_mac_addr; + // src address filled in by mac + + pkt.ehdr.ethertype = U2_ETHERTYPE; + pkt.fixed.word0 = 0x01234567; + pkt.fixed.timestamp = 0xffffffff; + + // init just the one we're using + init_packet((void *)buffer_ram(CPU_TX_BUF), &pkt, CPU_TX_BUF); +} + +int +main(void) +{ + int npackets_sent = 0; + + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\ngen_eth_packets\n"); + + hal_set_leds(0x0, 0x3); + + init_packets(); + + pic_register_handler(IRQ_TIMER, timer_irq_handler); + + if (hwconfig_simulation_p()) + timer_delta = sim_timer_delta; + + hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + if (hwconfig_simulation_p()){ + eth_mac->speed = 4; // hardcode mac speed to 1000 + link_is_up = true; + } + + // fire off a receive from the ethernet + bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + + while(1){ + uint32_t status = buffer_pool_status->status; + + if (status & (BPS_DONE(CPU_RX_BUF) | BPS_ERROR(CPU_RX_BUF))){ + bp_clear_buf(CPU_RX_BUF); + // ignore incoming ethernet packets; they were looped back in sim + bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + } + + if (status & (BPS_DONE(CPU_TX_BUF) | BPS_ERROR(CPU_TX_BUF))){ + bp_clear_buf(CPU_TX_BUF); + npackets_sent++; + if ((npackets_sent & 0xF) == 0) // print after every 16 packets + print_rmon_regs(); + } + + if (link_is_up && send_packet_now && (status & BPS_IDLE(CPU_TX_BUF))){ + send_packet_now = false; + + // kick off the next packet + // FIXME set packet number in packet + + bp_send_from_buf(CPU_TX_BUF, PORT_ETH, 1, 0, 255); // 1KB total + hal_toggle_leds(0x1); + } + } + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/gen_pause_frames.c b/usrp2/firmware/apps/gen_pause_frames.c new file mode 100644 index 00000000..4eaebcc4 --- /dev/null +++ b/usrp2/firmware/apps/gen_pause_frames.c @@ -0,0 +1,207 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "u2_eth_packet.h" +#include "memcpy_wa.h" +#include +#include + + +// ---------------------------------------------------------------- + +unsigned char dst_mac_addr[6] = { + 0xff, 0xff, 0xff, 0xff, 0xff, 0xff +}; + +// ---------------------------------------------------------------- + +// #define PACKET_SIZE 1500 // bytes +// #define ETH_DATA_RATE 1000000 // 1MB/s +// #define ETH_PACKET_RATE (ETH_DATA_RATE/PACKET_SIZE) // 13,3333 pkts/s + +// static int timer_delta = MASTER_CLK_RATE/ETH_PACKET_RATE; // ticks between interrupts + +static int timer_delta = MASTER_CLK_RATE/1000; // tick at 1kHz + +static volatile bool send_packet_now = false; // timer handler sets this +static volatile bool link_is_up = false; // eth handler sets this + +int packet_number = 0; + +// ---------------------------------------------------------------- + +// debugging output on tx pins +#define LS_MASK 0xE0000 +#define LS_1000 0x80000 +#define LS_100 0x40000 +#define LS_10 0x20000 + + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + int v = 0; + switch(speed){ + case 10: + v = LS_10; + link_is_up = true; + break; + + case 100: + v = LS_100; + link_is_up = true; + break; + + case 1000: + v = LS_100; + link_is_up = true; + break; + + default: + v = 0; + link_is_up = false; + break; + } + + hal_gpio_set_tx(v, LS_MASK); /* set debug bits on d'board */ + + putstr("\neth link changed: speed = "); + puthex16_nl(speed); +} + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + send_packet_now = 1; +} + + +void +buffer_irq_handler(unsigned irq) +{ + // FIXME +} + +static void +init_packet(int *buf, const u2_eth_packet_t *pkt, int bufnum) +{ + int i = 0; + int mark = ((bufnum & 0xff) << 24) | 0x005A0000; + + for (i = 0; i < BP_NLINES; i++){ + buf[i] = mark | i; + mark ^= 0x00FF0000; + } + + // copy header into buffer + memcpy_wa(buf, pkt, sizeof(*pkt)); +} + +static void +init_packets(void) +{ + int i; + + u2_eth_packet_t pkt __attribute__((aligned (4))); + + for (i = 0; i < 6; i++){ + pkt.ehdr.dst_addr[i] = dst_mac_addr[i]; + pkt.ehdr.src_addr[i] = 0; // filled in by mac + } + pkt.ehdr.ethertype = U2_ETHERTYPE; + + // fill ALL buffers for debugging + for (i = 0; i < 8; i++) + init_packet((void *)buffer_ram(i), &pkt, i); +} + +static int led_counter = 0; + +int +main(void) +{ + int send_pause = 1; + + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\ngen_eth_packets\n"); + + // Control LEDs + output_regs->leds = 0x00; + + init_packets(); + + // pic_register_handler(IRQ_BUFFER, buffer_irq_handler); // poll for now + pic_register_handler(IRQ_TIMER, timer_irq_handler); + hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + + ethernet_init(); + + eth_mac->pause_frame_send_en = 1; + eth_mac->pause_quanta_set = 16384 / 512; + + // eth_mac->speed = 4; // FIXME hardcode mac speed to 1000 + + while(1){ + if (link_is_up && send_packet_now){ + send_packet_now = false; + + + if (send_pause) + eth_mac->xon_cpu = 1; + else + eth_mac->xon_cpu = 0; + + send_pause ^= 1; + + // kick off the next packet + // FIXME set packet number in packet + +#if 0 + bp_send_from_buf(0, PORT_ETH, 1, 0, 255); // 1KB total + + while ((buffer_pool_status->status & (BPS_DONE_0|BPS_ERROR_0)) == 0) + ; + bp_clear_buf(0); +#endif + + output_regs->leds = ((++led_counter) & 0x1) | (link_is_up ? 0x2 : 0x0); + } + } + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/hello.c b/usrp2/firmware/apps/hello.c new file mode 100644 index 00000000..bce84309 --- /dev/null +++ b/usrp2/firmware/apps/hello.c @@ -0,0 +1,30 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "stdio.h" + +int +main(void) +{ + u2_init(); + + puts("Hello World"); + puts("Goodbye World"); + + return 0; +} diff --git a/usrp2/firmware/apps/ibs_rx_test.c b/usrp2/firmware/apps/ibs_rx_test.c new file mode 100644 index 00000000..bdc04747 --- /dev/null +++ b/usrp2/firmware/apps/ibs_rx_test.c @@ -0,0 +1,82 @@ +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "nonstdio.h" + +#define PORT 2 // ethernet = 2, serdes = 0 +int dsp_rx_buf, dsp_tx_buf, serdes_rx_buf, serdes_tx_buf; +int dsp_rx_idle, dsp_tx_idle, serdes_rx_idle, serdes_tx_idle; + +int buffer_state[4]; + +static void __attribute__((unused)) +wait_until_status_nonzero(void) +{ + while (buffer_pool_status->status == 0) + ; +} + +int +main(void) +{ + int i; + + u2_init(); + + output_regs->adc_ctrl = 0x0A; + + dsp_rx_regs->freq = 0; + dsp_rx_regs->scale_iq = (1 << 16) | 1; + dsp_rx_regs->decim_rate = 8; + + volatile unsigned int *buffer0 = buffer_ram(0); + volatile unsigned int *buffer1 = buffer_ram(1); + volatile unsigned int *buffer2 = buffer_ram(2); + + putstr("Starting RX\n"); + bp_clear_buf(0); + bp_receive_to_buf(0, 1, 1, 0, 99); + + dsp_rx_regs->rx_command = (50 << 9) | 100; // Numlines, lines per frame + dsp_rx_regs->rx_time = 0x2000; + + dsp_rx_regs->rx_command = (137 << 9) | 50; // Numlines, lines per frame + dsp_rx_regs->rx_time = 0x2200; + + while (buffer_pool_status->status == 0) + ; + bp_clear_buf(0); + bp_clear_buf(1); + bp_receive_to_buf(1, 1, 1, 0, 99); + while (buffer_pool_status->status == 0) + ; + bp_clear_buf(2); + bp_receive_to_buf(2, 1, 1, 0, 99); + while (buffer_pool_status->status == 0) + ; + + for(i=0;i<100;i++) { + puthex(i); + putstr(" "); + puthex_nl(buffer0[i]); + } + for(i=0;i<60;i++) { + puthex(i); + putstr(" "); + puthex_nl(buffer1[i]); + } + for(i=0;i<60;i++) { + puthex(i); + putstr(" "); + puthex_nl(buffer2[i]); + } + //while(timer_regs -> time < 0x6000) + // {} + + putstr("Done\n"); + hal_finish(); + + return 1; +} diff --git a/usrp2/firmware/apps/ibs_tx_test.c b/usrp2/firmware/apps/ibs_tx_test.c new file mode 100644 index 00000000..ff9446d9 --- /dev/null +++ b/usrp2/firmware/apps/ibs_tx_test.c @@ -0,0 +1,160 @@ +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "nonstdio.h" + +// Globals +#define EMPTY 0 +#define FILLING 1 +#define FULL 2 +#define EMPTYING 3 + +#define PORT 2 // ethernet = 2, serdes = 0 +int dsp_rx_buf, dsp_tx_buf, serdes_rx_buf, serdes_tx_buf; +int dsp_rx_idle, dsp_tx_idle, serdes_rx_idle, serdes_tx_idle; + +int buffer_state[4]; + +static void +wait_until_status_nonzero(void) +{ + while (buffer_pool_status->status == 0) + ; +} + +int +main(void) +{ + int i; + + u2_init(); + + dsp_tx_regs->freq = 0; + dsp_tx_regs->scale_iq = (1 << 16) | 1; + dsp_tx_regs->interp_rate = 8; + + // Write data to be sent into the first buffer + volatile unsigned int *buffer0 = buffer_ram(0); + volatile unsigned int *buffer1 = buffer_ram(1); + + + putstr("Starting to fill in RAM\n"); + for(i=0;i<512;i++) + buffer0[i] = i; + putstr("Filled in RAM\n"); + + buffer0[0] = 7; // start and end of buffer, send immediately + buffer0[1] = 0x0000; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + while(timer_regs -> time < 0x6000) + {} + + buffer0[0] = 3; // start and end of buffer + buffer0[1] = 0x8000; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + while(timer_regs -> time < 0x8400) + {} + + buffer0[0] = 3; // start and end of buffer + buffer0[1] = 0x8800; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + while(timer_regs -> time < 0x9000) + {} + + buffer0[0] = 0x2; // not last + buffer0[1] = 0x9100; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + buffer0[0] = 0x1; // last + buffer0[1] = 0x0000; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + + + buffer0[0] = 0x3; // first and last + buffer0[1] = 0x8000; // Time in the past + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + + /* + buffer0[0] = 0x2; // not last + buffer0[1] = 0x9600; // start time + bp_clear_buf(0); + bp_send_from_buf(0, 1, 1, 0, 9); + while (buffer_pool_status->status == 0) + ; + */ + + while(timer_regs -> time < 0xa000) + {} + + putstr("Done\n"); + + while(1) + {} + hal_finish(); + + // Send a bunch, let them pile up in FIFO + bp_send_from_buf(0, 2, 1, 21, 80); wait_until_status_nonzero(); + bp_clear_buf(0); + putstr("First add'l TX done\n"); + bp_send_from_buf(0, 2, 1, 81, 288); wait_until_status_nonzero(); + bp_clear_buf(0); + bp_send_from_buf(0, 2, 1, 289, 292); wait_until_status_nonzero(); + bp_clear_buf(0); + bp_send_from_buf(0, 2, 1, 293, 326); wait_until_status_nonzero(); + bp_clear_buf(0); + bp_send_from_buf(0, 2, 1, 327, 399); wait_until_status_nonzero(); + bp_clear_buf(0); + bp_send_from_buf(0, 2, 1, 400, 511); wait_until_status_nonzero(); + bp_clear_buf(0); + putstr("All add'l TX done\n"); + + bp_receive_to_buf(1, 2, 1, 21, 80); wait_until_status_nonzero(); + bp_clear_buf(1); + putstr("First add'l RX done\n"); + bp_receive_to_buf(1, 2, 1, 81, 288); wait_until_status_nonzero(); + bp_clear_buf(1); + bp_receive_to_buf(1, 2, 1, 289, 292); wait_until_status_nonzero(); + bp_clear_buf(1); + bp_receive_to_buf(1, 2, 1, 293, 326); wait_until_status_nonzero(); + bp_clear_buf(1); + bp_receive_to_buf(1, 2, 1, 327, 399); wait_until_status_nonzero(); + bp_clear_buf(1); + bp_receive_to_buf(1, 2, 1, 400, 511); wait_until_status_nonzero(); + bp_clear_buf(1); + putstr("All add'l RX done\n"); + + for(i=0;i<512;i++) + if(buffer0[i] != buffer1[i]) { + putstr("ERROR at location: "); + puthex_nl(i); + putstr("Value sent: "); + puthex_nl(buffer0[i]); + putstr("Value rcvd: "); + puthex_nl(buffer1[i]); + //break; + } + + putstr("Done Testing\n"); + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/rcv_eth_packets.c b/usrp2/firmware/apps/rcv_eth_packets.c new file mode 100644 index 00000000..92e41d92 --- /dev/null +++ b/usrp2/firmware/apps/rcv_eth_packets.c @@ -0,0 +1,233 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "memcpy_wa.h" +#include +#include + + +// ---------------------------------------------------------------- + +static u2_mac_addr_t dst_mac_addr = + {{ 0xff, 0xff, 0xff, 0xff, 0xff, 0xff }}; + + +// ---------------------------------------------------------------- + +#define PACKET_SIZE 1500 // bytes +#define ETH_DATA_RATE 1000000 // 1MB/s +#define ETH_PACKET_RATE (ETH_DATA_RATE/PACKET_SIZE) // 13,3333 pkts/s + +#define TIMER_RATE 100000000 // 100 MHz clock + +static int timer_delta = TIMER_RATE/ETH_PACKET_RATE; // ticks between interrupts + +static volatile bool send_packet_now = false; // timer handler sets this +static volatile bool link_is_up = false; // eth handler sets this + +int packet_number = 0; + +// ---------------------------------------------------------------- + +// debugging output on tx pins +#define LS_MASK 0xE0000 +#define LS_1000 0x80000 +#define LS_100 0x40000 +#define LS_10 0x20000 + + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + int v = 0; + switch(speed){ + case 10: + v = LS_10; + link_is_up = true; + break; + + case 100: + v = LS_100; + link_is_up = true; + break; + + case 1000: + v = LS_100; + link_is_up = true; + break; + + default: + v = 0; + link_is_up = false; + break; + } + + //hal_gpio_set_tx(v, LS_MASK); /* set debug bits on d'board */ + + putstr("\neth link changed: speed = "); + puthex16_nl(speed); +} + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + send_packet_now = 1; +} + + +void +buffer_irq_handler(unsigned irq) +{ + // FIXME +} + +static void +init_packet(int *buf, const u2_eth_packet_t *pkt, int bufnum) +{ + int i = 0; + int mark = ((bufnum & 0xff) << 24) | 0x005A0000; + + for (i = 0; i < BP_NLINES; i++){ + buf[i] = mark | i; + mark ^= 0x00FF0000; + } + + // copy header into buffer + memcpy_wa(buf, pkt, sizeof(*pkt)); +} + +static void +init_packets(void) +{ + int i; + + u2_eth_packet_t pkt __attribute__((aligned (4))); + + pkt.ehdr.dst = dst_mac_addr; + // src filled in by mac + pkt.ehdr.ethertype = U2_ETHERTYPE; + + // fill ALL buffers for debugging + for (i = 0; i < 8; i++) + init_packet((void *)buffer_ram(i), &pkt, i); +} + +int +main(void) +{ + u2_init(); + + int prev_leds = -1; + int new_leds = 0x00; + output_regs->leds = 0x00; + + int peak_hold_count = 0; + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\nrcv_eth_packets\n"); + + init_packets(); + + // pic_register_handler(IRQ_BUFFER, buffer_irq_handler); // poll for now + + // FIXME turn off timer since I don't think MTS and MFS instructions are implemented + // pic_register_handler(IRQ_TIMER, timer_irq_handler); + // hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + + ethernet_init(); + + //eth_mac->speed = 4; // FIXME hardcode mac speed to 1000 + + // kick off a receive + bp_receive_to_buf(2, PORT_ETH, 1, 0, 511); + + while(1){ + // u2_eth_packet_t pkt; + + new_leds = 0; + if (link_is_up) + new_leds = 0x2; + + if ((buffer_pool_status->status & (BPS_DONE_2|BPS_ERROR_2)) != 0){ + // we've got a packet! + +#if 0 + // copy to stack buffer so we can byte address it + memcpy_wa(&pkt, (void *)buffer_ram(2), sizeof(pkt)); + + putstr("Rx: src: "); + print_mac_addr(pkt.ehdr.dst_addr); + putstr(" dst: "); + print_mac_addr(pkt.ehdr.src_addr); + putstr(" ethtype: "); + puthex16(pkt.ehdr.ethertype); + putstr(" len: "); + int len = (buffer_pool_status->last_line[2] + 1) * 4; + puthex16_nl(len); +#else + volatile int *bp = buffer_ram(2); + int i; + for (i = 0; i < 16; i++){ + puthex8(i); + putchar(':'); + puthex32_nl(bp[i]); + } +#endif + + // kick off next receive + bp_clear_buf(2); + bp_receive_to_buf(2, PORT_ETH, 1, 0, 511); + + peak_hold_count = 2048 * 10; + } + + if (peak_hold_count > 0){ + peak_hold_count--; + new_leds |= 0x1; + } + + if (new_leds != prev_leds){ + prev_leds = new_leds; + output_regs->leds = new_leds; + } + } + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/read_dbids.c b/usrp2/firmware/apps/read_dbids.c new file mode 100644 index 00000000..4caabd88 --- /dev/null +++ b/usrp2/firmware/apps/read_dbids.c @@ -0,0 +1,59 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include +#include +#include + + +int main(void) +{ + u2_init(); + + puts("\nread_dbids"); + + unsigned char dbid_tx[2]; + unsigned char dbid_rx[2]; + bool ok; + + ok = eeprom_read(I2C_ADDR_TX_A, 1, dbid_tx, 2); + if (!ok){ + puts("failed to read Tx Daugherboard EEPROM"); + } + else { + putstr("Tx Daugherboard ID: "); + puthex8(dbid_tx[1]); // MSB + puthex8(dbid_tx[0]); // LSB + newline(); + } + + ok = eeprom_read(I2C_ADDR_RX_A, 1, dbid_rx, 2); + if (!ok){ + puts("failed to read Rx Daugherboard EEPROM"); + } + else { + putstr("Rx Daugherboard ID: "); + puthex8(dbid_rx[1]); // MSB + puthex8(dbid_rx[0]); // LSB + newline(); + } + + return 0; +} diff --git a/usrp2/firmware/apps/rx_only_v2.c b/usrp2/firmware/apps/rx_only_v2.c new file mode 100644 index 00000000..fc590741 --- /dev/null +++ b/usrp2/firmware/apps/rx_only_v2.c @@ -0,0 +1,263 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "memcpy_wa.h" +#include "dbsm.h" +#include "app_common_v2.h" +#include +#include +#include +#include +#include + + +#define FW_SETS_SEQNO 1 // define to 0 or 1 + +#if (FW_SETS_SEQNO) +static int fw_seqno; // used when f/w is filling in sequence numbers +#endif + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 0 is used for rcvd frames from ethernet + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + */ +#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth + + +// variables for streaming mode + +static bool streaming_p = false; +static int streaming_frame_count = 0; +#define FRAMES_PER_CMD 1000 + + +/* + * ================================================================ + * configure DSP RX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 1 line (word0) +// DSP Rx writes timestamp followed by nlines_per_frame of samples +#define DSP_RX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4 + 1) + +// receive from DSP +buf_cmd_args_t dsp_rx_recv_args = { + PORT_DSP, + DSP_RX_FIRST_LINE, + BP_LAST_LINE +}; + +// send to ETH +buf_cmd_args_t dsp_rx_send_args = { + PORT_ETH, + 0, // starts with ethernet header in line 0 + 0, // filled in from list_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + +// ---------------------------------------------------------------- + + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void link_changed_callback(int speed); +static volatile bool link_is_up = false; // eth handler sets this + + +void +start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p) +{ + host_mac_addr = *host; // remember who we're sending to + + /* + * Construct ethernet header and word0 and preload into two buffers + */ + u2_eth_packet_t pkt; + memset(&pkt, 0, sizeof(pkt)); + pkt.ehdr.dst = *host; + pkt.ehdr.ethertype = U2_ETHERTYPE; + u2p_set_word0(&pkt.fixed, 0, 0); + // DSP RX will fill in timestamp + + memcpy_wa(buffer_ram(DSP_RX_BUF_0), &pkt, sizeof(pkt)); + memcpy_wa(buffer_ram(DSP_RX_BUF_1), &pkt, sizeof(pkt)); + + + if (FW_SETS_SEQNO) + fw_seqno = 0; + + // setup RX DSP regs + dsp_rx_regs->clear_state = 1; // reset + + if (1){ // we're streaming + streaming_p = true; + streaming_frame_count = FRAMES_PER_CMD; + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * p->items_per_frame, p->items_per_frame, + 1, 1); // set "chain" bit + + // kick off the state machine + dbsm_start(&dsp_rx_sm); + dsp_rx_regs->rx_time = 0; // enqueue first of two commands + + // make sure this one and the rest have the "now" and "chain" bits set. + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * p->items_per_frame, p->items_per_frame, + 1, 1); + dsp_rx_regs->rx_time = 0; // enqueue second command + } +#if 0 + else { + streaming_p = false; + dsp_rx_regs->rx_command = + MK_RX_CMD(p->total_samples, p->items_per_frame, p->rx_now, 0); + + // kick off the state machine + dbsm_start(&dsp_rx_sm); + dsp_rx_regs->rx_time = p->rx_time; + } +#endif +} + + +void +stop_rx_cmd(void) +{ + streaming_p = false; + dsp_rx_regs->clear_state = 1; // flush cmd queue + bp_clear_buf(DSP_RX_BUF_0); + bp_clear_buf(DSP_RX_BUF_1); +} + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (status & BPS_DONE(CPU_RX_BUF)){ // we've rcvd a frame from ethernet + bp_clear_buf(CPU_RX_BUF); + eth_pkt_inspector(0, CPU_RX_BUF); + bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + } + if (status & BPS_ERROR(CPU_RX_BUF)){ // error from ethernet + bp_clear_buf(CPU_RX_BUF); + bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + } + + dbsm_process_status(&dsp_rx_sm, status); + + if (status & BPS_DONE(CPU_TX_BUF)){ + bp_clear_buf(CPU_TX_BUF); + } +} + +#if (FW_SETS_SEQNO) +/* + * Debugging ONLY. This will be handled by the tx_protocol_engine. + * + * This is called when the DSP Rx chain has filled in a packet. + * We set and increment the seqno, then return false, indicating + * that we didn't handle the packet. A bit of a kludge + * but it should work. + */ +bool +fw_sets_seqno_inspector(dbsm_t *sm, int buf_this) // returns false +{ + uint32_t *p = buffer_ram(buf_this); + uint32_t seqno = fw_seqno++; + + // KLUDGE all kinds of nasty magic numbers and embedded knowledge + uint32_t t = p[4]; + t = (t & 0xffff00ff) | ((seqno & 0xff) << 8); + p[4] = t; + + // queue up another rx command when required + if (streaming_p && --streaming_frame_count == 0){ + streaming_frame_count = FRAMES_PER_CMD; + dsp_rx_regs->rx_time = 0; + } + + return false; // we didn't handle the packet +} +#endif + + +int +main(void) +{ + u2_init(); + + putstr("\nrx_only_v2\n"); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + // initialize double buffering state machine for DSP RX -> Ethernet + + if (FW_SETS_SEQNO){ + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + fw_sets_seqno_inspector); + } + else { + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + dbsm_nop_inspector); + } + + // setup receive from ETH + bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + + + while(1){ + buffer_irq_handler(0); + + int pending = pic_regs->pending; // poll for under or overrun + + if (pending & PIC_OVERRUN_INT){ + dbsm_handle_rx_overrun(&dsp_rx_sm); + pic_regs->pending = PIC_OVERRUN_INT; // clear pending interrupt + putchar('O'); + } + } +} diff --git a/usrp2/firmware/apps/sd_bounce.c b/usrp2/firmware/apps/sd_bounce.c new file mode 100644 index 00000000..30e3e3fc --- /dev/null +++ b/usrp2/firmware/apps/sd_bounce.c @@ -0,0 +1,153 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* + * Loopback SERDES to SERDES + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "nonstdio.h" +#include "memset_wa.h" +#include +#include +#include +#include +#include + + + +// ---------------------------------------------------------------- + +#define SERDES_RX_BUF_0 0 +#define SERDES_RX_BUF_1 1 + +/* + * ================================================================ + * configure SD RX double buffering state machine + * ================================================================ + */ + +// receive from SERDES +buf_cmd_args_t sd_recv_args = { + PORT_SERDES, + 0, + BP_LAST_LINE +}; + +// send to SERDES +buf_cmd_args_t sd_send_args = { + PORT_SERDES, + 0, // starts with packet in line 0 + 0, // filled in from list_line register +}; + +dbsm_t sd_sm; // the state machine + + + + +// ---------------------------------------------------------------- + +#if 0 +static bool +check_packet(int *buf, int nlines) +{ + bool ok = true; + int i = 0; + for (i = 0; i < nlines; i++){ + int expected = ((2*i + 0) << 16) | (2*i+1); + if (buf[i] != expected){ + ok = false; + printf("buf[%d] = 0x%x expected = 0x%x\n", i, buf[i], expected); + } + } + return ok; +} + +static void +zero_buffer(int bufno) +{ + memset_wa(buffer_ram(bufno), 0, BP_NLINES * 4); +} +#endif + + +bool +sd_rx_inspector(dbsm_t *sm, int buf_this) +{ + hal_toggle_leds(0x2); + +#if 0 + int last_line = buffer_pool_status->last_line[buf_this]; + bool ok = check_packet(buffer_ram(buf_this), last_line); + static int good = 0; + static int bad = 0; + + if (ok) + good++; + else + bad++; + + if(good+bad == 10000) { + printf("Good %d\tBad %d\n",good,bad); + good = 0; + bad = 0; + } +#endif + + return false; +} + + +inline static void +buffer_irq_handler(void) +{ + uint32_t status = buffer_pool_status->status; + dbsm_process_status(&sd_sm, status); +} + + +int +main(void) +{ + u2_init(); + + putstr("\nsd_bounce\n"); + + // Get our clock from the mimo interface + clocks_mimo_config(MC_WE_LOCK_TO_MIMO); + + dbsm_init(&sd_sm, SERDES_RX_BUF_0, + &sd_recv_args, &sd_send_args, + sd_rx_inspector); + + // kick off the state machine + dbsm_start(&sd_sm); + + while(1){ + buffer_irq_handler(); + } +} diff --git a/usrp2/firmware/apps/sd_gentest.c b/usrp2/firmware/apps/sd_gentest.c new file mode 100644 index 00000000..4824f03f --- /dev/null +++ b/usrp2/firmware/apps/sd_gentest.c @@ -0,0 +1,269 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "nonstdio.h" +#include "memset_wa.h" +#include +#include +#include +#include +#include + +// ---------------------------------------------------------------- + +int packet_number = 0; +volatile bool send_packet_now = 0; + +#define SERDES_TX_BUF 0 +#define SERDES_RX_BUF 1 + + +#define NLINES_PER_PKT 380 + + +// ---------------------------------------------------------------- + +//static int timer_delta = (int)(MASTER_CLK_RATE * 100e-6); +static int timer_delta = 1000000; // .01 second + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + send_packet_now = true; +} + + +static void +init_packet(int *buf) +{ + int i = 0; + for (i = 0; i < BP_NLINES; i++){ + buf[i] = ((2*i + 0) << 16) | (2*i+1); + } +} + +static bool +check_packet(int *buf, int nlines) +{ + bool ok = true; + int i = 0; + for (i = 0; i < nlines; i++){ + int expected = ((2*i + 0) << 16) | (2*i+1); + if (buf[i] != expected){ + ok = false; + printf("buf[%d] = 0x%x expected = 0x%x\n", i, buf[i], expected); + } + } + return ok; +} + +static void +zero_buffer(int bufno) +{ + memset_wa(buffer_ram(bufno), 0, BP_NLINES * 4); +} + +static void +init_packets(void) +{ + // init just the one we're using + init_packet(buffer_ram(SERDES_TX_BUF)); +} + +int +main(void) +{ + u2_init(); + + // We're free running and provide clock to the MIMO interface + clocks_mimo_config(MC_WE_DONT_LOCK | MC_PROVIDE_CLK_TO_MIMO); + + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + // output_regs->debug_mux_ctrl = 1; + // hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + // hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\nsd_gentest\n"); + + // Set up serdes (already enabled) + //output_regs->serdes_ctrl = (SERDES_ENABLE | SERDES_RXEN | SERDES_LOOPEN); + //output_regs->serdes_ctrl = (SERDES_ENABLE | SERDES_RXEN); + + init_packets(); + + // pic_register_handler(IRQ_TIMER, timer_irq_handler); + + //if (hwconfig_simulation_p()) + // timer_delta = sim_timer_delta; + + // start a receive from sd + zero_buffer(SERDES_RX_BUF); + bp_receive_to_buf(SERDES_RX_BUF, PORT_SERDES, 1, 0, BP_LAST_LINE); + + // fire off the first packet + bp_send_from_buf(SERDES_TX_BUF, PORT_SERDES, 1, 0, NLINES_PER_PKT); + hal_set_timeout(timer_delta); + int ready_to_send = 0; + + int counter __attribute__((unused)) = 0; + int sent = 1; + int txerr = 0; + int rxerr = 0; + int rcvd = 0; + int rxcrc = 0; + int sent_acc = 0; + int txerr_acc = 0; + int rxerr_acc = 0; + int rcvd_acc = 0; + int rxcrc_acc = 0; + +#define EXPECTING_PKT() ((counter & 0x1) == 0) +#define SEND_PKT() ((counter & 0x1) != 0) + + bool got_packet = false; + + while(1){ + uint32_t status = buffer_pool_status->status; + + if (status & (BPS_DONE(SERDES_RX_BUF))){ + bp_clear_buf(SERDES_RX_BUF); + got_packet = true; + + //hal_toggle_leds(0x2); + + // check packet + int last_line = buffer_pool_status->last_line[SERDES_RX_BUF]-1; + bool ok = check_packet(buffer_ram(SERDES_RX_BUF), last_line); + + if (ok) { + rcvd++; + //putchar('r'); + } + else { + rcvd++; + rxcrc++; + //putchar('P'); + } + // start a receive from sd + zero_buffer(SERDES_RX_BUF); + bp_receive_to_buf(SERDES_RX_BUF, PORT_SERDES, 1, 0, BP_LAST_LINE); + } + + if (status & (BPS_ERROR(SERDES_RX_BUF))){ + bp_clear_buf(SERDES_RX_BUF); + got_packet = true; + rcvd++; + rxerr++; + //putchar('E'); + + // start a receive from sd + zero_buffer(SERDES_RX_BUF); + bp_receive_to_buf(SERDES_RX_BUF, PORT_SERDES, 1, 0, BP_LAST_LINE); + } + + if (status & (BPS_DONE(SERDES_TX_BUF))){ + bp_clear_buf(SERDES_TX_BUF); + //putchar('t'); + bp_send_from_buf(SERDES_TX_BUF, PORT_SERDES, 1, 0, NLINES_PER_PKT); + //mdelay(1); + int i; + for (i = 0; i < 50; i++){ + asm volatile ("or r0, r0, r0\n\ + or r0, r0, r0\n \ + or r0, r0, r0\n \ + or r0, r0, r0\n \ + or r0, r0, r0\n \ + or r0, r0, r0\n \ + or r0, r0, r0\n"); + } + sent ++; + ready_to_send = 1; + //hal_toggle_leds(0x1); + } + + if (status & BPS_ERROR(SERDES_TX_BUF)){ + bp_clear_buf(SERDES_TX_BUF); + sent++; + txerr++; + ready_to_send = 1; + //putchar('X'); + } + + if(sent >=1000) { + printf("Status\tSENT %d\tTXERR %d\t",sent,txerr); + printf("RX %d\tERR %d\tCRC %d\tMISSED %d\n",rcvd, rxerr, rxcrc, sent-rcvd); + sent_acc += sent; sent = 0; + txerr_acc += txerr; txerr = 0; + rcvd_acc += rcvd; rcvd = 0; + rxerr_acc += rxerr; rxerr = 0; + rxcrc_acc += rxcrc; rxcrc = 0; + } + + if(sent_acc >=10000) { + printf("\nOverall\tSENT %d\tTXERR %d\t",sent_acc,txerr_acc); + printf("RX %d\tERR %d\tCRC %d\tMISSED %d\n\n",rcvd_acc, rxerr_acc, rxcrc_acc, sent_acc-rcvd_acc); + sent_acc = 0; + txerr_acc = 0; + rcvd_acc = 0; + rxerr_acc = 0; + rxcrc_acc = 0; + } +#if 0 + int pending = pic_regs->pending; + if (pending & PIC_TIMER_INT){ + hal_set_timeout(timer_delta); + + /* + if (EXPECTING_PKT()){ + if (!got_packet) + putchar('T'); + got_packet = false; + } + + if (SEND_PKT()){ + if (status & BPS_IDLE(SERDES_TX_BUF)) + bp_send_from_buf(SERDES_TX_BUF, PORT_SERDES, 1, 0, NLINES_PER_PKT); + } + counter++; + */ + + putchar('T'); + if(ready_to_send) { + bp_send_from_buf(SERDES_TX_BUF, PORT_SERDES, 1, 0, NLINES_PER_PKT); + counter++; + ready_to_send = 0; + } + + pic_regs->pending = PIC_TIMER_INT; // clear pending interrupt + } +#endif + } + + return 0; +} diff --git a/usrp2/firmware/apps/serdes_to_dsp.c b/usrp2/firmware/apps/serdes_to_dsp.c new file mode 100644 index 00000000..ff226bd9 --- /dev/null +++ b/usrp2/firmware/apps/serdes_to_dsp.c @@ -0,0 +1,188 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common.h" +#include +#include +#include +#include + + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + +/* + * ================================================================ + * configure DSP TX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4) + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_SERDES, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past transport header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + + +// ---------------------------------------------------------------- + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void +start_rx_cmd(const u2_mac_addr_t *host, op_start_rx_t *p) +{ +} + +void +stop_rx_cmd(void) +{ +} + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + op_config_tx_t def_config; + memset(&def_config, 0, sizeof(def_config)); + def_config.phase_inc = 408021893; // 9.5 MHz [2**32 * fc/fsample] + def_config.scale_iq = (tx_scale << 16) | tx_scale; + def_config.interp = interp; + + // setup Tx DSP regs + config_tx_cmd(&def_config); +} + + +inline static void +buffer_irq_handler(unsigned irq) +{ + //hal_toggle_leds(0x2); + + uint32_t status = buffer_pool_status->status; + + dbsm_process_status(&dsp_tx_sm, status); + + if (status & BPS_DONE(CPU_TX_BUF)){ + bp_clear_buf(CPU_TX_BUF); + } +} + +int +main(void) +{ + u2_init(); + + // Get our clock from the mimo interface + + // if(I WANT TO LOCK TO A REFERENCE CLOCK) + // Reg 8, Charge pump on, dig lock det, positive PFD, 47 + ad9510_write_reg(0x08, 0x47); + ad9510_write_reg(0x5A, 0x01); // Update Regs + // if (LOCK_TO_MIMO_REF) + + // Turn on ref output and choose the MIMO connector + output_regs->clk_ctrl = 0x15; + // Turn on ref output and choose the SMA connector + //output_regs->clk_ctrl = 0x14; + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\nserdes_to_dsp\n"); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + eth_pkt_inspector); + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + while(1){ + buffer_irq_handler(0); + + int pending = pic_regs->pending; // poll for under or overrun + + if (pending & PIC_UNDERRUN_INT){ + dbsm_handle_tx_underrun(&dsp_tx_sm); + pic_regs->pending = PIC_UNDERRUN_INT; // clear interrupt + putchar('U'); + } + } +} + diff --git a/usrp2/firmware/apps/serdes_txrx.c b/usrp2/firmware/apps/serdes_txrx.c new file mode 100644 index 00000000..8f28a84f --- /dev/null +++ b/usrp2/firmware/apps/serdes_txrx.c @@ -0,0 +1,346 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common_v2.h" +#include "memcpy_wa.h" +#include "clocks.h" +#include +#include +#include + + +#define FW_SETS_SEQNO 1 // define to 0 or 1 (FIXME must be 1 for now) + +#if (FW_SETS_SEQNO) +static int fw_seqno; // used when f/w is filling in sequence numbers +#endif + + +/* + * Full duplex Tx and Rx between serdes and DSP pipelines + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to serdes flow + * Buffers 4 and 5 are used to double-buffer the serdes to DSP Tx flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu + +#define DSP_RX_BUF_0 2 // dsp rx -> serdes (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> serdes +#define DSP_TX_BUF_0 4 // serdes -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // serdes -> dsp tx + +/* + * ================================================================== + * configure DSP TX double buffering state machine (serdes -> dsp) + * ================================================================== + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4) + +// Receive from serdes +buf_cmd_args_t dsp_tx_recv_args = { + PORT_SERDES, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past transport header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + +/* + * ================================================================= + * configure DSP RX double buffering state machine (dsp -> serdes) + * ================================================================= + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 1 line (word0) +// DSP Rx writes timestamp followed by nlines_per_frame of samples +#define DSP_RX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4 + 1) + +// receive from DSP +buf_cmd_args_t dsp_rx_recv_args = { + PORT_DSP, + DSP_RX_FIRST_LINE, + BP_LAST_LINE +}; + +// send to serdes +buf_cmd_args_t dsp_rx_send_args = { + PORT_SERDES, + 0, // starts with ethernet header in line 0 + 0, // filled in from list_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +// variables for streaming mode + +static bool streaming_p = false; +static unsigned int streaming_items_per_frame = 0; +static int streaming_frame_count = 0; +#define FRAMES_PER_CMD 1000 + + +// ---------------------------------------------------------------- + + +static void +restart_streaming(void) +{ + // setup RX DSP regs + dsp_rx_regs->clear_state = 1; // reset + + streaming_p = true; + streaming_frame_count = FRAMES_PER_CMD; + + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame, + streaming_items_per_frame, + 1, 1); // set "chain" bit + + // kick off the state machine + dbsm_start(&dsp_rx_sm); + + dsp_rx_regs->rx_time = 0; // enqueue first of two commands + + // make sure this one and the rest have the "now" and "chain" bits set. + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame, + streaming_items_per_frame, + 1, 1); + + dsp_rx_regs->rx_time = 0; // enqueue second command +} + +void +start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p) +{ + host_mac_addr = *host; // remember who we're sending to + + /* + * Construct ethernet header and word0 and preload into two buffers + */ + u2_eth_packet_t pkt; + memset(&pkt, 0, sizeof(pkt)); + pkt.ehdr.dst = *host; + pkt.ehdr.ethertype = U2_ETHERTYPE; + u2p_set_word0(&pkt.fixed, 0, 0); + // DSP RX will fill in timestamp + + memcpy_wa(buffer_ram(DSP_RX_BUF_0), &pkt, sizeof(pkt)); + memcpy_wa(buffer_ram(DSP_RX_BUF_1), &pkt, sizeof(pkt)); + + + if (FW_SETS_SEQNO) + fw_seqno = 0; + + streaming_items_per_frame = p->items_per_frame; + restart_streaming(); +} + + +void +stop_rx_cmd(void) +{ + streaming_p = false; + dsp_rx_regs->clear_state = 1; // flush cmd queue + bp_clear_buf(DSP_RX_BUF_0); + bp_clear_buf(DSP_RX_BUF_1); +} + + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + // setup some defaults + + dsp_tx_regs->freq = 0; + dsp_tx_regs->scale_iq = (tx_scale << 16) | tx_scale; + dsp_tx_regs->interp_rate = interp; +} + + +#if (FW_SETS_SEQNO) +/* + * Debugging ONLY. This will be handled by the tx_protocol_engine. + * + * This is called when the DSP Rx chain has filled in a packet. + * We set and increment the seqno, then return false, indicating + * that we didn't handle the packet. A bit of a kludge + * but it should work. + */ +bool +fw_sets_seqno_inspector(dbsm_t *sm, int buf_this) // returns false +{ + uint32_t *p = buffer_ram(buf_this); + uint32_t seqno = fw_seqno++; + + // KLUDGE all kinds of nasty magic numbers and embedded knowledge + uint32_t t = p[4]; + t = (t & 0xffff00ff) | ((seqno & 0xff) << 8); + p[4] = t; + + // queue up another rx command when required + if (streaming_p && --streaming_frame_count == 0){ + streaming_frame_count = FRAMES_PER_CMD; + dsp_rx_regs->rx_time = 0; + } + + return false; // we didn't handle the packet +} +#endif + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + dbsm_process_status(&dsp_tx_sm, status); + dbsm_process_status(&dsp_rx_sm, status); +} + +int +main(void) +{ + u2_init(); + + putstr("\nSERDES TxRx\n"); + + cpu_tx_buf_dest_port = PORT_SERDES; + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + clocks_mimo_config(MC_WE_LOCK_TO_MIMO); + +#if 0 + // make bit 15 of Tx gpio's be a s/w output + hal_gpio_set_sel(GPIO_TX_BANK, 15, 's'); + hal_gpio_set_ddr(GPIO_TX_BANK, 0x8000, 0x8000); +#endif + +#if 1 + output_regs->debug_mux_ctrl = 1; + hal_gpio_set_sels(GPIO_TX_BANK, "0000000000000000"); + hal_gpio_set_sels(GPIO_RX_BANK, "0000000000000000"); + hal_gpio_set_ddr(GPIO_TX_BANK, 0xffff, 0xffff); + hal_gpio_set_ddr(GPIO_RX_BANK, 0xffff, 0xffff); +#endif + + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + eth_pkt_inspector); + + + // initialize double buffering state machine for DSP RX -> Ethernet + + if (FW_SETS_SEQNO){ + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + fw_sets_seqno_inspector); + } + else { + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + dbsm_nop_inspector); + } + + // tell app_common that this dbsm could be sending to the ethernet + ac_could_be_sending_to_eth = &dsp_rx_sm; + + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + //int which = 0; + + while(1){ + // hal_gpio_write(GPIO_TX_BANK, which, 0x8000); + // which ^= 0x8000; + + buffer_irq_handler(0); + + int pending = pic_regs->pending; // poll for under or overrun + + if (pending & PIC_UNDERRUN_INT){ + dbsm_handle_tx_underrun(&dsp_tx_sm); + pic_regs->pending = PIC_UNDERRUN_INT; // clear interrupt + putchar('U'); + } + + if (pending & PIC_OVERRUN_INT){ + dbsm_handle_rx_overrun(&dsp_rx_sm); + pic_regs->pending = PIC_OVERRUN_INT; // clear pending interrupt + + // FIXME Figure out how to handle this robustly. + // Any buffers that are emptying should be allowed to drain... + + if (streaming_p){ + // restart_streaming(); + // FIXME report error + } + else { + // FIXME report error + } + putchar('O'); + } + } +} diff --git a/usrp2/firmware/apps/test1.c b/usrp2/firmware/apps/test1.c new file mode 100644 index 00000000..c3cc3be5 --- /dev/null +++ b/usrp2/firmware/apps/test1.c @@ -0,0 +1,282 @@ +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "nonstdio.h" + +// Globals +#define EMPTY 0 +#define FILLING 1 +#define FULL 2 +#define EMPTYING 3 + +#define PORT 2 // ethernet = 2, serdes = 0 +int dsp_rx_buf, dsp_tx_buf, serdes_rx_buf, serdes_tx_buf; +int dsp_rx_idle, dsp_tx_idle, serdes_rx_idle, serdes_tx_idle; + +int buffer_state[4]; + + +void double_buffering(int port); + +// +// We register this in the secondary interrupt vector. +// It's called on buffer manager interrupts +// +void +buffer_irq_handler(unsigned irq) +{ + double_buffering(PORT); +} + +int +main(void) +{ + int i; + + u2_init(); + + // Control LEDs + output_regs->leds = 0x02; + + // Turn on ADCs + output_regs->adc_ctrl = 0x0A; + + // Set up TX Chain + dsp_tx_regs->freq = 0; + dsp_tx_regs->scale_iq = (1 << 16) | 1; + dsp_tx_regs->interp_rate = 8; + + // Set up RX Chain + dsp_rx_regs->freq = 0; + dsp_rx_regs->scale_iq = (1 << 16) | 1; + dsp_rx_regs->decim_rate = 8; + + // Set up buffer control, using only 4 for now + for(i=0;i<4;i++) + buffer_state[i] = EMPTY; + + // Set up DSP RX + buffer_state[0] = FILLING; + serdes_tx_idle = 1; + bp_receive_to_buf(0, 1, 1, 10, 509); // DSP_RX to buffer 0, use 500 lines + + //dsp_rx_regs->run_rx = 1; // Start DSP_RX + putstr("Done DSP RX setup\n"); + + // Set up serdes RX + buffer_state[2] = FILLING; + dsp_tx_idle = 1; + bp_receive_to_buf(2, PORT, 1, 5, 504); + + while (buffer_pool_status->status == 0) // wait for completion of DSP RX + ; + + putstr("Done DSP TX setup\n"); + //dsp_tx_regs->run_tx = 1; + + // register interrupt handler + pic_register_handler(IRQ_BUFFER, buffer_irq_handler); + + while (1) + ; + + hal_finish(); + return 1; +} + +void +double_buffering(int port) { + unsigned int localstatus = buffer_pool_status->status; + + if(localstatus & BPS_DONE_0) { + bp_clear_buf(0); + if(buffer_state[0] == FILLING) { + buffer_state[0] = FULL; + if(buffer_state[1] == EMPTY) { + bp_receive_to_buf(1, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[1] = FILLING; + } + else + dsp_rx_idle = 1; + if(serdes_tx_idle) { + serdes_tx_idle = 0; + bp_send_from_buf(0, port, 1, 10, 509); // SERDES_TX from buffer 0 + buffer_state[0] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[0] = EMPTY; + if(dsp_rx_idle) { + dsp_rx_idle = 0; + bp_receive_to_buf(0, 1, 1, 10, 509); // DSP_RX to buffer 0, use 500 lines + buffer_state[0] = FILLING; + } + if(buffer_state[1] == FULL) { + bp_send_from_buf(1, port, 1, 10, 509); // SERDES_TX from buffer 1 + buffer_state[1] = EMPTYING; + } + else + serdes_tx_idle = 1; + } + putstr("Int Proc'ed 0\n"); + } + if(localstatus & BPS_DONE_1) { + bp_clear_buf(1); + if(buffer_state[1] == FILLING) { + buffer_state[1] = FULL; + if(buffer_state[0] == EMPTY) { + bp_receive_to_buf(0, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[0] = FILLING; + } + else + dsp_rx_idle = 1; + if(serdes_tx_idle) { + serdes_tx_idle = 0; + bp_send_from_buf(1, port, 1, 10, 509); // SERDES_TX from buffer 1 + buffer_state[1] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[1] = EMPTY; + if(dsp_rx_idle) { + dsp_rx_idle = 0; + bp_receive_to_buf(1, 1, 1, 10, 509); // DSP_RX to buffer 1, use 500 lines + buffer_state[1] = FILLING; + } + if(buffer_state[0] == FULL) { + bp_send_from_buf(0, port, 1, 10, 509); // SERDES_TX from buffer 0 + buffer_state[0] = EMPTYING; + } + else + serdes_tx_idle = 1; + } + putstr("Int Proc'ed 1\n"); + } + if(localstatus & BPS_DONE_2) { + bp_clear_buf(2); + if(buffer_state[2] == FILLING) { + buffer_state[2] = FULL; + if(buffer_state[3] == EMPTY) { + bp_receive_to_buf(3, port, 1, 5, 504); // SERDES_RX to buffer 3, use 500 lines + buffer_state[3] = FILLING; + } + else + serdes_rx_idle = 1; + if(dsp_tx_idle) { + dsp_tx_idle = 0; + bp_send_from_buf(2, 1, 1, 5, 504); // DSP_TX from buffer 2 + buffer_state[2] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[2] = EMPTY; + if(serdes_rx_idle) { + serdes_rx_idle = 0; + bp_receive_to_buf(2, port, 1, 5, 504); // SERDES_RX to buffer 2 + buffer_state[2] = FILLING; + } + if(buffer_state[3] == FULL) { + bp_send_from_buf(3, 1, 1, 5, 504); // DSP_TX from buffer 3 + buffer_state[3] = EMPTYING; + } + else + dsp_tx_idle = 1; + } + putstr("Int Proc'ed 2\n"); + } + if(localstatus & BPS_DONE_3) { + bp_clear_buf(3); + if(buffer_state[3] == FILLING) { + buffer_state[3] = FULL; + if(buffer_state[2] == EMPTY) { + bp_receive_to_buf(2, port, 1, 5, 504); // SERDES_RX to buffer 2, use 500 lines + buffer_state[2] = FILLING; + } + else + serdes_rx_idle = 1; + if(dsp_tx_idle) { + dsp_tx_idle = 0; + bp_send_from_buf(3, 1, 1, 5, 504); // DSP_TX from buffer 3 + buffer_state[3] = EMPTYING; + } + } + else { // buffer was emptying + buffer_state[3] = EMPTY; + if(serdes_rx_idle) { + serdes_rx_idle = 0; + bp_receive_to_buf(3, port, 1, 5, 504); // SERDES_RX to buffer 3 + buffer_state[3] = FILLING; + } + if(buffer_state[2] == FULL) { + bp_send_from_buf(2, 1, 1, 5, 504); // DSP_TX from buffer 2 + buffer_state[2] = EMPTYING; + } + else + dsp_tx_idle = 1; + } + putstr("Int Proc'ed 3\n"); + } +} + +// Spare Code + +#if 0 + // Set up LSDAC + int i = 0; + while(1) { + int command = (3 << 19) | (0 << 16) | (i & 0xffff); + spi_transact(SPI_TXONLY, SPI_SS_TX_DAC, command, 24, 1); // negate TX phase + i++; + } +#endif + +#if 0 + // Write to buffer 0 + int *buf = (int *)(BUFFER_BASE + BUFFER_0); + puthex_nl((int)buf); + + for(i=0;i. + */ + +#include +#include +#include +#include + +int +main(void) +{ + u2_init(); + + puts("\ntest_db_spi"); + + while(1){ + spi_transact(SPI_TXONLY, SPI_SS_RX_DB, 0xCC33, 16, SPIF_PUSH_FALL); + spi_transact(SPI_TXONLY, SPI_SS_TX_DB, 0x33CC, 16, SPIF_PUSH_FALL); + } +} diff --git a/usrp2/firmware/apps/test_i2c.c b/usrp2/firmware/apps/test_i2c.c new file mode 100644 index 00000000..f349ead8 --- /dev/null +++ b/usrp2/firmware/apps/test_i2c.c @@ -0,0 +1,108 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include /* FIXME */ +#include +#include +#include +#include + + + +#define ASSERT_TRUE(x) \ + do { \ + if (!(x)){ \ + printf("ASSERT_TRUE failed on line %d\n", __LINE__); \ + nerrors++; \ + } \ + } while(0) + +#define ASSERT_FALSE(x) \ + do { \ + if (x){ \ + printf("ASSERT_FALSE failed on line %d\n", __LINE__); \ + nerrors++; \ + } \ + } while(0) + + +#define BUFSIZE 128 + +int +main(void) +{ + int i; + bool ok; + int nerrors = 0; + uint8_t buf[BUFSIZE]; + int not_dev_addr = 0x35; // no device with this address on the i2c bus. + int offset; + int len; + + u2_init(); + + puts("test_i2c\n"); + + // try writing a non-existent device + buf[0] = 0xA5; + ok = i2c_write(not_dev_addr, buf, 1); + ASSERT_FALSE(ok); + + // try read from non-existent device + buf[0] = 0; + ok = i2c_read(not_dev_addr, buf, 1); + ASSERT_FALSE(ok); + + // try writing eeprom + offset = 31; + len = 8; + memset(buf, 0, sizeof(buf)); + for (i = 0; i < len; i++) + buf[i] = i; + ok = eeprom_write(I2C_ADDR_MBOARD, offset, buf, len); + ASSERT_TRUE(ok); + + // now try to read it back + offset = 31; + len = 8; + memset(buf, 0, sizeof(buf)); + ok = eeprom_read(I2C_ADDR_MBOARD, offset, buf, len); + ASSERT_TRUE(ok); + + // check result + for (i = 0; i < len; i++){ + if (buf[i] != i){ + printf("buf[%d] = %d, should be %d\n", i, buf[i], i); + nerrors++; + } + } + + if (nerrors == 0){ + output_regs->leds = 0x3; + puts("PASSED\n"); + } + else { + output_regs->leds = 0x0; + puts("FAILED\n"); + } + + hal_finish(); + return 0; +} + diff --git a/usrp2/firmware/apps/test_lsadc.c b/usrp2/firmware/apps/test_lsadc.c new file mode 100644 index 00000000..5fda29cd --- /dev/null +++ b/usrp2/firmware/apps/test_lsadc.c @@ -0,0 +1,57 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include +#include +#include + +int +main(void) +{ + u2_init(); + + puts("\ntest_lsadc"); + + uint32_t r; + + unsigned int up_counter = 0; + + while (1){ + unsigned int v; + v = up_counter; + + lsdac_write_rx(0, v << 0); + lsdac_write_rx(2, v << 1); + +#if 1 + r = lsadc_read_rx(0); + lsdac_write_rx(1, r & 0x0fff); + //puthex32_nl(r); +#endif + +#if 1 + r = lsadc_read_rx(1); + lsdac_write_rx(3, r & 0x0fff); + //puthex32_nl(r); +#endif + + up_counter++; + } +} diff --git a/usrp2/firmware/apps/test_lsdac.c b/usrp2/firmware/apps/test_lsdac.c new file mode 100644 index 00000000..8c1bf333 --- /dev/null +++ b/usrp2/firmware/apps/test_lsdac.c @@ -0,0 +1,51 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include +#include + +int +main(void) +{ + u2_init(); + + puts("\ntest_lsdac"); + + unsigned int up_counter = 0; + unsigned int dn_counter = 0; + + while(1){ + unsigned int v; + v = up_counter; + lsdac_write_rx(0, v << 0); + lsdac_write_rx(1, v << 1); + lsdac_write_rx(2, v << 2); + lsdac_write_rx(3, v << 3); + + v = up_counter; + lsdac_write_tx(0, v << 0); + lsdac_write_tx(1, v << 1); + lsdac_write_tx(2, v << 2); + lsdac_write_tx(3, v << 3); + + up_counter++; + dn_counter--; + } +} diff --git a/usrp2/firmware/apps/test_phy_comm.c b/usrp2/firmware/apps/test_phy_comm.c new file mode 100644 index 00000000..7242c6fc --- /dev/null +++ b/usrp2/firmware/apps/test_phy_comm.c @@ -0,0 +1,113 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +// check communication with ethernet PHY chip + +#include "u2_init.h" +#include "memory_map.h" +#include "hal_io.h" +#include "ethernet.h" +#include "pic.h" +#include "nonstdio.h" + + +#define DELTA_T 12500000 // .125s (10ns per tick) +//#define DELTA_T 10000 + +// debugging output on tx pins +#define LS_MASK 0xE0000 +#define LS_1000 0x80000 +#define LS_100 0x40000 +#define LS_10 0x20000 + + + +#define U2_ETHERTYPE 0xBEEF + + +static volatile int led_link_up_flag = 0; + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + int v = 0; + switch(speed){ + case 10: + v = LS_10; + led_link_up_flag = 0x2; + break; + + case 100: + v = LS_100; + led_link_up_flag = 0x2; + break; + + case 1000: + v = LS_100; + led_link_up_flag = 0x2; + break; + + default: + v = 0; + led_link_up_flag = 0; + break; + } + + //hal_gpio_set_tx(v, LS_MASK); /* set debug bits on d'board */ + + putstr("\neth link changed: speed = "); + puthex_nl(speed); +} + +void +timer_handler(unsigned irq) +{ + static int led_counter = 0; + + hal_set_timeout(DELTA_T); // schedule next timeout + output_regs->leds = (led_counter++ & 0x1) | led_link_up_flag; +} + +int +main(void) +{ + u2_init(); + + putstr("\n test_phy_comm\n"); + + pic_register_handler(IRQ_TIMER, timer_handler); + hal_set_timeout(DELTA_T); // schedule timeout + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + ethernet_register_link_changed_callback(link_changed_callback); + + output_regs->phy_ctrl = 1; /* reset the eth PHY */ + output_regs->phy_ctrl = 0; + + ethernet_init(); + + while(1) + ; + + return 0; +} diff --git a/usrp2/firmware/apps/test_serdes.c b/usrp2/firmware/apps/test_serdes.c new file mode 100644 index 00000000..fadf4d86 --- /dev/null +++ b/usrp2/firmware/apps/test_serdes.c @@ -0,0 +1,192 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "memcpy_wa.h" +#include +#include + + +// ---------------------------------------------------------------- + +static u2_mac_addr_t dst_mac_addr = + {{ 0xff, 0xff, 0xff, 0xff, 0xff, 0xff }}; + +// ---------------------------------------------------------------- + +// #define PACKET_SIZE 1500 // bytes +// #define ETH_DATA_RATE 1000000 // 1MB/s +// #define ETH_PACKET_RATE (ETH_DATA_RATE/PACKET_SIZE) // 13,3333 pkts/s + +// static int timer_delta = MASTER_CLK_RATE/ETH_PACKET_RATE; // ticks between interrupts + +static int timer_delta = MASTER_CLK_RATE/1000; // tick at 1kHz + +static volatile bool send_packet_now = false; // timer handler sets this +static volatile bool link_is_up = false; // eth handler sets this + +int packet_number = 0; + +// ---------------------------------------------------------------- + +// debugging output on tx pins +#define LS_MASK 0xE0000 +#define LS_1000 0x80000 +#define LS_100 0x40000 +#define LS_10 0x20000 + + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + int v = 0; + switch(speed){ + case 10: + v = LS_10; + link_is_up = true; + break; + + case 100: + v = LS_100; + link_is_up = true; + break; + + case 1000: + v = LS_100; + link_is_up = true; + break; + + default: + v = 0; + link_is_up = false; + break; + } + + //hal_gpio_set_tx(v, LS_MASK); /* set debug bits on d'board */ + + putstr("\neth link changed: speed = "); + puthex16_nl(speed); +} + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout + send_packet_now = 1; +} + + +void +buffer_irq_handler(unsigned irq) +{ + // FIXME +} + +static void +init_packet(int *buf, const u2_eth_packet_t *pkt, int bufnum) +{ + int i = 0; + int mark = ((bufnum & 0xff) << 24) | 0x005A0000; + + for (i = 0; i < BP_NLINES; i++){ + buf[i] = mark | i; + mark ^= 0x00FF0000; + } + + // copy header into buffer + memcpy_wa(buf, pkt, sizeof(*pkt)); +} + +static void +init_packets(void) +{ + int i; + + u2_eth_packet_t pkt __attribute__((aligned (4))); + + pkt.ehdr.dst = dst_mac_addr; + // pkt.ehdr.src filled in by mac + pkt.ehdr.ethertype = U2_ETHERTYPE; + + // fill ALL buffers for debugging + for (i = 0; i < 8; i++) + init_packet((void *)buffer_ram(i), &pkt, i); +} + +static int led_counter = 0; + +int +main(void) +{ + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\ngen_eth_packets\n"); + + // Control LEDs + output_regs->leds = 0x00; + + init_packets(); + + // pic_register_handler(IRQ_BUFFER, buffer_irq_handler); // poll for now + pic_register_handler(IRQ_TIMER, timer_irq_handler); + hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + + ethernet_init(); + + // eth_mac->speed = 4; // FIXME hardcode mac speed to 1000 + int i = 0; + while(1){ + if (link_is_up && send_packet_now){ + send_packet_now = false; + + // kick off the next packet + // FIXME set packet number in packet + + bp_send_from_buf(i, PORT_SERDES, 1, 0, 255); // 1KB total + + //while ((buffer_pool_status->status & (BPS_DONE_0|BPS_ERROR_0)) == 0) + while ((buffer_pool_status->status ) == 0) + ; + bp_clear_buf(i); + i++; + if(i==8) + i=0; + output_regs->leds = ((++led_counter) & 0x1) | (link_is_up ? 0x2 : 0x0); + } + } + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/timer_test.c b/usrp2/firmware/apps/timer_test.c new file mode 100644 index 00000000..7c1e4644 --- /dev/null +++ b/usrp2/firmware/apps/timer_test.c @@ -0,0 +1,59 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "memory_map.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "nonstdio.h" + + +#define DELTA_T 500 // 5 us (10ns per tick) + + +void +timer_handler(unsigned irq) +{ + int t = timer_regs->time; + timer_regs->time = t + DELTA_T; + + putstr("Tick: "); + puthex_nl(t); +} + +int +main(void) +{ + u2_init(); + + // setup timer + + putstr("Setting up timer\n"); + pic_register_handler(IRQ_TIMER, timer_handler); + + int t = timer_regs->time; + timer_regs->time = t + DELTA_T; + + while (1) + ; + + putstr("Done Testing\n"); + + hal_finish(); + return 1; +} diff --git a/usrp2/firmware/apps/tx_only_v2.c b/usrp2/firmware/apps/tx_only_v2.c new file mode 100644 index 00000000..f1e788db --- /dev/null +++ b/usrp2/firmware/apps/tx_only_v2.c @@ -0,0 +1,171 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common_v2.h" +#include +#include +#include +#include +#include + + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +//#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + +/* + * ================================================================ + * configure DSP TX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4) + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past transport header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + + +// ---------------------------------------------------------------- + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void +start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p) +{ + // FIXME nop +} + + +void +stop_rx_cmd(void) +{ + // FIXME nop +} + + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + // setup some defaults + + dsp_tx_regs->freq = 0; + dsp_tx_regs->scale_iq = (tx_scale << 16) | tx_scale; + dsp_tx_regs->interp_rate = interp; +} + + +inline static void +buffer_irq_handler(unsigned irq) +{ + //hal_toggle_leds(0x2); + + uint32_t status = buffer_pool_status->status; + + dbsm_process_status(&dsp_tx_sm, status); + + if (status & BPS_DONE(CPU_TX_BUF)){ + bp_clear_buf(CPU_TX_BUF); + } +} + +int +main(void) +{ + u2_init(); + + putstr("\ntx_only_v2\n"); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + eth_pkt_inspector); + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + while(1){ + buffer_irq_handler(0); + + int pending = pic_regs->pending; // poll for under or overrun + + if (pending & PIC_UNDERRUN_INT){ + dbsm_handle_tx_underrun(&dsp_tx_sm); + pic_regs->pending = PIC_UNDERRUN_INT; // clear interrupt + putchar('U'); + } + } +} diff --git a/usrp2/firmware/apps/tx_standalone.c b/usrp2/firmware/apps/tx_standalone.c new file mode 100644 index 00000000..25ba8fd4 --- /dev/null +++ b/usrp2/firmware/apps/tx_standalone.c @@ -0,0 +1,338 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "memcpy_wa.h" +#include "dbsm.h" +#include +#include +#include + +#define _AL4 __attribute__((aligned (4))) + +#define USE_BUFFER_INTERRUPT 0 // 0 or 1 + + +static int timer_delta = MASTER_CLK_RATE/1000; // tick at 1kHz + +/* + * This program can respond to queries from the host + * and stream rx samples. + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu +#define CPU_TX_BUF 1 // cpu -> eth + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + + +/* + * ================================================================ + * configure DSP RX double buffering state machine + * ================================================================ + */ + + +// 4 lines of ethernet hdr + 1 line (word0) +// DSP Rx writes timestamp followed by nlines_per_frame of samples +#define DSP_RX_FIRST_LINE 5 +#define DSP_RX_SAMPLES_PER_FRAME 128 +#define DSP_RX_EXTRA_LINES 1 // writes timestamp + +// Receive from DSP Rx +buf_cmd_args_t dsp_rx_recv_args = { + PORT_DSP, + DSP_RX_FIRST_LINE, + BP_LAST_LINE +}; + +// send to ethernet +buf_cmd_args_t dsp_rx_send_args = { + PORT_ETH, + 0, // starts with ethernet header in line 0 + 0, // filled in from last_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + +/* + * ================================================================ + * configure DSP TX double buffering state machine + * ================================================================ + */ + +// 4 lines of ethernet hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE 4 +#define DSP_TX_SAMPLES_PER_FRAME 250 // not used except w/ debugging +#define DSP_TX_EXTRA_LINES 2 // reads word0 + timestamp + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past ethernet header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + +/* + * send constant buffer to DSP TX + */ +static inline void +SEND_CONST_TO_DSP_TX(void) +{ + bp_send_from_buf(DSP_TX_BUF_0, PORT_DSP, 1, + DSP_TX_FIRST_LINE, + DSP_TX_FIRST_LINE + DSP_TX_EXTRA_LINES + DSP_TX_SAMPLES_PER_FRAME - 1); +} + +// ---------------------------------------------------------------- + + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +void link_changed_callback(int speed); +static volatile bool link_is_up = false; // eth handler sets this + + +void +timer_irq_handler(unsigned irq) +{ + hal_set_timeout(timer_delta); // schedule next timeout +} + +// Tx DSP underrun +void +underrun_irq_handler(unsigned irq) +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + dbsm_stop(&dsp_tx_sm); + + // FIXME anything else? + + putstr("\nirq: underrun\n"); +} + +// Rx DSP overrun +void +overrun_irq_handler(unsigned irq) +{ + dsp_rx_regs->clear_state = 1; + bp_clear_buf(DSP_RX_BUF_0); + bp_clear_buf(DSP_RX_BUF_1); + dbsm_stop(&dsp_rx_sm); + + // FIXME anything else? + + putstr("\nirq: overrun\n"); +} + +static void +start_tx_transfers(void) +{ + bp_clear_buf(DSP_TX_BUF_0); // FIXME, really goes in state machine + bp_clear_buf(DSP_TX_BUF_1); + + // fill everything with a constant 32k + 0j + + uint32_t const_sample = (32000 << 16) | 0; + int i; + for (i = 0; i < BP_NLINES; i++){ + buffer_ram(DSP_TX_BUF_0)[i] = const_sample; + buffer_ram(DSP_TX_BUF_1)[i] = const_sample; + } + + /* + * Construct ethernet header and word0 and preload into two buffers + */ + u2_eth_packet_t pkt; + memset(&pkt, 0, sizeof(pkt)); + //pkt.ehdr.dst = *host; + pkt.ehdr.ethertype = U2_ETHERTYPE; + u2p_set_word0(&pkt.fixed, + U2P_TX_IMMEDIATE | U2P_TX_START_OF_BURST, 0); + u2p_set_timestamp(&pkt.fixed, T_NOW); + + memcpy_wa(buffer_ram(DSP_TX_BUF_0), &pkt, sizeof(pkt)); + memcpy_wa(buffer_ram(DSP_TX_BUF_1), &pkt, sizeof(pkt)); + + + int tx_scale = 256; + + // setup Tx DSP regs + dsp_tx_regs->clear_state = 1; // reset + dsp_tx_regs->freq = 408021893; // 9.5 MHz [2**32 * fc/fsample] + dsp_tx_regs->scale_iq = (tx_scale << 16) | tx_scale; + dsp_tx_regs->interp_rate = 32; + + // kick off the state machine + // dbsm_start(&dsp_rx_sm); + + SEND_CONST_TO_DSP_TX(); // send constant buffer to DSP TX +} + + +void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + if (0){ + putstr("irq: "); + puthex32(status); + putchar('\n'); + } + + if (status & BPS_ERROR_ALL){ + // FIXME rare path, handle error conditions + } + + if (status & BPS_DONE(DSP_TX_BUF_0)){ + bp_clear_buf(DSP_TX_BUF_0); + SEND_CONST_TO_DSP_TX(); + hal_toggle_leds(0x1); + } + +} + +int +main(void) +{ + u2_init(); + + // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output + //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + + putstr("\ntx_only\n"); + + // Control LEDs + hal_set_leds(0x0, 0x3); + + if (USE_BUFFER_INTERRUPT) + pic_register_handler(IRQ_BUFFER, buffer_irq_handler); + + pic_register_handler(IRQ_OVERRUN, overrun_irq_handler); + pic_register_handler(IRQ_UNDERRUN, underrun_irq_handler); + + //pic_register_handler(IRQ_TIMER, timer_irq_handler); + //hal_set_timeout(timer_delta); + + ethernet_register_link_changed_callback(link_changed_callback); + + ethernet_init(); + + // initialize double buffering state machine for DSP RX -> Ethernet + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + dbsm_nop_inspector); + + // setup receive from ETH + // bp_receive_to_buf(CPU_RX_BUF, PORT_ETH, 1, 0, BP_LAST_LINE); + +#if 0 + if (hwconfig_simulation_p()){ + // If we're simulating, pretend that we got a start command from the host + u2_mac_addr_t host = {{ 0x00, 0x0A, 0xE4, 0x3E, 0xD2, 0xD5 }}; + start_rx_cmd(&host); + } +#endif + + start_tx_transfers(); // send constant buffers to DSP TX + + while(1){ + if (!USE_BUFFER_INTERRUPT) + buffer_irq_handler(0); + } +} + +// ---------------------------------------------------------------- + +// debugging output on tx pins +#define LS_MASK 0xE0000 +#define LS_1000 0x80000 +#define LS_100 0x40000 +#define LS_10 0x20000 + +/* + * Called when eth phy state changes (w/ interrupts disabled) + */ +void +link_changed_callback(int speed) +{ + int v = 0; + switch(speed){ + case 10: + v = LS_10; + link_is_up = true; + break; + + case 100: + v = LS_100; + link_is_up = true; + break; + + case 1000: + v = LS_100; + link_is_up = true; + break; + + default: + v = 0; + link_is_up = false; + break; + } + + //hal_gpio_set_tx(v, LS_MASK); /* set debug bits on d'board */ + + // hal_set_leds(link_is_up ? 0x2 : 0x0, 0x2); + + printf("\neth link changed: speed = %d\n", speed); +} diff --git a/usrp2/firmware/apps/txrx.c b/usrp2/firmware/apps/txrx.c new file mode 100644 index 00000000..13aa8ba2 --- /dev/null +++ b/usrp2/firmware/apps/txrx.c @@ -0,0 +1,344 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "hal_io.h" +#include "buffer_pool.h" +#include "pic.h" +#include "bool.h" +#include "ethernet.h" +#include "nonstdio.h" +#include "usrp2_eth_packet.h" +#include "dbsm.h" +#include "app_common_v2.h" +#include "memcpy_wa.h" +#include +#include +#include + + +#define FW_SETS_SEQNO 1 // define to 0 or 1 (FIXME must be 1 for now) + +#if (FW_SETS_SEQNO) +static int fw_seqno; // used when f/w is filling in sequence numbers +#endif + + +/* + * Full duplex Tx and Rx between ethernet and DSP pipelines + * + * Buffer 1 is used by the cpu to send frames to the host. + * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow + * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx eth flow + */ +//#define CPU_RX_BUF 0 // eth -> cpu + +#define DSP_RX_BUF_0 2 // dsp rx -> eth (double buffer) +#define DSP_RX_BUF_1 3 // dsp rx -> eth +#define DSP_TX_BUF_0 4 // eth -> dsp tx (double buffer) +#define DSP_TX_BUF_1 5 // eth -> dsp tx + +/* + * ================================================================ + * configure DSP TX double buffering state machine (eth -> dsp) + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 2 lines (word0 + timestamp) +// DSP Tx reads word0 (flags) + timestamp followed by samples + +#define DSP_TX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4) + +// Receive from ethernet +buf_cmd_args_t dsp_tx_recv_args = { + PORT_ETH, + 0, + BP_LAST_LINE +}; + +// send to DSP Tx +buf_cmd_args_t dsp_tx_send_args = { + PORT_DSP, + DSP_TX_FIRST_LINE, // starts just past transport header + 0 // filled in from last_line register +}; + +dbsm_t dsp_tx_sm; // the state machine + +/* + * ================================================================ + * configure DSP RX double buffering state machine (dsp -> eth) + * ================================================================ + */ + +// 4 lines of ethernet hdr + 1 line transport hdr + 1 line (word0) +// DSP Rx writes timestamp followed by nlines_per_frame of samples +#define DSP_RX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + sizeof(u2_transport_hdr_t))/4 + 1) + +// receive from DSP +buf_cmd_args_t dsp_rx_recv_args = { + PORT_DSP, + DSP_RX_FIRST_LINE, + BP_LAST_LINE +}; + +// send to ETH +buf_cmd_args_t dsp_rx_send_args = { + PORT_ETH, + 0, // starts with ethernet header in line 0 + 0, // filled in from list_line register +}; + +dbsm_t dsp_rx_sm; // the state machine + + +// The mac address of the host we're sending to. +u2_mac_addr_t host_mac_addr; + + +// variables for streaming mode + +static bool streaming_p = false; +static unsigned int streaming_items_per_frame = 0; +static int streaming_frame_count = 0; +#define FRAMES_PER_CMD 1000 + + +// ---------------------------------------------------------------- + + +static void +restart_streaming(void) +{ + // setup RX DSP regs + dsp_rx_regs->clear_state = 1; // reset + + streaming_p = true; + streaming_frame_count = FRAMES_PER_CMD; + + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame, + streaming_items_per_frame, + 1, 1); // set "chain" bit + + // kick off the state machine + dbsm_start(&dsp_rx_sm); + + dsp_rx_regs->rx_time = 0; // enqueue first of two commands + + // make sure this one and the rest have the "now" and "chain" bits set. + dsp_rx_regs->rx_command = + MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame, + streaming_items_per_frame, + 1, 1); + + dsp_rx_regs->rx_time = 0; // enqueue second command +} + +void +start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p) +{ + host_mac_addr = *host; // remember who we're sending to + + /* + * Construct ethernet header and word0 and preload into two buffers + */ + u2_eth_packet_t pkt; + memset(&pkt, 0, sizeof(pkt)); + pkt.ehdr.dst = *host; + pkt.ehdr.ethertype = U2_ETHERTYPE; + u2p_set_word0(&pkt.fixed, 0, 0); + // DSP RX will fill in timestamp + + memcpy_wa(buffer_ram(DSP_RX_BUF_0), &pkt, sizeof(pkt)); + memcpy_wa(buffer_ram(DSP_RX_BUF_1), &pkt, sizeof(pkt)); + + + if (FW_SETS_SEQNO) + fw_seqno = 0; + + streaming_items_per_frame = p->items_per_frame; + restart_streaming(); +} + + +void +stop_rx_cmd(void) +{ + streaming_p = false; + dsp_rx_regs->clear_state = 1; // flush cmd queue + bp_clear_buf(DSP_RX_BUF_0); + bp_clear_buf(DSP_RX_BUF_1); +} + + +static void +setup_tx() +{ + dsp_tx_regs->clear_state = 1; + bp_clear_buf(DSP_TX_BUF_0); + bp_clear_buf(DSP_TX_BUF_1); + + int tx_scale = 256; + int interp = 32; + + // setup some defaults + + dsp_tx_regs->freq = 0; + dsp_tx_regs->scale_iq = (tx_scale << 16) | tx_scale; + dsp_tx_regs->interp_rate = interp; +} + + +#if (FW_SETS_SEQNO) +/* + * Debugging ONLY. This will be handled by the tx_protocol_engine. + * + * This is called when the DSP Rx chain has filled in a packet. + * We set and increment the seqno, then return false, indicating + * that we didn't handle the packet. A bit of a kludge + * but it should work. + */ +bool +fw_sets_seqno_inspector(dbsm_t *sm, int buf_this) // returns false +{ + uint32_t *p = buffer_ram(buf_this); + uint32_t seqno = fw_seqno++; + + // KLUDGE all kinds of nasty magic numbers and embedded knowledge + uint32_t t = p[4]; + t = (t & 0xffff00ff) | ((seqno & 0xff) << 8); + p[4] = t; + + // queue up another rx command when required + if (streaming_p && --streaming_frame_count == 0){ + streaming_frame_count = FRAMES_PER_CMD; + dsp_rx_regs->rx_time = 0; + } + + return false; // we didn't handle the packet +} +#endif + + +inline static void +buffer_irq_handler(unsigned irq) +{ + uint32_t status = buffer_pool_status->status; + + dbsm_process_status(&dsp_tx_sm, status); + dbsm_process_status(&dsp_rx_sm, status); +} + +int +main(void) +{ + u2_init(); + + putstr("\nTxRx\n"); + print_mac_addr(ethernet_mac_addr()->addr); + newline(); + + ethernet_register_link_changed_callback(link_changed_callback); + ethernet_init(); + + +#if 0 + // make bit 15 of Tx gpio's be a s/w output + hal_gpio_set_sel(GPIO_TX_BANK, 15, 's'); + hal_gpio_set_ddr(GPIO_TX_BANK, 0x8000, 0x8000); +#endif + + output_regs->debug_mux_ctrl = 1; +#if 0 + hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111"); + hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111"); + hal_gpio_set_ddr(GPIO_TX_BANK, 0xffff, 0xffff); + hal_gpio_set_ddr(GPIO_RX_BANK, 0xffff, 0xffff); +#endif + + + // initialize double buffering state machine for ethernet -> DSP Tx + + dbsm_init(&dsp_tx_sm, DSP_TX_BUF_0, + &dsp_tx_recv_args, &dsp_tx_send_args, + eth_pkt_inspector); + + + // initialize double buffering state machine for DSP RX -> Ethernet + + if (FW_SETS_SEQNO){ + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + fw_sets_seqno_inspector); + } + else { + dbsm_init(&dsp_rx_sm, DSP_RX_BUF_0, + &dsp_rx_recv_args, &dsp_rx_send_args, + dbsm_nop_inspector); + } + + // tell app_common that this dbsm could be sending to the ethernet + ac_could_be_sending_to_eth = &dsp_rx_sm; + + + // program tx registers + setup_tx(); + + // kick off the state machine + dbsm_start(&dsp_tx_sm); + + //int which = 0; + + while(1){ + // hal_gpio_write(GPIO_TX_BANK, which, 0x8000); + // which ^= 0x8000; + + buffer_irq_handler(0); + + int pending = pic_regs->pending; // poll for under or overrun + + if (pending & PIC_UNDERRUN_INT){ + dbsm_handle_tx_underrun(&dsp_tx_sm); + pic_regs->pending = PIC_UNDERRUN_INT; // clear interrupt + putchar('U'); + } + + if (pending & PIC_OVERRUN_INT){ + dbsm_handle_rx_overrun(&dsp_rx_sm); + pic_regs->pending = PIC_OVERRUN_INT; // clear pending interrupt + + // FIXME Figure out how to handle this robustly. + // Any buffers that are emptying should be allowed to drain... + + if (streaming_p){ + // restart_streaming(); + // FIXME report error + } + else { + // FIXME report error + } + putchar('O'); + } + } +} diff --git a/usrp2/firmware/bootstrap b/usrp2/firmware/bootstrap new file mode 100755 index 00000000..5b4ab09a --- /dev/null +++ b/usrp2/firmware/bootstrap @@ -0,0 +1,30 @@ +#!/bin/sh + +# Copyright 2001,2005 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. + + +rm -fr config.cache autom4te*.cache + +aclocal +autoconf +autoheader +# libtoolize --automake +automake --add-missing -Wno-portability + diff --git a/usrp2/firmware/config.guess b/usrp2/firmware/config.guess new file mode 100644 index 00000000..278f9e9e --- /dev/null +++ b/usrp2/firmware/config.guess @@ -0,0 +1,1516 @@ +#! /bin/sh +# Attempt to guess a canonical system name. +# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, +# 2000, 2001, 2002, 2003, 2004, 2005, 2006 Free Software Foundation, +# Inc. + +timestamp='2007-07-22' + +# This file is free software; you can redistribute it and/or modify it +# under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, but +# WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU +# General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA +# 02110-1301, USA. +# +# As a special exception to the GNU General Public License, if you +# distribute this file as part of a program that contains a +# configuration script generated by Autoconf, you may include it under +# the same distribution terms that you use for the rest of that program. + + +# Originally written by Per Bothner . +# Please send patches to . Submit a context +# diff and a properly formatted ChangeLog entry. +# +# This script attempts to guess a canonical system name similar to +# config.sub. If it succeeds, it prints the system name on stdout, and +# exits with 0. Otherwise, it exits with 1. +# +# The plan is that this can be called by configure scripts if you +# don't specify an explicit build system type. + +me=`echo "$0" | sed -e 's,.*/,,'` + +usage="\ +Usage: $0 [OPTION] + +Output the configuration name of the system \`$me' is run on. + +Operation modes: + -h, --help print this help, then exit + -t, --time-stamp print date of last modification, then exit + -v, --version print version number, then exit + +Report bugs and patches to ." + +version="\ +GNU config.guess ($timestamp) + +Originally written by Per Bothner. +Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005 +Free Software Foundation, Inc. + +This is free software; see the source for copying conditions. There is NO +warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." + +help=" +Try \`$me --help' for more information." + +# Parse command line +while test $# -gt 0 ; do + case $1 in + --time-stamp | --time* | -t ) + echo "$timestamp" ; exit ;; + --version | -v ) + echo "$version" ; exit ;; + --help | --h* | -h ) + echo "$usage"; exit ;; + -- ) # Stop option processing + shift; break ;; + - ) # Use stdin as input. + break ;; + -* ) + echo "$me: invalid option $1$help" >&2 + exit 1 ;; + * ) + break ;; + esac +done + +if test $# != 0; then + echo "$me: too many arguments$help" >&2 + exit 1 +fi + +trap 'exit 1' 1 2 15 + +# CC_FOR_BUILD -- compiler used by this script. Note that the use of a +# compiler to aid in system detection is discouraged as it requires +# temporary files to be created and, as you can see below, it is a +# headache to deal with in a portable fashion. + +# Historically, `CC_FOR_BUILD' used to be named `HOST_CC'. We still +# use `HOST_CC' if defined, but it is deprecated. + +# Portable tmp directory creation inspired by the Autoconf team. + +set_cc_for_build=' +trap "exitcode=\$?; (rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null) && exit \$exitcode" 0 ; +trap "rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null; exit 1" 1 2 13 15 ; +: ${TMPDIR=/tmp} ; + { tmp=`(umask 077 && mktemp -d "$TMPDIR/cgXXXXXX") 2>/dev/null` && test -n "$tmp" && test -d "$tmp" ; } || + { test -n "$RANDOM" && tmp=$TMPDIR/cg$$-$RANDOM && (umask 077 && mkdir $tmp) ; } || + { tmp=$TMPDIR/cg-$$ && (umask 077 && mkdir $tmp) && echo "Warning: creating insecure temp directory" >&2 ; } || + { echo "$me: cannot create a temporary directory in $TMPDIR" >&2 ; exit 1 ; } ; +dummy=$tmp/dummy ; +tmpfiles="$dummy.c $dummy.o $dummy.rel $dummy" ; +case $CC_FOR_BUILD,$HOST_CC,$CC in + ,,) echo "int x;" > $dummy.c ; + for c in cc gcc c89 c99 ; do + if ($c -c -o $dummy.o $dummy.c) >/dev/null 2>&1 ; then + CC_FOR_BUILD="$c"; break ; + fi ; + done ; + if test x"$CC_FOR_BUILD" = x ; then + CC_FOR_BUILD=no_compiler_found ; + fi + ;; + ,,*) CC_FOR_BUILD=$CC ;; + ,*,*) CC_FOR_BUILD=$HOST_CC ;; +esac ; set_cc_for_build= ;' + +# This is needed to find uname on a Pyramid OSx when run in the BSD universe. +# (ghazi@noc.rutgers.edu 1994-08-24) +if (test -f /.attbin/uname) >/dev/null 2>&1 ; then + PATH=$PATH:/.attbin ; export PATH +fi + +UNAME_MACHINE=`(uname -m) 2>/dev/null` || UNAME_MACHINE=unknown +UNAME_RELEASE=`(uname -r) 2>/dev/null` || UNAME_RELEASE=unknown +UNAME_SYSTEM=`(uname -s) 2>/dev/null` || UNAME_SYSTEM=unknown +UNAME_VERSION=`(uname -v) 2>/dev/null` || UNAME_VERSION=unknown + +# Note: order is significant - the case branches are not exclusive. + +case "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" in + *:NetBSD:*:*) + # NetBSD (nbsd) targets should (where applicable) match one or + # more of the tupples: *-*-netbsdelf*, *-*-netbsdaout*, + # *-*-netbsdecoff* and *-*-netbsd*. For targets that recently + # switched to ELF, *-*-netbsd* would select the old + # object file format. This provides both forward + # compatibility and a consistent mechanism for selecting the + # object file format. + # + # Note: NetBSD doesn't particularly care about the vendor + # portion of the name. We always set it to "unknown". + sysctl="sysctl -n hw.machine_arch" + UNAME_MACHINE_ARCH=`(/sbin/$sysctl 2>/dev/null || \ + /usr/sbin/$sysctl 2>/dev/null || echo unknown)` + case "${UNAME_MACHINE_ARCH}" in + armeb) machine=armeb-unknown ;; + arm*) machine=arm-unknown ;; + sh3el) machine=shl-unknown ;; + sh3eb) machine=sh-unknown ;; + sh5el) machine=sh5le-unknown ;; + *) machine=${UNAME_MACHINE_ARCH}-unknown ;; + esac + # The Operating System including object format, if it has switched + # to ELF recently, or will in the future. + case "${UNAME_MACHINE_ARCH}" in + arm*|i386|m68k|ns32k|sh3*|sparc|vax) + eval $set_cc_for_build + if echo __ELF__ | $CC_FOR_BUILD -E - 2>/dev/null \ + | grep __ELF__ >/dev/null + then + # Once all utilities can be ECOFF (netbsdecoff) or a.out (netbsdaout). + # Return netbsd for either. FIX? + os=netbsd + else + os=netbsdelf + fi + ;; + *) + os=netbsd + ;; + esac + # The OS release + # Debian GNU/NetBSD machines have a different userland, and + # thus, need a distinct triplet. However, they do not need + # kernel version information, so it can be replaced with a + # suitable tag, in the style of linux-gnu. + case "${UNAME_VERSION}" in + Debian*) + release='-gnu' + ;; + *) + release=`echo ${UNAME_RELEASE}|sed -e 's/[-_].*/\./'` + ;; + esac + # Since CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM: + # contains redundant information, the shorter form: + # CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM is used. + echo "${machine}-${os}${release}" + exit ;; + *:OpenBSD:*:*) + UNAME_MACHINE_ARCH=`arch | sed 's/OpenBSD.//'` + echo ${UNAME_MACHINE_ARCH}-unknown-openbsd${UNAME_RELEASE} + exit ;; + *:ekkoBSD:*:*) + echo ${UNAME_MACHINE}-unknown-ekkobsd${UNAME_RELEASE} + exit ;; + *:SolidBSD:*:*) + echo ${UNAME_MACHINE}-unknown-solidbsd${UNAME_RELEASE} + exit ;; + macppc:MirBSD:*:*) + echo powerpc-unknown-mirbsd${UNAME_RELEASE} + exit ;; + *:MirBSD:*:*) + echo ${UNAME_MACHINE}-unknown-mirbsd${UNAME_RELEASE} + exit ;; + alpha:OSF1:*:*) + case $UNAME_RELEASE in + *4.0) + UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'` + ;; + *5.*) + UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'` + ;; + esac + # According to Compaq, /usr/sbin/psrinfo has been available on + # OSF/1 and Tru64 systems produced since 1995. I hope that + # covers most systems running today. This code pipes the CPU + # types through head -n 1, so we only detect the type of CPU 0. + ALPHA_CPU_TYPE=`/usr/sbin/psrinfo -v | sed -n -e 's/^ The alpha \(.*\) processor.*$/\1/p' | head -n 1` + case "$ALPHA_CPU_TYPE" in + "EV4 (21064)") + UNAME_MACHINE="alpha" ;; + "EV4.5 (21064)") + UNAME_MACHINE="alpha" ;; + "LCA4 (21066/21068)") + UNAME_MACHINE="alpha" ;; + "EV5 (21164)") + UNAME_MACHINE="alphaev5" ;; + "EV5.6 (21164A)") + UNAME_MACHINE="alphaev56" ;; + "EV5.6 (21164PC)") + UNAME_MACHINE="alphapca56" ;; + "EV5.7 (21164PC)") + UNAME_MACHINE="alphapca57" ;; + "EV6 (21264)") + UNAME_MACHINE="alphaev6" ;; + "EV6.7 (21264A)") + UNAME_MACHINE="alphaev67" ;; + "EV6.8CB (21264C)") + UNAME_MACHINE="alphaev68" ;; + "EV6.8AL (21264B)") + UNAME_MACHINE="alphaev68" ;; + "EV6.8CX (21264D)") + UNAME_MACHINE="alphaev68" ;; + "EV6.9A (21264/EV69A)") + UNAME_MACHINE="alphaev69" ;; + "EV7 (21364)") + UNAME_MACHINE="alphaev7" ;; + "EV7.9 (21364A)") + UNAME_MACHINE="alphaev79" ;; + esac + # A Pn.n version is a patched version. + # A Vn.n version is a released version. + # A Tn.n version is a released field test version. + # A Xn.n version is an unreleased experimental baselevel. + # 1.2 uses "1.2" for uname -r. + echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'` + exit ;; + Alpha\ *:Windows_NT*:*) + # How do we know it's Interix rather than the generic POSIX subsystem? + # Should we change UNAME_MACHINE based on the output of uname instead + # of the specific Alpha model? + echo alpha-pc-interix + exit ;; + 21064:Windows_NT:50:3) + echo alpha-dec-winnt3.5 + exit ;; + Amiga*:UNIX_System_V:4.0:*) + echo m68k-unknown-sysv4 + exit ;; + *:[Aa]miga[Oo][Ss]:*:*) + echo ${UNAME_MACHINE}-unknown-amigaos + exit ;; + *:[Mm]orph[Oo][Ss]:*:*) + echo ${UNAME_MACHINE}-unknown-morphos + exit ;; + *:OS/390:*:*) + echo i370-ibm-openedition + exit ;; + *:z/VM:*:*) + echo s390-ibm-zvmoe + exit ;; + *:OS400:*:*) + echo powerpc-ibm-os400 + exit ;; + arm:RISC*:1.[012]*:*|arm:riscix:1.[012]*:*) + echo arm-acorn-riscix${UNAME_RELEASE} + exit ;; + arm:riscos:*:*|arm:RISCOS:*:*) + echo arm-unknown-riscos + exit ;; + SR2?01:HI-UX/MPP:*:* | SR8000:HI-UX/MPP:*:*) + echo hppa1.1-hitachi-hiuxmpp + exit ;; + Pyramid*:OSx*:*:* | MIS*:OSx*:*:* | MIS*:SMP_DC-OSx*:*:*) + # akee@wpdis03.wpafb.af.mil (Earle F. Ake) contributed MIS and NILE. + if test "`(/bin/universe) 2>/dev/null`" = att ; then + echo pyramid-pyramid-sysv3 + else + echo pyramid-pyramid-bsd + fi + exit ;; + NILE*:*:*:dcosx) + echo pyramid-pyramid-svr4 + exit ;; + DRS?6000:unix:4.0:6*) + echo sparc-icl-nx6 + exit ;; + DRS?6000:UNIX_SV:4.2*:7* | DRS?6000:isis:4.2*:7*) + case `/usr/bin/uname -p` in + sparc) echo sparc-icl-nx7; exit ;; + esac ;; + sun4H:SunOS:5.*:*) + echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'` + exit ;; + sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*) + echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'` + exit ;; + i86pc:SunOS:5.*:* | i86xen:SunOS:5.*:*) + echo i386-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'` + exit ;; + sun4*:SunOS:6*:*) + # According to config.sub, this is the proper way to canonicalize + # SunOS6. Hard to guess exactly what SunOS6 will be like, but + # it's likely to be more like Solaris than SunOS4. + echo sparc-sun-solaris3`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'` + exit ;; + sun4*:SunOS:*:*) + case "`/usr/bin/arch -k`" in + Series*|S4*) + UNAME_RELEASE=`uname -v` + ;; + esac + # Japanese Language versions have a version number like `4.1.3-JL'. + echo sparc-sun-sunos`echo ${UNAME_RELEASE}|sed -e 's/-/_/'` + exit ;; + sun3*:SunOS:*:*) + echo m68k-sun-sunos${UNAME_RELEASE} + exit ;; + sun*:*:4.2BSD:*) + UNAME_RELEASE=`(sed 1q /etc/motd | awk '{print substr($5,1,3)}') 2>/dev/null` + test "x${UNAME_RELEASE}" = "x" && UNAME_RELEASE=3 + case "`/bin/arch`" in + sun3) + echo m68k-sun-sunos${UNAME_RELEASE} + ;; + sun4) + echo sparc-sun-sunos${UNAME_RELEASE} + ;; + esac + exit ;; + aushp:SunOS:*:*) + echo sparc-auspex-sunos${UNAME_RELEASE} + exit ;; + # The situation for MiNT is a little confusing. The machine name + # can be virtually everything (everything which is not + # "atarist" or "atariste" at least should have a processor + # > m68000). The system name ranges from "MiNT" over "FreeMiNT" + # to the lowercase version "mint" (or "freemint"). Finally + # the system name "TOS" denotes a system which is actually not + # MiNT. But MiNT is downward compatible to TOS, so this should + # be no problem. + atarist[e]:*MiNT:*:* | atarist[e]:*mint:*:* | atarist[e]:*TOS:*:*) + echo m68k-atari-mint${UNAME_RELEASE} + exit ;; + atari*:*MiNT:*:* | atari*:*mint:*:* | atarist[e]:*TOS:*:*) + echo m68k-atari-mint${UNAME_RELEASE} + exit ;; + *falcon*:*MiNT:*:* | *falcon*:*mint:*:* | *falcon*:*TOS:*:*) + echo m68k-atari-mint${UNAME_RELEASE} + exit ;; + milan*:*MiNT:*:* | milan*:*mint:*:* | *milan*:*TOS:*:*) + echo m68k-milan-mint${UNAME_RELEASE} + exit ;; + hades*:*MiNT:*:* | hades*:*mint:*:* | *hades*:*TOS:*:*) + echo m68k-hades-mint${UNAME_RELEASE} + exit ;; + *:*MiNT:*:* | *:*mint:*:* | *:*TOS:*:*) + echo m68k-unknown-mint${UNAME_RELEASE} + exit ;; + m68k:machten:*:*) + echo m68k-apple-machten${UNAME_RELEASE} + exit ;; + powerpc:machten:*:*) + echo powerpc-apple-machten${UNAME_RELEASE} + exit ;; + RISC*:Mach:*:*) + echo mips-dec-mach_bsd4.3 + exit ;; + RISC*:ULTRIX:*:*) + echo mips-dec-ultrix${UNAME_RELEASE} + exit ;; + VAX*:ULTRIX*:*:*) + echo vax-dec-ultrix${UNAME_RELEASE} + exit ;; + 2020:CLIX:*:* | 2430:CLIX:*:*) + echo clipper-intergraph-clix${UNAME_RELEASE} + exit ;; + mips:*:*:UMIPS | mips:*:*:RISCos) + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c +#ifdef __cplusplus +#include /* for printf() prototype */ + int main (int argc, char *argv[]) { +#else + int main (argc, argv) int argc; char *argv[]; { +#endif + #if defined (host_mips) && defined (MIPSEB) + #if defined (SYSTYPE_SYSV) + printf ("mips-mips-riscos%ssysv\n", argv[1]); exit (0); + #endif + #if defined (SYSTYPE_SVR4) + printf ("mips-mips-riscos%ssvr4\n", argv[1]); exit (0); + #endif + #if defined (SYSTYPE_BSD43) || defined(SYSTYPE_BSD) + printf ("mips-mips-riscos%sbsd\n", argv[1]); exit (0); + #endif + #endif + exit (-1); + } +EOF + $CC_FOR_BUILD -o $dummy $dummy.c && + dummyarg=`echo "${UNAME_RELEASE}" | sed -n 's/\([0-9]*\).*/\1/p'` && + SYSTEM_NAME=`$dummy $dummyarg` && + { echo "$SYSTEM_NAME"; exit; } + echo mips-mips-riscos${UNAME_RELEASE} + exit ;; + Motorola:PowerMAX_OS:*:*) + echo powerpc-motorola-powermax + exit ;; + Motorola:*:4.3:PL8-*) + echo powerpc-harris-powermax + exit ;; + Night_Hawk:*:*:PowerMAX_OS | Synergy:PowerMAX_OS:*:*) + echo powerpc-harris-powermax + exit ;; + Night_Hawk:Power_UNIX:*:*) + echo powerpc-harris-powerunix + exit ;; + m88k:CX/UX:7*:*) + echo m88k-harris-cxux7 + exit ;; + m88k:*:4*:R4*) + echo m88k-motorola-sysv4 + exit ;; + m88k:*:3*:R3*) + echo m88k-motorola-sysv3 + exit ;; + AViiON:dgux:*:*) + # DG/UX returns AViiON for all architectures + UNAME_PROCESSOR=`/usr/bin/uname -p` + if [ $UNAME_PROCESSOR = mc88100 ] || [ $UNAME_PROCESSOR = mc88110 ] + then + if [ ${TARGET_BINARY_INTERFACE}x = m88kdguxelfx ] || \ + [ ${TARGET_BINARY_INTERFACE}x = x ] + then + echo m88k-dg-dgux${UNAME_RELEASE} + else + echo m88k-dg-dguxbcs${UNAME_RELEASE} + fi + else + echo i586-dg-dgux${UNAME_RELEASE} + fi + exit ;; + M88*:DolphinOS:*:*) # DolphinOS (SVR3) + echo m88k-dolphin-sysv3 + exit ;; + M88*:*:R3*:*) + # Delta 88k system running SVR3 + echo m88k-motorola-sysv3 + exit ;; + XD88*:*:*:*) # Tektronix XD88 system running UTekV (SVR3) + echo m88k-tektronix-sysv3 + exit ;; + Tek43[0-9][0-9]:UTek:*:*) # Tektronix 4300 system running UTek (BSD) + echo m68k-tektronix-bsd + exit ;; + *:IRIX*:*:*) + echo mips-sgi-irix`echo ${UNAME_RELEASE}|sed -e 's/-/_/g'` + exit ;; + ????????:AIX?:[12].1:2) # AIX 2.2.1 or AIX 2.1.1 is RT/PC AIX. + echo romp-ibm-aix # uname -m gives an 8 hex-code CPU id + exit ;; # Note that: echo "'`uname -s`'" gives 'AIX ' + i*86:AIX:*:*) + echo i386-ibm-aix + exit ;; + ia64:AIX:*:*) + if [ -x /usr/bin/oslevel ] ; then + IBM_REV=`/usr/bin/oslevel` + else + IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE} + fi + echo ${UNAME_MACHINE}-ibm-aix${IBM_REV} + exit ;; + *:AIX:2:3) + if grep bos325 /usr/include/stdio.h >/dev/null 2>&1; then + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + #include + + main() + { + if (!__power_pc()) + exit(1); + puts("powerpc-ibm-aix3.2.5"); + exit(0); + } +EOF + if $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` + then + echo "$SYSTEM_NAME" + else + echo rs6000-ibm-aix3.2.5 + fi + elif grep bos324 /usr/include/stdio.h >/dev/null 2>&1; then + echo rs6000-ibm-aix3.2.4 + else + echo rs6000-ibm-aix3.2 + fi + exit ;; + *:AIX:*:[45]) + IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'` + if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then + IBM_ARCH=rs6000 + else + IBM_ARCH=powerpc + fi + if [ -x /usr/bin/oslevel ] ; then + IBM_REV=`/usr/bin/oslevel` + else + IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE} + fi + echo ${IBM_ARCH}-ibm-aix${IBM_REV} + exit ;; + *:AIX:*:*) + echo rs6000-ibm-aix + exit ;; + ibmrt:4.4BSD:*|romp-ibm:BSD:*) + echo romp-ibm-bsd4.4 + exit ;; + ibmrt:*BSD:*|romp-ibm:BSD:*) # covers RT/PC BSD and + echo romp-ibm-bsd${UNAME_RELEASE} # 4.3 with uname added to + exit ;; # report: romp-ibm BSD 4.3 + *:BOSX:*:*) + echo rs6000-bull-bosx + exit ;; + DPX/2?00:B.O.S.:*:*) + echo m68k-bull-sysv3 + exit ;; + 9000/[34]??:4.3bsd:1.*:*) + echo m68k-hp-bsd + exit ;; + hp300:4.4BSD:*:* | 9000/[34]??:4.3bsd:2.*:*) + echo m68k-hp-bsd4.4 + exit ;; + 9000/[34678]??:HP-UX:*:*) + HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'` + case "${UNAME_MACHINE}" in + 9000/31? ) HP_ARCH=m68000 ;; + 9000/[34]?? ) HP_ARCH=m68k ;; + 9000/[678][0-9][0-9]) + if [ -x /usr/bin/getconf ]; then + sc_cpu_version=`/usr/bin/getconf SC_CPU_VERSION 2>/dev/null` + sc_kernel_bits=`/usr/bin/getconf SC_KERNEL_BITS 2>/dev/null` + case "${sc_cpu_version}" in + 523) HP_ARCH="hppa1.0" ;; # CPU_PA_RISC1_0 + 528) HP_ARCH="hppa1.1" ;; # CPU_PA_RISC1_1 + 532) # CPU_PA_RISC2_0 + case "${sc_kernel_bits}" in + 32) HP_ARCH="hppa2.0n" ;; + 64) HP_ARCH="hppa2.0w" ;; + '') HP_ARCH="hppa2.0" ;; # HP-UX 10.20 + esac ;; + esac + fi + if [ "${HP_ARCH}" = "" ]; then + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + + #define _HPUX_SOURCE + #include + #include + + int main () + { + #if defined(_SC_KERNEL_BITS) + long bits = sysconf(_SC_KERNEL_BITS); + #endif + long cpu = sysconf (_SC_CPU_VERSION); + + switch (cpu) + { + case CPU_PA_RISC1_0: puts ("hppa1.0"); break; + case CPU_PA_RISC1_1: puts ("hppa1.1"); break; + case CPU_PA_RISC2_0: + #if defined(_SC_KERNEL_BITS) + switch (bits) + { + case 64: puts ("hppa2.0w"); break; + case 32: puts ("hppa2.0n"); break; + default: puts ("hppa2.0"); break; + } break; + #else /* !defined(_SC_KERNEL_BITS) */ + puts ("hppa2.0"); break; + #endif + default: puts ("hppa1.0"); break; + } + exit (0); + } +EOF + (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy` + test -z "$HP_ARCH" && HP_ARCH=hppa + fi ;; + esac + if [ ${HP_ARCH} = "hppa2.0w" ] + then + eval $set_cc_for_build + + # hppa2.0w-hp-hpux* has a 64-bit kernel and a compiler generating + # 32-bit code. hppa64-hp-hpux* has the same kernel and a compiler + # generating 64-bit code. GNU and HP use different nomenclature: + # + # $ CC_FOR_BUILD=cc ./config.guess + # => hppa2.0w-hp-hpux11.23 + # $ CC_FOR_BUILD="cc +DA2.0w" ./config.guess + # => hppa64-hp-hpux11.23 + + if echo __LP64__ | (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | + grep __LP64__ >/dev/null + then + HP_ARCH="hppa2.0w" + else + HP_ARCH="hppa64" + fi + fi + echo ${HP_ARCH}-hp-hpux${HPUX_REV} + exit ;; + ia64:HP-UX:*:*) + HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'` + echo ia64-hp-hpux${HPUX_REV} + exit ;; + 3050*:HI-UX:*:*) + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + #include + int + main () + { + long cpu = sysconf (_SC_CPU_VERSION); + /* The order matters, because CPU_IS_HP_MC68K erroneously returns + true for CPU_PA_RISC1_0. CPU_IS_PA_RISC returns correct + results, however. */ + if (CPU_IS_PA_RISC (cpu)) + { + switch (cpu) + { + case CPU_PA_RISC1_0: puts ("hppa1.0-hitachi-hiuxwe2"); break; + case CPU_PA_RISC1_1: puts ("hppa1.1-hitachi-hiuxwe2"); break; + case CPU_PA_RISC2_0: puts ("hppa2.0-hitachi-hiuxwe2"); break; + default: puts ("hppa-hitachi-hiuxwe2"); break; + } + } + else if (CPU_IS_HP_MC68K (cpu)) + puts ("m68k-hitachi-hiuxwe2"); + else puts ("unknown-hitachi-hiuxwe2"); + exit (0); + } +EOF + $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` && + { echo "$SYSTEM_NAME"; exit; } + echo unknown-hitachi-hiuxwe2 + exit ;; + 9000/7??:4.3bsd:*:* | 9000/8?[79]:4.3bsd:*:* ) + echo hppa1.1-hp-bsd + exit ;; + 9000/8??:4.3bsd:*:*) + echo hppa1.0-hp-bsd + exit ;; + *9??*:MPE/iX:*:* | *3000*:MPE/iX:*:*) + echo hppa1.0-hp-mpeix + exit ;; + hp7??:OSF1:*:* | hp8?[79]:OSF1:*:* ) + echo hppa1.1-hp-osf + exit ;; + hp8??:OSF1:*:*) + echo hppa1.0-hp-osf + exit ;; + i*86:OSF1:*:*) + if [ -x /usr/sbin/sysversion ] ; then + echo ${UNAME_MACHINE}-unknown-osf1mk + else + echo ${UNAME_MACHINE}-unknown-osf1 + fi + exit ;; + parisc*:Lites*:*:*) + echo hppa1.1-hp-lites + exit ;; + C1*:ConvexOS:*:* | convex:ConvexOS:C1*:*) + echo c1-convex-bsd + exit ;; + C2*:ConvexOS:*:* | convex:ConvexOS:C2*:*) + if getsysinfo -f scalar_acc + then echo c32-convex-bsd + else echo c2-convex-bsd + fi + exit ;; + C34*:ConvexOS:*:* | convex:ConvexOS:C34*:*) + echo c34-convex-bsd + exit ;; + C38*:ConvexOS:*:* | convex:ConvexOS:C38*:*) + echo c38-convex-bsd + exit ;; + C4*:ConvexOS:*:* | convex:ConvexOS:C4*:*) + echo c4-convex-bsd + exit ;; + CRAY*Y-MP:*:*:*) + echo ymp-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/' + exit ;; + CRAY*[A-Z]90:*:*:*) + echo ${UNAME_MACHINE}-cray-unicos${UNAME_RELEASE} \ + | sed -e 's/CRAY.*\([A-Z]90\)/\1/' \ + -e y/ABCDEFGHIJKLMNOPQRSTUVWXYZ/abcdefghijklmnopqrstuvwxyz/ \ + -e 's/\.[^.]*$/.X/' + exit ;; + CRAY*TS:*:*:*) + echo t90-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/' + exit ;; + CRAY*T3E:*:*:*) + echo alphaev5-cray-unicosmk${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/' + exit ;; + CRAY*SV1:*:*:*) + echo sv1-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/' + exit ;; + *:UNICOS/mp:*:*) + echo craynv-cray-unicosmp${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/' + exit ;; + F30[01]:UNIX_System_V:*:* | F700:UNIX_System_V:*:*) + FUJITSU_PROC=`uname -m | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'` + FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'` + FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'` + echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}" + exit ;; + 5000:UNIX_System_V:4.*:*) + FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'` + FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'` + echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}" + exit ;; + i*86:BSD/386:*:* | i*86:BSD/OS:*:* | *:Ascend\ Embedded/OS:*:*) + echo ${UNAME_MACHINE}-pc-bsdi${UNAME_RELEASE} + exit ;; + sparc*:BSD/OS:*:*) + echo sparc-unknown-bsdi${UNAME_RELEASE} + exit ;; + *:BSD/OS:*:*) + echo ${UNAME_MACHINE}-unknown-bsdi${UNAME_RELEASE} + exit ;; + *:FreeBSD:*:*) + case ${UNAME_MACHINE} in + pc98) + echo i386-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;; + amd64) + echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;; + *) + echo ${UNAME_MACHINE}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;; + esac + exit ;; + i*:CYGWIN*:*) + echo ${UNAME_MACHINE}-pc-cygwin + exit ;; + *:MINGW*:*) + echo ${UNAME_MACHINE}-pc-mingw32 + exit ;; + i*:windows32*:*) + # uname -m includes "-pc" on this system. + echo ${UNAME_MACHINE}-mingw32 + exit ;; + i*:PW*:*) + echo ${UNAME_MACHINE}-pc-pw32 + exit ;; + *:Interix*:[3456]*) + case ${UNAME_MACHINE} in + x86) + echo i586-pc-interix${UNAME_RELEASE} + exit ;; + EM64T | authenticamd) + echo x86_64-unknown-interix${UNAME_RELEASE} + exit ;; + esac ;; + [345]86:Windows_95:* | [345]86:Windows_98:* | [345]86:Windows_NT:*) + echo i${UNAME_MACHINE}-pc-mks + exit ;; + i*:Windows_NT*:* | Pentium*:Windows_NT*:*) + # How do we know it's Interix rather than the generic POSIX subsystem? + # It also conflicts with pre-2.0 versions of AT&T UWIN. Should we + # UNAME_MACHINE based on the output of uname instead of i386? + echo i586-pc-interix + exit ;; + i*:UWIN*:*) + echo ${UNAME_MACHINE}-pc-uwin + exit ;; + amd64:CYGWIN*:*:* | x86_64:CYGWIN*:*:*) + echo x86_64-unknown-cygwin + exit ;; + p*:CYGWIN*:*) + echo powerpcle-unknown-cygwin + exit ;; + prep*:SunOS:5.*:*) + echo powerpcle-unknown-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'` + exit ;; + *:GNU:*:*) + # the GNU system + echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-gnu`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'` + exit ;; + *:GNU/*:*:*) + # other systems with GNU libc and userland + echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-gnu + exit ;; + i*86:Minix:*:*) + echo ${UNAME_MACHINE}-pc-minix + exit ;; + arm*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + avr32*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + cris:Linux:*:*) + echo cris-axis-linux-gnu + exit ;; + crisv32:Linux:*:*) + echo crisv32-axis-linux-gnu + exit ;; + frv:Linux:*:*) + echo frv-unknown-linux-gnu + exit ;; + ia64:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + m32r*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + m68*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + mips:Linux:*:*) + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + #undef CPU + #undef mips + #undef mipsel + #if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL) + CPU=mipsel + #else + #if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB) + CPU=mips + #else + CPU= + #endif + #endif +EOF + eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n ' + /^CPU/{ + s: ::g + p + }'`" + test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; } + ;; + mips64:Linux:*:*) + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + #undef CPU + #undef mips64 + #undef mips64el + #if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL) + CPU=mips64el + #else + #if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB) + CPU=mips64 + #else + CPU= + #endif + #endif +EOF + eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n ' + /^CPU/{ + s: ::g + p + }'`" + test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; } + ;; + or32:Linux:*:*) + echo or32-unknown-linux-gnu + exit ;; + ppc:Linux:*:*) + echo powerpc-unknown-linux-gnu + exit ;; + ppc64:Linux:*:*) + echo powerpc64-unknown-linux-gnu + exit ;; + alpha:Linux:*:*) + case `sed -n '/^cpu model/s/^.*: \(.*\)/\1/p' < /proc/cpuinfo` in + EV5) UNAME_MACHINE=alphaev5 ;; + EV56) UNAME_MACHINE=alphaev56 ;; + PCA56) UNAME_MACHINE=alphapca56 ;; + PCA57) UNAME_MACHINE=alphapca56 ;; + EV6) UNAME_MACHINE=alphaev6 ;; + EV67) UNAME_MACHINE=alphaev67 ;; + EV68*) UNAME_MACHINE=alphaev68 ;; + esac + objdump --private-headers /bin/sh | grep ld.so.1 >/dev/null + if test "$?" = 0 ; then LIBC="libc1" ; else LIBC="" ; fi + echo ${UNAME_MACHINE}-unknown-linux-gnu${LIBC} + exit ;; + parisc:Linux:*:* | hppa:Linux:*:*) + # Look for CPU level + case `grep '^cpu[^a-z]*:' /proc/cpuinfo 2>/dev/null | cut -d' ' -f2` in + PA7*) echo hppa1.1-unknown-linux-gnu ;; + PA8*) echo hppa2.0-unknown-linux-gnu ;; + *) echo hppa-unknown-linux-gnu ;; + esac + exit ;; + parisc64:Linux:*:* | hppa64:Linux:*:*) + echo hppa64-unknown-linux-gnu + exit ;; + s390:Linux:*:* | s390x:Linux:*:*) + echo ${UNAME_MACHINE}-ibm-linux + exit ;; + sh64*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + sh*:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + sparc:Linux:*:* | sparc64:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-gnu + exit ;; + vax:Linux:*:*) + echo ${UNAME_MACHINE}-dec-linux-gnu + exit ;; + x86_64:Linux:*:*) + echo x86_64-unknown-linux-gnu + exit ;; + xtensa:Linux:*:*) + echo xtensa-unknown-linux-gnu + exit ;; + i*86:Linux:*:*) + # The BFD linker knows what the default object file format is, so + # first see if it will tell us. cd to the root directory to prevent + # problems with other programs or directories called `ld' in the path. + # Set LC_ALL=C to ensure ld outputs messages in English. + ld_supported_targets=`cd /; LC_ALL=C ld --help 2>&1 \ + | sed -ne '/supported targets:/!d + s/[ ][ ]*/ /g + s/.*supported targets: *// + s/ .*// + p'` + case "$ld_supported_targets" in + elf32-i386) + TENTATIVE="${UNAME_MACHINE}-pc-linux-gnu" + ;; + a.out-i386-linux) + echo "${UNAME_MACHINE}-pc-linux-gnuaout" + exit ;; + coff-i386) + echo "${UNAME_MACHINE}-pc-linux-gnucoff" + exit ;; + "") + # Either a pre-BFD a.out linker (linux-gnuoldld) or + # one that does not give us useful --help. + echo "${UNAME_MACHINE}-pc-linux-gnuoldld" + exit ;; + esac + # Determine whether the default compiler is a.out or elf + eval $set_cc_for_build + sed 's/^ //' << EOF >$dummy.c + #include + #ifdef __ELF__ + # ifdef __GLIBC__ + # if __GLIBC__ >= 2 + LIBC=gnu + # else + LIBC=gnulibc1 + # endif + # else + LIBC=gnulibc1 + # endif + #else + #if defined(__INTEL_COMPILER) || defined(__PGI) || defined(__SUNPRO_C) || defined(__SUNPRO_CC) + LIBC=gnu + #else + LIBC=gnuaout + #endif + #endif + #ifdef __dietlibc__ + LIBC=dietlibc + #endif +EOF + eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n ' + /^LIBC/{ + s: ::g + p + }'`" + test x"${LIBC}" != x && { + echo "${UNAME_MACHINE}-pc-linux-${LIBC}" + exit + } + test x"${TENTATIVE}" != x && { echo "${TENTATIVE}"; exit; } + ;; + i*86:DYNIX/ptx:4*:*) + # ptx 4.0 does uname -s correctly, with DYNIX/ptx in there. + # earlier versions are messed up and put the nodename in both + # sysname and nodename. + echo i386-sequent-sysv4 + exit ;; + i*86:UNIX_SV:4.2MP:2.*) + # Unixware is an offshoot of SVR4, but it has its own version + # number series starting with 2... + # I am not positive that other SVR4 systems won't match this, + # I just have to hope. -- rms. + # Use sysv4.2uw... so that sysv4* matches it. + echo ${UNAME_MACHINE}-pc-sysv4.2uw${UNAME_VERSION} + exit ;; + i*86:OS/2:*:*) + # If we were able to find `uname', then EMX Unix compatibility + # is probably installed. + echo ${UNAME_MACHINE}-pc-os2-emx + exit ;; + i*86:XTS-300:*:STOP) + echo ${UNAME_MACHINE}-unknown-stop + exit ;; + i*86:atheos:*:*) + echo ${UNAME_MACHINE}-unknown-atheos + exit ;; + i*86:syllable:*:*) + echo ${UNAME_MACHINE}-pc-syllable + exit ;; + i*86:LynxOS:2.*:* | i*86:LynxOS:3.[01]*:* | i*86:LynxOS:4.0*:*) + echo i386-unknown-lynxos${UNAME_RELEASE} + exit ;; + i*86:*DOS:*:*) + echo ${UNAME_MACHINE}-pc-msdosdjgpp + exit ;; + i*86:*:4.*:* | i*86:SYSTEM_V:4.*:*) + UNAME_REL=`echo ${UNAME_RELEASE} | sed 's/\/MP$//'` + if grep Novell /usr/include/link.h >/dev/null 2>/dev/null; then + echo ${UNAME_MACHINE}-univel-sysv${UNAME_REL} + else + echo ${UNAME_MACHINE}-pc-sysv${UNAME_REL} + fi + exit ;; + i*86:*:5:[678]*) + # UnixWare 7.x, OpenUNIX and OpenServer 6. + case `/bin/uname -X | grep "^Machine"` in + *486*) UNAME_MACHINE=i486 ;; + *Pentium) UNAME_MACHINE=i586 ;; + *Pent*|*Celeron) UNAME_MACHINE=i686 ;; + esac + echo ${UNAME_MACHINE}-unknown-sysv${UNAME_RELEASE}${UNAME_SYSTEM}${UNAME_VERSION} + exit ;; + i*86:*:3.2:*) + if test -f /usr/options/cb.name; then + UNAME_REL=`sed -n 's/.*Version //p' /dev/null >/dev/null ; then + UNAME_REL=`(/bin/uname -X|grep Release|sed -e 's/.*= //')` + (/bin/uname -X|grep i80486 >/dev/null) && UNAME_MACHINE=i486 + (/bin/uname -X|grep '^Machine.*Pentium' >/dev/null) \ + && UNAME_MACHINE=i586 + (/bin/uname -X|grep '^Machine.*Pent *II' >/dev/null) \ + && UNAME_MACHINE=i686 + (/bin/uname -X|grep '^Machine.*Pentium Pro' >/dev/null) \ + && UNAME_MACHINE=i686 + echo ${UNAME_MACHINE}-pc-sco$UNAME_REL + else + echo ${UNAME_MACHINE}-pc-sysv32 + fi + exit ;; + pc:*:*:*) + # Left here for compatibility: + # uname -m prints for DJGPP always 'pc', but it prints nothing about + # the processor, so we play safe by assuming i386. + echo i386-pc-msdosdjgpp + exit ;; + Intel:Mach:3*:*) + echo i386-pc-mach3 + exit ;; + paragon:*:*:*) + echo i860-intel-osf1 + exit ;; + i860:*:4.*:*) # i860-SVR4 + if grep Stardent /usr/include/sys/uadmin.h >/dev/null 2>&1 ; then + echo i860-stardent-sysv${UNAME_RELEASE} # Stardent Vistra i860-SVR4 + else # Add other i860-SVR4 vendors below as they are discovered. + echo i860-unknown-sysv${UNAME_RELEASE} # Unknown i860-SVR4 + fi + exit ;; + mini*:CTIX:SYS*5:*) + # "miniframe" + echo m68010-convergent-sysv + exit ;; + mc68k:UNIX:SYSTEM5:3.51m) + echo m68k-convergent-sysv + exit ;; + M680?0:D-NIX:5.3:*) + echo m68k-diab-dnix + exit ;; + M68*:*:R3V[5678]*:*) + test -r /sysV68 && { echo 'm68k-motorola-sysv'; exit; } ;; + 3[345]??:*:4.0:3.0 | 3[34]??A:*:4.0:3.0 | 3[34]??,*:*:4.0:3.0 | 3[34]??/*:*:4.0:3.0 | 4400:*:4.0:3.0 | 4850:*:4.0:3.0 | SKA40:*:4.0:3.0 | SDS2:*:4.0:3.0 | SHG2:*:4.0:3.0 | S7501*:*:4.0:3.0) + OS_REL='' + test -r /etc/.relid \ + && OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid` + /bin/uname -p 2>/dev/null | grep 86 >/dev/null \ + && { echo i486-ncr-sysv4.3${OS_REL}; exit; } + /bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \ + && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;; + 3[34]??:*:4.0:* | 3[34]??,*:*:4.0:*) + /bin/uname -p 2>/dev/null | grep 86 >/dev/null \ + && { echo i486-ncr-sysv4; exit; } ;; + m68*:LynxOS:2.*:* | m68*:LynxOS:3.0*:*) + echo m68k-unknown-lynxos${UNAME_RELEASE} + exit ;; + mc68030:UNIX_System_V:4.*:*) + echo m68k-atari-sysv4 + exit ;; + TSUNAMI:LynxOS:2.*:*) + echo sparc-unknown-lynxos${UNAME_RELEASE} + exit ;; + rs6000:LynxOS:2.*:*) + echo rs6000-unknown-lynxos${UNAME_RELEASE} + exit ;; + PowerPC:LynxOS:2.*:* | PowerPC:LynxOS:3.[01]*:* | PowerPC:LynxOS:4.0*:*) + echo powerpc-unknown-lynxos${UNAME_RELEASE} + exit ;; + SM[BE]S:UNIX_SV:*:*) + echo mips-dde-sysv${UNAME_RELEASE} + exit ;; + RM*:ReliantUNIX-*:*:*) + echo mips-sni-sysv4 + exit ;; + RM*:SINIX-*:*:*) + echo mips-sni-sysv4 + exit ;; + *:SINIX-*:*:*) + if uname -p 2>/dev/null >/dev/null ; then + UNAME_MACHINE=`(uname -p) 2>/dev/null` + echo ${UNAME_MACHINE}-sni-sysv4 + else + echo ns32k-sni-sysv + fi + exit ;; + PENTIUM:*:4.0*:*) # Unisys `ClearPath HMP IX 4000' SVR4/MP effort + # says + echo i586-unisys-sysv4 + exit ;; + *:UNIX_System_V:4*:FTX*) + # From Gerald Hewes . + # How about differentiating between stratus architectures? -djm + echo hppa1.1-stratus-sysv4 + exit ;; + *:*:*:FTX*) + # From seanf@swdc.stratus.com. + echo i860-stratus-sysv4 + exit ;; + i*86:VOS:*:*) + # From Paul.Green@stratus.com. + echo ${UNAME_MACHINE}-stratus-vos + exit ;; + *:VOS:*:*) + # From Paul.Green@stratus.com. + echo hppa1.1-stratus-vos + exit ;; + mc68*:A/UX:*:*) + echo m68k-apple-aux${UNAME_RELEASE} + exit ;; + news*:NEWS-OS:6*:*) + echo mips-sony-newsos6 + exit ;; + R[34]000:*System_V*:*:* | R4000:UNIX_SYSV:*:* | R*000:UNIX_SV:*:*) + if [ -d /usr/nec ]; then + echo mips-nec-sysv${UNAME_RELEASE} + else + echo mips-unknown-sysv${UNAME_RELEASE} + fi + exit ;; + BeBox:BeOS:*:*) # BeOS running on hardware made by Be, PPC only. + echo powerpc-be-beos + exit ;; + BeMac:BeOS:*:*) # BeOS running on Mac or Mac clone, PPC only. + echo powerpc-apple-beos + exit ;; + BePC:BeOS:*:*) # BeOS running on Intel PC compatible. + echo i586-pc-beos + exit ;; + SX-4:SUPER-UX:*:*) + echo sx4-nec-superux${UNAME_RELEASE} + exit ;; + SX-5:SUPER-UX:*:*) + echo sx5-nec-superux${UNAME_RELEASE} + exit ;; + SX-6:SUPER-UX:*:*) + echo sx6-nec-superux${UNAME_RELEASE} + exit ;; + SX-7:SUPER-UX:*:*) + echo sx7-nec-superux${UNAME_RELEASE} + exit ;; + SX-8:SUPER-UX:*:*) + echo sx8-nec-superux${UNAME_RELEASE} + exit ;; + SX-8R:SUPER-UX:*:*) + echo sx8r-nec-superux${UNAME_RELEASE} + exit ;; + Power*:Rhapsody:*:*) + echo powerpc-apple-rhapsody${UNAME_RELEASE} + exit ;; + *:Rhapsody:*:*) + echo ${UNAME_MACHINE}-apple-rhapsody${UNAME_RELEASE} + exit ;; + *:Darwin:*:*) + UNAME_PROCESSOR=`uname -p` || UNAME_PROCESSOR=unknown + case $UNAME_PROCESSOR in + unknown) UNAME_PROCESSOR=powerpc ;; + esac + echo ${UNAME_PROCESSOR}-apple-darwin${UNAME_RELEASE} + exit ;; + *:procnto*:*:* | *:QNX:[0123456789]*:*) + UNAME_PROCESSOR=`uname -p` + if test "$UNAME_PROCESSOR" = "x86"; then + UNAME_PROCESSOR=i386 + UNAME_MACHINE=pc + fi + echo ${UNAME_PROCESSOR}-${UNAME_MACHINE}-nto-qnx${UNAME_RELEASE} + exit ;; + *:QNX:*:4*) + echo i386-pc-qnx + exit ;; + NSE-?:NONSTOP_KERNEL:*:*) + echo nse-tandem-nsk${UNAME_RELEASE} + exit ;; + NSR-?:NONSTOP_KERNEL:*:*) + echo nsr-tandem-nsk${UNAME_RELEASE} + exit ;; + *:NonStop-UX:*:*) + echo mips-compaq-nonstopux + exit ;; + BS2000:POSIX*:*:*) + echo bs2000-siemens-sysv + exit ;; + DS/*:UNIX_System_V:*:*) + echo ${UNAME_MACHINE}-${UNAME_SYSTEM}-${UNAME_RELEASE} + exit ;; + *:Plan9:*:*) + # "uname -m" is not consistent, so use $cputype instead. 386 + # is converted to i386 for consistency with other x86 + # operating systems. + if test "$cputype" = "386"; then + UNAME_MACHINE=i386 + else + UNAME_MACHINE="$cputype" + fi + echo ${UNAME_MACHINE}-unknown-plan9 + exit ;; + *:TOPS-10:*:*) + echo pdp10-unknown-tops10 + exit ;; + *:TENEX:*:*) + echo pdp10-unknown-tenex + exit ;; + KS10:TOPS-20:*:* | KL10:TOPS-20:*:* | TYPE4:TOPS-20:*:*) + echo pdp10-dec-tops20 + exit ;; + XKL-1:TOPS-20:*:* | TYPE5:TOPS-20:*:*) + echo pdp10-xkl-tops20 + exit ;; + *:TOPS-20:*:*) + echo pdp10-unknown-tops20 + exit ;; + *:ITS:*:*) + echo pdp10-unknown-its + exit ;; + SEI:*:*:SEIUX) + echo mips-sei-seiux${UNAME_RELEASE} + exit ;; + *:DragonFly:*:*) + echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` + exit ;; + *:*VMS:*:*) + UNAME_MACHINE=`(uname -p) 2>/dev/null` + case "${UNAME_MACHINE}" in + A*) echo alpha-dec-vms ; exit ;; + I*) echo ia64-dec-vms ; exit ;; + V*) echo vax-dec-vms ; exit ;; + esac ;; + *:XENIX:*:SysV) + echo i386-pc-xenix + exit ;; + i*86:skyos:*:*) + echo ${UNAME_MACHINE}-pc-skyos`echo ${UNAME_RELEASE}` | sed -e 's/ .*$//' + exit ;; + i*86:rdos:*:*) + echo ${UNAME_MACHINE}-pc-rdos + exit ;; +esac + +#echo '(No uname command or uname output not recognized.)' 1>&2 +#echo "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" 1>&2 + +eval $set_cc_for_build +cat >$dummy.c < +# include +#endif +main () +{ +#if defined (sony) +#if defined (MIPSEB) + /* BFD wants "bsd" instead of "newsos". Perhaps BFD should be changed, + I don't know.... */ + printf ("mips-sony-bsd\n"); exit (0); +#else +#include + printf ("m68k-sony-newsos%s\n", +#ifdef NEWSOS4 + "4" +#else + "" +#endif + ); exit (0); +#endif +#endif + +#if defined (__arm) && defined (__acorn) && defined (__unix) + printf ("arm-acorn-riscix\n"); exit (0); +#endif + +#if defined (hp300) && !defined (hpux) + printf ("m68k-hp-bsd\n"); exit (0); +#endif + +#if defined (NeXT) +#if !defined (__ARCHITECTURE__) +#define __ARCHITECTURE__ "m68k" +#endif + int version; + version=`(hostinfo | sed -n 's/.*NeXT Mach \([0-9]*\).*/\1/p') 2>/dev/null`; + if (version < 4) + printf ("%s-next-nextstep%d\n", __ARCHITECTURE__, version); + else + printf ("%s-next-openstep%d\n", __ARCHITECTURE__, version); + exit (0); +#endif + +#if defined (MULTIMAX) || defined (n16) +#if defined (UMAXV) + printf ("ns32k-encore-sysv\n"); exit (0); +#else +#if defined (CMU) + printf ("ns32k-encore-mach\n"); exit (0); +#else + printf ("ns32k-encore-bsd\n"); exit (0); +#endif +#endif +#endif + +#if defined (__386BSD__) + printf ("i386-pc-bsd\n"); exit (0); +#endif + +#if defined (sequent) +#if defined (i386) + printf ("i386-sequent-dynix\n"); exit (0); +#endif +#if defined (ns32000) + printf ("ns32k-sequent-dynix\n"); exit (0); +#endif +#endif + +#if defined (_SEQUENT_) + struct utsname un; + + uname(&un); + + if (strncmp(un.version, "V2", 2) == 0) { + printf ("i386-sequent-ptx2\n"); exit (0); + } + if (strncmp(un.version, "V1", 2) == 0) { /* XXX is V1 correct? */ + printf ("i386-sequent-ptx1\n"); exit (0); + } + printf ("i386-sequent-ptx\n"); exit (0); + +#endif + +#if defined (vax) +# if !defined (ultrix) +# include +# if defined (BSD) +# if BSD == 43 + printf ("vax-dec-bsd4.3\n"); exit (0); +# else +# if BSD == 199006 + printf ("vax-dec-bsd4.3reno\n"); exit (0); +# else + printf ("vax-dec-bsd\n"); exit (0); +# endif +# endif +# else + printf ("vax-dec-bsd\n"); exit (0); +# endif +# else + printf ("vax-dec-ultrix\n"); exit (0); +# endif +#endif + +#if defined (alliant) && defined (i860) + printf ("i860-alliant-bsd\n"); exit (0); +#endif + + exit (1); +} +EOF + +$CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null && SYSTEM_NAME=`$dummy` && + { echo "$SYSTEM_NAME"; exit; } + +# Apollos put the system type in the environment. + +test -d /usr/apollo && { echo ${ISP}-apollo-${SYSTYPE}; exit; } + +# Convex versions that predate uname can use getsysinfo(1) + +if [ -x /usr/convex/getsysinfo ] +then + case `getsysinfo -f cpu_type` in + c1*) + echo c1-convex-bsd + exit ;; + c2*) + if getsysinfo -f scalar_acc + then echo c32-convex-bsd + else echo c2-convex-bsd + fi + exit ;; + c34*) + echo c34-convex-bsd + exit ;; + c38*) + echo c38-convex-bsd + exit ;; + c4*) + echo c4-convex-bsd + exit ;; + esac +fi + +cat >&2 < in order to provide the needed +information to handle your system. + +config.guess timestamp = $timestamp + +uname -m = `(uname -m) 2>/dev/null || echo unknown` +uname -r = `(uname -r) 2>/dev/null || echo unknown` +uname -s = `(uname -s) 2>/dev/null || echo unknown` +uname -v = `(uname -v) 2>/dev/null || echo unknown` + +/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null` +/bin/uname -X = `(/bin/uname -X) 2>/dev/null` + +hostinfo = `(hostinfo) 2>/dev/null` +/bin/universe = `(/bin/universe) 2>/dev/null` +/usr/bin/arch -k = `(/usr/bin/arch -k) 2>/dev/null` +/bin/arch = `(/bin/arch) 2>/dev/null` +/usr/bin/oslevel = `(/usr/bin/oslevel) 2>/dev/null` +/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null` + +UNAME_MACHINE = ${UNAME_MACHINE} +UNAME_RELEASE = ${UNAME_RELEASE} +UNAME_SYSTEM = ${UNAME_SYSTEM} +UNAME_VERSION = ${UNAME_VERSION} +EOF + +exit 1 + +# Local variables: +# eval: (add-hook 'write-file-hooks 'time-stamp) +# time-stamp-start: "timestamp='" +# time-stamp-format: "%:y-%02m-%02d" +# time-stamp-end: "'" +# End: diff --git a/usrp2/firmware/config.sub b/usrp2/firmware/config.sub new file mode 100644 index 00000000..1761d8bd --- /dev/null +++ b/usrp2/firmware/config.sub @@ -0,0 +1,1626 @@ +#! /bin/sh +# Configuration validation subroutine script. +# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, +# 2000, 2001, 2002, 2003, 2004, 2005, 2006 Free Software Foundation, +# Inc. + +timestamp='2007-06-28' + +# This file is (in principle) common to ALL GNU software. +# The presence of a machine in this file suggests that SOME GNU software +# can handle that machine. It does not imply ALL GNU software can. +# +# This file is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA +# 02110-1301, USA. +# +# As a special exception to the GNU General Public License, if you +# distribute this file as part of a program that contains a +# configuration script generated by Autoconf, you may include it under +# the same distribution terms that you use for the rest of that program. + + +# Please send patches to . Submit a context +# diff and a properly formatted ChangeLog entry. +# +# Configuration subroutine to validate and canonicalize a configuration type. +# Supply the specified configuration type as an argument. +# If it is invalid, we print an error message on stderr and exit with code 1. +# Otherwise, we print the canonical config type on stdout and succeed. + +# This file is supposed to be the same for all GNU packages +# and recognize all the CPU types, system types and aliases +# that are meaningful with *any* GNU software. +# Each package is responsible for reporting which valid configurations +# it does not support. The user should be able to distinguish +# a failure to support a valid configuration from a meaningless +# configuration. + +# The goal of this file is to map all the various variations of a given +# machine specification into a single specification in the form: +# CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM +# or in some cases, the newer four-part form: +# CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM +# It is wrong to echo any other type of specification. + +me=`echo "$0" | sed -e 's,.*/,,'` + +usage="\ +Usage: $0 [OPTION] CPU-MFR-OPSYS + $0 [OPTION] ALIAS + +Canonicalize a configuration name. + +Operation modes: + -h, --help print this help, then exit + -t, --time-stamp print date of last modification, then exit + -v, --version print version number, then exit + +Report bugs and patches to ." + +version="\ +GNU config.sub ($timestamp) + +Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005 +Free Software Foundation, Inc. + +This is free software; see the source for copying conditions. There is NO +warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." + +help=" +Try \`$me --help' for more information." + +# Parse command line +while test $# -gt 0 ; do + case $1 in + --time-stamp | --time* | -t ) + echo "$timestamp" ; exit ;; + --version | -v ) + echo "$version" ; exit ;; + --help | --h* | -h ) + echo "$usage"; exit ;; + -- ) # Stop option processing + shift; break ;; + - ) # Use stdin as input. + break ;; + -* ) + echo "$me: invalid option $1$help" + exit 1 ;; + + *local*) + # First pass through any local machine types. + echo $1 + exit ;; + + * ) + break ;; + esac +done + +case $# in + 0) echo "$me: missing argument$help" >&2 + exit 1;; + 1) ;; + *) echo "$me: too many arguments$help" >&2 + exit 1;; +esac + +# Separate what the user gave into CPU-COMPANY and OS or KERNEL-OS (if any). +# Here we must recognize all the valid KERNEL-OS combinations. +maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'` +case $maybe_os in + nto-qnx* | linux-gnu* | linux-dietlibc | linux-newlib* | linux-uclibc* | \ + uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | knetbsd*-gnu* | netbsd*-gnu* | \ + storm-chaos* | os2-emx* | rtmk-nova*) + os=-$maybe_os + basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'` + ;; + *) + basic_machine=`echo $1 | sed 's/-[^-]*$//'` + if [ $basic_machine != $1 ] + then os=`echo $1 | sed 's/.*-/-/'` + else os=; fi + ;; +esac + +### Let's recognize common machines as not being operating systems so +### that things like config.sub decstation-3100 work. We also +### recognize some manufacturers as not being operating systems, so we +### can provide default operating systems below. +case $os in + -sun*os*) + # Prevent following clause from handling this invalid input. + ;; + -dec* | -mips* | -sequent* | -encore* | -pc532* | -sgi* | -sony* | \ + -att* | -7300* | -3300* | -delta* | -motorola* | -sun[234]* | \ + -unicom* | -ibm* | -next | -hp | -isi* | -apollo | -altos* | \ + -convergent* | -ncr* | -news | -32* | -3600* | -3100* | -hitachi* |\ + -c[123]* | -convex* | -sun | -crds | -omron* | -dg | -ultra | -tti* | \ + -harris | -dolphin | -highlevel | -gould | -cbm | -ns | -masscomp | \ + -apple | -axis | -knuth | -cray) + os= + basic_machine=$1 + ;; + -sim | -cisco | -oki | -wec | -winbond) + os= + basic_machine=$1 + ;; + -scout) + ;; + -wrs) + os=-vxworks + basic_machine=$1 + ;; + -chorusos*) + os=-chorusos + basic_machine=$1 + ;; + -chorusrdb) + os=-chorusrdb + basic_machine=$1 + ;; + -hiux*) + os=-hiuxwe2 + ;; + -sco6) + os=-sco5v6 + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco5) + os=-sco3.2v5 + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco4) + os=-sco3.2v4 + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco3.2.[4-9]*) + os=`echo $os | sed -e 's/sco3.2./sco3.2v/'` + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco3.2v[4-9]*) + # Don't forget version if it is 3.2v4 or newer. + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco5v6*) + # Don't forget version if it is 3.2v4 or newer. + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -sco*) + os=-sco3.2v2 + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -udk*) + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -isc) + os=-isc2.2 + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -clix*) + basic_machine=clipper-intergraph + ;; + -isc*) + basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'` + ;; + -lynx*) + os=-lynxos + ;; + -ptx*) + basic_machine=`echo $1 | sed -e 's/86-.*/86-sequent/'` + ;; + -windowsnt*) + os=`echo $os | sed -e 's/windowsnt/winnt/'` + ;; + -psos*) + os=-psos + ;; + -mint | -mint[0-9]*) + basic_machine=m68k-atari + os=-mint + ;; +esac + +# Decode aliases for certain CPU-COMPANY combinations. +case $basic_machine in + # Recognize the basic CPU types without company name. + # Some are omitted here because they have special meanings below. + 1750a | 580 \ + | a29k \ + | alpha | alphaev[4-8] | alphaev56 | alphaev6[78] | alphapca5[67] \ + | alpha64 | alpha64ev[4-8] | alpha64ev56 | alpha64ev6[78] | alpha64pca5[67] \ + | am33_2.0 \ + | arc | arm | arm[bl]e | arme[lb] | armv[2345] | armv[345][lb] | avr | avr32 \ + | bfin \ + | c4x | clipper \ + | d10v | d30v | dlx | dsp16xx \ + | fido | fr30 | frv \ + | h8300 | h8500 | hppa | hppa1.[01] | hppa2.0 | hppa2.0[nw] | hppa64 \ + | i370 | i860 | i960 | ia64 \ + | ip2k | iq2000 \ + | m32c | m32r | m32rle | m68000 | m68k | m88k \ + | maxq | mb | microblaze | mcore | mep \ + | mips | mipsbe | mipseb | mipsel | mipsle \ + | mips16 \ + | mips64 | mips64el \ + | mips64vr | mips64vrel \ + | mips64orion | mips64orionel \ + | mips64vr4100 | mips64vr4100el \ + | mips64vr4300 | mips64vr4300el \ + | mips64vr5000 | mips64vr5000el \ + | mips64vr5900 | mips64vr5900el \ + | mipsisa32 | mipsisa32el \ + | mipsisa32r2 | mipsisa32r2el \ + | mipsisa64 | mipsisa64el \ + | mipsisa64r2 | mipsisa64r2el \ + | mipsisa64sb1 | mipsisa64sb1el \ + | mipsisa64sr71k | mipsisa64sr71kel \ + | mipstx39 | mipstx39el \ + | mn10200 | mn10300 \ + | mt \ + | msp430 \ + | nios | nios2 \ + | ns16k | ns32k \ + | or32 \ + | pdp10 | pdp11 | pj | pjl \ + | powerpc | powerpc64 | powerpc64le | powerpcle | ppcbe \ + | pyramid \ + | score \ + | sh | sh[1234] | sh[24]a | sh[23]e | sh[34]eb | sheb | shbe | shle | sh[1234]le | sh3ele \ + | sh64 | sh64le \ + | sparc | sparc64 | sparc64b | sparc64v | sparc86x | sparclet | sparclite \ + | sparcv8 | sparcv9 | sparcv9b | sparcv9v \ + | spu | strongarm \ + | tahoe | thumb | tic4x | tic80 | tron \ + | v850 | v850e \ + | we32k \ + | x86 | xc16x | xscale | xscalee[bl] | xstormy16 | xtensa \ + | z8k) + basic_machine=$basic_machine-unknown + ;; + m6811 | m68hc11 | m6812 | m68hc12) + # Motorola 68HC11/12. + basic_machine=$basic_machine-unknown + os=-none + ;; + m88110 | m680[12346]0 | m683?2 | m68360 | m5200 | v70 | w65 | z8k) + ;; + ms1) + basic_machine=mt-unknown + ;; + + # We use `pc' rather than `unknown' + # because (1) that's what they normally are, and + # (2) the word "unknown" tends to confuse beginning users. + i*86 | x86_64) + basic_machine=$basic_machine-pc + ;; + # Object if more than one company name word. + *-*-*) + echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2 + exit 1 + ;; + # Recognize the basic CPU types with company name. + 580-* \ + | a29k-* \ + | alpha-* | alphaev[4-8]-* | alphaev56-* | alphaev6[78]-* \ + | alpha64-* | alpha64ev[4-8]-* | alpha64ev56-* | alpha64ev6[78]-* \ + | alphapca5[67]-* | alpha64pca5[67]-* | arc-* \ + | arm-* | armbe-* | armle-* | armeb-* | armv*-* \ + | avr-* | avr32-* \ + | bfin-* | bs2000-* \ + | c[123]* | c30-* | [cjt]90-* | c4x-* | c54x-* | c55x-* | c6x-* \ + | clipper-* | craynv-* | cydra-* \ + | d10v-* | d30v-* | dlx-* \ + | elxsi-* \ + | f30[01]-* | f700-* | fido-* | fr30-* | frv-* | fx80-* \ + | h8300-* | h8500-* \ + | hppa-* | hppa1.[01]-* | hppa2.0-* | hppa2.0[nw]-* | hppa64-* \ + | i*86-* | i860-* | i960-* | ia64-* \ + | ip2k-* | iq2000-* \ + | m32c-* | m32r-* | m32rle-* \ + | m68000-* | m680[012346]0-* | m68360-* | m683?2-* | m68k-* \ + | m88110-* | m88k-* | maxq-* | mcore-* \ + | mips-* | mipsbe-* | mipseb-* | mipsel-* | mipsle-* \ + | mips16-* \ + | mips64-* | mips64el-* \ + | mips64vr-* | mips64vrel-* \ + | mips64orion-* | mips64orionel-* \ + | mips64vr4100-* | mips64vr4100el-* \ + | mips64vr4300-* | mips64vr4300el-* \ + | mips64vr5000-* | mips64vr5000el-* \ + | mips64vr5900-* | mips64vr5900el-* \ + | mipsisa32-* | mipsisa32el-* \ + | mipsisa32r2-* | mipsisa32r2el-* \ + | mipsisa64-* | mipsisa64el-* \ + | mipsisa64r2-* | mipsisa64r2el-* \ + | mipsisa64sb1-* | mipsisa64sb1el-* \ + | mipsisa64sr71k-* | mipsisa64sr71kel-* \ + | mipstx39-* | mipstx39el-* \ + | mmix-* \ + | mt-* \ + | msp430-* \ + | nios-* | nios2-* \ + | none-* | np1-* | ns16k-* | ns32k-* \ + | orion-* \ + | pdp10-* | pdp11-* | pj-* | pjl-* | pn-* | power-* \ + | powerpc-* | powerpc64-* | powerpc64le-* | powerpcle-* | ppcbe-* \ + | pyramid-* \ + | romp-* | rs6000-* \ + | sh-* | sh[1234]-* | sh[24]a-* | sh[23]e-* | sh[34]eb-* | sheb-* | shbe-* \ + | shle-* | sh[1234]le-* | sh3ele-* | sh64-* | sh64le-* \ + | sparc-* | sparc64-* | sparc64b-* | sparc64v-* | sparc86x-* | sparclet-* \ + | sparclite-* \ + | sparcv8-* | sparcv9-* | sparcv9b-* | sparcv9v-* | strongarm-* | sv1-* | sx?-* \ + | tahoe-* | thumb-* \ + | tic30-* | tic4x-* | tic54x-* | tic55x-* | tic6x-* | tic80-* \ + | tron-* \ + | v850-* | v850e-* | vax-* \ + | we32k-* \ + | x86-* | x86_64-* | xc16x-* | xps100-* | xscale-* | xscalee[bl]-* \ + | xstormy16-* | xtensa-* \ + | ymp-* \ + | z8k-*) + ;; + # Recognize the various machine names and aliases which stand + # for a CPU type and a company and sometimes even an OS. + 386bsd) + basic_machine=i386-unknown + os=-bsd + ;; + 3b1 | 7300 | 7300-att | att-7300 | pc7300 | safari | unixpc) + basic_machine=m68000-att + ;; + 3b*) + basic_machine=we32k-att + ;; + a29khif) + basic_machine=a29k-amd + os=-udi + ;; + abacus) + basic_machine=abacus-unknown + ;; + adobe68k) + basic_machine=m68010-adobe + os=-scout + ;; + alliant | fx80) + basic_machine=fx80-alliant + ;; + altos | altos3068) + basic_machine=m68k-altos + ;; + am29k) + basic_machine=a29k-none + os=-bsd + ;; + amd64) + basic_machine=x86_64-pc + ;; + amd64-*) + basic_machine=x86_64-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + amdahl) + basic_machine=580-amdahl + os=-sysv + ;; + amiga | amiga-*) + basic_machine=m68k-unknown + ;; + amigaos | amigados) + basic_machine=m68k-unknown + os=-amigaos + ;; + amigaunix | amix) + basic_machine=m68k-unknown + os=-sysv4 + ;; + apollo68) + basic_machine=m68k-apollo + os=-sysv + ;; + apollo68bsd) + basic_machine=m68k-apollo + os=-bsd + ;; + aux) + basic_machine=m68k-apple + os=-aux + ;; + balance) + basic_machine=ns32k-sequent + os=-dynix + ;; + c90) + basic_machine=c90-cray + os=-unicos + ;; + convex-c1) + basic_machine=c1-convex + os=-bsd + ;; + convex-c2) + basic_machine=c2-convex + os=-bsd + ;; + convex-c32) + basic_machine=c32-convex + os=-bsd + ;; + convex-c34) + basic_machine=c34-convex + os=-bsd + ;; + convex-c38) + basic_machine=c38-convex + os=-bsd + ;; + cray | j90) + basic_machine=j90-cray + os=-unicos + ;; + craynv) + basic_machine=craynv-cray + os=-unicosmp + ;; + cr16) + basic_machine=cr16-unknown + os=-elf + ;; + crds | unos) + basic_machine=m68k-crds + ;; + crisv32 | crisv32-* | etraxfs*) + basic_machine=crisv32-axis + ;; + cris | cris-* | etrax*) + basic_machine=cris-axis + ;; + crx) + basic_machine=crx-unknown + os=-elf + ;; + da30 | da30-*) + basic_machine=m68k-da30 + ;; + decstation | decstation-3100 | pmax | pmax-* | pmin | dec3100 | decstatn) + basic_machine=mips-dec + ;; + decsystem10* | dec10*) + basic_machine=pdp10-dec + os=-tops10 + ;; + decsystem20* | dec20*) + basic_machine=pdp10-dec + os=-tops20 + ;; + delta | 3300 | motorola-3300 | motorola-delta \ + | 3300-motorola | delta-motorola) + basic_machine=m68k-motorola + ;; + delta88) + basic_machine=m88k-motorola + os=-sysv3 + ;; + djgpp) + basic_machine=i586-pc + os=-msdosdjgpp + ;; + dpx20 | dpx20-*) + basic_machine=rs6000-bull + os=-bosx + ;; + dpx2* | dpx2*-bull) + basic_machine=m68k-bull + os=-sysv3 + ;; + ebmon29k) + basic_machine=a29k-amd + os=-ebmon + ;; + elxsi) + basic_machine=elxsi-elxsi + os=-bsd + ;; + encore | umax | mmax) + basic_machine=ns32k-encore + ;; + es1800 | OSE68k | ose68k | ose | OSE) + basic_machine=m68k-ericsson + os=-ose + ;; + fx2800) + basic_machine=i860-alliant + ;; + genix) + basic_machine=ns32k-ns + ;; + gmicro) + basic_machine=tron-gmicro + os=-sysv + ;; + go32) + basic_machine=i386-pc + os=-go32 + ;; + h3050r* | hiux*) + basic_machine=hppa1.1-hitachi + os=-hiuxwe2 + ;; + h8300hms) + basic_machine=h8300-hitachi + os=-hms + ;; + h8300xray) + basic_machine=h8300-hitachi + os=-xray + ;; + h8500hms) + basic_machine=h8500-hitachi + os=-hms + ;; + harris) + basic_machine=m88k-harris + os=-sysv3 + ;; + hp300-*) + basic_machine=m68k-hp + ;; + hp300bsd) + basic_machine=m68k-hp + os=-bsd + ;; + hp300hpux) + basic_machine=m68k-hp + os=-hpux + ;; + hp3k9[0-9][0-9] | hp9[0-9][0-9]) + basic_machine=hppa1.0-hp + ;; + hp9k2[0-9][0-9] | hp9k31[0-9]) + basic_machine=m68000-hp + ;; + hp9k3[2-9][0-9]) + basic_machine=m68k-hp + ;; + hp9k6[0-9][0-9] | hp6[0-9][0-9]) + basic_machine=hppa1.0-hp + ;; + hp9k7[0-79][0-9] | hp7[0-79][0-9]) + basic_machine=hppa1.1-hp + ;; + hp9k78[0-9] | hp78[0-9]) + # FIXME: really hppa2.0-hp + basic_machine=hppa1.1-hp + ;; + hp9k8[67]1 | hp8[67]1 | hp9k80[24] | hp80[24] | hp9k8[78]9 | hp8[78]9 | hp9k893 | hp893) + # FIXME: really hppa2.0-hp + basic_machine=hppa1.1-hp + ;; + hp9k8[0-9][13679] | hp8[0-9][13679]) + basic_machine=hppa1.1-hp + ;; + hp9k8[0-9][0-9] | hp8[0-9][0-9]) + basic_machine=hppa1.0-hp + ;; + hppa-next) + os=-nextstep3 + ;; + hppaosf) + basic_machine=hppa1.1-hp + os=-osf + ;; + hppro) + basic_machine=hppa1.1-hp + os=-proelf + ;; + i370-ibm* | ibm*) + basic_machine=i370-ibm + ;; +# I'm not sure what "Sysv32" means. Should this be sysv3.2? + i*86v32) + basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'` + os=-sysv32 + ;; + i*86v4*) + basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'` + os=-sysv4 + ;; + i*86v) + basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'` + os=-sysv + ;; + i*86sol2) + basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'` + os=-solaris2 + ;; + i386mach) + basic_machine=i386-mach + os=-mach + ;; + i386-vsta | vsta) + basic_machine=i386-unknown + os=-vsta + ;; + iris | iris4d) + basic_machine=mips-sgi + case $os in + -irix*) + ;; + *) + os=-irix4 + ;; + esac + ;; + isi68 | isi) + basic_machine=m68k-isi + os=-sysv + ;; + m88k-omron*) + basic_machine=m88k-omron + ;; + magnum | m3230) + basic_machine=mips-mips + os=-sysv + ;; + merlin) + basic_machine=ns32k-utek + os=-sysv + ;; + mingw32) + basic_machine=i386-pc + os=-mingw32 + ;; + mingw32ce) + basic_machine=arm-unknown + os=-mingw32ce + ;; + miniframe) + basic_machine=m68000-convergent + ;; + *mint | -mint[0-9]* | *MiNT | *MiNT[0-9]*) + basic_machine=m68k-atari + os=-mint + ;; + mips3*-*) + basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'` + ;; + mips3*) + basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`-unknown + ;; + monitor) + basic_machine=m68k-rom68k + os=-coff + ;; + morphos) + basic_machine=powerpc-unknown + os=-morphos + ;; + msdos) + basic_machine=i386-pc + os=-msdos + ;; + ms1-*) + basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'` + ;; + mvs) + basic_machine=i370-ibm + os=-mvs + ;; + ncr3000) + basic_machine=i486-ncr + os=-sysv4 + ;; + netbsd386) + basic_machine=i386-unknown + os=-netbsd + ;; + netwinder) + basic_machine=armv4l-rebel + os=-linux + ;; + news | news700 | news800 | news900) + basic_machine=m68k-sony + os=-newsos + ;; + news1000) + basic_machine=m68030-sony + os=-newsos + ;; + news-3600 | risc-news) + basic_machine=mips-sony + os=-newsos + ;; + necv70) + basic_machine=v70-nec + os=-sysv + ;; + next | m*-next ) + basic_machine=m68k-next + case $os in + -nextstep* ) + ;; + -ns2*) + os=-nextstep2 + ;; + *) + os=-nextstep3 + ;; + esac + ;; + nh3000) + basic_machine=m68k-harris + os=-cxux + ;; + nh[45]000) + basic_machine=m88k-harris + os=-cxux + ;; + nindy960) + basic_machine=i960-intel + os=-nindy + ;; + mon960) + basic_machine=i960-intel + os=-mon960 + ;; + nonstopux) + basic_machine=mips-compaq + os=-nonstopux + ;; + np1) + basic_machine=np1-gould + ;; + nsr-tandem) + basic_machine=nsr-tandem + ;; + op50n-* | op60c-*) + basic_machine=hppa1.1-oki + os=-proelf + ;; + openrisc | openrisc-*) + basic_machine=or32-unknown + ;; + os400) + basic_machine=powerpc-ibm + os=-os400 + ;; + OSE68000 | ose68000) + basic_machine=m68000-ericsson + os=-ose + ;; + os68k) + basic_machine=m68k-none + os=-os68k + ;; + pa-hitachi) + basic_machine=hppa1.1-hitachi + os=-hiuxwe2 + ;; + paragon) + basic_machine=i860-intel + os=-osf + ;; + pbd) + basic_machine=sparc-tti + ;; + pbb) + basic_machine=m68k-tti + ;; + pc532 | pc532-*) + basic_machine=ns32k-pc532 + ;; + pc98) + basic_machine=i386-pc + ;; + pc98-*) + basic_machine=i386-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + pentium | p5 | k5 | k6 | nexgen | viac3) + basic_machine=i586-pc + ;; + pentiumpro | p6 | 6x86 | athlon | athlon_*) + basic_machine=i686-pc + ;; + pentiumii | pentium2 | pentiumiii | pentium3) + basic_machine=i686-pc + ;; + pentium4) + basic_machine=i786-pc + ;; + pentium-* | p5-* | k5-* | k6-* | nexgen-* | viac3-*) + basic_machine=i586-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + pentiumpro-* | p6-* | 6x86-* | athlon-*) + basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + pentiumii-* | pentium2-* | pentiumiii-* | pentium3-*) + basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + pentium4-*) + basic_machine=i786-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + pn) + basic_machine=pn-gould + ;; + power) basic_machine=power-ibm + ;; + ppc) basic_machine=powerpc-unknown + ;; + ppc-*) basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + ppcle | powerpclittle | ppc-le | powerpc-little) + basic_machine=powerpcle-unknown + ;; + ppcle-* | powerpclittle-*) + basic_machine=powerpcle-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + ppc64) basic_machine=powerpc64-unknown + ;; + ppc64-*) basic_machine=powerpc64-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + ppc64le | powerpc64little | ppc64-le | powerpc64-little) + basic_machine=powerpc64le-unknown + ;; + ppc64le-* | powerpc64little-*) + basic_machine=powerpc64le-`echo $basic_machine | sed 's/^[^-]*-//'` + ;; + ps2) + basic_machine=i386-ibm + ;; + pw32) + basic_machine=i586-unknown + os=-pw32 + ;; + rdos) + basic_machine=i386-pc + os=-rdos + ;; + rom68k) + basic_machine=m68k-rom68k + os=-coff + ;; + rm[46]00) + basic_machine=mips-siemens + ;; + rtpc | rtpc-*) + basic_machine=romp-ibm + ;; + s390 | s390-*) + basic_machine=s390-ibm + ;; + s390x | s390x-*) + basic_machine=s390x-ibm + ;; + sa29200) + basic_machine=a29k-amd + os=-udi + ;; + sb1) + basic_machine=mipsisa64sb1-unknown + ;; + sb1el) + basic_machine=mipsisa64sb1el-unknown + ;; + sde) + basic_machine=mipsisa32-sde + os=-elf + ;; + sei) + basic_machine=mips-sei + os=-seiux + ;; + sequent) + basic_machine=i386-sequent + ;; + sh) + basic_machine=sh-hitachi + os=-hms + ;; + sh5el) + basic_machine=sh5le-unknown + ;; + sh64) + basic_machine=sh64-unknown + ;; + sparclite-wrs | simso-wrs) + basic_machine=sparclite-wrs + os=-vxworks + ;; + sps7) + basic_machine=m68k-bull + os=-sysv2 + ;; + spur) + basic_machine=spur-unknown + ;; + st2000) + basic_machine=m68k-tandem + ;; + stratus) + basic_machine=i860-stratus + os=-sysv4 + ;; + sun2) + basic_machine=m68000-sun + ;; + sun2os3) + basic_machine=m68000-sun + os=-sunos3 + ;; + sun2os4) + basic_machine=m68000-sun + os=-sunos4 + ;; + sun3os3) + basic_machine=m68k-sun + os=-sunos3 + ;; + sun3os4) + basic_machine=m68k-sun + os=-sunos4 + ;; + sun4os3) + basic_machine=sparc-sun + os=-sunos3 + ;; + sun4os4) + basic_machine=sparc-sun + os=-sunos4 + ;; + sun4sol2) + basic_machine=sparc-sun + os=-solaris2 + ;; + sun3 | sun3-*) + basic_machine=m68k-sun + ;; + sun4) + basic_machine=sparc-sun + ;; + sun386 | sun386i | roadrunner) + basic_machine=i386-sun + ;; + sv1) + basic_machine=sv1-cray + os=-unicos + ;; + symmetry) + basic_machine=i386-sequent + os=-dynix + ;; + t3e) + basic_machine=alphaev5-cray + os=-unicos + ;; + t90) + basic_machine=t90-cray + os=-unicos + ;; + tic54x | c54x*) + basic_machine=tic54x-unknown + os=-coff + ;; + tic55x | c55x*) + basic_machine=tic55x-unknown + os=-coff + ;; + tic6x | c6x*) + basic_machine=tic6x-unknown + os=-coff + ;; + tx39) + basic_machine=mipstx39-unknown + ;; + tx39el) + basic_machine=mipstx39el-unknown + ;; + toad1) + basic_machine=pdp10-xkl + os=-tops20 + ;; + tower | tower-32) + basic_machine=m68k-ncr + ;; + tpf) + basic_machine=s390x-ibm + os=-tpf + ;; + udi29k) + basic_machine=a29k-amd + os=-udi + ;; + ultra3) + basic_machine=a29k-nyu + os=-sym1 + ;; + v810 | necv810) + basic_machine=v810-nec + os=-none + ;; + vaxv) + basic_machine=vax-dec + os=-sysv + ;; + vms) + basic_machine=vax-dec + os=-vms + ;; + vpp*|vx|vx-*) + basic_machine=f301-fujitsu + ;; + vxworks960) + basic_machine=i960-wrs + os=-vxworks + ;; + vxworks68) + basic_machine=m68k-wrs + os=-vxworks + ;; + vxworks29k) + basic_machine=a29k-wrs + os=-vxworks + ;; + w65*) + basic_machine=w65-wdc + os=-none + ;; + w89k-*) + basic_machine=hppa1.1-winbond + os=-proelf + ;; + xbox) + basic_machine=i686-pc + os=-mingw32 + ;; + xps | xps100) + basic_machine=xps100-honeywell + ;; + ymp) + basic_machine=ymp-cray + os=-unicos + ;; + z8k-*-coff) + basic_machine=z8k-unknown + os=-sim + ;; + none) + basic_machine=none-none + os=-none + ;; + +# Here we handle the default manufacturer of certain CPU types. It is in +# some cases the only manufacturer, in others, it is the most popular. + w89k) + basic_machine=hppa1.1-winbond + ;; + op50n) + basic_machine=hppa1.1-oki + ;; + op60c) + basic_machine=hppa1.1-oki + ;; + romp) + basic_machine=romp-ibm + ;; + mmix) + basic_machine=mmix-knuth + ;; + rs6000) + basic_machine=rs6000-ibm + ;; + vax) + basic_machine=vax-dec + ;; + pdp10) + # there are many clones, so DEC is not a safe bet + basic_machine=pdp10-unknown + ;; + pdp11) + basic_machine=pdp11-dec + ;; + we32k) + basic_machine=we32k-att + ;; + sh[1234] | sh[24]a | sh[34]eb | sh[1234]le | sh[23]ele) + basic_machine=sh-unknown + ;; + sparc | sparcv8 | sparcv9 | sparcv9b | sparcv9v) + basic_machine=sparc-sun + ;; + cydra) + basic_machine=cydra-cydrome + ;; + orion) + basic_machine=orion-highlevel + ;; + orion105) + basic_machine=clipper-highlevel + ;; + mac | mpw | mac-mpw) + basic_machine=m68k-apple + ;; + pmac | pmac-mpw) + basic_machine=powerpc-apple + ;; + *-unknown) + # Make sure to match an already-canonicalized machine name. + ;; + *) + echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2 + exit 1 + ;; +esac + +# Here we canonicalize certain aliases for manufacturers. +case $basic_machine in + *-digital*) + basic_machine=`echo $basic_machine | sed 's/digital.*/dec/'` + ;; + *-commodore*) + basic_machine=`echo $basic_machine | sed 's/commodore.*/cbm/'` + ;; + *) + ;; +esac + +# Decode manufacturer-specific aliases for certain operating systems. + +if [ x"$os" != x"" ] +then +case $os in + # First match some system type aliases + # that might get confused with valid system types. + # -solaris* is a basic system type, with this one exception. + -solaris1 | -solaris1.*) + os=`echo $os | sed -e 's|solaris1|sunos4|'` + ;; + -solaris) + os=-solaris2 + ;; + -svr4*) + os=-sysv4 + ;; + -unixware*) + os=-sysv4.2uw + ;; + -gnu/linux*) + os=`echo $os | sed -e 's|gnu/linux|linux-gnu|'` + ;; + # First accept the basic system types. + # The portable systems comes first. + # Each alternative MUST END IN A *, to match a version number. + # -sysv* is not here because it comes later, after sysvr4. + -gnu* | -bsd* | -mach* | -minix* | -genix* | -ultrix* | -irix* \ + | -*vms* | -sco* | -esix* | -isc* | -aix* | -sunos | -sunos[34]*\ + | -hpux* | -unos* | -osf* | -luna* | -dgux* | -solaris* | -sym* \ + | -amigaos* | -amigados* | -msdos* | -newsos* | -unicos* | -aof* \ + | -aos* \ + | -nindy* | -vxsim* | -vxworks* | -ebmon* | -hms* | -mvs* \ + | -clix* | -riscos* | -uniplus* | -iris* | -rtu* | -xenix* \ + | -hiux* | -386bsd* | -knetbsd* | -mirbsd* | -netbsd* \ + | -openbsd* | -solidbsd* \ + | -ekkobsd* | -kfreebsd* | -freebsd* | -riscix* | -lynxos* \ + | -bosx* | -nextstep* | -cxux* | -aout* | -elf* | -oabi* \ + | -ptx* | -coff* | -ecoff* | -winnt* | -domain* | -vsta* \ + | -udi* | -eabi* | -lites* | -ieee* | -go32* | -aux* \ + | -chorusos* | -chorusrdb* \ + | -cygwin* | -pe* | -psos* | -moss* | -proelf* | -rtems* \ + | -mingw32* | -linux-gnu* | -linux-newlib* | -linux-uclibc* \ + | -uxpv* | -beos* | -mpeix* | -udk* \ + | -interix* | -uwin* | -mks* | -rhapsody* | -darwin* | -opened* \ + | -openstep* | -oskit* | -conix* | -pw32* | -nonstopux* \ + | -storm-chaos* | -tops10* | -tenex* | -tops20* | -its* \ + | -os2* | -vos* | -palmos* | -uclinux* | -nucleus* \ + | -morphos* | -superux* | -rtmk* | -rtmk-nova* | -windiss* \ + | -powermax* | -dnix* | -nx6 | -nx7 | -sei* | -dragonfly* \ + | -skyos* | -haiku* | -rdos* | -toppers* | -drops*) + # Remember, each alternative MUST END IN *, to match a version number. + ;; + -qnx*) + case $basic_machine in + x86-* | i*86-*) + ;; + *) + os=-nto$os + ;; + esac + ;; + -nto-qnx*) + ;; + -nto*) + os=`echo $os | sed -e 's|nto|nto-qnx|'` + ;; + -sim | -es1800* | -hms* | -xray | -os68k* | -none* | -v88r* \ + | -windows* | -osx | -abug | -netware* | -os9* | -beos* | -haiku* \ + | -macos* | -mpw* | -magic* | -mmixware* | -mon960* | -lnews*) + ;; + -mac*) + os=`echo $os | sed -e 's|mac|macos|'` + ;; + -linux-dietlibc) + os=-linux-dietlibc + ;; + -linux*) + os=`echo $os | sed -e 's|linux|linux-gnu|'` + ;; + -sunos5*) + os=`echo $os | sed -e 's|sunos5|solaris2|'` + ;; + -sunos6*) + os=`echo $os | sed -e 's|sunos6|solaris3|'` + ;; + -opened*) + os=-openedition + ;; + -os400*) + os=-os400 + ;; + -wince*) + os=-wince + ;; + -osfrose*) + os=-osfrose + ;; + -osf*) + os=-osf + ;; + -utek*) + os=-bsd + ;; + -dynix*) + os=-bsd + ;; + -acis*) + os=-aos + ;; + -atheos*) + os=-atheos + ;; + -syllable*) + os=-syllable + ;; + -386bsd) + os=-bsd + ;; + -ctix* | -uts*) + os=-sysv + ;; + -nova*) + os=-rtmk-nova + ;; + -ns2 ) + os=-nextstep2 + ;; + -nsk*) + os=-nsk + ;; + # Preserve the version number of sinix5. + -sinix5.*) + os=`echo $os | sed -e 's|sinix|sysv|'` + ;; + -sinix*) + os=-sysv4 + ;; + -tpf*) + os=-tpf + ;; + -triton*) + os=-sysv3 + ;; + -oss*) + os=-sysv3 + ;; + -svr4) + os=-sysv4 + ;; + -svr3) + os=-sysv3 + ;; + -sysvr4) + os=-sysv4 + ;; + # This must come after -sysvr4. + -sysv*) + ;; + -ose*) + os=-ose + ;; + -es1800*) + os=-ose + ;; + -xenix) + os=-xenix + ;; + -*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*) + os=-mint + ;; + -aros*) + os=-aros + ;; + -kaos*) + os=-kaos + ;; + -zvmoe) + os=-zvmoe + ;; + -none) + ;; + *) + # Get rid of the `-' at the beginning of $os. + os=`echo $os | sed 's/[^-]*-//'` + echo Invalid configuration \`$1\': system \`$os\' not recognized 1>&2 + exit 1 + ;; +esac +else + +# Here we handle the default operating systems that come with various machines. +# The value should be what the vendor currently ships out the door with their +# machine or put another way, the most popular os provided with the machine. + +# Note that if you're going to try to match "-MANUFACTURER" here (say, +# "-sun"), then you have to tell the case statement up towards the top +# that MANUFACTURER isn't an operating system. Otherwise, code above +# will signal an error saying that MANUFACTURER isn't an operating +# system, and we'll never get to this point. + +case $basic_machine in + score-*) + os=-elf + ;; + spu-*) + os=-elf + ;; + *-acorn) + os=-riscix1.2 + ;; + arm*-rebel) + os=-linux + ;; + arm*-semi) + os=-aout + ;; + c4x-* | tic4x-*) + os=-coff + ;; + # This must come before the *-dec entry. + pdp10-*) + os=-tops20 + ;; + pdp11-*) + os=-none + ;; + *-dec | vax-*) + os=-ultrix4.2 + ;; + m68*-apollo) + os=-domain + ;; + i386-sun) + os=-sunos4.0.2 + ;; + m68000-sun) + os=-sunos3 + # This also exists in the configure program, but was not the + # default. + # os=-sunos4 + ;; + m68*-cisco) + os=-aout + ;; + mep-*) + os=-elf + ;; + mips*-cisco) + os=-elf + ;; + mips*-*) + os=-elf + ;; + or32-*) + os=-coff + ;; + *-tti) # must be before sparc entry or we get the wrong os. + os=-sysv3 + ;; + sparc-* | *-sun) + os=-sunos4.1.1 + ;; + *-be) + os=-beos + ;; + *-haiku) + os=-haiku + ;; + *-ibm) + os=-aix + ;; + *-knuth) + os=-mmixware + ;; + *-wec) + os=-proelf + ;; + *-winbond) + os=-proelf + ;; + *-oki) + os=-proelf + ;; + *-hp) + os=-hpux + ;; + *-hitachi) + os=-hiux + ;; + i860-* | *-att | *-ncr | *-altos | *-motorola | *-convergent) + os=-sysv + ;; + *-cbm) + os=-amigaos + ;; + *-dg) + os=-dgux + ;; + *-dolphin) + os=-sysv3 + ;; + m68k-ccur) + os=-rtu + ;; + m88k-omron*) + os=-luna + ;; + *-next ) + os=-nextstep + ;; + *-sequent) + os=-ptx + ;; + *-crds) + os=-unos + ;; + *-ns) + os=-genix + ;; + i370-*) + os=-mvs + ;; + *-next) + os=-nextstep3 + ;; + *-gould) + os=-sysv + ;; + *-highlevel) + os=-bsd + ;; + *-encore) + os=-bsd + ;; + *-sgi) + os=-irix + ;; + *-siemens) + os=-sysv4 + ;; + *-masscomp) + os=-rtu + ;; + f30[01]-fujitsu | f700-fujitsu) + os=-uxpv + ;; + *-rom68k) + os=-coff + ;; + *-*bug) + os=-coff + ;; + *-apple) + os=-macos + ;; + *-atari*) + os=-mint + ;; + *) + os=-none + ;; +esac +fi + +# Here we handle the case where we know the os, and the CPU type, but not the +# manufacturer. We pick the logical manufacturer. +vendor=unknown +case $basic_machine in + *-unknown) + case $os in + -riscix*) + vendor=acorn + ;; + -sunos*) + vendor=sun + ;; + -aix*) + vendor=ibm + ;; + -beos*) + vendor=be + ;; + -hpux*) + vendor=hp + ;; + -mpeix*) + vendor=hp + ;; + -hiux*) + vendor=hitachi + ;; + -unos*) + vendor=crds + ;; + -dgux*) + vendor=dg + ;; + -luna*) + vendor=omron + ;; + -genix*) + vendor=ns + ;; + -mvs* | -opened*) + vendor=ibm + ;; + -os400*) + vendor=ibm + ;; + -ptx*) + vendor=sequent + ;; + -tpf*) + vendor=ibm + ;; + -vxsim* | -vxworks* | -windiss*) + vendor=wrs + ;; + -aux*) + vendor=apple + ;; + -hms*) + vendor=hitachi + ;; + -mpw* | -macos*) + vendor=apple + ;; + -*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*) + vendor=atari + ;; + -vos*) + vendor=stratus + ;; + esac + basic_machine=`echo $basic_machine | sed "s/unknown/$vendor/"` + ;; +esac + +echo $basic_machine$os +exit + +# Local variables: +# eval: (add-hook 'write-file-hooks 'time-stamp) +# time-stamp-start: "timestamp='" +# time-stamp-format: "%:y-%02m-%02d" +# time-stamp-end: "'" +# End: diff --git a/usrp2/firmware/configure.ac b/usrp2/firmware/configure.ac new file mode 100644 index 00000000..bbb223c0 --- /dev/null +++ b/usrp2/firmware/configure.ac @@ -0,0 +1,46 @@ +dnl +dnl Copyright 2007 Free Software Foundation, Inc. +dnl +dnl This program is free software: you can redistribute it and/or modify +dnl it under the terms of the GNU General Public License as published by +dnl the Free Software Foundation, either version 3 of the License, or +dnl (at your option) any later version. +dnl +dnl This program is distributed in the hope that it will be useful, +dnl but WITHOUT ANY WARRANTY; without even the implied warranty of +dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +dnl GNU General Public License for more details. +dnl +dnl You should have received a copy of the GNU General Public License +dnl along with this program. If not, see . +dnl + +AC_INIT +AC_PREREQ(2.57) +AM_CONFIG_HEADER(config.h) +AC_CONFIG_AUX_DIR([.]) +AC_CONFIG_SRCDIR([lib/u2_init.c]) + +AM_INIT_AUTOMAKE(u2f,0.0svn) + +cross_compiling=yes + +AC_PROG_CC([mb-gcc]) +dnl AC_PROG_LD([mb-ld]) + +RANLIB=mb-ranlib +AC_SUBST(RANLIB) + +dnl AC_PROG_RANLIB([mb-ranlib]) + +AC_CHECK_HEADERS(arpa/inet.h netinet/in.h byteswap.h) +AC_C_BIGENDIAN + +AC_CONFIG_FILES([\ + Makefile \ + apps/Makefile \ + include/Makefile \ + lib/Makefile \ +]) + +AC_OUTPUT diff --git a/usrp2/firmware/divisors.py b/usrp2/firmware/divisors.py new file mode 100755 index 00000000..d31bd4da --- /dev/null +++ b/usrp2/firmware/divisors.py @@ -0,0 +1,34 @@ +#!/usr/bin/env python + +speeds = (9600, 19200, 38400, 57600, 115200, 230400) + +master_clk = 100e6 +wb_clk = master_clk / 2 + +def divisor(speed): + div0 = wb_clk // (speed * 16) + div1 = div0 + 1 + actual0 = actual_speed(div0) + actual1 = actual_speed(div1) + if abs(actual0 - speed) < abs(actual1 - speed): + return div0 + else: + return div1 + +def actual_speed(divisor): + return (wb_clk // divisor) / 16 + +def doit(speed): + div = divisor(speed) + actual = actual_speed(div) + rel_error = (actual - speed) / speed + print "target: %6d divisor: %6d actual: %11.4f %6.3f%%" % (speed, div, actual, rel_error*100) + +def main(): + print "wb_clk = %f" % (wb_clk,) + for s in speeds: + doit(s) + +if __name__ == '__main__': + main() + diff --git a/usrp2/firmware/include/Makefile.am b/usrp2/firmware/include/Makefile.am new file mode 100644 index 00000000..a5e33919 --- /dev/null +++ b/usrp2/firmware/include/Makefile.am @@ -0,0 +1,27 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +noinst_HEADERS = \ + usrp2_cdefs.h \ + usrp2_eth_packet.h \ + usrp2_fpga_regs.h \ + usrp2_i2c_addr.h \ + usrp2_mac_addr.h \ + usrp2_mimo_config.h \ + usrp2_types.h diff --git a/usrp2/firmware/include/usrp2_cdefs.h b/usrp2/firmware/include/usrp2_cdefs.h new file mode 100644 index 00000000..71395cda --- /dev/null +++ b/usrp2/firmware/include/usrp2_cdefs.h @@ -0,0 +1,34 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef INCLUDED_USRP2_CDEFS_H +#define INCLUDED_USRP2_CDEFS_H + +/* C++ needs to know that types and declarations are C, not C++. */ +#ifdef __cplusplus +# define __U2_BEGIN_DECLS extern "C" { +# define __U2_END_DECLS } +#else +# define __U2_BEGIN_DECLS +# define __U2_END_DECLS +#endif + +#endif /* INCLUDED_USRP2_CDEFS_H */ diff --git a/usrp2/firmware/include/usrp2_eth_packet.h b/usrp2/firmware/include/usrp2_eth_packet.h new file mode 100644 index 00000000..ca13d61a --- /dev/null +++ b/usrp2/firmware/include/usrp2_eth_packet.h @@ -0,0 +1,374 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_ETH_PACKET_H +#define INCLUDED_USRP2_ETH_PACKET_H + +#include "usrp2_cdefs.h" +#include "usrp2_bytesex.h" +#include "usrp2_mac_addr.h" +#include "usrp2_mimo_config.h" + +__U2_BEGIN_DECLS + +#define U2_ETHERTYPE 0xBEEF // used in our frames +#define MAC_CTRL_ETHERTYPE 0x8808 // used in PAUSE frames + +/* + * All these data structures are BIG-ENDIAN on the wire + */ + +// FIXME gcc specific. Really ought to come from compiler.h +#define _AL4 __attribute__((aligned (4))) + +/* + * \brief The classic 14-byte ethernet header + */ +typedef struct { + u2_mac_addr_t dst; + u2_mac_addr_t src; + uint16_t ethertype; +} __attribute__((packed)) u2_eth_hdr_t; + +/*! + * \brief USRP2 transport header + * + * This enables host->usrp2 flow control and dropped packet detection. + */ +typedef struct { + uint16_t flags; // MBZ, may be used for channel in future + uint16_t fifo_status; // free space in Rx fifo in 32-bit lines + uint8_t seqno; // sequence number of this packet + uint8_t ack; // sequence number of next packet expected +} __attribute__((packed)) u2_transport_hdr_t; + + +/* + * The fixed payload header of a USRP2 ethernet packet... + * + * Basically there's 1 word of flags and routing info, and 1 word + * of timestamp that specifies when the data was received, or + * when it should be transmitted. The data samples follow immediately. + * + * Transmit packets (from host to U2) + * + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | Chan | mbz |I|S|E| + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | Timestamp | + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * + * Received packets (from U2 to host) + * + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | Chan | mbz | + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | Timestamp | + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * mbz == must be zero + */ + +typedef struct { + uint32_t word0; // flags etc + uint32_t timestamp; // time of rx or tx (100 MHz) +} u2_fixed_hdr_t; + + +#define U2P_CHAN_MASK 0x1f +#define U2P_CHAN_SHIFT 27 + +#define U2P_TX_IMMEDIATE 0x00000004 // send samples NOW, else at timestamp +#define U2P_TX_START_OF_BURST 0x00000002 // this frame is the start of a burst +#define U2P_TX_END_OF_BURST 0x00000001 // this frame is the end of a burst + +#define U2P_ALL_FLAGS 0x00000007 + +#define CONTROL_CHAN 0x1f + +static inline int +u2p_chan(u2_fixed_hdr_t *p) +{ + return (ntohl(p->word0) >> U2P_CHAN_SHIFT) & U2P_CHAN_MASK; +} + +inline static uint32_t +u2p_word0(u2_fixed_hdr_t *p) +{ + return ntohl(p->word0); +} + +inline static uint32_t +u2p_timestamp(u2_fixed_hdr_t *p) +{ + return ntohl(p->timestamp); +} + +inline static void +u2p_set_word0(u2_fixed_hdr_t *p, int flags, int chan) +{ + p->word0 = htonl((flags & U2P_ALL_FLAGS) + | ((chan & U2P_CHAN_MASK) << U2P_CHAN_SHIFT)); +} + +inline static void +u2p_set_timestamp(u2_fixed_hdr_t *p, uint32_t ts) +{ + p->timestamp = htonl(ts); +} + +/*! + * \brief consolidated packet: ethernet header + transport header + fixed header + */ +typedef struct { + u2_eth_hdr_t ehdr; + u2_transport_hdr_t thdr; + u2_fixed_hdr_t fixed; +} u2_eth_packet_t; + +/* + * full load of samples: + * ethernet header + transport header + fixed header + maximum number of samples. + * sizeof(u2_eth_samples_t) == 1512 + * (payload is 1498 bytes, two bytes shorter than 1500 byte MTU) + */ + +#define U2_MAX_SAMPLES 371 + +typedef struct { + u2_eth_packet_t hdrs; + uint32_t samples[U2_MAX_SAMPLES]; +} u2_eth_samples_t; + +/* + * Opcodes for control channel + * + * Reply opcodes are the same as the request opcode with the OP_REPLY_BIT set (0x80). + */ +#define OP_REPLY_BIT 0x80 + +#define OP_EOP 0 // marks last subpacket in packet + +#define OP_ID 1 +#define OP_ID_REPLY (OP_ID | OP_REPLY_BIT) +#define OP_BURN_MAC_ADDR 2 +#define OP_BURN_MAC_ADDR_REPLY (OP_BURN_MAC_ADDR | OP_REPLY_BIT) +#define OP_READ_TIME 3 // What time is it? (100 MHz counter) +#define OP_READ_TIME_REPLY (OP_READ_TIME | OP_REPLY_BIT) +#define OP_CONFIG_RX_V2 4 +#define OP_CONFIG_RX_REPLY_V2 (OP_CONFIG_RX_V2 | OP_REPLY_BIT) +#define OP_CONFIG_TX_V2 5 +#define OP_CONFIG_TX_REPLY_V2 (OP_CONFIG_TX_V2 | OP_REPLY_BIT) +#define OP_START_RX_STREAMING 6 +#define OP_START_RX_STREAMING_REPLY (OP_START_RX_STREAMING | OP_REPLY_BIT) +#define OP_STOP_RX 7 +#define OP_STOP_RX_REPLY (OP_STOP_RX | OP_REPLY_BIT) +#define OP_CONFIG_MIMO 8 +#define OP_CONFIG_MIMO_REPLY (OP_CONFIG_MIMO | OP_REPLY_BIT) + + +//#define OP_WRITE_REG xx // not implemented +//#define OP_WRITE_REG_MASKED xx +//#define OP_READ_REG xx +//#define OP_READ_REG_REPLY xx + +/* + * All subpackets are a multiple of 4 bytes long. + * All subpackets start with an 8-bit opcode, an 8-bit len and an 8-bit rid. + */ + + +/*! + * \brief Generic request and reply packet + * + * Used by: + * OP_EOP, OP_BURN_MAC_ADDR_REPLY, OP_START_RX_STREAMING_REPLY, + * OP_STOP_RX_REPLY + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t ok; // bool +} _AL4 op_generic_t; + +/*! + * \brief Reply info from a USRP2 + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + u2_mac_addr_t addr; + uint16_t hw_rev; + uint8_t fpga_md5sum[16]; + uint8_t sw_md5sum[16]; +} _AL4 op_id_reply_t; + +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + uint32_t items_per_frame; // # of 32-bit data items; MTU=1500: [9,371] +} _AL4 op_start_rx_streaming_t; + +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + u2_mac_addr_t addr; +} _AL4 op_burn_mac_addr_t; + +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + uint32_t time; +} _AL4 op_read_time_reply_t; + + +/*! + * \brief Configure receiver + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + // bitmask indicating which of the following fields are valid + uint16_t valid; + uint16_t gain; // fxpt_db (Q9.7) + uint32_t freq_hi; // high 32-bits of 64-bit fxpt_freq (Q44.20) + uint32_t freq_lo; // low 32-bits of 64-bit fxpt_freq (Q44.20) + uint32_t decim; // desired decimation factor (NOT -1) + uint32_t scale_iq; // (scale_i << 16) | scale_q [16.0 format] +} _AL4 op_config_rx_v2_t; + +// bitmask for "valid" field. If the bit is set, there's +// meaningful data in the corresonding field. + +#define CFGV_GAIN 0x0001 // gain field is valid +#define CFGV_FREQ 0x0002 // target_freq field is valid +#define CFGV_INTERP_DECIM 0x0004 // interp or decim is valid +#define CFGV_SCALE_IQ 0x0008 // scale_iq is valid + +/*! + * \brief Reply to receiver configuration + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + + uint16_t ok; // config was successful (bool) + uint16_t inverted; // spectrum is inverted (bool) + + // RF frequency that corresponds to DC in the IF (fxpt_freq) + uint32_t baseband_freq_hi; + uint32_t baseband_freq_lo; + // DDC frequency (fxpt_freq) + uint32_t ddc_freq_hi; + uint32_t ddc_freq_lo; + // residual frequency (fxpt_freq) + uint32_t residual_freq_hi; + uint32_t residual_freq_lo; + +} _AL4 op_config_rx_reply_v2_t; + +/*! + * \brief Configure transmitter + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + + // bitmask indicating which of the following fields are valid + uint16_t valid; + uint16_t gain; // fxpt_db (Q9.7) + uint32_t freq_hi; // high 32-bits of 64-bit fxpt_freq (Q44.20) + uint32_t freq_lo; // low 32-bits of 64-bit fxpt_freq (Q44.20) + uint32_t interp; // desired interpolation factor (NOT -1) + uint32_t scale_iq; // (scale_i << 16) | scale_q [16.0 format] +} _AL4 op_config_tx_v2_t; + +/*! + * \brief Reply to configure transmitter + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t mbz; + + uint16_t ok; // config was successful (bool) + uint16_t inverted; // spectrum is inverted (bool) + + // RF frequency that corresponds to DC in the IF (fxpt_freq) + uint32_t baseband_freq_hi; + uint32_t baseband_freq_lo; + // DUC frequency (fxpt_freq) + uint32_t duc_freq_hi; + uint32_t duc_freq_lo; + // residual frequency (fxpt_freq) + uint32_t residual_freq_hi; + uint32_t residual_freq_lo; + +} _AL4 op_config_tx_reply_v2_t; + +/*! + * \brief Configure MIMO clocking, etc (uses generic reply) + */ +typedef struct { + uint8_t opcode; + uint8_t len; + uint8_t rid; + uint8_t flags; // from usrp_mimo_config.h +} op_config_mimo_t; + + +/* + * ================================================================ + * union of all of subpacket types + * ================================================================ + */ +typedef union { + + op_generic_t op_generic; + op_id_reply_t op_id_reply; + op_start_rx_streaming_t op_start_rx_streaming; + op_burn_mac_addr_t op_burn_mac_addr; + op_read_time_reply_t op_read_time_reply; + op_config_rx_v2_t op_config_rx_v2; + op_config_rx_reply_v2_t op_config_rx_reply_v2; + op_config_tx_v2_t op_config_tx_v2; + op_config_tx_reply_v2_t op_config_tx_reply_v2; + op_config_mimo_t op_config_mimo; + +} u2_subpkt_t; + + +__U2_END_DECLS + +#endif /* INCLUDED_USRP2_ETH_PACKET_H */ diff --git a/usrp2/firmware/include/usrp2_fpga_regs.h b/usrp2/firmware/include/usrp2_fpga_regs.h new file mode 100644 index 00000000..b0f83df6 --- /dev/null +++ b/usrp2/firmware/include/usrp2_fpga_regs.h @@ -0,0 +1,80 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_FPGA_REGS_H +#define INCLUDED_USSRP2_FPGA_REGS_H + +#include "usrp2_cdefs.h" + +__U2_BEGIN_DECLS + +// ---------------------------------------------------------------- + +#define DSP_CORE_TX_BASE 128 + +// DUC center frequency tuning word (phase increment) +#define FR_TX_FREQ_0 (0 + DSP_CORE_TX_BASE) + +// I & Q output scaling, 16.0 format ((I_SCALE << 16) | Q_SCALE) +#define FR_TX_SCALE_0 (1 + DSP_CORE_TX_BASE) + +// Tx interpolation rate (set to 1 less than desired rate) +#define FR_TX_INTERP_RATE_0 (2 + DSP_CORE_TX_BASE) + +// Write 1 (actually anything) to clear tx state +#define FR_TX_CLEAR_STATE_0 (3 + DSP_CORE_TX_BASE) + +// ---------------------------------------------------------------- + +#define DSP_CORE_RX_BASE 160 + +// DDC center frequency tuning word (phase increment) +#define FR_RX_FREQ_0 (0 + DSP_CORE_RX_BASE) + +// I & Q input scaling, 16.0 format ((I_SCALE << 16) | Q_SCALE) +#define FR_RX_SCALE_0 (1 + DSP_CORE_RX_BASE) + +// Rx decimation rate (set to 1 less than desired rate) +#define FR_RX_DECIM_RATE_0 (2 + DSP_CORE_RX_BASE) + +// The next two registers concatenated are the Rx command register. +// +// Writing FR_RX_TIME_TO_RX_0 writes the concatenated value into the +// cmd queue. Thus, if you're writing both, be sure to write +// FR_RX_QTY_0 first. +// +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ +// | Timestamp | +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + +#define FR_RX_TIME_TO_RX (3 + DSP_CORE_RX_BASE) + +// 23-bits 9-bits +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ +// | number_of_lines | lines_per_frame | +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + +#define FR_RX_QTY_0 (4 + DSP_CORE_RX_BASE) + +// write a 1 (anything actually) to clear the overrun +#define FR_RX_CLR_OVERRUN_0 (5 + DSP_CORE_RX_BASE) + + +__U2_END_DECLS + +#endif /* INCLUDED_USRP2_FPGA_REGS_H */ diff --git a/usrp2/firmware/include/usrp2_i2c_addr.h b/usrp2/firmware/include/usrp2_i2c_addr.h new file mode 100644 index 00000000..f2599690 --- /dev/null +++ b/usrp2/firmware/include/usrp2_i2c_addr.h @@ -0,0 +1,82 @@ +/* -*- c++ -*- */ +/* + * Copyright 2004,2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_I2C_ADDR_H +#define INCLUDED_USRP2_I2C_ADDR_H + +#include "usrp2_cdefs.h" + +__U2_BEGIN_DECLS + +// I2C addresses + +#define I2C_DEV_EEPROM 0x50 // 24LC02[45]: 7-bits 1010xxx + +#define I2C_ADDR_MBOARD (I2C_DEV_EEPROM | 0x0) +#define I2C_ADDR_TX_A (I2C_DEV_EEPROM | 0x4) +#define I2C_ADDR_RX_A (I2C_DEV_EEPROM | 0x5) + + +// format of USRP2 motherboard rom +// 00: 0x00 h/w rev (LSB) +// 01: 0x00 h/w rev (MSB) +// 02: 0x00 MAC addr 0 +// 03: 0x50 MAC addr 1 +// 04: 0xC2 MAC addr 2 +// 05: 0x85 MAC addr 3 +// 06: 0x3. MAC addr 4 +// 07: 0x.. MAC addr 5 + +#define MBOARD_REV_LSB 0x00 +#define MBOARD_REV_MSB 0x01 +#define MBOARD_MAC_ADDR 0x02 + + +// format of daughterboard EEPROM +// 00: 0xDB code for ``I'm a daughterboard'' +// 01: .. Daughterboard ID (LSB) +// 02: .. Daughterboard ID (MSB) +// 03: .. io bits 7-0 direction (bit set if it's an output from m'board) +// 04: .. io bits 15-8 direction (bit set if it's an output from m'board) +// 05: .. ADC0 DC offset correction (LSB) +// 06: .. ADC0 DC offset correction (MSB) +// 07: .. ADC1 DC offset correction (LSB) +// 08: .. ADC1 DC offset correction (MSB) +// ... +// 1f: .. negative of the sum of bytes [0x00, 0x1e] + +#define DB_EEPROM_MAGIC 0x00 +#define DB_EEPROM_MAGIC_VALUE 0xDB +#define DB_EEPROM_ID_LSB 0x01 +#define DB_EEPROM_ID_MSB 0x02 +#define DB_EEPROM_OE_LSB 0x03 +#define DB_EEPROM_OE_MSB 0x04 +#define DB_EEPROM_OFFSET_0_LSB 0x05 // offset correction for ADC or DAC 0 +#define DB_EEPROM_OFFSET_0_MSB 0x06 +#define DB_EEPROM_OFFSET_1_LSB 0x07 // offset correction for ADC or DAC 1 +#define DB_EEPROM_OFFSET_1_MSB 0x08 +#define DB_EEPROM_CHKSUM 0x1f + +#define DB_EEPROM_CLEN 0x20 // length of common portion of eeprom + +#define DB_EEPROM_CUSTOM_BASE DB_EEPROM_CLEN // first avail offset for + // daughterboard specific use +__U2_END_DECLS + +#endif /* INCLUDED_USRP2_I2C_ADDR_H */ + diff --git a/usrp2/firmware/include/usrp2_mac_addr.h b/usrp2/firmware/include/usrp2_mac_addr.h new file mode 100644 index 00000000..da5a69f5 --- /dev/null +++ b/usrp2/firmware/include/usrp2_mac_addr.h @@ -0,0 +1,28 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_MAC_ADDR_H +#define INCLUDED_USRP2_MAC_ADDR_H + +#include + +typedef struct { + uint8_t addr[6]; +} u2_mac_addr_t; + +#endif /* INCLUDED_USRP2_MAC_ADDR_H */ diff --git a/usrp2/firmware/include/usrp2_mimo_config.h b/usrp2/firmware/include/usrp2_mimo_config.h new file mode 100644 index 00000000..12967519 --- /dev/null +++ b/usrp2/firmware/include/usrp2_mimo_config.h @@ -0,0 +1,46 @@ +/* -*- c -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ +#ifndef INCLUDED_USRP2_MIMO_CONFIG_H +#define INCLUDED_USRP2_MIMO_CONFIG_H + +#define _MC_WE_LOCK 0x0001 +#define _MC_MIMO_CLK_INPUT 0x0002 // else SMA input + +/* + * Derived masks (use these): + * + * We get our input from 1 of three places: + * Our free running oscilator, our SMA connector, or from the MIMO connector + */ +#define MC_WE_DONT_LOCK 0x0000 +#define MC_WE_LOCK_TO_SMA (_MC_WE_LOCK | 0) +#define MC_WE_LOCK_TO_MIMO (_MC_WE_LOCK | _MC_MIMO_CLK_INPUT) + +/* + * Independent of the source of the clock, we may or may not drive our + * clock onto the mimo connector. Note that there are dedicated clock + * signals in each direction, so disaster doesn't occurs if we're + * unnecessarily providing clock. + */ +#define MC_PROVIDE_CLK_TO_MIMO 0x0004 + + +#endif /* INCLUDED_USRP2_MIMO_CONFIG_H */ diff --git a/usrp2/firmware/include/usrp2_types.h b/usrp2/firmware/include/usrp2_types.h new file mode 100644 index 00000000..83d9f26c --- /dev/null +++ b/usrp2/firmware/include/usrp2_types.h @@ -0,0 +1,106 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_USRP2_TYPES_H +#define INCLUDED_USRP2_TYPES_H + +#include +#include + +__U2_BEGIN_DECLS + +/*! + * \brief Fixed point representation of a frequency in Hertz (VITA-49 compatible) + * + * 64-bit two's complement, with the radix point 20 bits up from the bottom. + * Q44.20 format (20 bits to the right of the radix point) + * + * Values range from +/- 8.79 terahertz with a resolution of 0.95 microhertz. + */ +typedef int64_t u2_fxpt_freq_t; + +#define U2_FPF_RP 20 // location of radix point in u2_fxpt_freq_t + +// macro so we can init structs at compile time +#define U2_DOUBLE_TO_FXPT_FREQ(f) (int64_t)((f) * (1LL << U2_FPF_RP)) + +static inline u2_fxpt_freq_t +u2_double_to_fxpt_freq(double f) +{ + return U2_DOUBLE_TO_FXPT_FREQ(f); +} + +static inline int +u2_fxpt_freq_round_to_int(u2_fxpt_freq_t fx) +{ + return (int)((fx+(1<<(U2_FPF_RP-1)))>>U2_FPF_RP); +} + +static inline double +u2_fxpt_freq_to_double(u2_fxpt_freq_t fx) +{ + return ((double) fx) * 1.0/(1 << U2_FPF_RP); +} + +static inline uint32_t +u2_fxpt_freq_hi(u2_fxpt_freq_t f) +{ + return ((f >> 32) & 0xffffffff); +} + +static inline uint32_t +u2_fxpt_freq_lo(u2_fxpt_freq_t f) +{ + return (f & 0xffffffff); +} + +static inline u2_fxpt_freq_t +u2_fxpt_freq_from_hilo(uint32_t hi, uint32_t lo) +{ + return (((u2_fxpt_freq_t) hi) << 32) | lo; +} + +/*! + * \brief Fixed point representation of a gain in dB (VITA-49 compatible) + * + * 16-bit two's complement, with the radix point 7 bits up from the bottom. + * Q9.7 format (7 bits to the right of the radix point) + */ +typedef int16_t u2_fxpt_gain_t; + +#define U2_FPG_RP 7 // location of radix point in u2_fxpt_gain_t + +// macro so we can init structs at compile time +#define U2_DOUBLE_TO_FXPT_GAIN(g) (int16_t)((g) * (1 << U2_FPG_RP)) + +static inline u2_fxpt_gain_t +u2_double_to_fxpt_gain(double g) +{ + return U2_DOUBLE_TO_FXPT_GAIN(g); +} + +static inline float +u2_fxpt_gain_to_double(u2_fxpt_gain_t fx) +{ + return ((double) fx) * 1.0/(1 << U2_FPG_RP); +} + + +__U2_END_DECLS + + +#endif /* INCLUDED_USRP2_TYPES_H */ diff --git a/usrp2/firmware/lib/Makefile.am b/usrp2/firmware/lib/Makefile.am new file mode 100644 index 00000000..83dd915c --- /dev/null +++ b/usrp2/firmware/lib/Makefile.am @@ -0,0 +1,90 @@ +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +noinst_LIBRARIES = \ + libu2fw.a + + +libu2fw_a_SOURCES = \ + ad9510.c \ + ad9777.c \ + buffer_pool.c \ + clocks.c \ + db_basic.c \ + db_init.c \ + db_rfx.c \ + db_tvrx.c \ + dbsm.c \ + eeprom.c \ + ethernet.c \ + eth_mac.c \ + hal_io.c \ + hal_uart.c \ + i2c.c \ + lsadc.c \ + lsdac.c \ + mdelay.c \ + memcpy_wa.c \ + memset_wa.c \ + nonstdio.c \ + pic.c \ + print_mac_addr.c \ + print_rmon_regs.c \ + print_fxpt.c \ + print_buffer.c \ + printf.c \ + spi.c \ + u2_init.c + + +noinst_HEADERS = \ + ad9510.h \ + ad9777.h \ + ad9777_regs.h \ + bool.h \ + buffer_pool.h \ + clocks.h \ + db.h \ + db_base.h \ + dbsm.h \ + eth_mac.h \ + eth_mac_regs.h \ + eth_phy.h \ + ethernet.h \ + hal_io.h \ + hal_uart.h \ + i2c.h \ + lsadc.h \ + lsdac.h \ + mdelay.h \ + memcpy_wa.h \ + memory_map.h \ + memset_wa.h \ + nonstdio.h \ + pic.h \ + print_rmon_regs.h \ + spi.h \ + stdint.h \ + stdio.h \ + u2_init.h \ + usrp2_bytesex.h \ + wb16550.h + +EXTRA_DIST = \ + microblaze.ld diff --git a/usrp2/firmware/lib/ad9510.c b/usrp2/firmware/lib/ad9510.c new file mode 100644 index 00000000..4d3acb65 --- /dev/null +++ b/usrp2/firmware/lib/ad9510.c @@ -0,0 +1,42 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "ad9510.h" +#include "spi.h" +#include + +#define RD (1 << 15) +#define WR (0 << 15) + +void +ad9510_write_reg(int regno, uint8_t value) +{ + uint32_t inst = WR | (regno & 0xff); + uint32_t v = (inst << 8) | (value & 0xff); + spi_transact(SPI_TXONLY, SPI_SS_AD9510, v, 24, SPIF_PUSH_FALL); +} + +int +ad9510_read_reg(int regno) +{ + uint32_t inst = RD | (regno & 0xff); + uint32_t v = (inst << 8) | 0; + uint32_t r = spi_transact(SPI_TXRX, SPI_SS_AD9510, v, 24, + SPIF_PUSH_FALL | SPIF_LATCH_FALL); + return r & 0xff; +} diff --git a/usrp2/firmware/lib/ad9510.h b/usrp2/firmware/lib/ad9510.h new file mode 100644 index 00000000..a395e522 --- /dev/null +++ b/usrp2/firmware/lib/ad9510.h @@ -0,0 +1,30 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_AD9510_H +#define INCLUDED_AD9510_H + +#include + +/* + * Analog Device AD9510 1.2 GHz Clock Distribution IC w/ PLL + */ + +void ad9510_write_reg(int regno, uint8_t value); +int ad9510_read_reg(int regno); + +#endif /* INCLUDED_AD9510_H */ diff --git a/usrp2/firmware/lib/ad9777.c b/usrp2/firmware/lib/ad9777.c new file mode 100644 index 00000000..734ccd7e --- /dev/null +++ b/usrp2/firmware/lib/ad9777.c @@ -0,0 +1,47 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "ad9777.h" +#include "memory_map.h" +#include "spi.h" + +#define IB_RD 0x80 +#define IB_WR 0x00 +#define IB_XFER_1 0x00 +#define IB_XFER_2 0x20 +#define IB_XFER_3 0x40 +#define IB_XFER_4 0x60 +#define IB_ADDR_MASK 0x1f + +void +ad9777_write_reg(int regno, uint8_t value) +{ + uint8_t instr = IB_WR | IB_XFER_1 | (regno & IB_ADDR_MASK); + spi_transact(SPI_TXONLY, SPI_SS_AD9777, + (instr << 8) | (value & 0xff), 16, SPIF_PUSH_FALL); +} + +int +ad9777_read_reg(int regno) +{ + uint8_t instr = IB_RD | IB_XFER_1 | (regno & IB_ADDR_MASK); + uint32_t r = spi_transact(SPI_TXRX, SPI_SS_AD9777, + (instr << 8) | 0, 16, + SPIF_PUSH_FALL | SPIF_LATCH_RISE); + return r & 0xff; +} diff --git a/usrp2/firmware/lib/ad9777.h b/usrp2/firmware/lib/ad9777.h new file mode 100644 index 00000000..d4d10491 --- /dev/null +++ b/usrp2/firmware/lib/ad9777.h @@ -0,0 +1,31 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_AD9777_H +#define INCLUDED_AD9777_H + +#include +#include "ad9777_regs.h" + +/* + * Analog Devices AD9777 16-bit, 160 MS/s, Dual Interpolating TxDAC + */ + +void ad9777_write_reg(int regno, uint8_t value); +int ad9777_read_reg(int regno); + +#endif /* INCLUDED_AD9777_H */ diff --git a/usrp2/firmware/lib/ad9777_regs.h b/usrp2/firmware/lib/ad9777_regs.h new file mode 100644 index 00000000..de2936c1 --- /dev/null +++ b/usrp2/firmware/lib/ad9777_regs.h @@ -0,0 +1,71 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_AD9777_REGS_H +#define INCLUDED_AD9777_REGS_H + +#define R0_SW_RESET (1 << 5) +#define R0_SLEEP (1 << 4) +#define R0_POWER_DN (1 << 3) +#define R0_1R (1 << 2) +#define R0_2R (0 << 2) +#define R0_PLL_LOCKED (1 << 1) + +#define R1_INTERP_1X 0x00 +#define R1_INTERP_2X 0x40 +#define R1_INTERP_4X 0x80 +#define R1_INTERP_8X 0xC0 +#define R1_MOD_NONE 0x00 +#define R1_MOD_FS_2 0x10 // Fs/2 +#define R1_MOD_FS_4 0x20 // Fs/4 +#define R1_MOD_FS_8 0x30 // Fs/8 +#define R1_ZERO_STUFF (1 << 3) // N.B., doubles output rate +#define R1_REAL_MIX (1 << 2) +#define R1_CMPLX_MIX (0 << 2) +#define R1_POS_EXP (1 << 1) // exp(+jwt) +#define R1_NEG_EXP (0 << 1) // exp(-jwt) +#define R1_DATACLK_OUT (1 << 0) + +#define R2_2S_COMP (0 << 7) +#define R2_2PORT_MODE (0 << 6) +#define R2_1PORT_MODE (1 << 6) + +#define R3_PLL_DIV_1 0x00 +#define R3_PLL_DIV_2 0x01 +#define R3_PLL_DIV_4 0x02 +#define R3_PLL_DIV_8 0x03 + +#define R4_PLL_ON (1 << 7) +#define R4_CP_MANUAL (1 << 6) +#define R4_CP_AUTO (0 << 6) +#define R4_CP_50uA (0x00 | R4_CP_MANUAL) +#define R4_CP_100uA (0x01 | R4_CP_MANUAL) +#define R4_CP_200uA (0x02 | R4_CP_MANUAL) +#define R4_CP_400uA (0x03 | R4_CP_MANUAL) +#define R4_CP_800uA (0x07 | R4_CP_MANUAL) + +#define R5_I_FINE_GAIN(g) (g) // 8-bits +#define R6_I_COARSE_GAIN(g) ((g) & 0xf) // low 4-bits + +#define R9_Q_FINE_GAIN(g) (g) // 8-bits +#define R10_Q_COARSE_GAIN(g) ((g) & 0xf) // low 4-bits + + +// FIXME more registers for offset and gain control... + + +#endif /* INCLUDED_AD9777_REGS_H */ diff --git a/usrp2/firmware/lib/bool.h b/usrp2/firmware/lib/bool.h new file mode 100644 index 00000000..36f472d4 --- /dev/null +++ b/usrp2/firmware/lib/bool.h @@ -0,0 +1,26 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_BOOL_H +#define INCLUDED_BOOL_H + +typedef int bool; +#define true 1 +#define false 0 + +#endif /* INCLUDED_BOOL_H */ diff --git a/usrp2/firmware/lib/buffer_pool.c b/usrp2/firmware/lib/buffer_pool.c new file mode 100644 index 00000000..77e7c521 --- /dev/null +++ b/usrp2/firmware/lib/buffer_pool.c @@ -0,0 +1,72 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "memory_map.h" +#include "buffer_pool.h" +#include "hal_io.h" + +void +bp_init(void) +{ + int i; + bp_disable_port(PORT_SERDES); + bp_disable_port(PORT_DSP); + bp_disable_port(PORT_ETH); + bp_disable_port(PORT_RAM); + + for (i = 0; i < NBUFFERS; i++) + bp_clear_buf(i); +} + +#ifndef INLINE_BUFFER_POOL + +void +bp_clear_buf(int bufnum) +{ + buffer_pool_ctrl->ctrl = BPC_BUFFER(bufnum) | BPC_PORT_NIL | BPC_CLR; +} + +void +bp_disable_port(int portnum) +{ + // disable buffer connections to this port + buffer_pool_ctrl->ctrl = BPC_BUFFER_NIL | BPC_PORT(portnum); +} + +void +bp_receive_to_buf(int bufnum, int port, int step, int fl, int ll) +{ + buffer_pool_ctrl->ctrl = (BPC_READ + | BPC_BUFFER(bufnum) + | BPC_PORT(port) + | BPC_STEP(step) + | BPC_FIRST_LINE(fl) + | BPC_LAST_LINE(ll)); +} + +void +bp_send_from_buf(int bufnum, int port, int step, int fl, int ll) +{ + buffer_pool_ctrl->ctrl = (BPC_WRITE + | BPC_BUFFER(bufnum) + | BPC_PORT(port) + | BPC_STEP(step) + | BPC_FIRST_LINE(fl) + | BPC_LAST_LINE(ll)); +} + +#endif diff --git a/usrp2/firmware/lib/buffer_pool.h b/usrp2/firmware/lib/buffer_pool.h new file mode 100644 index 00000000..145b20f8 --- /dev/null +++ b/usrp2/firmware/lib/buffer_pool.h @@ -0,0 +1,75 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_BUFFER_POOL_H +#define INCLUDED_BUFFER_POOL_H + +#include "memory_map.h" + +// Buffer Pool Management + + +// define to have common buffer operations inlined +#define INLINE_BUFFER_POOL 1 + +void bp_init(void); + +#ifndef INLINE_BUFFER_POOL + +void bp_clear_buf(int bufnum); +void bp_disable_port(int portnum); +void bp_receive_to_buf(int bufnum, int port, int step, int fl, int ll); +void bp_send_from_buf(int bufnum, int port, int step, int fl, int ll); + +#else + +static inline void +bp_clear_buf(int bufnum) +{ + buffer_pool_ctrl->ctrl = BPC_BUFFER(bufnum) | BPC_PORT_NIL | BPC_CLR; +} + +static inline void +bp_disable_port(int portnum) +{ + // disable buffer connections to this port + buffer_pool_ctrl->ctrl = BPC_BUFFER_NIL | BPC_PORT(portnum); +} + +static inline void +bp_receive_to_buf(int bufnum, int port, int step, int fl, int ll) +{ + buffer_pool_ctrl->ctrl = (BPC_READ + | BPC_BUFFER(bufnum) + | BPC_PORT(port) + | BPC_STEP(step) + | BPC_FIRST_LINE(fl) + | BPC_LAST_LINE(ll)); +} + +static inline void +bp_send_from_buf(int bufnum, int port, int step, int fl, int ll) +{ + buffer_pool_ctrl->ctrl = (BPC_WRITE + | BPC_BUFFER(bufnum) + | BPC_PORT(port) + | BPC_STEP(step) + | BPC_FIRST_LINE(fl) + | BPC_LAST_LINE(ll)); +} +#endif +#endif diff --git a/usrp2/firmware/lib/clocks.c b/usrp2/firmware/lib/clocks.c new file mode 100644 index 00000000..bfcaa734 --- /dev/null +++ b/usrp2/firmware/lib/clocks.c @@ -0,0 +1,182 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include + +#include "memory_map.h" +#include "ad9510.h" +#include "spi.h" + +void +clocks_init(void) +{ + // Set up basic clocking functions in AD9510 + ad9510_write_reg(0x45, 0x00); // CLK2 drives distribution + ad9510_write_reg(0x3D, 0x00); // Turn on output 1 (FPGA CLK), normal levels + ad9510_write_reg(0x4B, 0x80); // Bypass divider 1 + ad9510_write_reg(0x5A, 0x01); // Update Regs + + spi_wait(); + + // Set up PLL for 10 MHz reference + // Reg 4, A counter, Don't Care + ad9510_write_reg(0x05, 0x00); // Reg 5, B counter MSBs, 0 + ad9510_write_reg(0x06, 0x05); // Reg 6, B counter LSBs, 5 + // Reg 7, Loss of reference detect, doesn't work yet, 0 + ad9510_write_reg(0x5A, 0x01); // Update Regs + + + // FIXME, probably need interface to this... + timesync_regs->tick_control = 4; + + // Primary clock configuration + clocks_mimo_config(MC_WE_DONT_LOCK); + + // Set up other clocks + + clocks_enable_test_clk(false); + clocks_enable_tx_dboard(false, 0); + clocks_enable_rx_dboard(false, 0); + + // ETH phy clock + ad9510_write_reg(0x41, 0x01); // Turn off output 5 (phy_clk) + ad9510_write_reg(0x53, 0x80); // Bypass divider + ad9510_write_reg(0x5A, 0x01); // Update Regs + + // Enable clock to ADCs and DACs + ad9510_write_reg(0x3F, 0x00); // Turn on output 3 (DAC CLK), normal levels + ad9510_write_reg(0x4F, 0x80); // Bypass Div #3 + + ad9510_write_reg(0x40, 0x02); // Turn on out 4 (ADC clk), LVDS + ad9510_write_reg(0x51, 0x80); // Bypass Div #4 + + ad9510_write_reg(0x5A, 0x01); // Update Regs +} + + +void +clocks_mimo_config(int flags) +{ + if (flags & _MC_WE_LOCK){ + // Reg 8, Charge pump on, dig lock det, positive PFD, 47 + ad9510_write_reg(0x08, 0x47); + } + else { + // Reg 8, Charge pump off, dig lock det, positive PFD + ad9510_write_reg(0x08, 0x00); + } + + // Reg 9, Charge pump current, 0x40=3mA, 0x00=650uA + ad9510_write_reg(0x09, 0x00); + // Reg A, Prescaler of 2, everything normal 04 + ad9510_write_reg(0x0A, 0x04); + // Reg B, R Div MSBs, 0 + ad9510_write_reg(0x0B, 0x00); + // Reg C, R Div LSBs, 1 + ad9510_write_reg(0x0C, 0x01); + // Reg D, Antibacklash, Digital lock det, 0 + + ad9510_write_reg(0x5A, 0x01); // Update Regs + + spi_wait(); + + // Allow for clock switchover + + if (flags & _MC_WE_LOCK){ // WE LOCK + if (flags & _MC_MIMO_CLK_INPUT) { + // Turn on ref output and choose the MIMO connector + output_regs->clk_ctrl = 0x15; + } + else { + // turn on ref output and choose the SMA + output_regs->clk_ctrl = 0x1C; + } + } + else { // WE DONT LOCK + // Disable both ext clk inputs + output_regs->clk_ctrl = 0x10; + } + + // Do we drive a clock onto the MIMO connector? + + if (flags & MC_PROVIDE_CLK_TO_MIMO) { + ad9510_write_reg(0x3E, 0x00); // Turn on output 2 (clk_exp_out), normal levels + ad9510_write_reg(0x4D, 0x00); // Turn on Div2 + ad9510_write_reg(0x4C, 0x44); // Set Div2 = 10, output a 10 MHz clock + } + else { + ad9510_write_reg(0x3E, 0x02); // Turn off output 2 (clk_exp_out) + ad9510_write_reg(0x4D, 0x80); // Bypass divider 2 + } + ad9510_write_reg(0x5A, 0x01); // Update Regs +} + +void +clocks_enable_test_clk(bool enable) +{ + if (enable){ + ad9510_write_reg(0x3C, 0x08); // Turn on output 0 -- Test output + ad9510_write_reg(0x49, 0x80); // Bypass divider 0 + } + else { + ad9510_write_reg(0x3C, 0x02); // Turn off output 0 + } + ad9510_write_reg(0x5A, 0x01); // Update Regs +} + + +void +clocks_enable_rx_dboard(bool enable, int divisor) +{ + if (enable){ + ad9510_write_reg(0x43, 0x08); // enable output 7 (db_rx_clk), CMOS + + if (divisor == 0){ + ad9510_write_reg(0x57, 0x80); // Bypass Div #7, 100 MHz clock + } + else { + // FIXME Matt, do something with divisor... + } + } + else { + ad9510_write_reg(0x43, 0x01); // Turn off output 7 (db_rx_clk) + } + ad9510_write_reg(0x5A, 0x01); // Update Regs +} + + +void +clocks_enable_tx_dboard(bool enable, int divisor) +{ + if (enable){ + ad9510_write_reg(0x42, 0x08); // enable output 6 (db_tx_clk), CMOS + if (divisor == 0) { + ad9510_write_reg(0x55, 0x80); // Bypass Div #6, 100 MHz clock + } + else { + // FIXME Matt, do something with divisor + } + } + else { + ad9510_write_reg(0x42, 0x01); // Turn off output 6 (db_tx_clk) + } + ad9510_write_reg(0x5A, 0x01); // Update Regs +} diff --git a/usrp2/firmware/lib/clocks.h b/usrp2/firmware/lib/clocks.h new file mode 100644 index 00000000..c43cfcb1 --- /dev/null +++ b/usrp2/firmware/lib/clocks.h @@ -0,0 +1,63 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_CLOCKS_H +#define INCLUDED_CLOCKS_H + +/* + * Routines to configure our multitude of clocks + */ + +#include +#include + + +/*! + * One time call to initialize all clocks to a reasonable state. We + * come out of here using our free running 100MHz oscilator and not + * providing a clock to the MIMO connector (CMC_WE_DONT_LOCK) + */ +void clocks_init(void); + + +/*! + * \brief MIMO clock configuration. + * + * Configure our master clock source, and whether or not we drive a + * clock onto the mimo connector. See MC_flags in usrp2_mimo_config.h. + */ +void clocks_mimo_config(int flags); + +/*! + * \brief Enable or disable test clock (extra clock signal) + */ +void clocks_enable_test_clk(bool enable); + +/*! + * \brief Enable or disable clock to Rx daughterboard + */ +void clocks_enable_rx_dboard(bool enable, int divisor); + + +/*! + * \brief Enable or disable clock to Tx daughterboard + */ +void clocks_enable_tx_dboard(bool enable, int divisor); + + +#endif /* INCLUDED_CLOCKS_H */ diff --git a/usrp2/firmware/lib/db.h b/usrp2/firmware/lib/db.h new file mode 100644 index 00000000..5828fb00 --- /dev/null +++ b/usrp2/firmware/lib/db.h @@ -0,0 +1,94 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* + * Interface to daughterboard code + */ + +#ifndef INCLUDED_DB_H +#define INCLUDED_DB_H + +#include + + +struct db_base; + +/* pointers to daughterboard structures */ +extern struct db_base *tx_dboard; +extern struct db_base *rx_dboard; + + +//! Intermediate tuning information + +struct tune_result +{ + //! The RF frequency that corresponds to DC in the IF from the daughterboard + u2_fxpt_freq_t baseband_freq; + + //! The DDC/DUC frequency used to down/up convert to/from the target frequency + u2_fxpt_freq_t dxc_freq; + + //! Any differerence btwn target and actual (typically < 0.01 Hz) + u2_fxpt_freq_t residual_freq; + + //! Is the complex baseband spectrum inverted + bool inverted; +}; + + +/*! + * \brief One-time init at powerup + * + * Sets rx_dboard, tx_dboard and initializes daughterboards. + */ +void +db_init(void); + +/*! + * \brief Two stage tuning. Given target_freq, tune LO and DDC/DUC + * + * \param[in] db is the daughterboard instance + * \param[in] target_freq is the freq to translate the complex baseband to/from. + * \param[out] result provides details of the resulting configuration. + * + */ +bool +db_tune(struct db_base *db, u2_fxpt_freq_t target_freq, struct tune_result *result); + + +/* + * Set only the DDC frequency + */ +bool +db_set_ddc_freq(u2_fxpt_freq_t dxc_freq, u2_fxpt_freq_t *actual_dxc_freq); + +/* + * Set only the DUC frequency + */ +bool +db_set_duc_freq(u2_fxpt_freq_t dxc_freq, u2_fxpt_freq_t *actual_dxc_freq); + + +/*! + * \brief Set gain + */ +bool +db_set_gain(struct db_base *db, u2_fxpt_gain_t gain); + + +#endif /* INCLUDED_DB_H */ diff --git a/usrp2/firmware/lib/db_base.h b/usrp2/firmware/lib/db_base.h new file mode 100644 index 00000000..9b5ce051 --- /dev/null +++ b/usrp2/firmware/lib/db_base.h @@ -0,0 +1,68 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_DB_BASE_H +#define INCLUDED_DB_BASE_H + +#include +#include + +/*! + * \brief "base class" for firmware version of daughterboard code + */ +struct db_base { + uint16_t dbid; //< daughterboard ID + + uint16_t is_tx : 1; //< is this a transmit db? + uint16_t is_quadrature : 1; + uint16_t i_and_q_swapped : 1; + uint16_t spectrum_inverted : 1; + + uint16_t output_enables; //< bitmask of which pins should be outputs from FPGA + uint16_t used_pins; //< bitmask of pins used by the daughterboard + + u2_fxpt_freq_t freq_min; //< min freq that can be set (Hz) + u2_fxpt_freq_t freq_max; //< max freq that can be set (Hz) + + u2_fxpt_gain_t gain_min; //< min gain that can be set (dB) + u2_fxpt_gain_t gain_max; //< max gain that can be set (dB) + u2_fxpt_gain_t gain_step_size; //< (dB) + + // u2_fxpt_freq_t lo_offset; + + /* + * Auto T/R control values + */ + uint32_t atr_mask; //< which bits to control + uint32_t atr_txval; //< value to use when transmitting + uint32_t atr_rxval; //< value to use when receiving + + //! delay in clk ticks from when Tx fifo gets data to when T/R switches + // uint32_t atr_tx_delay; + + //! delay in clk ticks from when Tx fifo goes empty to when T/R switches + // uint32_t atr_rx_delay; + + bool (*init)(struct db_base *); + bool (*set_freq)(struct db_base *, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc); + bool (*set_gain)(struct db_base *, u2_fxpt_gain_t gain); + bool (*set_tx_enable)(struct db_base *, bool on); +}; + + +#endif /* INCLUDED_DB_BASE_H */ diff --git a/usrp2/firmware/lib/db_basic.c b/usrp2/firmware/lib/db_basic.c new file mode 100644 index 00000000..0f1ad650 --- /dev/null +++ b/usrp2/firmware/lib/db_basic.c @@ -0,0 +1,161 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + + +#include + +bool db_basic_init(struct db_base *db); +bool db_basic_set_freq(struct db_base *db, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc); +bool db_basic_set_gain(struct db_base *db, u2_fxpt_gain_t gain); +bool db_basic_set_tx_enable(struct db_base *, bool on); + +struct db_basic { + struct db_base base; +}; + + +struct db_basic db_basic_tx = { + .base.dbid = 0x0000, + .base.is_tx = true, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(-90e9), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(90e9), + .base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(0), + .base.atr_mask = 0, + .base.atr_txval = 0, + .base.atr_rxval = 0, + //.base.atr_tx_delay = 0, + //.base.atr_rx_delay = 0, + + .base.init = db_basic_init, + .base.set_freq = db_basic_set_freq, + .base.set_gain = db_basic_set_gain, + .base.set_tx_enable = db_basic_set_tx_enable, +}; + +struct db_basic db_basic_rx = { + .base.dbid = 0x0001, + .base.is_tx = false, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(-90e9), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(90e9), + .base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.is_quadrature = false, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(0), + .base.atr_mask = 0, + .base.atr_txval = 0, + .base.atr_rxval = 0, + //.base.atr_tx_delay = 0, + //.base.atr_rx_delay = 0, + + .base.init = db_basic_init, + .base.set_freq = db_basic_set_freq, + .base.set_gain = db_basic_set_gain, + .base.set_tx_enable = db_basic_set_tx_enable, +}; + +struct db_basic db_lf_tx = { + .base.dbid = 0x000e, + .base.is_tx = true, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(-32e6), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(32e6), + .base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(0), + .base.atr_mask = 0, + .base.atr_txval = 0, + .base.atr_rxval = 0, + //.base.atr_tx_delay = 0, + //.base.atr_rx_delay = 0, + + .base.init = db_basic_init, + .base.set_freq = db_basic_set_freq, + .base.set_gain = db_basic_set_gain, + .base.set_tx_enable = db_basic_set_tx_enable, +}; + +struct db_basic db_lf_rx = { + .base.dbid = 0x000f, + .base.is_tx = false, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(0), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(32e6), + .base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(0), + .base.is_quadrature = false, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(0), + .base.atr_mask = 0, + .base.atr_txval = 0, + .base.atr_rxval = 0, + //.base.atr_tx_delay = 0, + //.base.atr_rx_delay = 0, + + .base.init = db_basic_init, + .base.set_freq = db_basic_set_freq, + .base.set_gain = db_basic_set_gain, + .base.set_tx_enable = db_basic_set_tx_enable, +}; + + +bool +db_basic_init(struct db_base *db) +{ + return true; +} + +bool +db_basic_set_freq(struct db_base *db, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc) +{ + *dc = 0; + return true; +} + +bool +db_basic_set_gain(struct db_base *db, u2_fxpt_gain_t gain) +{ + return true; +} + +bool +db_basic_set_tx_enable(struct db_base *db, bool on) +{ + return true; +} + diff --git a/usrp2/firmware/lib/db_init.c b/usrp2/firmware/lib/db_init.c new file mode 100644 index 00000000..d47beb11 --- /dev/null +++ b/usrp2/firmware/lib/db_init.c @@ -0,0 +1,340 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + + +#include +#include +#include +#include +#include +#include +#include +#include +#include + + +struct db_base *tx_dboard; // the tx daughterboard that's installed +struct db_base *rx_dboard; // the rx daughterboard that's installed + +extern struct db_base db_basic_tx; +extern struct db_base db_basic_rx; +extern struct db_base db_lf_tx; +extern struct db_base db_lf_rx; +extern struct db_base db_rfx_400_tx; +extern struct db_base db_rfx_400_rx; +extern struct db_base db_tvrx1; +extern struct db_base db_tvrx2; +extern struct db_base db_tvrx3; + +struct db_base *all_dboards[] = { + &db_basic_tx, + &db_basic_rx, + &db_lf_tx, + &db_lf_rx, + &db_rfx_400_tx, + &db_rfx_400_rx, + &db_tvrx1, + &db_tvrx2, + &db_tvrx3, + 0 +}; + + +typedef enum { UDBE_OK, UDBE_NO_EEPROM, UDBE_INVALID_EEPROM } usrp_dbeeprom_status_t; + +static usrp_dbeeprom_status_t +read_raw_dboard_eeprom (unsigned char *buf, int i2c_addr) +{ + if (!eeprom_read (i2c_addr, 0, buf, DB_EEPROM_CLEN)) + return UDBE_NO_EEPROM; + + if (buf[DB_EEPROM_MAGIC] != DB_EEPROM_MAGIC_VALUE) + return UDBE_INVALID_EEPROM; + + int sum = 0; + unsigned int i; + for (i = 0; i < DB_EEPROM_CLEN; i++) + sum += buf[i]; + + if ((sum & 0xff) != 0) + return UDBE_INVALID_EEPROM; + + return UDBE_OK; +} + + +/* + * Return DBID, -1 or -2 + */ +static int +read_dboard_eeprom(int i2c_addr) +{ + unsigned char buf[DB_EEPROM_CLEN]; + + usrp_dbeeprom_status_t s = read_raw_dboard_eeprom (buf, i2c_addr); + + //printf("\nread_raw_dboard_eeprom: %d\n", s); + + switch (s){ + case UDBE_OK: + return (buf[DB_EEPROM_ID_MSB] << 8) | buf[DB_EEPROM_ID_LSB]; + + case UDBE_NO_EEPROM: + default: + return -1; + + case UDBE_INVALID_EEPROM: + return -2; + } +} + + +static struct db_base * +lookup_dbid(int dbid) +{ + if (dbid < 0) + return 0; + + int i; + for (i = 0; all_dboards[i]; i++) + if (all_dboards[i]->dbid == dbid) + return all_dboards[i]; + + return 0; +} + +static struct db_base * +lookup_dboard(int i2c_addr, struct db_base *default_db, char *msg) +{ + struct db_base *db; + int dbid = read_dboard_eeprom(i2c_addr); + printf("%s dbid: 0x%x\n", msg, dbid); + + if (dbid < 0){ // there was some kind of problem. Treat as Basic Tx + return default_db; + } + else if ((db = lookup_dbid(dbid)) == 0){ + return default_db; + printf("No daugherboard code for dbid = 0x%x\n", dbid); + } + return db; +} + +static void +set_atr_regs(int bank, struct db_base *db) +{ + uint32_t val[4]; + int shift; + int mask; + int i; + + val[ATR_IDLE] = db->atr_rxval; + val[ATR_RX] = db->atr_rxval; + val[ATR_TX] = db->atr_txval; + val[ATR_FULL] = db->atr_txval; + + if (bank == GPIO_TX_BANK){ + mask = 0xffff0000; + shift = 16; + } + else { + mask = 0x0000ffff; + shift = 0; + } + + for (i = 0; i < 4; i++){ + int t = (atr_regs->v[i] & ~mask) | ((val[i] << shift) & mask); + //printf("atr_regs[%d] = 0x%x\n", i, t); + atr_regs->v[i] = t; + } +} + +static void +set_gpio_mode(int bank, struct db_base *db) +{ + int i; + + hal_gpio_set_ddr(bank, db->output_enables, 0xffff); + set_atr_regs(bank, db); + + for (i = 0; i < 16; i++){ + if (db->used_pins & (1 << i)){ + // set to either GPIO_SEL_SW or GPIO_SEL_ATR + hal_gpio_set_sel(bank, i, (db->atr_mask & (1 << i)) ? 'a' : 's'); + } + } +} + +void +db_init(void) +{ + + tx_dboard = lookup_dboard(I2C_ADDR_TX_A, &db_basic_tx, "Tx"); + //printf("db_init: tx dbid = 0x%x\n", tx_dboard->dbid); + set_gpio_mode(GPIO_TX_BANK, tx_dboard); + tx_dboard->init(tx_dboard); + + rx_dboard = lookup_dboard(I2C_ADDR_RX_A, &db_basic_rx, "Rx"); + //printf("db_init: rx dbid = 0x%x\n", rx_dboard->dbid); + set_gpio_mode(GPIO_RX_BANK, rx_dboard); + rx_dboard->init(rx_dboard); +} + +/*! + * Calculate the frequency to use for setting the digital down converter. + * + * \param[in] target_freq desired RF frequency (Hz) + * \param[in] baseband_freq the RF frequency that corresponds to DC in the IF. + * + * \param[out] dxc_freq is the value for the ddc + * \param[out] inverted is true if we're operating in an inverted Nyquist zone. +*/ +void +calc_dxc_freq(u2_fxpt_freq_t target_freq, u2_fxpt_freq_t baseband_freq, + u2_fxpt_freq_t *dxc_freq, bool *inverted) +{ + u2_fxpt_freq_t fs = U2_DOUBLE_TO_FXPT_FREQ(100e6); // converter sample rate + u2_fxpt_freq_t delta = target_freq - baseband_freq; + + printf("calc_dxc_freq\n"); + printf(" fs = "); print_fxpt_freq(fs); newline(); + printf(" target = "); print_fxpt_freq(target_freq); newline(); + printf(" baseband = "); print_fxpt_freq(baseband_freq); newline(); + printf(" delta = "); print_fxpt_freq(delta); newline(); + +#if 0 + printf("--- printed as uint64_t ---\n"); + printf(" fs = "); print_uint64(fs); newline(); + printf(" target = "); print_uint64(target_freq); newline(); + printf(" baseband = "); print_uint64(baseband_freq); newline(); + printf(" delta = "); print_uint64(delta); newline(); +#endif + + if (delta >= 0){ + while (delta > fs) + delta -= fs; + if (delta <= fs/2){ // non-inverted region + *dxc_freq = -delta; + *inverted = false; + } + else { // inverted region + *dxc_freq = delta - fs; + *inverted = true; + } + } + else { + while (delta < -fs){ + delta += fs; + if (delta >= -fs/2){ // non-inverted region + *dxc_freq = -delta; + *inverted = false; + } + else { // inverted region + *dxc_freq = delta + fs; + *inverted = true; + } + } + } +} + + +bool +db_tune(struct db_base *db, u2_fxpt_freq_t target_freq, struct tune_result *result) +{ + memset(result, 0, sizeof(*result)); + bool inverted = false; + u2_fxpt_freq_t dxc_freq; + u2_fxpt_freq_t actual_dxc_freq; + + // Ask the d'board to tune as closely as it can to target_freq + bool ok = db->set_freq(db, target_freq, &result->baseband_freq); + + // Calculate the DDC setting that will downconvert the baseband from the + // daughterboard to our target frequency. + calc_dxc_freq(target_freq, result->baseband_freq, &dxc_freq, &inverted); + + // If the spectrum is inverted, and the daughterboard doesn't do + // quadrature downconversion, we can fix the inversion by flipping the + // sign of the dxc_freq... (This only happens using the basic_rx board) + + if (db->spectrum_inverted) + inverted = !inverted; + + if (inverted && !db->is_quadrature){ + dxc_freq = -dxc_freq; + inverted = !inverted; + } + + if (db->is_tx){ + dxc_freq = -dxc_freq; // down conversion versus up conversion + ok &= db_set_duc_freq(dxc_freq, &actual_dxc_freq); + } + else { + ok &= db_set_ddc_freq(dxc_freq, &actual_dxc_freq); + } + + result->dxc_freq = dxc_freq; + result->residual_freq = dxc_freq - actual_dxc_freq; + result->inverted = inverted; + return ok; +} + +static int32_t +compute_freq_control_word(u2_fxpt_freq_t target_freq, u2_fxpt_freq_t *actual_freq) +{ + // If we were using floating point, we'd calculate + // master = 100e6; + // v = (int) rint(target_freq / master_freq) * pow(2.0, 32.0); + + printf("compute_freq_control_word\n"); + printf(" target_freq = "); print_fxpt_freq(target_freq); newline(); + + int32_t master_freq = 100000000; // 100M + + int32_t v = ((target_freq << 12)) / master_freq; + printf(" fcw = %d\n", v); + + *actual_freq = (v * (int64_t) master_freq) >> 12; + + printf(" actual = "); print_fxpt_freq(*actual_freq); newline(); + + return v; +} + + +bool +db_set_ddc_freq(u2_fxpt_freq_t dxc_freq, u2_fxpt_freq_t *actual_dxc_freq) +{ + int32_t v = compute_freq_control_word(dxc_freq, actual_dxc_freq); + dsp_rx_regs->freq = v; + return true; +} + +bool +db_set_duc_freq(u2_fxpt_freq_t dxc_freq, u2_fxpt_freq_t *actual_dxc_freq) +{ + int32_t v = compute_freq_control_word(dxc_freq, actual_dxc_freq); + dsp_tx_regs->freq = v; + return true; +} + +bool +db_set_gain(struct db_base *db, u2_fxpt_gain_t gain) +{ + return db->set_gain(db, gain); +} diff --git a/usrp2/firmware/lib/db_rfx.c b/usrp2/firmware/lib/db_rfx.c new file mode 100644 index 00000000..d5611292 --- /dev/null +++ b/usrp2/firmware/lib/db_rfx.c @@ -0,0 +1,609 @@ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include + + +bool rfx_init_rx(struct db_base *db); +bool rfx_init_tx(struct db_base *db); +bool rfx_set_freq(struct db_base *db, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc); +bool rfx_set_gain_rx(struct db_base *db, u2_fxpt_gain_t gain); +bool rfx_set_gain_tx(struct db_base *db, u2_fxpt_gain_t gain); +bool rfx_set_tx_enable(struct db_base *, bool on); + +// Control Latch Defines +#define P 0 // Prescalar value for setting in regs, must match the next line... +#define PRESCALER 8 // Presacalar value for computations +#define PD 0 // Power down, 0 = normal operation +#define PL 0 // PLL power output +#define MTLD 1 // Mute till lock detect +#define CPGAIN 0 // Charge pump gain, use setting 1, also in N-reg +#define CP3S 0 // Charge pump tri-state, 0 = normal operation +#define PDP 1 // Phase detector polarity +#define MUXOUT 1 // Digital lock detect, active high +#define CR 0 // normal operation +#define PC 1 // core power + +// N Latch Defines +#define DIVSEL 0 // N Counter always operates on full rate +#define N_RSV 0 + +// R Latch Defines +#define R_RSV 0 +#define R_BSC 3 +#define R_TMB 0 +#define R_LDP 1 +#define R_ABP 0 +#define R_DIV 16 + +#define phdet_freq (U2_DOUBLE_TO_FXPT_FREQ(100e6/R_DIV)) + +// IO Pin functions +#define POWER_UP (1 << 7) // Low enables power supply +#define ANT_SW (1 << 6) // On TX DB, 0 = TX, 1 = RX, on RX DB 0 = main ant, 1 = RX2 +#define MIX_EN (1 << 5) // Enable appropriate mixer +#define LOCKDET_MASK (1 << 2) // Input pin + +struct db_rfx_common { + // RFX common stuff + unsigned char DIV2; + unsigned char CP1; + unsigned char CP2; + int freq_mult; + int spi_mask; +}; + +struct db_rfx_dummy { + struct db_base base; + struct db_rfx_common common; +}; + + +struct db_rfx_400_rx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_400_tx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_900_rx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_900_tx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_1200_rx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_1200_tx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_1800_rx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_1800_tx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_2400_rx { + struct db_base base; + struct db_rfx_common common; +}; + +struct db_rfx_2400_tx { + struct db_base base; + struct db_rfx_common common; +}; + + +/* + * The class instances + */ +struct db_rfx_400_rx db_rfx_400_rx = { + .base.dbid = 0x0024, + .base.is_tx = false, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_rx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_rx, + .base.set_tx_enable = 0, + .base.atr_mask = 0x00E0, + .base.atr_txval = POWER_UP, + .base.atr_rxval = POWER_UP|MIX_EN, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 0, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_RX_DB, + .common.freq_mult = 2 +}; + + +struct db_rfx_400_tx db_rfx_400_tx = { + .base.dbid = 0x0028, + .base.is_tx = true, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_tx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_tx, + .base.set_tx_enable = rfx_set_tx_enable, + .base.atr_mask = 0x00E0, + .base.atr_txval = POWER_UP|MIX_EN, + .base.atr_rxval = POWER_UP|ANT_SW, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 1, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_TX_DB, + .common.freq_mult = 2 +}; + +struct db_rfx_900_rx db_rfx_900_rx = { + .base.dbid = 0x0025, + .base.is_tx = false, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_rx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_rx, + .base.set_tx_enable = 0, + .base.atr_mask = 0x00E0, + .base.atr_txval = 0, + .base.atr_rxval = MIX_EN, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 1, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_RX_DB, + .common.freq_mult = 2 +}; + + +struct db_rfx_900_tx db_rfx_900_tx = { + .base.dbid = 0x0029, + .base.is_tx = true, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_tx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_tx, + .base.set_tx_enable = rfx_set_tx_enable, + .base.atr_mask = 0x00E0, + .base.atr_txval = MIX_EN, + .base.atr_rxval = ANT_SW, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 1, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_TX_DB, + .common.freq_mult = 2 +}; + +struct db_rfx_1200_rx db_rfx_1200_rx = { + .base.dbid = 0x0026, + .base.is_tx = false, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_rx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_rx, + .base.set_tx_enable = 0, + .base.atr_mask = 0x00E0, + .base.atr_txval = 0, + .base.atr_rxval = MIX_EN, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 1, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_RX_DB, + .common.freq_mult = 2 +}; + + +struct db_rfx_1200_tx db_rfx_1200_tx = { + .base.dbid = 0x002a, + .base.is_tx = true, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_tx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_tx, + .base.set_tx_enable = rfx_set_tx_enable, + .base.atr_mask = 0x00E0, + .base.atr_txval = MIX_EN, + .base.atr_rxval = ANT_SW, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 1, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_TX_DB, + .common.freq_mult = 2 +}; + +struct db_rfx_1800_rx db_rfx_1800_rx = { + .base.dbid = 0x0034, + .base.is_tx = false, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_rx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_rx, + .base.set_tx_enable = 0, + .base.atr_mask = 0x00E0, + .base.atr_txval = 0, + .base.atr_rxval = MIX_EN, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 0, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_RX_DB, + .common.freq_mult = 1 +}; + + +struct db_rfx_1800_tx db_rfx_1800_tx = { + .base.dbid = 0x0035, + .base.is_tx = true, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_tx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_tx, + .base.set_tx_enable = rfx_set_tx_enable, + .base.atr_mask = 0x00E0, + .base.atr_txval = MIX_EN, + .base.atr_rxval = ANT_SW, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 0, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_TX_DB, + .common.freq_mult = 1 +}; + + +struct db_rfx_2400_rx db_rfx_2400_rx = { + .base.dbid = 0x0027, + .base.is_tx = false, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_rx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_rx, + .base.set_tx_enable = 0, + .base.atr_mask = 0x00E0, + .base.atr_txval = 0, + .base.atr_rxval = MIX_EN, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 0, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_RX_DB, + .common.freq_mult = 1 +}; + + +struct db_rfx_2400_tx db_rfx_2400_tx = { + .base.dbid = 0x002b, + .base.is_tx = true, + .base.output_enables = 0x00E0, + .base.used_pins = 0x00FF, + //.base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(xxx), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = true, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = rfx_init_tx, + .base.set_freq = rfx_set_freq, + .base.set_gain = rfx_set_gain_tx, + .base.set_tx_enable = rfx_set_tx_enable, + .base.atr_mask = 0x00E0, + .base.atr_txval = MIX_EN, + .base.atr_rxval = ANT_SW, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.DIV2 = 0, + .common.CP1 = 7, + .common.CP2 = 7, + .common.spi_mask = SPI_SS_TX_DB, + .common.freq_mult = 1 +}; + + +bool +rfx_init_tx(struct db_base *dbb) +{ + //struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + clocks_enable_tx_dboard(true, 0); + return true; +} + +bool +rfx_init_rx(struct db_base *dbb) +{ + //struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + clocks_enable_rx_dboard(true, 0); + + // test gain + dbb->set_gain(dbb,U2_DOUBLE_TO_FXPT_GAIN(45.0)); + printf("set the gain\n"); + return true; +} + +bool +rfx_set_freq(struct db_base *dbb, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc) +{ + *dc = 0; + struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + //u2_fxpt_freq_t desired_n = db->common.freq_mult*freq/phdet_freq; + //int N_DIV = u2_fxpt_freq_round_to_int(desired_n); + u2_fxpt_freq_t desired_n = ((1LL<<20) * db->common.freq_mult*freq)/phdet_freq; + int N_DIV = u2_fxpt_freq_round_to_int(desired_n); + int B = N_DIV/PRESCALER; + int A = N_DIV - PRESCALER*B; + + if(Bcommon.DIV2<<22)|(CPGAIN<<21)|(B<<8)|(N_RSV<<7)|(A<<2)|2; + int C = (P<<22)|(PD<<20)|(db->common.CP2<<17)|(db->common.CP1<<14)|(PL<<12)| + (MTLD<<11)|(CPGAIN<<10)|(CP3S<<9)|(PDP<<8)|(MUXOUT<<5)|(CR<<4)|(PC<<2)|0; + + spi_transact(SPI_TXONLY,db->common.spi_mask,R,24,SPIF_PUSH_FALL); + spi_transact(SPI_TXONLY,db->common.spi_mask,C,24,SPIF_PUSH_FALL); + mdelay(10); + spi_transact(SPI_TXONLY,db->common.spi_mask,N,24,SPIF_PUSH_FALL); + + printf("A = %d, B = %d, N_DIV = %d\n",A, B, N_DIV); + *dc = (N_DIV * phdet_freq) / db->common.freq_mult; + return true; +} + +bool +rfx_set_gain_tx(struct db_base *dbb, u2_fxpt_gain_t gain) +{ + // There is no analog gain control on TX + return true; +} + +bool +rfx_set_gain_rx(struct db_base *dbb, u2_fxpt_gain_t gain) +{ + struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + + u2_fxpt_gain_t MAXGAIN = U2_DOUBLE_TO_FXPT_GAIN(70.0); + + int offset_q8 = (int)(1.2/3.3*4096*(1<<15)); + int slope_q8 = (int)(-1.0/45.0*4096/3.3*256); + int dacword = ((slope_q8 * gain) + offset_q8)>>15; + printf("DACWORD %d\n",dacword); + lsdac_write_rx(1,dacword); + return true; + /* + def set_gain(self, gain): + """ + Set the gain. + + @param gain: gain in decibels + @returns True/False + """ + maxgain = self.gain_range()[1] - self._u.pga_max() + mingain = self.gain_range()[0] + if gain > maxgain: + pga_gain = gain-maxgain + assert pga_gain <= self._u.pga_max() + agc_gain = maxgain + else: + pga_gain = 0 + agc_gain = gain + V_maxgain = .2 + V_mingain = 1.2 + V_fullscale = 3.3 + dac_value = (agc_gain*(V_maxgain-V_mingain)/(maxgain-mingain) + V_mingain)*4096/V_fullscale + assert dac_value>=0 and dac_value<4096 + return self._u.write_aux_dac(self._which, 0, int(dac_value)) and \ + self._set_pga(int(pga_gain)) + + def gain_range(self): + return (self._u.pga_min(), self._u.pga_max() + 70, 0.05) -- For 900-2400 + return (self._u.pga_min(), self._u.pga_max() + 45, 0.035) -- For 400 + */ +} + + +bool +rfx_set_tx_enable(struct db_base *dbb, bool on) +{ + struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + + // FIXME + + return false; +} + +bool +rfx_lock_detect(struct db_base *dbb) +{ + struct db_rfx_dummy *db = (struct db_rfx_dummy *) dbb; + int pins; + pins = hal_gpio_read( db->base.is_tx ? GPIO_TX_BANK : GPIO_RX_BANK ); + if(pins & LOCKDET_MASK) + return true; + return false; +} + +/* + def select_rx_antenna(self, which_antenna): + """ + Specify which antenna port to use for reception. + @param which_antenna: either 'TX/RX' or 'RX2' + """ + if which_antenna in (0, 'TX/RX'): + self._u.write_io(self._which, 0, RX2_RX1N) + elif which_antenna in (1, 'RX2'): + self._u.write_io(self._which, RX2_RX1N, RX2_RX1N) + else: + raise ValueError, "which_antenna must be either 'TX/RX' or 'RX2'" + + def set_gain(self, gain): + """ + Set the gain. + + @param gain: gain in decibels + @returns True/False + """ + maxgain = self.gain_range()[1] - self._u.pga_max() + mingain = self.gain_range()[0] + if gain > maxgain: + pga_gain = gain-maxgain + assert pga_gain <= self._u.pga_max() + agc_gain = maxgain + else: + pga_gain = 0 + agc_gain = gain + V_maxgain = .2 + V_mingain = 1.2 + V_fullscale = 3.3 + dac_value = (agc_gain*(V_maxgain-V_mingain)/(maxgain-mingain) + V_mingain)*4096/V_fullscale + assert dac_value>=0 and dac_value<4096 + return self._u.write_aux_dac(self._which, 0, int(dac_value)) and \ + self._set_pga(int(pga_gain)) + + def gain_range(self): + return (self._u.pga_min(), self._u.pga_max() + 70, 0.05) -- For 900-2400 + return (self._u.pga_min(), self._u.pga_max() + 45, 0.035) -- For 400 + +*/ diff --git a/usrp2/firmware/lib/db_tvrx.c b/usrp2/firmware/lib/db_tvrx.c new file mode 100644 index 00000000..062d7189 --- /dev/null +++ b/usrp2/firmware/lib/db_tvrx.c @@ -0,0 +1,240 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include +#include +#include +#include +#include +#include +#include + +bool tvrx_init(struct db_base *db); +bool tvrx_set_freq(struct db_base *db, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc); +bool tvrx_set_gain(struct db_base *db, u2_fxpt_gain_t gain); + +#define I2C_ADDR 0x60 +#define ref_freq (U2_DOUBLE_TO_FXPT_FREQ(4e6)/640*8) + +#define ref_div 640 /* choices are 640, 512, 1024 */ + +#if (ref_div == 640) +#define ref_div_byte 0 +#else +#if (ref_div == 512) +#define ref_div_byte 0x6 +#else +#define ref_div_byte 0x2 +#endif +#endif + +#define fast_tuning 0x40 + +#define control_byte_1 (0x88|fast_tuning|ref_div_byte) + + +struct db_tvrx_common { + // TVRX common stuff + u2_fxpt_freq_t first_if; + u2_fxpt_freq_t second_if; +}; + +struct db_tvrx_dummy { + struct db_base base; + struct db_tvrx_common common; +}; + +struct db_tvrx1 { + struct db_base base; + struct db_tvrx_common common; +}; + +struct db_tvrx2 { + struct db_base base; + struct db_tvrx_common common; +}; + +struct db_tvrx3 { + struct db_base base; + struct db_tvrx_common common; +}; + +/* The class instances */ +struct db_tvrx1 db_tvrx1 = { + .base.dbid = 0x0003, + .base.is_tx = false, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(50e6), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(860e6), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = false, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = tvrx_init, + .base.set_freq = tvrx_set_freq, + .base.set_gain = tvrx_set_gain, + .base.set_tx_enable = 0, + .base.atr_mask = 0x0000, + .base.atr_txval = 0, + .base.atr_rxval = 0, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.first_if = U2_DOUBLE_TO_FXPT_FREQ(43.75e6), + .common.second_if = U2_DOUBLE_TO_FXPT_FREQ(5.75e6), +}; + +struct db_tvrx2 db_tvrx2 = { + .base.dbid = 0x000c, + .base.is_tx = false, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(50e6), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(860e6), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = false, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = tvrx_init, + .base.set_freq = tvrx_set_freq, + .base.set_gain = tvrx_set_gain, + .base.set_tx_enable = 0, + .base.atr_mask = 0x0000, + .base.atr_txval = 0, + .base.atr_rxval = 0, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.first_if = U2_DOUBLE_TO_FXPT_FREQ(44e6), + .common.second_if = U2_DOUBLE_TO_FXPT_FREQ(44e6), +}; + +struct db_tvrx3 db_tvrx3 = { + .base.dbid = 0x0040, + .base.is_tx = false, + .base.output_enables = 0x0000, + .base.used_pins = 0x0000, + .base.freq_min = U2_DOUBLE_TO_FXPT_FREQ(50e6), + .base.freq_max = U2_DOUBLE_TO_FXPT_FREQ(860e6), + //.base.gain_min = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_max = U2_DOUBLE_TO_FXPT_GAIN(xxx), + //.base.gain_step_size = U2_DOUBLE_TO_FXPT_GAIN(xxx), + .base.is_quadrature = false, + .base.i_and_q_swapped = false, + .base.spectrum_inverted = false, + //.base.lo_offset = U2_DOUBLE_TO_FXPT_FREQ(4e6), + .base.init = tvrx_init, + .base.set_freq = tvrx_set_freq, + .base.set_gain = tvrx_set_gain, + .base.set_tx_enable = 0, + .base.atr_mask = 0x0000, + .base.atr_txval = 0, + .base.atr_rxval = 0, + // .base.atr_tx_delay = + // .base.atr_rx_delay = + .common.first_if = U2_DOUBLE_TO_FXPT_FREQ(44e6), + .common.second_if = U2_DOUBLE_TO_FXPT_FREQ(44e6), +}; + +bool +tvrx_init(struct db_base *dbb) +{ + struct db_tvrx_dummy *db = (struct db_tvrx_dummy *) dbb; + db->base.set_gain(dbb,U2_DOUBLE_TO_FXPT_GAIN(94.0)); + return true; +} + +bool +tvrx_set_freq(struct db_base *dbb, u2_fxpt_freq_t freq, u2_fxpt_freq_t *dc) +{ + *dc = 0; + if (freq < dbb->freq_min || freq > dbb->freq_max) + return false; + + struct db_tvrx_dummy *db = (struct db_tvrx_dummy *) dbb; + + u2_fxpt_freq_t target_lo_freq = freq + db->common.first_if; + int N_DIV = u2_fxpt_freq_round_to_int(((1LL<<20) * target_lo_freq)/ref_freq); + + u2_fxpt_freq_t actual_lo_freq = ref_freq * N_DIV; + u2_fxpt_freq_t actual_freq = actual_lo_freq - db->common.first_if; + if(N_DIV > 32767) + return false; + + printf("N_DIV = %d, actual_freq = %d, actual_lo_freq = %d\n", + N_DIV, u2_fxpt_freq_round_to_int(actual_freq),u2_fxpt_freq_round_to_int(actual_freq)); + + char buf[4]; + buf[0] = (N_DIV>>8) & 0xff; + buf[1] = N_DIV & 0xff; + buf[2] = control_byte_1; + buf[3] = (freq < U2_DOUBLE_TO_FXPT_FREQ(158e6)) ? 0xa8 : // VHF LOW + (freq < U2_DOUBLE_TO_FXPT_FREQ(464e6)) ? 0x98 : // VHF HIGH + 0x38; // UHF + + *dc = actual_freq - db->common.second_if; + return i2c_write(I2C_ADDR,buf,4); +} + +bool +tvrx_set_gain(struct db_base *dbb, u2_fxpt_gain_t gain) +{ + struct db_tvrx_dummy *db = (struct db_tvrx_dummy *) dbb; + int rfgain; + int ifgain; + if(gain>U2_DOUBLE_TO_FXPT_GAIN(95.0)) + return false; + if(gain<0) + return false; + + if(gain>U2_DOUBLE_TO_FXPT_GAIN(60.0)) { + rfgain = U2_DOUBLE_TO_FXPT_GAIN(60.0); + ifgain = gain-U2_DOUBLE_TO_FXPT_GAIN(60.0); + } else { + rfgain = gain; + ifgain = 0; + } + + int rf_slope_q8 = 256 * 4096 * 2.5 / 60.0 / 1.22 / 3.3; + int rf_offset_q8 = 128 * 256 * 4096 * 1.25 / 1.22 / 3.3; + int if_slope_q8 = 256 * 4096 * 2.25 / 35.0 / 1.22 / 3.3; + int if_offset_q8 = 128 * 256 * 4096 * 1.4 / 1.22 / 3.3; + + + int rfdac = (rfgain*rf_slope_q8 + rf_offset_q8)>>15; + int ifdac = (ifgain*if_slope_q8 + if_offset_q8)>>15; + lsdac_write_rx(0,rfdac); + lsdac_write_rx(1,ifdac); + + printf("Setting gain %d, rf %d, if %d\n",gain,rfdac,ifdac); + return true; +} + + +bool +tvrx_lock_detect(struct db_base *dbb) +{ + struct db_tvrx_dummy *db = (struct db_tvrx_dummy *) dbb; + return true; +} diff --git a/usrp2/firmware/lib/dbsm.c b/usrp2/firmware/lib/dbsm.c new file mode 100644 index 00000000..5e2042be --- /dev/null +++ b/usrp2/firmware/lib/dbsm.c @@ -0,0 +1,298 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* + * Double Buffering State Machine + */ + +#include "dbsm.h" +#include "memory_map.h" +#include "buffer_pool.h" +#include "bool.h" +#include "nonstdio.h" +#include + +typedef enum { + BS_EMPTY, + BS_FILLING, + BS_FULL, + BS_EMPTYING, +} buffer_state_t; + +buffer_state_t buffer_state[NBUFFERS]; + +bool +dbsm_nop_inspector(dbsm_t *sm, int buf_this) +{ + return false; +} + +void +dbsm_init(dbsm_t *sm, int buf0, + const buf_cmd_args_t *recv, const buf_cmd_args_t *send, + inspector_t inspect) +{ + if (buf0 & 0x1) // must be even + abort(); + + sm->buf0 = buf0; + sm->running = false; + sm->recv_args = *recv; + sm->send_args = *send; + + sm->rx_idle = true; + sm->tx_idle = true; + + sm->inspect = inspect; + + // How much to adjust the last_line register. + // It's 1 for everything but the ethernet. + sm->last_line_adj = recv->port == PORT_ETH ? 3 : 1; + + buffer_state[sm->buf0] = BS_EMPTY; + buffer_state[sm->buf0 ^ 1] = BS_EMPTY; + + sm->precomputed_receive_to_buf_ctrl_word[0] = + (BPC_READ + | BPC_BUFFER(sm->buf0) + | BPC_PORT(sm->recv_args.port) + | BPC_STEP(1) + | BPC_FIRST_LINE(sm->recv_args.first_line) + | BPC_LAST_LINE(sm->recv_args.last_line)); + + sm->precomputed_receive_to_buf_ctrl_word[1] = + (BPC_READ + | BPC_BUFFER(sm->buf0 ^ 1) + | BPC_PORT(sm->recv_args.port) + | BPC_STEP(1) + | BPC_FIRST_LINE(sm->recv_args.first_line) + | BPC_LAST_LINE(sm->recv_args.last_line)); + + sm->precomputed_send_from_buf_ctrl_word[0] = + (BPC_WRITE + | BPC_BUFFER(sm->buf0) + | BPC_PORT(sm->send_args.port) + | BPC_STEP(1) + | BPC_FIRST_LINE(sm->send_args.first_line) + | BPC_LAST_LINE(0)); // last line filled in at runtime + + sm->precomputed_send_from_buf_ctrl_word[1] = + (BPC_WRITE + | BPC_BUFFER(sm->buf0 ^ 1) + | BPC_PORT(sm->send_args.port) + | BPC_STEP(1) + | BPC_FIRST_LINE(sm->send_args.first_line) + | BPC_LAST_LINE(0)); // last line filled in at runtime + +} + +static inline void +dbsm_receive_to_buf(dbsm_t *sm, int bufno) +{ + buffer_pool_ctrl->ctrl = sm->precomputed_receive_to_buf_ctrl_word[bufno & 1]; +} + +static inline void +dbsm_send_from_buf(dbsm_t *sm, int bufno) +{ + buffer_pool_ctrl->ctrl = + (sm->precomputed_send_from_buf_ctrl_word[bufno & 1] + | BPC_LAST_LINE(buffer_pool_status->last_line[bufno] - sm->last_line_adj)); +} + +void +dbsm_start(dbsm_t *sm) +{ + // printf("dbsm_start: buf0 = %d, recv_port = %d\n", sm->buf0, sm->recv_args.port); + + sm->running = true; + + buffer_state[sm->buf0] = BS_EMPTY; + buffer_state[sm->buf0 ^ 1] = BS_EMPTY; + + bp_clear_buf(sm->buf0); + bp_clear_buf(sm->buf0 ^ 1); + + sm->tx_idle = true; + sm->rx_idle = false; + dbsm_receive_to_buf(sm, sm->buf0); + buffer_state[sm->buf0] = BS_FILLING; + +} + + +void +dbsm_stop(dbsm_t *sm) +{ + sm->running = false; + bp_clear_buf(sm->buf0); + bp_clear_buf(sm->buf0 ^ 1); + buffer_state[sm->buf0] = BS_EMPTY; + buffer_state[sm->buf0 ^ 1] = BS_EMPTY; +} + +static void dbsm_process_helper(dbsm_t *sm, int buf_this); +static void dbsm_error_helper(dbsm_t *sm, int buf_this); + +void +dbsm_process_status(dbsm_t *sm, uint32_t status) +{ + if (!sm->running) + return; + + if (status & (BPS_ERROR(sm->buf0) | BPS_ERROR(sm->buf0 ^ 1))){ + putchar('E'); + // Most likely an ethernet Rx error. We just restart the transfer. + if (status & (BPS_ERROR(sm->buf0))) + dbsm_error_helper(sm, sm->buf0); + + if (status & (BPS_ERROR(sm->buf0 ^ 1))) + dbsm_error_helper(sm, sm->buf0 ^ 1); + } + + if (status & BPS_DONE(sm->buf0)) + dbsm_process_helper(sm, sm->buf0); + + if (status & BPS_DONE(sm->buf0 ^ 1)) + dbsm_process_helper(sm, sm->buf0 ^ 1); +} + +static void +dbsm_process_helper(dbsm_t *sm, int buf_this) +{ + int buf_other = buf_this ^ 1; + + if (1){ + bp_clear_buf(buf_this); + + if (buffer_state[buf_this] == BS_FILLING){ + buffer_state[buf_this] = BS_FULL; + // + // does s/w handle this packet? + // + if (sm->inspect(sm, buf_this)){ + // s/w handled the packet; refill the buffer + dbsm_receive_to_buf(sm, buf_this); + buffer_state[buf_this] = BS_FILLING; + } + + else { // s/w didn't handle this; pass it on + + if(buffer_state[buf_other] == BS_EMPTY){ + dbsm_receive_to_buf(sm, buf_other); + buffer_state[buf_other] = BS_FILLING; + } + else + sm->rx_idle = true; + + if (sm->tx_idle){ + sm->tx_idle = false; + dbsm_send_from_buf(sm, buf_this); + buffer_state[buf_this] = BS_EMPTYING; + } + } + } + else { // buffer was emptying + buffer_state[buf_this] = BS_EMPTY; + if (sm->rx_idle){ + sm->rx_idle = false; + dbsm_receive_to_buf(sm, buf_this); + buffer_state[buf_this] = BS_FILLING; + } + if (buffer_state[buf_other] == BS_FULL){ + dbsm_send_from_buf(sm, buf_other); + buffer_state[buf_other] = BS_EMPTYING; + } + else + sm->tx_idle = true; + } + } +} + +static void +dbsm_error_helper(dbsm_t *sm, int buf_this) +{ + bp_clear_buf(buf_this); // clears ERROR flag + + if (buffer_state[buf_this] == BS_FILLING){ + dbsm_receive_to_buf(sm, buf_this); // restart the xfer + } + else { // buffer was emptying + dbsm_send_from_buf(sm, buf_this); // restart the xfer + } +} + +/* + * Handle DSP Tx underrun + */ +void +dbsm_handle_tx_underrun(dbsm_t *sm) +{ + // clear the DSP Tx state machine + dsp_tx_regs->clear_state = 1; + + // If there's a buffer that's empyting, clear it & flush xfer + + if (buffer_state[sm->buf0] == BS_EMPTYING){ + bp_clear_buf(sm->buf0); + dsp_tx_regs->clear_state = 1; // flush partial packet + // drop frame in progress on ground. Pretend it finished + dbsm_process_helper(sm, sm->buf0); + } + else if (buffer_state[sm->buf0 ^ 1] == BS_EMPTYING){ + bp_clear_buf(sm->buf0 ^ 1); + dsp_tx_regs->clear_state = 1; // flush partial packet + // drop frame in progress on ground. Pretend it finished + dbsm_process_helper(sm, sm->buf0 ^ 1); + } +} + +/* + * Handle DSP Rx overrun + */ +void +dbsm_handle_rx_overrun(dbsm_t *sm) +{ + dsp_rx_regs->clear_state = 1; + + // If there's a buffer that's filling, clear it. + // Any restart will be the job of the caller. + + if (buffer_state[sm->buf0] == BS_FILLING) + bp_clear_buf(sm->buf0); + + if (buffer_state[sm->buf0 ^1] == BS_FILLING) + bp_clear_buf(sm->buf0 ^ 1); +} + +void +dbsm_wait_for_opening(dbsm_t *sm) +{ + if (buffer_state[sm->buf0] == BS_EMPTYING){ + // wait for xfer to complete + int mask = BPS_DONE(sm->buf0) | BPS_ERROR(sm->buf0) | BPS_IDLE(sm->buf0); + while ((buffer_pool_status->status & mask) == 0) + ; + } + else if (buffer_state[sm->buf0 ^ 1] == BS_EMPTYING){ + // wait for xfer to complete + int mask = BPS_DONE(sm->buf0 ^ 1) | BPS_ERROR(sm->buf0 ^ 1) | BPS_IDLE(sm->buf0 ^ 1); + while ((buffer_pool_status->status & mask) == 0) + ; + } +} diff --git a/usrp2/firmware/lib/dbsm.h b/usrp2/firmware/lib/dbsm.h new file mode 100644 index 00000000..3a64bf0d --- /dev/null +++ b/usrp2/firmware/lib/dbsm.h @@ -0,0 +1,90 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_DBSM_H +#define INCLUDED_DBSM_H + +/* + * Double Buffering State Machine + */ + +#include +#include "bool.h" + +struct _dbsm; +typedef struct _dbsm dbsm_t; + +/* + * pointer to function that does packet inspection. + * + * If one of these returns true, it means that the s/w + * handled that packet, and that it should NOT be passed + * on to the normal destination port. + */ +typedef bool (*inspector_t)(dbsm_t *sm, int buf_this); + +bool dbsm_nop_inspector(dbsm_t *sm, int buf_this); // returns false + + +typedef struct +{ + uint16_t port; + uint16_t first_line; + uint16_t last_line; +} buf_cmd_args_t; + +/*! + * double buffer state machine + */ +struct _dbsm +{ + uint8_t buf0; // Must be even. This machine uses buf0 and buf0+1 + uint8_t running; + uint8_t rx_idle; + uint8_t tx_idle; + buf_cmd_args_t recv_args; + buf_cmd_args_t send_args; + inspector_t inspect; + uint32_t precomputed_receive_to_buf_ctrl_word[2]; + uint32_t precomputed_send_from_buf_ctrl_word[2]; + int last_line_adj; +}; + +void dbsm_init(dbsm_t *sm, int buf0, + const buf_cmd_args_t *recv, const buf_cmd_args_t *send, + inspector_t inspect); + +void dbsm_start(dbsm_t *sm); +void dbsm_stop(dbsm_t *sm); +void dbsm_process_status(dbsm_t *sm, uint32_t status); +void dbsm_handle_tx_underrun(dbsm_t *sm); +void dbsm_handle_rx_overrun(dbsm_t *sm); + +/* + * The cpu calls this when it want to ensure that it can send a buffer + * to the same destination being used by this state machine. + * + * If neither buffer is EMPTYING it returns immediately. If a buffer + * is EMPYTING, it waits for the h/w to transition to the DONE or + * ERROR state. + * + * When this function returns, the caller queues it's buffer and busy + * waits for it to complete. + */ +void dbsm_wait_for_opening(dbsm_t *sm); + +#endif /* INCLUDED_DBSM_H */ diff --git a/usrp2/firmware/lib/eeprom.c b/usrp2/firmware/lib/eeprom.c new file mode 100644 index 00000000..b12ffe08 --- /dev/null +++ b/usrp2/firmware/lib/eeprom.c @@ -0,0 +1,69 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "i2c.h" +#include "mdelay.h" + +static const int EEPROM_PAGESIZE = 16; + +bool +eeprom_write (int i2c_addr, int eeprom_offset, const void *buf, int len) +{ + unsigned char cmd[2]; + const unsigned char *p = (unsigned char *) buf; + + // The simplest thing that could possibly work: + // all writes are single byte writes. + // + // We could speed this up using the page write feature, + // but we write so infrequently, why bother... + + while (len-- > 0){ + cmd[0] = eeprom_offset++; + cmd[1] = *p++; + bool r = i2c_write (i2c_addr, cmd, sizeof (cmd)); + mdelay (10); // delay 10ms worst case write time + if (!r) + return false; + } + return true; +} + +bool +eeprom_read (int i2c_addr, int eeprom_offset, void *buf, int len) +{ + unsigned char *p = (unsigned char *) buf; + + // We setup a random read by first doing a "zero byte write". + // Writes carry an address. Reads use an implicit address. + + unsigned char cmd[1]; + cmd[0] = eeprom_offset; + if (!i2c_write (i2c_addr, cmd, sizeof (cmd))) + return false; + + while (len > 0){ + // int n = std::min (len, MAX_EP0_PKTSIZE); + int n = len; + if (!i2c_read (i2c_addr, p, n)) + return false; + len -= n; + p += n; + } + return true; +} + diff --git a/usrp2/firmware/lib/eth_mac.c b/usrp2/firmware/lib/eth_mac.c new file mode 100644 index 00000000..f0b3a57b --- /dev/null +++ b/usrp2/firmware/lib/eth_mac.c @@ -0,0 +1,134 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "eth_mac.h" +#include "memory_map.h" +#include "bool.h" +#include "eth_phy.h" // for simulation constants +#include "mdelay.h" + + +#define PHY_ADDR 1 + +void +eth_mac_set_addr(const u2_mac_addr_t *src) +{ + int i; + + // tell mac our source address and enable automatic insertion on Tx. + eth_mac->mac_tx_add_prom_wr = 0; // just in case + for (i = 0; i < 6; i++){ + eth_mac->mac_tx_add_prom_add = i; + eth_mac->mac_tx_add_prom_data = src->addr[i]; + eth_mac->mac_tx_add_prom_wr = 1; + mdelay(1); + eth_mac->mac_tx_add_prom_wr = 0; + mdelay(1); + } + eth_mac->mac_tx_add_en = 1; // overwrite pkt src addr field with this stuff + + // set up receive destination address filter + eth_mac->mac_rx_add_prom_wr = 0; // just in case + for (i = 0; i < 6; i++){ + eth_mac->mac_rx_add_prom_add = i; + eth_mac->mac_rx_add_prom_data = src->addr[i]; + eth_mac->mac_rx_add_prom_wr = 1; + mdelay(1); + eth_mac->mac_rx_add_prom_wr = 0; + mdelay(1); + } + // eth_mac->mac_rx_add_chk_en = 1; // FIXME enable when everything's working +} + + +void +eth_mac_init(const u2_mac_addr_t *src) +{ + eth_mac->miimoder = 25; // divider from CPU clock (50MHz/25 = 2MHz) + + eth_mac_set_addr(src); + + // set rx flow control high and low water marks + // unsigned int lwmark = (2*2048 + 64)/4; // 2 * 2048-byte frames + 1 * 64-byte pause frame + // eth_mac->fc_hwmark = lwmark + 2048/4; // plus a 2048-byte frame + + eth_mac->fc_lwmark = 600; // there are currently 2047 lines in the fifo + eth_mac->fc_hwmark = 1200; + + //eth_mac->tx_pause_en = 0; // pay attn to pause frames sent to us + //eth_mac->pause_quanta_set = 38; // a bit more than 1 max frame 16kb/512 + fudge + //eth_mac->pause_frame_send_en = 0; // enable sending pause frames +} + +int +eth_mac_read_rmon(int addr) +{ + int t; + + eth_mac->rmon_rd_addr = addr; + eth_mac->rmon_rd_apply = 1; + while(eth_mac->rmon_rd_grant == 0) + ; + + t = eth_mac->rmon_rd_dout; + eth_mac->rmon_rd_apply = 0; + return t; +} + +int +eth_mac_miim_read(int addr) +{ + if (hwconfig_simulation_p()){ + switch(addr){ + case PHY_LINK_AN: + return LANSR_MASTER | LANSR_LINK_GOOD | LANSR_SPEED_1000; + default: + return 0; + } + } + + int phy_addr = PHY_ADDR; + eth_mac->miiaddress = ((addr & 0x1f) << 8) | phy_addr; + eth_mac->miicommand = MIIC_RSTAT; + + while((eth_mac->miistatus & MIIS_BUSY) != 0) + ; + + return eth_mac->miirx_data; +} + +void +eth_mac_miim_write(int addr, int value) +{ + int phy_addr = PHY_ADDR; + eth_mac->miiaddress = ((addr & 0x1f) << 8) | phy_addr; + eth_mac->miitx_data = value; + eth_mac->miicommand = MIIC_WCTRLDATA; + + while((eth_mac->miistatus & MIIS_BUSY) != 0) + ; +} + +int +eth_mac_miim_read_status(void) +{ + if (hwconfig_simulation_p()) + return 0; + + return eth_mac->miistatus; +} diff --git a/usrp2/firmware/lib/eth_mac.h b/usrp2/firmware/lib/eth_mac.h new file mode 100644 index 00000000..291994c5 --- /dev/null +++ b/usrp2/firmware/lib/eth_mac.h @@ -0,0 +1,32 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_ETH_MAC_H +#define INCLUDED_ETH_MAC_H + +#include "usrp2_mac_addr.h" + +void eth_mac_init(const u2_mac_addr_t *src); + +void eth_mac_set_addr(const u2_mac_addr_t *src); +int eth_mac_read_rmon(int addr); +int eth_mac_miim_read(int addr); +void eth_mac_miim_write(int addr, int value); +int eth_mac_miim_read_status(void); + +#endif /* INCLUDED_ETH_MAC_H */ diff --git a/usrp2/firmware/lib/eth_mac_regs.h b/usrp2/firmware/lib/eth_mac_regs.h new file mode 100644 index 00000000..bb6d2519 --- /dev/null +++ b/usrp2/firmware/lib/eth_mac_regs.h @@ -0,0 +1,97 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_ETH_MAC_REGS_H +#define INCLUDED_ETH_MAC_REGS_H + +/* + * See opencores.org 10_100_1000 Mbps Tri-mode Ethernet MAC Specification + * + * In reality, these are 16-bit regs, but are assigned + * on 32-bit boundaries. Because we're little endian, + * declaring them "int" works. + */ +typedef struct { + volatile int tx_hwmark; + volatile int tx_lwmark; + + //! if set, send pause frames automatically + volatile int pause_frame_send_en; + + //! quanta value for pause frame in units of 512 bit times. + volatile int pause_quanta_set; + + volatile int ifg_set; + volatile int full_duplex; + volatile int max_retry; + volatile int mac_tx_add_en; + volatile int mac_tx_add_prom_data; + volatile int mac_tx_add_prom_add; + volatile int mac_tx_add_prom_wr; + + //! if set, other end can pause us (i.e., we pay attention to pause frames) + volatile int tx_pause_en; + + // Flow Control high and low water marks + //! when space available (in 32-bit lines) > hwmark, send un-pause frame + volatile int fc_hwmark; + + //! when space avail (in 32-bit lines) < lwmark, send pause frame + volatile int fc_lwmark; + + volatile int mac_rx_add_chk_en; + volatile int mac_rx_add_prom_data; + volatile int mac_rx_add_prom_add; + volatile int mac_rx_add_prom_wr; + volatile int broadcast_filter_en; + volatile int broadcast_bucket_depth; + volatile int broadcast_bucket_interval; + volatile int rx_append_crc; + volatile int rx_hwmark; + volatile int rx_lwmark; + volatile int crc_chk_en; + volatile int rx_ifg_set; + volatile int rx_max_length; + volatile int rx_min_length; + volatile int rmon_rd_addr; // performance counter access + volatile int rmon_rd_apply; + volatile int rmon_rd_grant; // READONLY + volatile int rmon_rd_dout; // READONLY + volatile int dummy; // READONLY + volatile int line_loop_en; + volatile int speed; + volatile int miimoder; + volatile int miicommand; + volatile int miiaddress; + volatile int miitx_data; + volatile int miirx_data; + volatile int miistatus; +} eth_mac_regs_t; + +// miicommand register +#define MIIC_SCANSSTAT (1 << 0) // Scan status +#define MIIC_RSTAT (1 << 1) // Read status +#define MIIC_WCTRLDATA (1 << 2) // Write control data + +// miistatus register +#define MIIS_LINKFAIL (1 << 0) // The link failed +#define MIIS_BUSY (1 << 1) // The MII is busy (operation in progress) +#define MIIS_NVALID (1 << 2) // The data in the status register is invalid + // This it is only valid when the scan status is active. + +#endif /* INCLUDED_ETH_MAC_REGS_H */ diff --git a/usrp2/firmware/lib/eth_phy.h b/usrp2/firmware/lib/eth_phy.h new file mode 100644 index 00000000..6c16f97b --- /dev/null +++ b/usrp2/firmware/lib/eth_phy.h @@ -0,0 +1,219 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * Copyright(c) 1999 - 2005 Intel Corporation. All rights reserved. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* Much of this was extracted from the Linux e1000_hw.h file */ + +#ifndef INCLUDED_ETH_PHY_H +#define INCLUDED_ETH_PHY_H + +/* PHY 1000 MII Register/Bit Definitions */ +/* PHY Registers defined by IEEE */ + +#define PHY_CTRL 0x00 /* Control Register */ +#define PHY_STATUS 0x01 /* Status Regiser */ +#define PHY_ID1 0x02 /* Phy Id Reg (word 1) */ +#define PHY_ID2 0x03 /* Phy Id Reg (word 2) */ +#define PHY_AUTONEG_ADV 0x04 /* Autoneg Advertisement */ +#define PHY_LP_ABILITY 0x05 /* Link Partner Ability (Base Page) */ +#define PHY_AUTONEG_EXP 0x06 /* Autoneg Expansion Reg */ +#define PHY_NEXT_PAGE_TX 0x07 /* Next Page TX */ +#define PHY_LP_NEXT_PAGE 0x08 /* Link Partner Next Page */ +#define PHY_1000T_CTRL 0x09 /* 1000Base-T Control Reg */ +#define PHY_1000T_STATUS 0x0A /* 1000Base-T Status Reg */ +#define PHY_EXT_STATUS 0x0F /* Extended Status Reg */ + +/* PHY 1000 MII Register additions in DP83856 */ +/* The part implements 0x00 thru 0x1f; we use these. */ + +#define PHY_LINK_AN 0x11 /* Link and Auto Negotiation Status Reg */ +#define PHY_INT_STATUS 0x14 /* Interupt Status Reg (RO) */ +#define PHY_INT_MASK 0x15 /* Interrupt Mask Reg (RW) */ +#define PHY_INT_CLEAR 0x17 /* Interrupt Clear Reg (RW) */ + + +/* Bit definitions for some of the registers above */ + +/* PHY Control Register (PHY_CTRL) */ +#define MII_CR_SPEED_SELECT_MSB 0x0040 /* bits 6,13: 10=1000, 01=100, 00=10 */ +#define MII_CR_COLL_TEST_ENABLE 0x0080 /* Collision test enable */ +#define MII_CR_FULL_DUPLEX 0x0100 /* FDX =1, half duplex =0 */ +#define MII_CR_RESTART_AUTO_NEG 0x0200 /* Restart auto negotiation */ +#define MII_CR_ISOLATE 0x0400 /* Isolate PHY from MII */ +#define MII_CR_POWER_DOWN 0x0800 /* Power down */ +#define MII_CR_AUTO_NEG_EN 0x1000 /* Auto Neg Enable */ +#define MII_CR_SPEED_SELECT_LSB 0x2000 /* bits 6,13: 10=1000, 01=100, 00=10 */ +#define MII_CR_LOOPBACK 0x4000 /* 0 = normal, 1 = loopback */ +#define MII_CR_RESET 0x8000 /* 0 = normal, 1 = PHY reset */ + +/* PHY Status Register (PHY_STATUS) */ +#define MII_SR_EXTENDED_CAPS 0x0001 /* Extended register capabilities */ +#define MII_SR_JABBER_DETECT 0x0002 /* Jabber Detected */ +#define MII_SR_LINK_STATUS 0x0004 /* Link Status 1 = link */ +#define MII_SR_AUTONEG_CAPS 0x0008 /* Auto Neg Capable */ +#define MII_SR_REMOTE_FAULT 0x0010 /* Remote Fault Detect */ +#define MII_SR_AUTONEG_COMPLETE 0x0020 /* Auto Neg Complete */ +#define MII_SR_PREAMBLE_SUPPRESS 0x0040 /* Preamble may be suppressed */ +#define MII_SR_EXTENDED_STATUS 0x0100 /* Ext. status info in Reg 0x0F */ +#define MII_SR_100T2_HD_CAPS 0x0200 /* 100T2 Half Duplex Capable */ +#define MII_SR_100T2_FD_CAPS 0x0400 /* 100T2 Full Duplex Capable */ +#define MII_SR_10T_HD_CAPS 0x0800 /* 10T Half Duplex Capable */ +#define MII_SR_10T_FD_CAPS 0x1000 /* 10T Full Duplex Capable */ +#define MII_SR_100X_HD_CAPS 0x2000 /* 100X Half Duplex Capable */ +#define MII_SR_100X_FD_CAPS 0x4000 /* 100X Full Duplex Capable */ +#define MII_SR_100T4_CAPS 0x8000 /* 100T4 Capable */ + +/* Autoneg Advertisement Register (PHY_AUTONEG_ADV) */ +#define NWAY_AR_SELECTOR_FIELD 0x0001 /* indicates IEEE 802.3 CSMA/CD */ +#define NWAY_AR_10T_HD_CAPS 0x0020 /* 10T Half Duplex Capable */ +#define NWAY_AR_10T_FD_CAPS 0x0040 /* 10T Full Duplex Capable */ +#define NWAY_AR_100TX_HD_CAPS 0x0080 /* 100TX Half Duplex Capable */ +#define NWAY_AR_100TX_FD_CAPS 0x0100 /* 100TX Full Duplex Capable */ +#define NWAY_AR_100T4_CAPS 0x0200 /* 100T4 Capable */ +#define NWAY_AR_PAUSE 0x0400 /* Pause operation desired */ +#define NWAY_AR_ASM_DIR 0x0800 /* Asymmetric Pause Direction bit */ +#define NWAY_AR_REMOTE_FAULT 0x2000 /* Remote Fault detected */ +#define NWAY_AR_NEXT_PAGE 0x8000 /* Next Page ability supported */ + +/* Link Partner Ability Register (Base Page) (PHY_LP_ABILITY) */ +#define NWAY_LPAR_SELECTOR_FIELD 0x0000 /* LP protocol selector field */ +#define NWAY_LPAR_10T_HD_CAPS 0x0020 /* LP is 10T Half Duplex Capable */ +#define NWAY_LPAR_10T_FD_CAPS 0x0040 /* LP is 10T Full Duplex Capable */ +#define NWAY_LPAR_100TX_HD_CAPS 0x0080 /* LP is 100TX Half Duplex Capable */ +#define NWAY_LPAR_100TX_FD_CAPS 0x0100 /* LP is 100TX Full Duplex Capable */ +#define NWAY_LPAR_100T4_CAPS 0x0200 /* LP is 100T4 Capable */ +#define NWAY_LPAR_PAUSE 0x0400 /* LP Pause operation desired */ +#define NWAY_LPAR_ASM_DIR 0x0800 /* LP Asymmetric Pause Direction bit */ +#define NWAY_LPAR_REMOTE_FAULT 0x2000 /* LP has detected Remote Fault */ +#define NWAY_LPAR_ACKNOWLEDGE 0x4000 /* LP has rx'd link code word */ +#define NWAY_LPAR_NEXT_PAGE 0x8000 /* Next Page ability supported */ + +/* Autoneg Expansion Register (PHY_AUTONEG_EXP) */ +#define NWAY_ER_LP_NWAY_CAPS 0x0001 /* LP has Auto Neg Capability */ +#define NWAY_ER_PAGE_RXD 0x0002 /* LP is 10T Half Duplex Capable */ +#define NWAY_ER_NEXT_PAGE_CAPS 0x0004 /* LP is 10T Full Duplex Capable */ +#define NWAY_ER_LP_NEXT_PAGE_CAPS 0x0008 /* LP is 100TX Half Duplex Capable */ +#define NWAY_ER_PAR_DETECT_FAULT 0x0010 /* LP is 100TX Full Duplex Capable */ + +/* Next Page TX Register (PHY_NEXT_PAGE_TX) */ +#define NPTX_MSG_CODE_FIELD 0x0001 /* NP msg code or unformatted data */ +#define NPTX_TOGGLE 0x0800 /* Toggles between exchanges + * of different NP + */ +#define NPTX_ACKNOWLDGE2 0x1000 /* 1 = will comply with msg + * 0 = cannot comply with msg + */ +#define NPTX_MSG_PAGE 0x2000 /* formatted(1)/unformatted(0) pg */ +#define NPTX_NEXT_PAGE 0x8000 /* 1 = addition NP will follow + * 0 = sending last NP + */ + +/* Link Partner Next Page Register (PHY_LP_NEXT_PAGE) */ +#define LP_RNPR_MSG_CODE_FIELD 0x0001 /* NP msg code or unformatted data */ +#define LP_RNPR_TOGGLE 0x0800 /* Toggles between exchanges + * of different NP + */ +#define LP_RNPR_ACKNOWLDGE2 0x1000 /* 1 = will comply with msg + * 0 = cannot comply with msg + */ +#define LP_RNPR_MSG_PAGE 0x2000 /* formatted(1)/unformatted(0) pg */ +#define LP_RNPR_ACKNOWLDGE 0x4000 /* 1 = ACK / 0 = NO ACK */ +#define LP_RNPR_NEXT_PAGE 0x8000 /* 1 = addition NP will follow + * 0 = sending last NP + */ + +/* 1000BASE-T Control Register (PHY_1000T_CTRL) */ +#define CR_1000T_ASYM_PAUSE 0x0080 /* Advertise asymmetric pause bit */ +#define CR_1000T_HD_CAPS 0x0100 /* Advertise 1000T HD capability */ +#define CR_1000T_FD_CAPS 0x0200 /* Advertise 1000T FD capability */ +#define CR_1000T_REPEATER_DTE 0x0400 /* 1=Repeater/switch device port */ + /* 0=DTE device */ +#define CR_1000T_MS_VALUE 0x0800 /* 1=Configure PHY as Master */ + /* 0=Configure PHY as Slave */ +#define CR_1000T_MS_ENABLE 0x1000 /* 1=Master/Slave manual config value */ + /* 0=Automatic Master/Slave config */ +#define CR_1000T_TEST_MODE_NORMAL 0x0000 /* Normal Operation */ +#define CR_1000T_TEST_MODE_1 0x2000 /* Transmit Waveform test */ +#define CR_1000T_TEST_MODE_2 0x4000 /* Master Transmit Jitter test */ +#define CR_1000T_TEST_MODE_3 0x6000 /* Slave Transmit Jitter test */ +#define CR_1000T_TEST_MODE_4 0x8000 /* Transmitter Distortion test */ + +/* 1000BASE-T Status Register (PHY_1000T_STATUS) */ +#define SR_1000T_IDLE_ERROR_CNT 0x00FF /* Num idle errors since last read */ +#define SR_1000T_ASYM_PAUSE_DIR 0x0100 /* LP asymmetric pause direction bit */ +#define SR_1000T_LP_HD_CAPS 0x0400 /* LP is 1000T HD capable */ +#define SR_1000T_LP_FD_CAPS 0x0800 /* LP is 1000T FD capable */ +#define SR_1000T_REMOTE_RX_STATUS 0x1000 /* Remote receiver OK */ +#define SR_1000T_LOCAL_RX_STATUS 0x2000 /* Local receiver OK */ +#define SR_1000T_MS_CONFIG_RES 0x4000 /* 1=Local TX is Master, 0=Slave */ +#define SR_1000T_MS_CONFIG_FAULT 0x8000 /* Master/Slave config fault */ +#define SR_1000T_REMOTE_RX_STATUS_SHIFT 12 +#define SR_1000T_LOCAL_RX_STATUS_SHIFT 13 +#define SR_1000T_PHY_EXCESSIVE_IDLE_ERR_COUNT 5 +#define FFE_IDLE_ERR_COUNT_TIMEOUT_20 20 +#define FFE_IDLE_ERR_COUNT_TIMEOUT_100 100 + +/* Extended Status Register (PHY_EXT_STATUS) */ +#define IEEE_ESR_1000T_HD_CAPS 0x1000 /* 1000T HD capable */ +#define IEEE_ESR_1000T_FD_CAPS 0x2000 /* 1000T FD capable */ +#define IEEE_ESR_1000X_HD_CAPS 0x4000 /* 1000X HD capable */ +#define IEEE_ESR_1000X_FD_CAPS 0x8000 /* 1000X FD capable */ + +#define PHY_TX_POLARITY_MASK 0x0100 /* register 10h bit 8 (polarity bit) */ +#define PHY_TX_NORMAL_POLARITY 0 /* register 10h bit 8 (normal polarity) */ + +#define AUTO_POLARITY_DISABLE 0x0010 /* register 11h bit 4 */ + /* (0=enable, 1=disable) */ + +/* Link and Auto Negotiation Status Reg (PHY_LINK_AN) [READ-ONLY] */ +#define LANSR_MASTER 0x0001 /* 1=PHY is currently in master mode */ +#define LANSR_FULL_DUPLEX 0x0002 /* 1=PHY is currently full duplex */ +#define LANSR_LINK_GOOD 0x0004 /* 1=a good link is established */ +#define LANSR_SPEED_MASK 0x0018 +#define LANSR_SPEED_10 0x0000 /* 10Mb/s */ +#define LANSR_SPEED_100 0x0008 /* 100Mb/s */ +#define LANSR_SPEED_1000 0x0010 /* 1000Mb/s */ +#define LANSR_SPEED_RSRVD 0x0018 /* reserved */ +#define LANSR_NON_COMP_MODE 0x0020 /* 1=detects only in non-compliant mode */ +#define LANSR_DEEP_LOOPBACK 0x0040 /* 1=the PHY operates in deep loopback mode */ +#define LANSR_SHALLOW_LOOPBACK 0x0080 /* 1=the PHY operates in shallow loopback mode */ +#define LANSR_RSRVD_8 0x0100 /* reserved */ +#define LANSR_FIFO_ERR 0x0200 /* 1=FIFO error occurred */ +#define LANSR_MDIX_XOVER 0x0400 /* 1=PHY's MDI is in cross-over mode */ +#define LANSR_RSRVD_11 0x0800 /* resevered */ +#define LANSR_TP_POLARITY_REV 0xf000 /* Twisted pair polarity status A:D([15:12]) 1=reversed */ + +/* Interrupt status, mask and clear regs (PHY_INT_{STATUS,MASK,CLEAR}) */ +#define PHY_INT_RSRVD_0 0x0001 /* reserved */ +#define PHY_INT_RSRVD_1 0x0002 /* reserved */ +#define PHY_INT_RSRVD_2 0x0004 /* reserved */ +#define PHY_INT_REM_FLT_CNG 0x0008 /* Remote Fault Changed */ +#define PHY_INT_AN_CMPL 0x0010 /* Auto-negotiation completion */ +#define PHY_INT_NXT_PG_RCVD 0x0020 /* Next Page Received */ +#define PHY_INT_JABBER_CNG 0x0040 /* Jabber Changed */ +#define PHY_INT_NO_LINK 0x0080 /* No link after auto-negotiation */ +#define PHY_INT_NO_HCD 0x0100 /* AN couldn't determine highest common denominator */ +#define PHY_INT_MAS_SLA_ERR 0x0200 /* Master / Slave Error: couldn't resolve */ +#define PHY_INT_PRL_DET_FLT 0x0400 /* Parallel detection fault */ +#define PHY_INT_POL_CNG 0x0800 /* Polarity of any channel changed */ +#define PHY_INT_MDIX_CNG 0x1000 /* MDIX changed. A pair swap occurred. */ +#define PHY_INT_DPLX_CNG 0x2000 /* Duplex changed */ +#define PHY_INT_LNK_CNG 0x4000 /* Link changed (asserted when a link is established or broken) */ +#define PHY_INT_SPD_CNG 0x8000 /* Speed changed */ + +#endif /* INCLUDED_ETH_PHY_H */ diff --git a/usrp2/firmware/lib/ethernet.c b/usrp2/firmware/lib/ethernet.c new file mode 100644 index 00000000..0e2f4616 --- /dev/null +++ b/usrp2/firmware/lib/ethernet.c @@ -0,0 +1,281 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "ethernet.h" +#include "memory_map.h" +#include "eth_phy.h" +#include "eth_mac.h" +#include "eth_mac_regs.h" +#include "pic.h" +#include "hal_io.h" +#include "nonstdio.h" +#include "bool.h" +#include "i2c.h" +#include "usrp2_i2c_addr.h" + + +#define VERBOSE 0 + +static ethernet_t ed_state; +static ethernet_link_changed_callback_t ed_callback = 0; + +void +ethernet_register_link_changed_callback(ethernet_link_changed_callback_t new_callback) +{ + ed_callback = new_callback; +} + + +static void +ed_set_mac_speed(int speed) +{ + switch(speed){ + case 10: + eth_mac->speed = 1; + break; + case 100: + eth_mac->speed = 2; + break; + case 1000: + eth_mac->speed = 4; + break; + default: + break; + } +} + +static void +ed_link_up(int speed) +{ + // putstr("ed_link_up: "); puthex16_nl(speed); + + ed_set_mac_speed(speed); + + if (ed_callback) // fire link changed callback + (*ed_callback)(speed); +} + +static void +ed_link_down(void) +{ + // putstr("ed_link_down\n"); + + if (ed_callback) // fire link changed callback + (*ed_callback)(0); +} + + +static void +ed_link_speed_change(int speed) +{ + ed_link_down(); + ed_link_up(speed); +} + +/* + * Read the PHY state register to determine link state and speed + */ +static void +ed_check_phy_state(void) +{ + int lansr = eth_mac_miim_read(PHY_LINK_AN); + eth_link_state_t new_state = LS_UNKNOWN; + int new_speed = S_UNKNOWN; + + if (VERBOSE){ + putstr("LANSR: "); + puthex16_nl(lansr); + } + + if (lansr & LANSR_LINK_GOOD){ // link's up + if (VERBOSE) + puts(" LINK_GOOD"); + + new_state = LS_UP; + switch (lansr & LANSR_SPEED_MASK){ + case LANSR_SPEED_10: + new_speed = 10; + break; + + case LANSR_SPEED_100: + new_speed = 100; + break; + + case LANSR_SPEED_1000: + new_speed = 1000; + break; + + default: + new_speed = S_UNKNOWN; + break; + } + } + else { // link's down + if (VERBOSE) + puts(" NOT LINK_GOOD"); + + new_state = LS_DOWN; + new_speed = S_UNKNOWN; + } + + if (new_state != ed_state.link_state){ + ed_state.link_state = new_state; // remember new state + if (new_state == LS_UP) + ed_link_up(new_speed); + else if (new_state == LS_DOWN) + ed_link_down(); + } + else if (new_state == LS_UP && new_speed != ed_state.link_speed){ + ed_state.link_speed = new_speed; // remember new speed + ed_link_speed_change(new_speed); + } +} + +/* + * This is fired when the ethernet PHY state changes + */ +static void +eth_phy_irq_handler(unsigned irq) +{ + ed_check_phy_state(); + eth_mac_miim_write(PHY_INT_CLEAR, ~0); // clear all ints +} + +void +ethernet_init(void) +{ + eth_mac_init(ethernet_mac_addr()); + + ed_state.link_state = LS_UNKNOWN; + ed_state.link_speed = S_UNKNOWN; + + // initialize MAC registers + eth_mac->tx_hwmark = 0x1e; + eth_mac->tx_lwmark = 0x19; + + eth_mac->crc_chk_en = 1; + eth_mac->rx_max_length = 2048; + + // configure PAUSE frame stuff + eth_mac->tx_pause_en = 1; // pay attn to pause frames sent to us + + eth_mac->pause_quanta_set = 38; // a bit more than 1 max frame 16kb/512 + fudge + eth_mac->pause_frame_send_en = 1; // enable sending pause frames + + + // setup PHY to interrupt on changes + + unsigned mask = + (PHY_INT_AN_CMPL // auto-neg completed + | PHY_INT_NO_LINK // no link after auto-neg + | PHY_INT_NO_HCD // no highest common denominator + | PHY_INT_MAS_SLA_ERR // couldn't resolve master/slave + | PHY_INT_PRL_DET_FLT // parallel detection fault + | PHY_INT_LNK_CNG // link established or broken + | PHY_INT_SPD_CNG // speed changed + ); + + eth_mac_miim_write(PHY_INT_CLEAR, ~0); // clear all pending interrupts + eth_mac_miim_write(PHY_INT_MASK, mask); // enable the ones we want + + pic_register_handler(IRQ_PHY, eth_phy_irq_handler); + + // Advertise that we handle PAUSE frames and asymmetric pause direction. + int t = eth_mac_miim_read(PHY_AUTONEG_ADV); + eth_mac_miim_write(PHY_AUTONEG_ADV, t | NWAY_AR_PAUSE | NWAY_AR_ASM_DIR); + + // Restart autonegotation. + // We want to ensure that we're advertising our PAUSE capabilities. + t = eth_mac_miim_read(PHY_CTRL); + eth_mac_miim_write(PHY_CTRL, t | MII_CR_RESTART_AUTO_NEG); +} + +static bool +unprogrammed(const u2_mac_addr_t *t) +{ + int i; + bool all_zeros = true; + bool all_ones = true; + for (i = 0; i < 6; i++){ + all_zeros &= t->addr[i] == 0x00; + all_ones &= t->addr[i] == 0xff; + } + return all_ones | all_zeros; +} + +static int8_t src_addr_initialized = false; +static u2_mac_addr_t src_addr = {{ + 0x00, 0x50, 0xC2, 0x85, 0x3f, 0xff + }}; + +const u2_mac_addr_t * +ethernet_mac_addr(void) +{ + if (!src_addr_initialized){ // fetch from eeprom + src_addr_initialized = true; + + // if we're simulating, don't read the EEPROM model, it's REALLY slow + if (hwconfig_simulation_p()) + return &src_addr; + + u2_mac_addr_t tmp; + bool ok = eeprom_read(I2C_ADDR_MBOARD, MBOARD_MAC_ADDR, &tmp.addr[0], 6); + if (!ok || unprogrammed(&tmp)){ + // use the default + } + else + src_addr = tmp; + } + + return &src_addr; +} + +bool +ethernet_set_mac_addr(const u2_mac_addr_t *t) +{ + bool ok = eeprom_write(I2C_ADDR_MBOARD, MBOARD_MAC_ADDR, &t->addr[0], 6); + if (ok){ + src_addr = *t; + src_addr_initialized = true; + eth_mac_set_addr(t); + } + + return ok; +} + +int +ethernet_check_errors(void) +{ + // these registers are reset when read + + int r = 0; + if (eth_mac_read_rmon(0x05) != 0) + r |= RME_RX_CRC; + if (eth_mac_read_rmon(0x06) != 0) + r |= RME_RX_FIFO_FULL; + if (eth_mac_read_rmon(0x07) != 0) + r |= RME_RX_2SHORT_2LONG; + + if (eth_mac_read_rmon(0x25) != 0) + r |= RME_TX_JAM_DROP; + if (eth_mac_read_rmon(0x26) != 0) + r |= RME_TX_FIFO_UNDER; + if (eth_mac_read_rmon(0x27) != 0) + r |= RME_TX_FIFO_OVER; + + return r; +} diff --git a/usrp2/firmware/lib/ethernet.h b/usrp2/firmware/lib/ethernet.h new file mode 100644 index 00000000..4e549046 --- /dev/null +++ b/usrp2/firmware/lib/ethernet.h @@ -0,0 +1,75 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_ETHERNET_H +#define INCLUDED_ETHERNET_H + +#include "usrp2_mac_addr.h" +#include "bool.h" + +typedef void (*ethernet_link_changed_callback_t)(int speed); + + +/*! + * \brief one time call to initialize ethernet + */ +void ethernet_init(void); + +/*! + * \brief Specify the function to call on link state changes. + * + * When the link comes up, speed is the link speed in Mbit/s. + * When the link goes down, speed is 0. + */ +void ethernet_register_link_changed_callback(ethernet_link_changed_callback_t cb); + +/*! + * \returns ethernet MAC address + */ +const u2_mac_addr_t *ethernet_mac_addr(void); + +/*! + * \brief write mac address to eeprom and begin using it + */ +bool ethernet_set_mac_addr(const u2_mac_addr_t *t); + + +/* + * \brief read RMON regs and return error mask + */ +int ethernet_check_errors(void); + +#define RME_RX_CRC 0x0001 +#define RME_RX_FIFO_FULL 0x0002 +#define RME_RX_2SHORT_2LONG 0x0004 + +#define RME_TX_JAM_DROP 0x0010 +#define RME_TX_FIFO_UNDER 0x0020 +#define RME_TX_FIFO_OVER 0x0040 + + +typedef enum { LS_UNKNOWN, LS_DOWN, LS_UP } eth_link_state_t; + +#define S_UNKNOWN (-1) // unknown link speed + +typedef struct { + eth_link_state_t link_state; + int link_speed; // in Mb/s +} ethernet_t; + +#endif /* INCLUDED_ETHERNET_H */ diff --git a/usrp2/firmware/lib/hal_io.c b/usrp2/firmware/lib/hal_io.c new file mode 100644 index 00000000..54190682 --- /dev/null +++ b/usrp2/firmware/lib/hal_io.c @@ -0,0 +1,312 @@ +/* -*- c -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +// conditionalized on HAL_IO_USES_DBOARD_PINS && HAL_IO_USES_UART + +#include "hal_io.h" +#include "memory_map.h" +#include "hal_uart.h" +#include "bool.h" +#include +#include +//#include + +/* + * ======================================================================== + * GPIOS + * ======================================================================== + */ +void +hal_gpio_set_ddr(int bank, int value, int mask) +{ + bank &= 0x1; + + if (bank == GPIO_TX_BANK){ // tx in top half + value <<= 16; + mask <<= 16; + } + else { + value &= 0xffff; + mask &= 0xffff; + } + + int ei = hal_disable_ints(); + gpio_base->ddr = (gpio_base->ddr & ~mask) | (value & mask); + hal_restore_ints(ei); +} + +static bool +code_to_int(char code, int *val) +{ + switch(code){ + case 's': *val = GPIO_SEL_SW; return true; + case 'a': *val = GPIO_SEL_ATR; return true; + case '0': *val = GPIO_SEL_DEBUG_0; return true; + case '1': *val = GPIO_SEL_DEBUG_1; return true; + case '.': + default: + return false; + } +} + +void +hal_gpio_set_sel(int bank, int bitno, char code) +{ + bank &= 0x1; + int t; + + if (!code_to_int(code, &t)) + return; + + int val = t << (2 * bitno); + int mask = 0x3 << (2 * bitno); + + volatile uint32_t *sel = bank == GPIO_TX_BANK ? &gpio_base->tx_sel : &gpio_base->rx_sel; + int ei = hal_disable_ints(); + int v = (*sel & ~mask) | (val & mask); + *sel = v; + hal_restore_ints(ei); + + if (0) + printf("hal_gpio_set_sel(bank=%d, bitno=%d, code=%c) *sel = 0x%x\n", + bank, bitno, code, v); +} + +void +hal_gpio_set_sels(int bank, char *codes) +{ + //assert(strlen(codes) == 16); + + int val = 0; + int mask = 0; + int i; + + for (i = 15; i >= 0; i--){ + val <<= 2; + mask <<= 2; + int t; + if (code_to_int(codes[i], &t)){ + val |= t; + mask |= 0x3; + } + } + + volatile uint32_t *sel = bank == GPIO_TX_BANK ? &gpio_base->tx_sel : &gpio_base->rx_sel; + int ei = hal_disable_ints(); + *sel = (*sel & ~mask) | (val & mask); + hal_restore_ints(ei); +} + + +/*! + * \brief write \p value to gpio pins specified by \p mask. + */ +void +hal_gpio_write(int bank, int value, int mask) +{ + static uint32_t _gpio_io_shadow; + + bank &= 0x1; + + if (bank == GPIO_TX_BANK){ // tx in top half + value <<= 16; + mask <<= 16; + } + else { + value &= 0xffff; + mask &= 0xffff; + } + + //int ei = hal_disable_ints(); + _gpio_io_shadow = (_gpio_io_shadow & ~mask) | (value & mask); + gpio_base->io = _gpio_io_shadow; + //hal_restore_ints(ei); +} + + +/*! + * \brief read GPIO bits + */ +int +hal_gpio_read(int bank) +{ + bank &= 0x1; + int r = gpio_base->io; + if (bank == GPIO_TX_BANK) + r >>= 16; + + return r & 0xffff; +} + +/* + * ======================================================================== + * leds + * ======================================================================== + */ + +static unsigned long leds_shadow = 0; + +void +hal_set_leds(int value, int mask) +{ + int ei = hal_disable_ints(); + leds_shadow = (leds_shadow & ~mask) | (value & mask); + output_regs->leds = leds_shadow; + hal_restore_ints(ei); +} + +void +hal_toggle_leds(int mask) +{ + int ei = hal_disable_ints(); + leds_shadow ^= mask; + output_regs->leds = leds_shadow; + hal_restore_ints(ei); +} + + +// ================================================================ +// primitives +// ================================================================ + +#if defined(HAL_IO_USES_DBOARD_PINS) +// +// Does i/o using high 9-bits of rx daughterboard pins. +// +// 1 1 1 1 1 1 +// 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ +// | char |W| | +// +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ +// +// +// Asserts W when writing char +// + +#define W 0x0080 + +void +hal_io_init(void) +{ + // make high 9 bits of tx daughterboard outputs + hal_gpio_set_rx_mode(15, 7, GPIOM_OUTPUT); + + // and set them to zero + hal_gpio_set_rx(0x0000, 0xff80); +} + +void +hal_finish(void) +{ + volatile unsigned long *p = (unsigned long *) 0xC2F0; + *p = 0; +} + +// %c +inline int +putchar(int ch) +{ + hal_gpio_set_rx((s << 8) | W, 0xff80); + hal_gpio_set_rx(0, 0xff80); + return ch; +} + +#elif defined(HAL_IO_USES_UART) + +void +hal_io_init(void) +{ + hal_uart_init(); +} + +void +hal_finish(void) +{ +} + +// %c +inline int +putchar(int ch) +{ + hal_uart_putc(ch); + return ch; +} + +int +getchar(void) +{ + return hal_uart_getc(); +} + +#else // nop all i/o + +void +hal_io_init(void) +{ +} + +void +hal_finish(void) +{ +} + +// %c +inline int +putchar(int ch) +{ + return ch; +} + +int +getchar(void) +{ + return EOF; +} + +#endif + +// ================================================================ +// (slightly) higher level functions +// +// These are here so we can inline the calls to putchar. +// The rest of the stuff was moved to nonstdio.c +// ================================================================ + +// \n +inline void +newline(void) +{ + putchar('\n'); +} + +int +putstr(const char *s) +{ + while (*s) + putchar(*s++); + + return 0; +} + +int +puts(const char *s) +{ + putstr(s); + putchar('\n'); + return 0; +} diff --git a/usrp2/firmware/lib/hal_io.h b/usrp2/firmware/lib/hal_io.h new file mode 100644 index 00000000..5ffebf57 --- /dev/null +++ b/usrp2/firmware/lib/hal_io.h @@ -0,0 +1,174 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_HAL_IO_H +#define INCLUDED_HAL_IO_H + +#include "memory_map.h" + +void hal_io_init(void); +void hal_finish(); + + +/* + * ------------------------------------------------------------------------ + * The GPIO pins are organized into two banks of 16-bits. + * Bank 0 goes to the Tx daughterboard, Bank 1 goes to the Rx daughterboard. + * + * Each pin may be configured as an input or an output from the FPGA. + * For output pins, there are four signals which may be routed to the + * pin. The four signals are the value written by s/w, the output of + * the ATR controller, or two different sources of debug info from the + * FPGA fabric. + * ------------------------------------------------------------------------ + */ + +#define GPIO_TX_BANK 0 // pins that connect to the Tx daughterboard +#define GPIO_RX_BANK 1 // pins that connect to the Rx daughterboard + + +/*! + * \brief Set the data direction for GPIO pins + * + * If the bit is set, it's an output from the FPGA. + * \param value is a 16-bit bitmask of values + * \param mask is a 16-bit bitmask of which bits to effect. + */ +void hal_gpio_set_ddr(int bank, int value, int mask); + +/*! + * \brief Select the source of the signal for an output pin. + * + * \param code is is one of 's', 'a', '0', '1' + * where 's' selects software output, 'a' selects ATR output, '0' selects + * debug 0, '1' selects debug 1. + */ +void hal_gpio_set_sel(int bank, int bitno, char code); + +/*! + * \brief Select the source of the signal for the output pins. + * + * \param codes is is a string of 16 characters composed of '.', 's', + * 'a', '0', or '1' where '.' means "don't change", 's' selects + * software output, 'a' selects ATR output, '0' selects debug 0, '1' + * selects debug 1. + */ +void hal_gpio_set_sels(int bank, char *codes); + + +/*! + * \brief write \p value to gpio pins specified by \p mask. + */ +void hal_gpio_write(int bank, int value, int mask); + +/*! + * \brief read GPIO bits + */ +int hal_gpio_read(int bank); + + +/* + * ------------------------------------------------------------------------ + * control the leds + * + * Low 4-bits are the general purpose leds on the board + * The next bit is the led on the ethernet connector + * ------------------------------------------------------------------------ + */ + +#define LED_0 0x0001 +#define LED_1 0x0002 +#define LED_3 0x0004 +#define LED_4 0x0008 +#define LED_ETH_CONN 0x0010 + +void hal_set_leds(int value, int mask); +void hal_toggle_leds(int mask); + +/* + * ------------------------------------------------------------------------ + * simple timeouts + * ------------------------------------------------------------------------ + */ + + + +static inline void +hal_set_timeout(int delta_ticks) +{ + int t = timer_regs->time + delta_ticks; + if (t == 0) // kills timer + t = 1; + timer_regs->time = t; +} + +/* + * ------------------------------------------------------------------------ + * interrupt enable/disable + * ------------------------------------------------------------------------ + */ + +/*! + * \brief Disable interrupts and return previous interrupt enable state. + * [Microblaze specific] + */ +static inline int +hal_disable_ints(void) +{ + int result, t0; + + asm volatile("mfs %0, rmsr \n\ + andni %1, %0, 0x2 \n\ + mts rmsr, %1" + : "=r" (result), "=r" (t0)); + return result; +} + +/*! + * \brief Enable interrupts and return previous interrupt enable state. + * [Microblaze specific] + */ +static inline int +hal_enable_ints(void) +{ + int result, t0; + + asm volatile("mfs %0, rmsr \n\ + ori %1, %0, 0x2 \n\ + mts rmsr, %1" + : "=r" (result), "=r" (t0)); + return result; +} + +/*! + * \brief Set interrupt enable state to \p prev_state. + * [Microblaze specific] + */ +static inline void +hal_restore_ints(int prev_state) +{ + int t0, t1; + asm volatile("andi %0, %2, 0x2 \n\ + mfs %1, rmsr \n\ + andni %1, %1, 0x2 \n\ + or %1, %1, %0 \n\ + mts rmsr, %1" + : "=r" (t0), "=r"(t1) : "r" (prev_state)); +} + +#endif /* INCLUDED_HAL_IO_H */ diff --git a/usrp2/firmware/lib/hal_uart.c b/usrp2/firmware/lib/hal_uart.c new file mode 100644 index 00000000..f1d46fe8 --- /dev/null +++ b/usrp2/firmware/lib/hal_uart.c @@ -0,0 +1,67 @@ +/* -*- c -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "hal_uart.h" +#include "hal_io.h" +#include "memory_map.h" + +// First pass, no interrupts + +// Replaced with divisors.py which generates best divisor +//#define CALC_DIVISOR(rate) (WISHBONE_CLK_RATE / ((rate) * 16)) + +#define NSPEEDS 6 +#define MAX_WB_DIV 4 + +static const uint16_t +divisor_table[MAX_WB_DIV+1][NSPEEDS] = { + { 2, 2, 2, 2, 2, 2}, // 0: can't happen + { 651, 326, 163, 109, 54, 27 }, // 1: 100 MHz + { 326, 163, 81, 54, 27, 14 }, // 2: 50 MHz + { 217, 109, 54, 36, 18, 9 }, // 3: 33.3333 MHz + { 163, 81, 41, 27, 14, 7 }, // 4: 25 MHz +}; + +#define u uart_regs + +void +hal_uart_init(void) +{ + u->clkdiv = 217; // 230400 bps +} + +void +hal_uart_putc(int ch) +{ + if (ch == '\n') // FIXME for now map \n -> \r\n + hal_uart_putc('\r'); + + while (u->txlevel == 0) // wait for fifo to have space + ; + + u->txchar = ch; +} + +int +hal_uart_getc(void) +{ + while ((u->rxlevel) == 0) // wait for data to be ready + ; + + return u->rxchar; +} diff --git a/usrp2/firmware/lib/hal_uart.h b/usrp2/firmware/lib/hal_uart.h new file mode 100644 index 00000000..41e78894 --- /dev/null +++ b/usrp2/firmware/lib/hal_uart.h @@ -0,0 +1,63 @@ +/* -*- c -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_HAL_UART_H +#define INCLUDED_HAL_UART_H + + +/*! + * \brief one-time call to init + */ +void hal_uart_init(void); + +typedef enum { + US_9600, + US_19200, + US_38400, + US_57600, + US_115200, + US_230400, +} hal_uart_speed_t; + +typedef struct { + hal_uart_speed_t speed; +} hal_uart_config_t; + +/*! + * \brief Set uart parameters + * Default is 115,200 bps, 8N1. + */ +void hal_uart_set_config(const hal_uart_config_t *c); + +/*! + * \brief Get uart configuation. + */ +void hal_uart_get_config(hal_uart_config_t *c); + +/*! + * \brief Enqueue \p ch for output over serial port + */ +void hal_uart_putc(int ch); + +/* + * \brief Blocking read of next char from serial port + */ +int hal_uart_getc(void); + + +#endif /* INCLUDED_HAL_UART_H */ diff --git a/usrp2/firmware/lib/i2c.c b/usrp2/firmware/lib/i2c.c new file mode 100644 index 00000000..3f738733 --- /dev/null +++ b/usrp2/firmware/lib/i2c.c @@ -0,0 +1,127 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "i2c.h" +#include "memory_map.h" +#include "stdint.h" + +#define MAX_WB_DIV 4 // maximum wishbone divisor (from 100 MHz MASTER_CLK) + +// prescaler divisor values for 100 kHz I2C [uses 5 * SCLK internally] + +#define PRESCALER(wb_div) (((MASTER_CLK_RATE/(wb_div)) / (5 * 100000)) - 1) + +static uint16_t prescaler_values[MAX_WB_DIV+1] = { + 0xffff, // 0: can't happen + PRESCALER(1), // 1: 100 MHz + PRESCALER(2), // 2: 50 MHz + PRESCALER(3), // 3: 33.333 MHz + PRESCALER(4), // 4: 25 MHz +}; + +void +i2c_init(void) +{ + i2c_regs->ctrl = 0; // disable core + + // setup prescaler depending on wishbone divisor + int wb_div = hwconfig_wishbone_divisor(); + if (wb_div > MAX_WB_DIV) + wb_div = MAX_WB_DIV; + + i2c_regs->prescaler_lo = prescaler_values[wb_div] & 0xff; + i2c_regs->prescaler_hi = (prescaler_values[wb_div] >> 8) & 0xff; + + i2c_regs->ctrl = I2C_CTRL_EN; // enable core + + // FIXME interrupt driven? +} + +static inline void +wait_for_xfer(void) +{ + while (i2c_regs->cmd_status & I2C_ST_TIP) // wait for xfer to complete + ; +} + +static inline bool +wait_chk_ack(void) +{ + wait_for_xfer(); + + if ((i2c_regs->cmd_status & I2C_ST_RXACK) != 0){ // target NAK'd + return false; + } + return true; +} + +bool +i2c_read (unsigned char i2c_addr, unsigned char *buf, unsigned int len) +{ + if (len == 0) // reading zero bytes always works + return true; + + while (i2c_regs->cmd_status & I2C_ST_BUSY) + ; + + i2c_regs->data = (i2c_addr << 1) | 1; // 7 bit address and read bit (1) + // generate START and write addr + i2c_regs->cmd_status = I2C_CMD_WR | I2C_CMD_START; + if (!wait_chk_ack()) + goto fail; + + for (; len > 0; buf++, len--){ + i2c_regs->cmd_status = I2C_CMD_RD | (len == 1 ? (I2C_CMD_NACK | I2C_CMD_STOP) : 0); + wait_for_xfer(); + *buf = i2c_regs->data; + } + return true; + + fail: + i2c_regs->cmd_status = I2C_CMD_STOP; // generate STOP + return false; +} + + +bool +i2c_write(unsigned char i2c_addr, const unsigned char *buf, unsigned int len) +{ + while (i2c_regs->cmd_status & I2C_ST_BUSY) + ; + + i2c_regs->data = (i2c_addr << 1) | 0; // 7 bit address and write bit (0) + + // generate START and write addr (and maybe STOP) + i2c_regs->cmd_status = I2C_CMD_WR | I2C_CMD_START | (len == 0 ? I2C_CMD_STOP : 0); + if (!wait_chk_ack()) + goto fail; + + for (; len > 0; buf++, len--){ + i2c_regs->data = *buf; + i2c_regs->cmd_status = I2C_CMD_WR | (len == 1 ? I2C_CMD_STOP : 0); + if (!wait_chk_ack()) + goto fail; + } + return true; + + fail: + i2c_regs->cmd_status = I2C_CMD_STOP; // generate STOP + return false; +} + + diff --git a/usrp2/firmware/lib/i2c.h b/usrp2/firmware/lib/i2c.h new file mode 100644 index 00000000..ad1e4159 --- /dev/null +++ b/usrp2/firmware/lib/i2c.h @@ -0,0 +1,39 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_I2C_H +#define INCLUDED_I2C_H + +#include "bool.h" + +void i2c_init(void); +bool i2c_read (unsigned char i2c_addr, unsigned char *buf, unsigned int len); +bool i2c_write(unsigned char i2c_addr, const unsigned char *buf, unsigned int len); + + +// Write 24LC024 / 24LC025 EEPROM on motherboard or daughterboard. +// Which EEPROM is determined by i2c_addr. See i2c_addr.h + +bool eeprom_write (int i2c_addr, int eeprom_offset, const void *buf, int len); + +// Read 24LC024 / 24LC025 EEPROM on motherboard or daughterboard. +// Which EEPROM is determined by i2c_addr. See i2c_addr.h + +bool eeprom_read (int i2c_addr, int eeprom_offset, void *buf, int len); + +#endif /* INCLUDED_I2C_H */ diff --git a/usrp2/firmware/lib/lsadc.c b/usrp2/firmware/lib/lsadc.c new file mode 100644 index 00000000..7983552e --- /dev/null +++ b/usrp2/firmware/lib/lsadc.c @@ -0,0 +1,73 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "lsadc.h" +#include "spi.h" +#include "memory_map.h" + + +// AD9712 or AD7922 1 MS/s, 10-/12-bit ADCs + +//#define SPI_SS_DEBUG SPI_SS_RX_DB +#define SPI_SS_DEBUG 0 + + +void +lsadc_init(void) +{ + // nop +} + +/* + * The ADC's are pipelined. That is, you have to tell them + * which of the two inputs you want one cycle ahead of time. + * We could optimize and keep track of which one we used last + * time, but for simplicity we'll always tell it which + * one we want. This takes 2 16-bit xfers, one to set the + * input and one to read the one we want. + */ + +int +_lsadc_read(int which_adc, int slave_select) +{ + uint32_t r; + int channel = which_adc & 0x1; + + // Set CHN and STY equal to channel number. We don't want "daisy chain mode" + uint16_t cmd = (channel << 13) | (channel << 12); + + spi_transact(SPI_TXONLY, slave_select | SPI_SS_DEBUG, + cmd, 16, SPIF_PUSH_RISE | SPIF_LATCH_RISE); + + r = spi_transact(SPI_TXRX, slave_select | SPI_SS_DEBUG, + cmd, 16, SPIF_PUSH_RISE | SPIF_LATCH_RISE); + + return r & 0x0fff; +} + +int +lsadc_read_rx(int which_adc) +{ + return _lsadc_read(which_adc, SPI_SS_RX_ADC); +} + +int +lsadc_read_tx(int which_adc) +{ + return _lsadc_read(which_adc, SPI_SS_TX_ADC); +} diff --git a/usrp2/firmware/lib/lsadc.h b/usrp2/firmware/lib/lsadc.h new file mode 100644 index 00000000..319f34d9 --- /dev/null +++ b/usrp2/firmware/lib/lsadc.h @@ -0,0 +1,45 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_LSADC_H +#define INCLUDED_LSADC_H + +#include "memory_map.h" + +/*! + * \brief One time call to initialize low-speed ADCs. + */ +void lsadc_init(void); + +/*! + * \brief Read one of the low-speed Rx daughterboard ADCs. + * \param which_adc in [0, 1] + * + * \returns 12-bit value in [0,4095] + */ +int lsadc_read_rx(int which_adc); + +/*! + * \brief Read one of the low-speed Tx daughterboard ADCs. + * \param which_adc in [0, 1] + * + * \returns 12-bit value in [0,4095] + */ +int lsadc_read_tx(int which_adc); + + +#endif /* INCLUDED_LSADC_H */ diff --git a/usrp2/firmware/lib/lsdac.c b/usrp2/firmware/lib/lsdac.c new file mode 100644 index 00000000..6bc2e5cb --- /dev/null +++ b/usrp2/firmware/lib/lsdac.c @@ -0,0 +1,68 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "lsdac.h" +#include "spi.h" +#include "memory_map.h" + +// AD5624, AD5623 + +#define CMD(x) ((x) << 19) +#define CMD_WR_INPUT_N CMD(0) // write input N +#define CMD_UP_DAC_N CMD(1) // update DAC N from input reg +#define CMD_WR_INPUT_N_LDAC CMD(2) // write input N, update all +#define CMD_WR_UP_DAC_N CMD(3) // write and update N +#define CMD_WR_PWR_CONFIG CMD(4) // write power up/down config reg +#define CMD_SW_RESET CMD(5) // force s/w reset +#define CMD_WR_LDAC_CFG CMD(6) // write LDAC config reg +#define CMD_WR_INT_REF_CFG CMD(7) // write internal ref cfg reg (AD5623R only) + + +//#define SPI_SS_DEBUG SPI_SS_TX_DB +#define SPI_SS_DEBUG 0 + +inline static void +_write_rx(uint32_t v) +{ + spi_transact(SPI_TXONLY, SPI_SS_RX_DAC | SPI_SS_DEBUG, v, 24, SPIF_PUSH_RISE); +} + +inline static void +_write_tx(uint32_t v) +{ + spi_transact(SPI_TXONLY, SPI_SS_TX_DAC | SPI_SS_DEBUG, v, 24, SPIF_PUSH_RISE); +} + +void +lsdac_init(void) +{ + _write_tx(CMD_SW_RESET | 0x1); // power-on reset + _write_rx(CMD_SW_RESET | 0x1); // power-on reset +} + +void +lsdac_write_rx(int which_dac, int value) +{ + _write_rx(CMD_WR_UP_DAC_N | ((which_dac & 0x7) << 16) | ((value << 4) & 0xffff)); +} + +void +lsdac_write_tx(int which_dac, int value) +{ + _write_tx(CMD_WR_UP_DAC_N | ((which_dac & 0x7) << 16) | ((value << 4) & 0xffff)); +} diff --git a/usrp2/firmware/lib/lsdac.h b/usrp2/firmware/lib/lsdac.h new file mode 100644 index 00000000..9cad917e --- /dev/null +++ b/usrp2/firmware/lib/lsdac.h @@ -0,0 +1,47 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_LSDAC_H +#define INCLUDED_LSDAC_H + +#include "memory_map.h" + +/*! + * \brief One time call to initialize low-speed DACs. + */ +void lsdac_init(void); + +/*! + * \brief Write one of the low-speed Rx daughterboard DACs. + * \param which_dac in [0, 3] + * \param unsigned 12-bit value in [0, 4095] + * + * value maps linearly to output voltage from 0 to 3.3V + */ +void lsdac_write_rx(int which_dac, int value); + +/*! + * \brief Write one of the low-speed Tx daughterboard DACs. + * \param which_dac in [0, 3] + * \param unsigned 12-bit value in [0, 4095] + * + * value maps linearly to output voltage from 0 to 3.3V + */ +void lsdac_write_tx(int which_dac, int value); + + +#endif /* INCLUDED_LSDAC_H */ diff --git a/usrp2/firmware/lib/mdelay.c b/usrp2/firmware/lib/mdelay.c new file mode 100644 index 00000000..c8c119b1 --- /dev/null +++ b/usrp2/firmware/lib/mdelay.c @@ -0,0 +1,73 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "mdelay.h" +#include "memory_map.h" + +// Delay about one millisecond. +// +// Need 33,333 cycles at 33 MHz. +// Each time around the loop is 10 cycles +// + +#define LOOPCNT(wb_div) (MASTER_CLK_RATE/(wb_div) / 10000) + +inline static void +delay_1ms(int loop_count) +{ + int i; + for (i = 0; i < loop_count; i++){ + asm volatile ("or r0, r0, r0\n\ + or r0, r0, r0\n\ + or r0, r0, r0\n\ + or r0, r0, r0\n\ + or r0, r0, r0\n\ + or r0, r0, r0\n\ + or r0, r0, r0\n"); + } +} + +// delay about ms milliseconds +void +mdelay(int ms) +{ + static int loop_count = -1; + + if (hwconfig_simulation_p()) + return; + + if (loop_count < 0){ + // set correct loop_count + static unsigned short lc[8] = { + 0, + LOOPCNT(1), + LOOPCNT(2), + LOOPCNT(3), + LOOPCNT(4), + LOOPCNT(5), + LOOPCNT(6), + LOOPCNT(7) + }; + + loop_count = lc[hwconfig_wishbone_divisor() & 0x7]; + } + + int i; + for (i = 0; i < ms; i++) + delay_1ms(loop_count); +} diff --git a/usrp2/firmware/lib/mdelay.h b/usrp2/firmware/lib/mdelay.h new file mode 100644 index 00000000..226bbb3f --- /dev/null +++ b/usrp2/firmware/lib/mdelay.h @@ -0,0 +1,29 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_MDELAY_H +#define INCLUDED_MDELAY_H + +/*! + * \brief Delay about ms milliseconds + * + * If simulating, _very_ short delay + */ +void mdelay(int ms); + +#endif /* INCLUDED_MDELAY_H */ diff --git a/usrp2/firmware/lib/memcpy_wa.c b/usrp2/firmware/lib/memcpy_wa.c new file mode 100644 index 00000000..ef20efaa --- /dev/null +++ b/usrp2/firmware/lib/memcpy_wa.c @@ -0,0 +1,42 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "memcpy_wa.h" +#include +#include + +/* + * For copying to/from non-byte-adressable memory, such as + * the buffers. dst, src, and nbytes must all satisfy (x % 4 == 0) + */ +void +memcpy_wa(void *dst, const void *src, size_t nbytes) +{ + if (((intptr_t) dst & 0x3) + || ((intptr_t) src & 0x3) + || (nbytes & 0x3)) + exit(1); /* die! */ + + int *dp = (int *) dst; + int *sp = (int *) src; + unsigned nw = nbytes/4; + + unsigned i; + for (i = 0; i < nw; i++) + dp[i] = sp[i]; +} diff --git a/usrp2/firmware/lib/memcpy_wa.h b/usrp2/firmware/lib/memcpy_wa.h new file mode 100644 index 00000000..072fc148 --- /dev/null +++ b/usrp2/firmware/lib/memcpy_wa.h @@ -0,0 +1,32 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_MEMCPY_WA_H +#define INCLUDED_MEMCPY_WA_H + +#include + +/* + * For copying to/from non-byte-adressable memory, such as + * the buffers. dst, src, and nbytes must all satisfy (x % 4 == 0) + */ +void memcpy_wa(void *dst, const void *src, size_t nbytes); + +#endif /* INCLUDED_MEMCPY_WA_H */ + + diff --git a/usrp2/firmware/lib/memory_map.h b/usrp2/firmware/lib/memory_map.h new file mode 100644 index 00000000..7dce59d0 --- /dev/null +++ b/usrp2/firmware/lib/memory_map.h @@ -0,0 +1,583 @@ +/* -*- c -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* Overall Memory Map + * 0000-7FFF 32K RAM space (16K on 1500, 24K on 2000, 32K on DSP) + * 8000-BFFF 16K Buffer Pool + * C000-FFFF 16K Peripherals + */ + + +#ifndef INCLUDED_MEMORY_MAP_H +#define INCLUDED_MEMORY_MAP_H + +#include + + +#define MASTER_CLK_RATE 100000000 // 100 MHz + + +//////////////////////////////////////////////////////////////// +// +// Memory map for embedded wishbone bus +// +//////////////////////////////////////////////////////////////// + + +//////////////////////////////////////////////////////////////// +// Main RAM, Slave 0 + +#define RAM_BASE 0x0000 + +//////////////////////////////////////////////////////////////// +// Buffer Pool RAM, Slave 1 +// +// The buffers themselves are located in Slave 1, Buffer Pool RAM. +// The status registers are in Slave 5, Buffer Pool Status. +// The control register is in Slave 7, Settings Bus. + +#define BUFFER_POOL_RAM_BASE 0x8000 + +#define NBUFFERS 8 +#define BP_NLINES 0x0200 // number of 32-bit lines in a buffer +#define BP_LAST_LINE (BP_NLINES - 1) // last line in a buffer + +#define buffer_pool_ram \ + ((uint32_t *) BUFFER_POOL_RAM_BASE) + +#define buffer_ram(n) (&buffer_pool_ram[(n) * BP_NLINES]) + + +///////////////////////////////////////////////////// +// SPI Core, Slave 2. See core docs for more info +#define SPI_BASE 0xC000 // Base address (16-bit) + +typedef struct { + volatile uint32_t txrx0; + volatile uint32_t txrx1; + volatile uint32_t txrx2; + volatile uint32_t txrx3; + volatile uint32_t ctrl; + volatile uint32_t div; + volatile uint32_t ss; +} spi_regs_t; + +#define spi_regs ((spi_regs_t *) SPI_BASE) + + +// Masks for controlling different peripherals +#define SPI_SS_AD9510 1 +#define SPI_SS_AD9777 2 +#define SPI_SS_RX_DAC 4 +#define SPI_SS_RX_ADC 8 +#define SPI_SS_RX_DB 16 +#define SPI_SS_TX_DAC 32 +#define SPI_SS_TX_ADC 64 +#define SPI_SS_TX_DB 128 + +// Masks for different parts of CTRL reg +#define SPI_CTRL_ASS (1<<13) +#define SPI_CTRL_IE (1<<12) +#define SPI_CTRL_LSB (1<<11) +#define SPI_CTRL_TXNEG (1<<10) +#define SPI_CTRL_RXNEG (1<< 9) +#define SPI_CTRL_GO_BSY (1<< 8) +#define SPI_CTRL_CHAR_LEN_MASK 0x7F + +//////////////////////////////////////////////// +// I2C, Slave 3 +// See Wishbone I2C-Master Core Specification. + +#define I2C_BASE 0xC400 + +typedef struct { + volatile uint32_t prescaler_lo; // r/w + volatile uint32_t prescaler_hi; // r/w + volatile uint32_t ctrl; // r/w + volatile uint32_t data; // wr = transmit reg; rd = receive reg + volatile uint32_t cmd_status; // wr = command reg; rd = status reg +} i2c_regs_t; + +#define i2c_regs ((i2c_regs_t *) I2C_BASE) + +#define I2C_CTRL_EN (1 << 7) // core enable +#define I2C_CTRL_IE (1 << 6) // interrupt enable + +// +// STA, STO, RD, WR, and IACK bits are cleared automatically +// +#define I2C_CMD_START (1 << 7) // generate (repeated) start condition +#define I2C_CMD_STOP (1 << 6) // generate stop condition +#define I2C_CMD_RD (1 << 5) // read from slave +#define I2C_CMD_WR (1 << 4) // write to slave +#define I2C_CMD_NACK (1 << 3) // when a rcvr, send ACK (ACK=0) or NACK (ACK=1) +#define I2C_CMD_RSVD_2 (1 << 2) // reserved +#define I2C_CMD_RSVD_1 (1 << 1) // reserved +#define I2C_CMD_IACK (1 << 0) // set to clear pending interrupt + +#define I2C_ST_RXACK (1 << 7) // Received acknowledgement from slave (1 = NAK, 0 = ACK) +#define I2C_ST_BUSY (1 << 6) // 1 after START signal detected; 0 after STOP signal detected +#define I2C_ST_AL (1 << 5) // Arbitration lost. 1 when core lost arbitration +#define I2C_ST_RSVD_4 (1 << 4) // reserved +#define I2C_ST_RSVD_3 (1 << 3) // reserved +#define I2C_ST_RSVD_2 (1 << 2) // reserved +#define I2C_ST_TIP (1 << 1) // Transfer-in-progress +#define I2C_ST_IP (1 << 0) // Interrupt pending + + +//////////////////////////////////////////////// +// GPIO, Slave 4 +// +// These go to the daughterboard i/o pins + +#define GPIO_BASE 0xC800 + +typedef struct { + volatile uint32_t io; // tx data in high 16, rx in low 16 + volatile uint32_t ddr; // 32 bits, 1 means output. tx in high 16, rx in low 16 + volatile uint32_t tx_sel; // 16 2-bit fields select which source goes to TX DB + volatile uint32_t rx_sel; // 16 2-bit fields select which source goes to RX DB +} gpio_regs_t; + +// each 2-bit sel field is layed out this way +#define GPIO_SEL_SW 0 // if pin is an output, set by software in the io reg +#define GPIO_SEL_ATR 1 // if pin is an output, set by ATR logic +#define GPIO_SEL_DEBUG_0 2 // if pin is an output, debug lines from FPGA fabric +#define GPIO_SEL_DEBUG_1 3 // if pin is an output, debug lines from FPGA fabric + +#define gpio_base ((gpio_regs_t *) GPIO_BASE) + +/////////////////////////////////////////////////// +// Buffer Pool Status, Slave 5 +// +// The buffers themselves are located in Slave 1, Buffer Pool RAM. +// The status registers are in Slave 5, Buffer Pool Status. +// The control register is in Slave 7, Settings Bus. + +#define BUFFER_POOL_STATUS_BASE 0xCC00 + +typedef struct { + volatile uint32_t last_line[NBUFFERS]; // last line xfer'd in buffer + volatile uint32_t status; // error and done flags + volatile uint32_t hw_config; // see below +} buffer_pool_status_t; + +#define buffer_pool_status ((buffer_pool_status_t *) BUFFER_POOL_STATUS_BASE) + +/* + * Buffer n's xfer is done. + * Clear this bit by issuing bp_clear_buf(n) + */ +#define BPS_DONE(n) (0x00000001 << (n)) +#define BPS_DONE_0 BPS_DONE(0) +#define BPS_DONE_1 BPS_DONE(1) +#define BPS_DONE_2 BPS_DONE(2) +#define BPS_DONE_3 BPS_DONE(3) +#define BPS_DONE_4 BPS_DONE(4) +#define BPS_DONE_5 BPS_DONE(5) +#define BPS_DONE_6 BPS_DONE(6) +#define BPS_DONE_7 BPS_DONE(7) + +/* + * Buffer n's xfer had an error. + * Clear this bit by issuing bp_clear_buf(n) + */ +#define BPS_ERROR(n) (0x00000100 << (n)) +#define BPS_ERROR_0 BPS_ERROR(0) +#define BPS_ERROR_1 BPS_ERROR(1) +#define BPS_ERROR_2 BPS_ERROR(2) +#define BPS_ERROR_3 BPS_ERROR(3) +#define BPS_ERROR_4 BPS_ERROR(4) +#define BPS_ERROR_5 BPS_ERROR(5) +#define BPS_ERROR_6 BPS_ERROR(6) +#define BPS_ERROR_7 BPS_ERROR(7) + +/* + * Buffer n is idle. A buffer is idle if it's not + * DONE, ERROR, or processing a transaction. If it's + * IDLE, it's safe to start a new transaction. + * + * Clear this bit by starting a xfer with + * bp_send_from_buf or bp_receive_to_buf. + */ +#define BPS_IDLE(n) (0x00010000 << (n)) +#define BPS_IDLE_0 BPS_IDLE(0) +#define BPS_IDLE_1 BPS_IDLE(1) +#define BPS_IDLE_2 BPS_IDLE(2) +#define BPS_IDLE_3 BPS_IDLE(3) +#define BPS_IDLE_4 BPS_IDLE(4) +#define BPS_IDLE_5 BPS_IDLE(5) +#define BPS_IDLE_6 BPS_IDLE(6) +#define BPS_IDLE_7 BPS_IDLE(7) + +/* + * Buffer n has a "slow path" packet in it. + * This bit is orthogonal to the bits above and indicates that + * the FPGA ethernet rx protocol engine has identified this packet + * as one requiring firmware intervention. + */ +#define BPS_SLOWPATH(n) (0x01000000 << (n)) +#define BPS_SLOWPATH_0 BPS_SLOWPATH(0) +#define BPS_SLOWPATH_1 BPS_SLOWPATH(1) +#define BPS_SLOWPATH_2 BPS_SLOWPATH(2) +#define BPS_SLOWPATH_3 BPS_SLOWPATH(3) +#define BPS_SLOWPATH_4 BPS_SLOWPATH(4) +#define BPS_SLOWPATH_5 BPS_SLOWPATH(5) +#define BPS_SLOWPATH_6 BPS_SLOWPATH(6) +#define BPS_SLOWPATH_7 BPS_SLOWPATH(7) + + +#define BPS_DONE_ALL 0x000000ff // mask of all dones +#define BPS_ERROR_ALL 0x0000ff00 // mask of all errors +#define BPS_IDLE_ALL 0x00ff0000 // mask of all idles +#define BPS_SLOWPATH_ALL 0xff000000 // mask of all slowpaths + +// The hw_config register + +#define HWC_SIMULATION 0x80000000 +#define HWC_WB_CLK_DIV_MASK 0x0000000f + +/*! + * \brief return non-zero if we're running under the simulator + */ +inline static int +hwconfig_simulation_p(void) +{ + return buffer_pool_status->hw_config & HWC_SIMULATION; +} + +/*! + * \brief Return Wishbone Clock divisor. + * The processor runs at the Wishbone Clock rate which is MASTER_CLK_RATE / divisor. + */ +inline static int +hwconfig_wishbone_divisor(void) +{ + return buffer_pool_status->hw_config & HWC_WB_CLK_DIV_MASK; +} + +/////////////////////////////////////////////////// +// Ethernet Core, Slave 6 + +#define ETH_BASE 0xD000 + +#include "eth_mac_regs.h" + +#define eth_mac ((eth_mac_regs_t *) ETH_BASE) + +//////////////////////////////////////////////////// +// Settings Bus, Slave #7, Not Byte Addressable! +// +// Output-only from processor point-of-view. +// 1KB of address space (== 256 32-bit write-only regs) + + +#define MISC_OUTPUT_BASE 0xD400 +#define TX_PROTOCOL_ENGINE_BASE 0xD480 +#define RX_PROTOCOL_ENGINE_BASE 0xD4C0 +#define BUFFER_POOL_CTRL_BASE 0xD500 +#define DSP_TX_BASE 0xD600 +#define DSP_RX_BASE 0xD680 + +#define LAST_SETTING_REG 0xD7FC // last valid setting register + +// --- buffer pool control regs --- + +typedef struct { + volatile uint32_t ctrl; +} buffer_pool_ctrl_t; + +// buffer pool ports + +#define PORT_SERDES 0 // serial/deserializer +#define PORT_DSP 1 // DSP tx or rx pipeline +#define PORT_ETH 2 // ethernet tx or rx +#define PORT_RAM 3 // RAM tx or rx + +// the buffer pool ctrl register fields + +#define BPC_BUFFER(n) (((n) & 0xf) << 28) +#define BPC_BUFFER_MASK BPC_BUFFER(~0) +#define BPC_BUFFER_0 BPC_BUFFER(0) +#define BPC_BUFFER_1 BPC_BUFFER(1) +#define BPC_BUFFER_2 BPC_BUFFER(2) +#define BPC_BUFFER_3 BPC_BUFFER(3) +#define BPC_BUFFER_4 BPC_BUFFER(4) +#define BPC_BUFFER_5 BPC_BUFFER(5) +#define BPC_BUFFER_6 BPC_BUFFER(6) +#define BPC_BUFFER_7 BPC_BUFFER(7) +#define BPC_BUFFER_NIL BPC_BUFFER(0x8) // disable + +#define BPC_PORT(n) (((n) & 0x7) << 25) +#define BPC_PORT_MASK BPC_PORT(~0) +#define BPC_PORT_SERDES BPC_PORT(PORT_SERDES) +#define BPC_PORT_DSP BPC_PORT(PORT_DSP) +#define BPC_PORT_ETH BPC_PORT(PORT_ETH) +#define BPC_PORT_RAM BPC_PORT(PORT_RAM) +#define BPC_PORT_NIL BPC_PORT(0x4) // disable + +#define BPC_CLR (1 << 24) // mutually excl commands +#define BPC_READ (1 << 23) +#define BPC_WRITE (1 << 22) + +#define BPC_STEP(step) (((step) & 0xf) << 18) +#define BPC_STEP_MASK BPC_STEP(~0) +#define BPC_LAST_LINE(line) (((line) & 0x1ff) << 9) +#define BPC_LAST_LINE_MASK BPC_LAST_LINE(~0) +#define BPC_FIRST_LINE(line) (((line) & 0x1ff) << 0) +#define BPC_FIRST_LINE_MASK BPC_FIRST_LINE(~0) + +#define buffer_pool_ctrl ((buffer_pool_ctrl_t *) BUFFER_POOL_CTRL_BASE) + +// --- misc outputs --- + +typedef struct { + volatile uint32_t clk_ctrl; + volatile uint32_t serdes_ctrl; + volatile uint32_t adc_ctrl; + volatile uint32_t leds; + volatile uint32_t phy_ctrl; // LSB is reset line to eth phy + volatile uint32_t debug_mux_ctrl; +} output_regs_t; + +#define SERDES_ENABLE 8 +#define SERDES_PRBSEN 4 +#define SERDES_LOOPEN 2 +#define SERDES_RXEN 1 + +#define ADC_CTRL_ON 0x0F +#define ADC_CTRL_OFF 0x00 + +#define output_regs ((output_regs_t *) MISC_OUTPUT_BASE) + +// --- dsp tx regs --- + +#define MIN_INTERP 1 +#define MAX_INTERP 128 + +typedef struct { + volatile int32_t freq; + volatile uint32_t scale_iq; // {scale_i,scale_q} + volatile uint32_t interp_rate; + volatile uint32_t clear_state; // clears out state machine, fifos, + // NOT freq, scale, interp +} dsp_tx_regs_t; + +#define dsp_tx_regs ((dsp_tx_regs_t *) DSP_TX_BASE) + +// --- dsp rx regs --- + +#define T_NOW (-1) + +#define MIN_DECIM 1 +#define MAX_DECIM 128 + +typedef struct { + volatile int32_t freq; + volatile uint32_t scale_iq; // {scale_i,scale_q} + volatile uint32_t decim_rate; + volatile uint32_t rx_time; // when to begin reception + volatile uint32_t rx_command; // {now, chain, num_lines(21), lines_per_frame(9) + volatile uint32_t clear_state; // clears out state machine, fifos, + // cmd queue, NOT freq, scale, decim + volatile uint32_t dcoffset_i; // Bit 31 high sets fixed offset mode, using lower 14 bits, + // otherwise it is automatic + volatile uint32_t dcoffset_q; // Bit 31 high sets fixed offset mode, using lower 14 bits + volatile uint32_t adc_mux; // 4 bits -- lowest 2 for adc_i, next for adc_q + +} dsp_rx_regs_t; + +#define dsp_rx_regs ((dsp_rx_regs_t *) DSP_RX_BASE) + +#define MK_RX_CMD(num_lines, lines_per_frame, now, chain) \ + (((num_lines) << 9) | ((lines_per_frame) & 0x1ff) \ + | (((now) & 0x1) << 31) | (((chain) & 0x1) << 30)) + +/* + * --- ethernet tx protocol engine regs (write only) --- + * + * These registers control the transmit portion of the ethernet + * protocol engine (out of USRP2). The protocol engine handles fifo + * status and sequence number insertion in outgoing packets, and + * automagically generates status packets when required to inform the + * host of changes in fifo availability. + * + * All outgoing packets have their fifo_status field set to the number + * of 32-bit lines of fifo available in the ethernet Rx fifo (see + * usrp2_eth_packet.h). Seqno's are set if FIXME, else 0. + * + * FIXME clean this up once we know how it's supposed to behave. + */ + +typedef struct { + volatile uint32_t flags; // not yet fully defined (channel?) + volatile uint32_t mac_dst0123; // 4 bytes of destination mac addr + volatile uint32_t mac_dst45src01; // 2 bytes of dest mac addr; 2 bytes of src mac addr + volatile uint32_t mac_src2345; // 4 bytes of destination mac addr + volatile uint32_t seqno; // Write to init seqno. It autoincs on match +} tx_proto_engine_regs_t; + +#define tx_proto_engine ((tx_proto_engine_regs_t *) TX_PROTOCOL_ENGINE_BASE) + +/* + * --- ethernet rx protocol engine regs (write only) --- + * + * These registers control the receive portion of the ethernet + * protocol engine (into USRP2). The protocol engine offloads common + * packet inspection operations so that firmware has less to do on + * "fast path" packets. + * + * The registers define conditions which must be matched for a packet + * to be considered a "fast path" packet. If a received packet + * matches the src and dst mac address, ethertype, flags field, and + * expected seqno number it is considered a "fast path" packet, and + * the expected seqno is updated. If the packet fails to satisfy any + * of the above conditions it's a "slow path" packet, and the + * corresponding SLOWPATH flag will be set buffer_status register. + */ + +typedef struct { + volatile uint32_t flags; // not yet fully defined (channel?) + volatile uint32_t mac_dst0123; // 4 bytes of destination mac addr + volatile uint32_t mac_dst45src01; // 2 bytes of dest mac addr; 2 bytes of src mac addr + volatile uint32_t mac_src2345; // 4 bytes of destination mac addr + volatile uint32_t ethertype_pad; // ethertype in high 16-bits +} rx_proto_engine_regs_t; + +#define rx_proto_engine ((rx_proto_engine_regs_t *) RX_PROTOCOL_ENGINE_BASE) + + + +/////////////////////////////////////////////////// +// Simple Programmable Interrupt Controller, Slave 8 + +#define PIC_BASE 0xD800 + +// Interrupt request lines +// Bit numbers (LSB == 0) that correpond to interrupts into PIC + +#define IRQ_BUFFER 0 // buffer manager +#define IRQ_TIMER 1 +#define IRQ_SPI 2 +#define IRQ_I2C 3 +#define IRQ_PHY 4 // ethernet PHY +#define IRQ_UNDERRUN 5 +#define IRQ_OVERRUN 6 +#define IRQ_PPS 7 // pulse per second +#define IRQ_UART_RX 8 +#define IRQ_UART_TX 9 + +#define IRQ_TO_MASK(x) (1 << (x)) + +#define PIC_BUFFER_INT IRQ_TO_MASK(IRQ_BUFFER) +#define PIC_TIMER_INT IRQ_TO_MASK(IRQ_TIMER) +#define PIC_SPI_INT IRQ_TO_MASK(IRQ_SPI) +#define PIC_I2C_INT IRQ_TO_MASK(IRQ_I2C) +#define PIC_PHY_INT IRQ_TO_MASK(IRQ_PHY) +#define PIC_UNDERRUN_INT IRQ_TO_MASK(IRQ_UNDERRUN) +#define PIC_OVERRUN_INT IRQ_TO_MASK(IRQ_OVERRUN) +#define PIC_PPS_INT IRQ_TO_MASK(IRQ_PPS) +#define PIC_UART_RX_INT IRQ_TO_MASK(IRQ_UART_RX) +#define PIC_UART_TX_INT IRQ_TO_MASK(IRQ_UART_TX) + + +typedef struct { + volatile uint32_t edge_enable; // mask: 1 -> edge triggered, 0 -> level + volatile uint32_t polarity; // mask: 1 -> rising edge + volatile uint32_t mask; // mask: 1 -> disabled + volatile uint32_t pending; // mask: 1 -> pending; write 1's to clear pending ints +} pic_regs_t; + +#define pic_regs ((pic_regs_t *) PIC_BASE) + +/////////////////////////////////////////////////// +// Timer, Slave 9 + +#define TIMER_BASE 0xDC00 + +typedef struct { + volatile uint32_t time; // R: current, W: set time to interrupt +} timer_regs_t; + +#define timer_regs ((timer_regs_t *) TIMER_BASE) + +/////////////////////////////////////////////////// +// UART, Slave 10 + +#define UART_BASE 0xE000 + +typedef struct { + // All elements are 8 bits except for clkdiv (16), but we use uint32 to make + // the hardware for decoding easier + volatile uint32_t clkdiv; // Set to 50e6 divided by baud rate (no x16 factor) + volatile uint32_t txlevel; // Number of spaces in the FIFO for writes + volatile uint32_t rxlevel; // Number of available elements in the FIFO for reads + volatile uint32_t txchar; // Write characters to be sent here + volatile uint32_t rxchar; // Read received characters here +} uart_regs_t; + +#define uart_regs ((uart_regs_t *) UART_BASE) + +/////////////////////////////////////////////////// +// ATR Controller, Slave 11 + +#define ATR_BASE 0xE400 + +typedef struct { + volatile uint32_t v[16]; +} atr_regs_t; + +#define ATR_IDLE 0x0 // indicies into v +#define ATR_TX 0x1 +#define ATR_RX 0x2 +#define ATR_FULL 0x3 + +#define atr_regs ((atr_regs_t *) ATR_BASE) + +/////////////////////////////////////////////////// +// Time Sync Controller, Slave 12 + +#define TIMESYNC_BASE 0xE800 + +typedef struct { + volatile uint32_t tick_control; + volatile uint32_t tick_interval; + volatile uint32_t delta_time; +} timesync_regs_t; + +#define timesync_regs ((timesync_regs_t *) TIMESYNC_BASE) + +/////////////////////////////////////////////////// +// SD Card SPI interface, Slave 13 +// All regs are 8 bits wide, but are accessed as if they are 32 bits + +#define SDSPI_BASE 0xEC00 + +typedef struct { + volatile uint32_t status; + volatile uint32_t clkdiv; + volatile uint32_t send_dat; + volatile uint32_t receive_dat; +} sdspi_regs_t; + +#define sdspi_regs ((sdspi_regs_t *) SDSPI_BASE) + +/////////////////////////////////////////////////// + +#endif + diff --git a/usrp2/firmware/lib/memset_wa.c b/usrp2/firmware/lib/memset_wa.c new file mode 100644 index 00000000..da5da21a --- /dev/null +++ b/usrp2/firmware/lib/memset_wa.c @@ -0,0 +1,45 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "memset_wa.h" +#include +#include + +/* + * For setting non-byte-adressable memory, such as + * the buffers. dst and nbytes must all satisfy (x % 4 == 0) + */ +void * +memset_wa(void *dst, int c, size_t nbytes) +{ + if (((intptr_t) dst & 0x3) + || (nbytes & 0x3)) + exit(1); /* die! */ + + int *dp = (int *) dst; + + c &= 0xff; + int v = (c << 24) | (c << 16) | (c << 8) | c; + unsigned nw = nbytes/4; + + unsigned i; + for (i = 0; i < nw; i++) + dp[i] = v; + + return dst; +} diff --git a/usrp2/firmware/lib/memset_wa.h b/usrp2/firmware/lib/memset_wa.h new file mode 100644 index 00000000..46d903d5 --- /dev/null +++ b/usrp2/firmware/lib/memset_wa.h @@ -0,0 +1,27 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_MEMSET_WA_H +#define INCLUDED_MEMSET_WA_H + +#include + +void *memset_wa(void *s, int c, size_t n); + + +#endif /* INCLUDED_MEMSET_WA_H */ diff --git a/usrp2/firmware/lib/microblaze.ld b/usrp2/firmware/lib/microblaze.ld new file mode 100644 index 00000000..ef816cf2 --- /dev/null +++ b/usrp2/firmware/lib/microblaze.ld @@ -0,0 +1,163 @@ +OUTPUT_FORMAT("elf32-microblaze", "", "") +/* SEARCH_DIR(/home/jwilliams/tmp/microblaze-toolchain-sources/release/lin/microblaze//lib);*/ + +ENTRY(_start) + +MEMORY { + flatmem : ORIGIN = 0x0, LENGTH = 0x00008000 /* 32KB */ +} + +SECTIONS +{ + _TEXT_START_ADDR = DEFINED(_TEXT_START_ADDR) ? _TEXT_START_ADDR : 0x50; + .vectors.reset 0x0 : { *(.vectors.reset) } > flatmem + .vectors.sw_exception 0x8 : { *(.vectors.sw_exception) } > flatmem + .vectors.interrupt 0x10 : { *(.vectors.interrupt) } > flatmem + .vectors.hw_exception 0x20 : { *(.vectors.hw_exception) } >flatmem + . = _TEXT_START_ADDR; + _ftext = .; + .text : { + *(.text) + *(.text.*) + *(.gnu.linkonce.t.*) + } > flatmem + _etext = .; + .init : { KEEP (*(.init)) } > flatmem =0 + .fini : { KEEP (*(.fini)) } > flatmem =0 + PROVIDE (__CTOR_LIST__ = .); + PROVIDE (___CTOR_LIST__ = .); + .ctors : + { + /* gcc uses crtbegin.o to find the start of + the constructors, so we make sure it is + first. Because this is a wildcard, it + doesn't matter if the user does not + actually link against crtbegin.o; the + linker won't look for a file to match a + wildcard. The wildcard also means that it + doesn't matter which directory crtbegin.o + is in. */ + KEEP (*crtbegin.o(.ctors)) + /* We don't want to include the .ctor section from + from the crtend.o file until after the sorted ctors. + The .ctor section from the crtend file contains the + end of ctors marker and it must be last */ + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + } > flatmem + PROVIDE (__CTOR_END__ = .); + PROVIDE (___CTOR_END__ = .); + PROVIDE (__DTOR_LIST__ = .); + PROVIDE (___DTOR_LIST__ = .); + .dtors : + { + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + } > flatmem + PROVIDE (__DTOR_END__ = .); + PROVIDE (___DTOR_END__ = .); + . = ALIGN(4); + _frodata = . ; + .rodata : { + *(.rodata) + *(.gnu.linkonce.r.*) + CONSTRUCTORS; /* Is this needed? */ + } > flatmem + _erodata = .; + /* Alignments by 8 to ensure that _SDA2_BASE_ on a word boundary */ + /* Note that .sdata2 and .sbss2 must be contiguous */ + . = ALIGN(8); + _ssrw = .; + .sdata2 : { + *(.sdata2) + *(.gnu.linkonce.s2.*) + } > flatmem + . = ALIGN(4); + .sbss2 : { + PROVIDE (__sbss2_start = .); + *(.sbss2) + *(.gnu.linkonce.sb2.*) + PROVIDE (__sbss2_end = .); + } > flatmem + . = ALIGN(8); + _essrw = .; + _ssrw_size = _essrw - _ssrw; + PROVIDE (_SDA2_BASE_ = _ssrw + (_ssrw_size / 2 )); + . = ALIGN(4); + _fdata = .; + .data : { + *(.data) + *(.gnu.linkonce.d.*) + CONSTRUCTORS; /* Is this needed? */ + } > flatmem + _edata = . ; + /* Added to handle pic code */ + .got : { + *(.got) + } > flatmem + .got1 : { + *(.got1) + } > flatmem + .got2 : { + *(.got2) + } > flatmem + /* Added by Sathya to handle C++ exceptions */ + .eh_frame : { + *(.eh_frame) + } > flatmem + .jcr : { + *(.jcr) + } > flatmem + .gcc_except_table : { + *(.gcc_except_table) + } > flatmem + /* Alignments by 8 to ensure that _SDA_BASE_ on a word boundary */ + /* Note that .sdata and .sbss must be contiguous */ + . = ALIGN(8); + _ssro = .; + .sdata : { + *(.sdata) + *(.gnu.linkonce.s.*) + } > flatmem + . = ALIGN(4); + .sbss : { + PROVIDE (__sbss_start = .); + *(.sbss) + *(.gnu.linkonce.sb.*) + PROVIDE (__sbss_end = .); + } > flatmem + . = ALIGN(8); + _essro = .; + _ssro_size = _essro - _ssro; + PROVIDE (_SDA_BASE_ = _ssro + (_ssro_size / 2 )); + . = ALIGN(4); + _fbss = .; + .bss : { + PROVIDE (__bss_start = .); + *(.bss) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + PROVIDE (__bss_end = .); + _heap = .; + _HEAP_SIZE = DEFINED(_HEAP_SIZE) ? _HEAP_SIZE : 0x0; + _STACK_SIZE = DEFINED(_STACK_SIZE) ? _STACK_SIZE : 0x800; + . += _HEAP_SIZE; + _heap_end = .; + . += _STACK_SIZE; + . = ALIGN(8); + _stack = .; + _end = .; + } > flatmem + .tdata : { + *(.tdata) + *(.gnu.linkonce.td.*) + } > flatmem + .tbss : { + *(.tbss) + *(.gnu.linkonce.tb.*) + } > flatmem +} diff --git a/usrp2/firmware/lib/nonstdio.c b/usrp2/firmware/lib/nonstdio.c new file mode 100644 index 00000000..1c991afe --- /dev/null +++ b/usrp2/firmware/lib/nonstdio.c @@ -0,0 +1,80 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include + +static const char hex[16] = "0123456789ABCDEF"; + +// %x +void +puthex4(unsigned long x) +{ + putchar(hex[x & 0xf]); +} + +// %02x +void +puthex8(unsigned long x) +{ + putchar(hex[(x >> 4) & 0xf]); + putchar(hex[x & 0xf]); +} + +// %04x +void +puthex16(unsigned long x) +{ + puthex8(x >> 8); + puthex8(x); +} + +// %08x +void +puthex32(unsigned long x) +{ + puthex16(x >> 16); + puthex16(x); +} + +void +puthex4_nl(unsigned long x) +{ + puthex4(x); + newline(); +} + +void +puthex8_nl(unsigned long x) +{ + puthex8(x); + newline(); +} + +void +puthex16_nl(unsigned long x) +{ + puthex16(x); + newline(); +} + +void +puthex32_nl(unsigned long x) +{ + puthex32(x); + newline(); +} diff --git a/usrp2/firmware/lib/nonstdio.h b/usrp2/firmware/lib/nonstdio.h new file mode 100644 index 00000000..3fd9e39b --- /dev/null +++ b/usrp2/firmware/lib/nonstdio.h @@ -0,0 +1,46 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_NONSTDIO_H +#define INCLUDED_NONSTDIO_H + +#include +#include +#include + +void putstr(const char *s); // cf puts, no added newline +void puthex4(unsigned long x); // output 1 hex digit +void puthex8(unsigned long x); // output 2 hex digits +void puthex16(unsigned long x); // output 4 hex digits +void puthex32(unsigned long x); // output 8 hex digits +void puthex4_nl(unsigned long x); // ... followed by newline +void puthex8_nl(unsigned long x); +void puthex16_nl(unsigned long x); +void puthex32_nl(unsigned long x); +#define puthex puthex32 +#define puthex_nl puthex32_nl +void newline(); // putchar('\n') + +void print_mac_addr(const unsigned char addr[6]); +void print_fxpt_freq(u2_fxpt_freq_t v); +void print_fxpt_gain(u2_fxpt_gain_t v); +void print_uint64(uint64_t v); + +void print_buffer(uint32_t *buf, size_t n); + +#endif /* INCLUDED_NONSTDIO_H */ diff --git a/usrp2/firmware/lib/pic.c b/usrp2/firmware/lib/pic.c new file mode 100644 index 00000000..592a07ae --- /dev/null +++ b/usrp2/firmware/lib/pic.c @@ -0,0 +1,94 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "pic.h" +#include "hal_io.h" +#include "memory_map.h" + + +#define NVECTORS 8 + +/* + * Our secondary interrupt vector. + */ +irq_handler_t pic_vector[NVECTORS] = { + nop_handler, + nop_handler, + nop_handler, + nop_handler, + nop_handler, + nop_handler, + nop_handler, + nop_handler +}; + + +void +pic_init(void) +{ + // uP is level triggered + + pic_regs->mask = ~0; // mask all interrupts + pic_regs->edge_enable = PIC_TIMER_INT | PIC_PHY_INT; + pic_regs->polarity = ~0 & ~PIC_PHY_INT; // rising edge + pic_regs->pending = ~0; // clear all pending ints +} + +/* + * This magic gets pic_interrupt_handler wired into the + * system interrupt handler with the appropriate prologue and + * epilogue. + */ +void pic_interrupt_handler() __attribute__ ((interrupt_handler)); + +void pic_interrupt_handler() +{ + // pending and not masked interrupts + int live = pic_regs->pending & ~pic_regs->mask; + + // FIXME loop while there are interrupts to service. + // That will reduce our overhead. + + // handle the first one set + int i; + int mask; + for (i=0, mask=1; i < NVECTORS; i++, mask <<= 1){ + if (mask & live){ // handle this one + // puthex_nl(i); + (*pic_vector[i])(i); + pic_regs->pending = mask; // clear pending interrupt + return; + } + } +} + +void +pic_register_handler(unsigned irq, irq_handler_t handler) +{ + if (irq >= NVECTORS) + return; + pic_vector[irq] = handler; + + pic_regs->mask &= ~IRQ_TO_MASK(irq); +} + +void +nop_handler(unsigned irq) +{ + // nop +} diff --git a/usrp2/firmware/lib/pic.h b/usrp2/firmware/lib/pic.h new file mode 100644 index 00000000..68918f9a --- /dev/null +++ b/usrp2/firmware/lib/pic.h @@ -0,0 +1,35 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_PIC_H +#define INCLUDED_PIC_H + +typedef void (*irq_handler_t)(unsigned irq); + +void pic_init(void); +void pic_register_handler(unsigned irq, irq_handler_t handler); + +void nop_handler(unsigned irq); // default handler does nothing + +// FIXME inline assembler +int pic_disable_interrupts(); +int pic_enable_interrupts(); +void pic_restore_interrupts(int prev_status); + + +#endif /* INCLUDED_PIC_H */ diff --git a/usrp2/firmware/lib/print_buffer.c b/usrp2/firmware/lib/print_buffer.c new file mode 100644 index 00000000..9f9104bb --- /dev/null +++ b/usrp2/firmware/lib/print_buffer.c @@ -0,0 +1,36 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#include + +void +print_buffer(uint32_t *buf, size_t n) +{ + size_t i; + for (i = 0; i < n; i++){ + if (i % 4 == 0) + puthex16(i * 4); + + putchar(' '); + puthex32(buf[i]); + if (i % 4 == 3) + newline(); + } + + newline(); +} + diff --git a/usrp2/firmware/lib/print_fxpt.c b/usrp2/firmware/lib/print_fxpt.c new file mode 100644 index 00000000..185bbc51 --- /dev/null +++ b/usrp2/firmware/lib/print_fxpt.c @@ -0,0 +1,83 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#include + +/* + * print uint64_t + */ +void +print_uint64(uint64_t u) +{ + const char *_hex = "0123456789ABCDEF"; + if (u >= 10) + print_uint64(u/10); + putchar(_hex[u%10]); +} + +static void +print_thousandths(int thousandths) +{ + putchar('.'); + if (thousandths < 100) + putchar('0'); + if (thousandths < 10) + putchar('0'); + printf("%d", thousandths); +} + + +void +print_fxpt_freq(u2_fxpt_freq_t v) +{ + if (v < 0){ + v = -v; + putchar('-'); + } + + int64_t int_part = v >> 20; + int32_t frac_part = v & ((1 << 20) - 1); + +#if 0 + // would work, if we had it + printf("%lld.%03d", int_part, (frac_part * 1000) >> 20); +#else + print_uint64(int_part); + print_thousandths((frac_part * 1000) >> 20); +#endif +} + +void +print_fxpt_gain(u2_fxpt_gain_t v) +{ + if (v < 0){ + v = -v; + putchar('-'); + } + + int32_t int_part = v >> 7; + int32_t frac_part = v & ((1 << 7) - 1); + +#if 0 + // would work, if we had it + printf("%d.%03d", int_part, (frac_part * 1000) >> 7); +#else + printf("%d", int_part); + print_thousandths((frac_part * 1000) >> 7); +#endif +} + diff --git a/usrp2/firmware/lib/print_mac_addr.c b/usrp2/firmware/lib/print_mac_addr.c new file mode 100644 index 00000000..838fd614 --- /dev/null +++ b/usrp2/firmware/lib/print_mac_addr.c @@ -0,0 +1,30 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#include "nonstdio.h" + +void +print_mac_addr(const unsigned char addr[6]) +{ + puthex8(addr[0]); putchar(':'); + puthex8(addr[1]); putchar(':'); + puthex8(addr[2]); putchar(':'); + puthex8(addr[3]); putchar(':'); + puthex8(addr[4]); putchar(':'); + puthex8(addr[5]); +} + diff --git a/usrp2/firmware/lib/print_rmon_regs.c b/usrp2/firmware/lib/print_rmon_regs.c new file mode 100644 index 00000000..6d998690 --- /dev/null +++ b/usrp2/firmware/lib/print_rmon_regs.c @@ -0,0 +1,44 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#include "print_rmon_regs.h" +#include "eth_mac.h" +#include "nonstdio.h" + +void +print_rmon_regs(void) +{ + int i; + for (i=0; i <= 0x10; i++){ + putstr("RMON[0x"); + puthex8(i); + putstr("] = "); + printf("%d\n", eth_mac_read_rmon(i)); + } + + for (i=0x20; i <= 0x30; i++){ + putstr("RMON[0x"); + puthex8(i); + putstr("] = "); + printf("%d\n", eth_mac_read_rmon(i)); + } +} diff --git a/usrp2/firmware/lib/print_rmon_regs.h b/usrp2/firmware/lib/print_rmon_regs.h new file mode 100644 index 00000000..44e52da8 --- /dev/null +++ b/usrp2/firmware/lib/print_rmon_regs.h @@ -0,0 +1,24 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_PRINT_RMON_REGS_H +#define INCLUDED_PRINT_RMON_REGS_H + +void print_rmon_regs(void); + +#endif /* INCLUDED_PRINT_RMON_REGS_H */ diff --git a/usrp2/firmware/lib/printf.c b/usrp2/firmware/lib/printf.c new file mode 100644 index 00000000..45bd57cb --- /dev/null +++ b/usrp2/firmware/lib/printf.c @@ -0,0 +1,134 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* + * Based on code from the SDCC z80 library ;) + */ + +#include +#include + +static void +_printn(unsigned u, unsigned base, char issigned, + void (*emitter)(char, void *), void *pData) +{ + const char *_hex = "0123456789ABCDEF"; + if (issigned && ((int)u < 0)) { + (*emitter)('-', pData); + u = (unsigned)-((int)u); + } + if (u >= base) + _printn(u/base, base, 0, emitter, pData); + (*emitter)(_hex[u%base], pData); +} + +static void +_printf(const char *format, void (*emitter)(char, void *), + void *pData, va_list va) +{ + while (*format) { + if (*format != '%') + (*emitter)(*format, pData); + else { + switch (*++format) { + case 0: /* hit end of format string */ + return; + case 'c': + { + char c = (char)va_arg(va, int); + (*emitter)(c, pData); + break; + } + case 'u': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 10, 0, emitter, pData); + break; + } + case 'd': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 10, 1, emitter, pData); + break; + } + case 'x': + case 'p': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 16, 0, emitter, pData); + break; + } + case 's': + { + char *s = va_arg(va, char *); + while (*s) { + (*emitter)(*s, pData); + s++; + } + break; + } + } + } + format++; + } +} + +static void +_char_emitter(char c, void *pData __attribute__((unused))) +{ + putchar(c); +} + +int +printf(const char *format, ...) +{ + va_list va; + va_start(va, format); + + _printf(format, _char_emitter, NULL, va); + + va_end(va); + + // wrong return value... + return 0; +} + + +#if 0 + +// Totally dangerous. Don't use +static void +_buf_emitter(char c, void *pData) +{ + *((*((char **)pData)))++ = c; +} + +int sprintf(char *pInto, const char *format, ...) +{ + va_list va; + va_start(va, format); + + _printf(format, _buf_emitter, &pInto, va); + *pInto++ = '\0'; + + va_end(va); + + // FIXME wrong return value + return 0; +} +#endif diff --git a/usrp2/firmware/lib/printf.c.smaller b/usrp2/firmware/lib/printf.c.smaller new file mode 100644 index 00000000..4d858648 --- /dev/null +++ b/usrp2/firmware/lib/printf.c.smaller @@ -0,0 +1,134 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/* + * Based on code from the SDCC z80 library ;) + */ + +#include +#include +#include /* FIXME refactor into stdio */ + +#define PUTCHAR(x) hal_putc(x) + + +static void +_printn(unsigned u, unsigned base, char issigned) +{ + const char *_hex = "0123456789ABCDEF"; + if (issigned && ((int)u < 0)) { + PUTCHAR('-'); + u = (unsigned)-((int)u); + } + if (u >= base) + _printn(u/base, base, 0); + PUTCHAR(_hex[u%base]); +} + +static void +_printf(const char *format, va_list va) +{ + while (*format) { + if (*format != '%') + PUTCHAR(*format); + else { + switch (*++format) { + case 0: /* hit end of format string */ + return; + case 'c': + { + char c = (char)va_arg(va, int); + PUTCHAR(c); + break; + } + case 'u': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 10, 0); + break; + } + case 'd': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 10, 1); + break; + } + case 'x': + case 'p': + { + unsigned u = va_arg(va, unsigned); + _printn(u, 16, 0); + break; + } + case 's': + { + char *s = va_arg(va, char *); + while (*s) { + PUTCHAR(*s); + s++; + } + break; + } + } + } + format++; + } +} + +#if 0 +static void +_char_emitter(char c, void *pData __attribute__((unused))) +{ + hal_putc(c); +} +#endif + +int +printf(const char *format, ...) +{ + va_list va; + va_start(va, format); + + _printf(format, va); + + // wrong return value... + return 0; +} + + +#if 0 + +// Totally dangerous. Don't use +static void +_buf_emitter(char c, void *pData) +{ + *((*((char **)pData)))++ = c; +} + +int sprintf(char *pInto, const char *format, ...) +{ + va_list va; + va_start(va, format); + + _printf(format, _buf_emitter, &pInto, va); + *pInto++ = '\0'; + + // FIXME wrong return value + return 0; +} +#endif diff --git a/usrp2/firmware/lib/spi.c b/usrp2/firmware/lib/spi.c new file mode 100644 index 00000000..937397df --- /dev/null +++ b/usrp2/firmware/lib/spi.c @@ -0,0 +1,61 @@ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "spi.h" +#include "memory_map.h" + +void +spi_init(void) +{ + /* + * f_sclk = f_wb / ((div + 1) * 2) + */ + spi_regs->div = 1; // 0 = Div by 2 (25 MHz); 1 = Div-by-4 (12.5 MHz) +} + +void +spi_wait(void) +{ + while (spi_regs->ctrl & SPI_CTRL_GO_BSY) + ; +} + +uint32_t +spi_transact(bool readback, int slave, uint32_t data, int length, uint32_t flags) +{ + flags &= (SPI_CTRL_TXNEG | SPI_CTRL_RXNEG); + int ctrl = SPI_CTRL_ASS | (SPI_CTRL_CHAR_LEN_MASK & length) | flags; + + spi_wait(); + + // Tell it which SPI slave device to access + spi_regs->ss = slave & 0xff; + + // Data we will send + spi_regs->txrx0 = data; + + // Run it -- write once and rewrite with GO set + spi_regs->ctrl = ctrl; + spi_regs->ctrl = ctrl | SPI_CTRL_GO_BSY; + + if(readback) { + spi_wait(); + return spi_regs->txrx0; + } + else + return 0; +} diff --git a/usrp2/firmware/lib/spi.h b/usrp2/firmware/lib/spi.h new file mode 100644 index 00000000..0914d6c3 --- /dev/null +++ b/usrp2/firmware/lib/spi.h @@ -0,0 +1,52 @@ +/* -*- c -*- */ +/* + * Copyright 2006,2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_SPI_H +#define INCLUDED_SPI_H + +#include +#include + +/*! + * \brief One time call to initialize SPI + */ +void spi_init(void); + +/*! + * \brief Wait for last SPI transaction to complete. + * Unless you need to know it completed, it's not necessary to call this. + */ +void spi_wait(void); + +#define SPI_TXONLY false // readback: no +#define SPI_TXRX true // readback: yes + +/* + * Flags for spi_transact + */ +#define SPIF_PUSH_RISE 0 // push tx data on rising edge of SCLK +#define SPIF_PUSH_FALL SPI_CTRL_TXNEG // push tx data on falling edge of SCLK +#define SPIF_LATCH_RISE 0 // latch rx data on rising edge of SCLK +#define SPIF_LATCH_FALL SPI_CTRL_RXNEG // latch rx data on falling edge of SCLK + + +uint32_t +spi_transact(bool readback, int slave, uint32_t data, int length, uint32_t flags); + + +#endif /* INCLUDED_SPI_H */ diff --git a/usrp2/firmware/lib/stdint.h b/usrp2/firmware/lib/stdint.h new file mode 100644 index 00000000..8086c25d --- /dev/null +++ b/usrp2/firmware/lib/stdint.h @@ -0,0 +1,34 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_STDINT_H +#define INCLUDED_STDINT_H + +typedef char int8_t; +typedef unsigned char uint8_t; +typedef short int16_t; +typedef unsigned short uint16_t; +typedef int int32_t; +typedef unsigned int uint32_t; +typedef long long int int64_t; +typedef unsigned long long int uint64_t; + +typedef int intptr_t; +typedef unsigned int uintptr_t; + +#endif /* INCLUDED_STDINT_H */ diff --git a/usrp2/firmware/lib/stdio.h b/usrp2/firmware/lib/stdio.h new file mode 100644 index 00000000..12a7ed0b --- /dev/null +++ b/usrp2/firmware/lib/stdio.h @@ -0,0 +1,38 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_STDIO_H +#define INCLUDED_STDIO_H + +// very trimmed down stdio.h See also nonstdio.h + +#ifndef NULL +#define NULL 0 +#endif + +#ifndef EOF +#define EOF (-1) +#endif + +int putchar(int c); +int puts(const char *s); +int printf(const char *format, ...); + +int getchar(void); + +#endif /* INCLUDED_STDIO_H */ diff --git a/usrp2/firmware/lib/u2_init.c b/usrp2/firmware/lib/u2_init.c new file mode 100644 index 00000000..cb4b679c --- /dev/null +++ b/usrp2/firmware/lib/u2_init.c @@ -0,0 +1,111 @@ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include "u2_init.h" +#include "memory_map.h" +#include "spi.h" +#include "pic.h" +#include "hal_io.h" +#include "lsadc.h" +#include "lsdac.h" +#include "buffer_pool.h" +#include "hal_uart.h" +#include "i2c.h" +#include "bool.h" +#include "mdelay.h" +#include "ad9777.h" +#include "clocks.h" +#include "db.h" + +//#include "nonstdio.h" + +/* + * We ought to arrange for this to be called before main, but for now, + * we require that the user's main call u2_init as the first thing... + */ +bool +u2_init(void) +{ + // Set GPIOs to inputs + hal_gpio_set_ddr(GPIO_TX_BANK, 0x0000, 0xffff); + hal_gpio_set_ddr(GPIO_RX_BANK, 0x0000, 0xffff); + + hal_gpio_write(GPIO_TX_BANK, 0x0000, 0xffff); // init s/w output value to zero + hal_gpio_write(GPIO_RX_BANK, 0x0000, 0xffff); + + hal_io_init(); + + // init spi, so that we can switch over to the high-speed clock + spi_init(); + + // set up the default clocks + clocks_init(); + + // clocks_enable_test_clk(true); + + // Enable ADCs + output_regs->adc_ctrl = ADC_CTRL_ON; + + // Set up AD9777 DAC + ad9777_write_reg(0, R0_1R); + ad9777_write_reg(1, R1_INTERP_4X | R1_REAL_MIX); + ad9777_write_reg(2, 0); + ad9777_write_reg(3, R3_PLL_DIV_1); + ad9777_write_reg(4, R4_PLL_ON | R4_CP_AUTO); + ad9777_write_reg(5, R5_I_FINE_GAIN(0)); + ad9777_write_reg(6, R6_I_COARSE_GAIN(0xf)); + ad9777_write_reg(7, 0); // I dac offset + ad9777_write_reg(8, 0); + ad9777_write_reg(9, R9_Q_FINE_GAIN(0)); + ad9777_write_reg(10, R10_Q_COARSE_GAIN(0xf)); + ad9777_write_reg(11, 0); // Q dac offset + ad9777_write_reg(12, 0); + + // Set up serdes + output_regs->serdes_ctrl = (SERDES_ENABLE | SERDES_RXEN); + + pic_init(); // progammable interrupt controller + bp_init(); // buffer pool + i2c_init(); + lsadc_init(); // low-speed ADCs + lsdac_init(); // low-speed DACs + db_init(); // daughterboard init + + hal_enable_ints(); + + // flash all leds to let us know board is alive + hal_set_leds(0x0, 0x1f); + mdelay(100); + hal_set_leds(0x1f, 0x1f); + mdelay(100); + hal_set_leds(0x0, 0x1f); + mdelay(100); + +#if 0 + // test register readback + int rr, vv; + vv = ad9777_read_reg(0); + printf("ad9777 reg[0] = 0x%x\n", vv); + + for (rr = 0x04; rr <= 0x0d; rr++){ + vv = ad9510_read_reg(rr); + printf("ad9510 reg[0x%x] = 0x%x\n", rr, vv); + } +#endif + + return true; +} diff --git a/usrp2/firmware/lib/u2_init.h b/usrp2/firmware/lib/u2_init.h new file mode 100644 index 00000000..901e6125 --- /dev/null +++ b/usrp2/firmware/lib/u2_init.h @@ -0,0 +1,26 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_U2_INIT_H +#define INCLUDED_U2_INIT_H + +/*! + * one-time init + */ +int u2_init(void); + +#endif /* INCLUDED_U2_INIT_H */ diff --git a/usrp2/firmware/lib/usrp2_bytesex.h b/usrp2/firmware/lib/usrp2_bytesex.h new file mode 100644 index 00000000..2b74f2a0 --- /dev/null +++ b/usrp2/firmware/lib/usrp2_bytesex.h @@ -0,0 +1,66 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_USRP2_BYTESEX_H +#define INCLUDED_USRP2_BYTESEX_H + +// The USRP2 speaks big-endian... +// Use the standard include files or provide substitutions for +// htons and friends + +#if defined(HAVE_ARPA_INET_H) +#include +#elif defined(HAVE_NETINET_IN_H) +#include +#else +#include + +#ifdef WORDS_BIGENDIAN // nothing to do... + +static inline uint32_t htonl(uint32_t x){ return x; } +static inline uint16_t htons(uint16_t x){ return x; } +static inline uint32_t ntohl(uint32_t x){ return x; } +static inline uint16_t ntohs(uint16_t x){ return x; } + +#else + +#ifdef HAVE_BYTESWAP_H +#include +#else + +static inline uint16_t +bswap_16 (uint16_t x) +{ + return ((((x) >> 8) & 0xff) | (((x) & 0xff) << 8)); +} + +static inline uint32_t +bswap_32 (uint32_t x) +{ + return ((((x) & 0xff000000) >> 24) | (((x) & 0x00ff0000) >> 8) \ + | (((x) & 0x0000ff00) << 8) | (((x) & 0x000000ff) << 24)); +} +#endif + +static inline uint32_t htonl(uint32_t x){ return bswap_32(x); } +static inline uint16_t htons(uint16_t x){ return bswap_16(x); } +static inline uint32_t ntohl(uint32_t x){ return bswap_32(x); } +static inline uint16_t ntohs(uint16_t x){ return bswap_16(x); } + +#endif +#endif +#endif /* INCLUDED_USRP2_BYTESEX_H */ diff --git a/usrp2/firmware/lib/wb16550.h b/usrp2/firmware/lib/wb16550.h new file mode 100644 index 00000000..7522f443 --- /dev/null +++ b/usrp2/firmware/lib/wb16550.h @@ -0,0 +1,98 @@ +/* -*- c -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + + +// Wishbone National Semiconductor 16550A compatible UART + +#ifndef INCLUDED_WB16550_H +#define INCLUDED_WB16550_H + +#include + +typedef struct { + volatile uint8_t data; // 0 r/w: r: rx fifo, w: tx fifo (if DLAB: LSB of divisor) + volatile uint8_t ier; // 1 r/w: Interrupt Enable Register (if DLAB: MSB of divisor) + volatile uint8_t iir_fcr; // 2 r/w: r: Interrupt ID Register, + // w: Fifo Control Register + volatile uint8_t lcr; // 3 r/w: Line Control Register + volatile uint8_t mcr; // 4 w: Modem Control Register + volatile uint8_t lsr; // 5 r: Line Status Register + volatile uint8_t msr; // 6 r: Modem Status Register + +} wb16550_reg_t; + +#define UART_IER_RDI 0x01 // Enable received data interrupt +#define UART_IER_THRI 0x02 // Enable transmitter holding reg empty int. +#define UART_IER_RLSI 0x04 // Enable receiver line status interrupt +#define UART_IER_MSI 0x08 // Enable modem status interrupt + +#define UART_IIR_NO_INT 0x01 // No interrupts pending +#define UART_IIR_ID_MASK 0x06 // Mask for interrupt ID +#define UART_IIR_MSI 0x00 // Modem status interrupt +#define UART_IIR_THRI 0x02 // Tx holding register empty int +#define UART_IIR_RDI 0x04 // Rx data available int +#define UART_IIR_RLSI 0x06 // Receiver line status int + +#define UART_FCR_ENABLE_FIFO 0x01 // ignore, always enabled +#define UART_FCR_CLEAR_RCVR 0x02 // Clear the RCVR FIFO +#define UART_FCR_CLEAR_XMIT 0x04 // Clear the XMIT FIFO +#define UART_FCR_TRIGGER_MASK 0xC0 // Mask for FIFO trigger range +#define UART_FCR_TRIGGER_1 0x00 // Rx fifo trigger level: 1 byte +#define UART_FCR_TRIGGER_4 0x40 // Rx fifo trigger level: 4 bytes +#define UART_FCR_TRIGGER_8 0x80 // Rx fifo trigger level: 8 bytes +#define UART_FCR_TRIGGER_14 0xC0 // Rx fifo trigger level: 14 bytes + +#define UART_LCR_DLAB 0x80 // Divisor latch access bit +#define UART_LCR_SBC 0x40 // Set break control +#define UART_LCR_SPAR 0x20 // Stick parity +#define UART_LCR_EPAR 0x10 // Even parity select +#define UART_LCR_PARITY 0x08 // Parity Enable +#define UART_LCR_STOP 0x04 // Stop bits: 0=1 bit, 1=2 bits +#define UART_LCR_WLEN5 0x00 // Wordlength: 5 bits +#define UART_LCR_WLEN6 0x01 // Wordlength: 6 bits +#define UART_LCR_WLEN7 0x02 // Wordlength: 7 bits +#define UART_LCR_WLEN8 0x03 // Wordlength: 8 bits + +#define UART_MCR_LOOP 0x10 // Enable loopback test mode +#define UART_MCR_OUT2n 0x08 // Out2 complement (loopback mode) +#define UART_MCR_OUT1n 0x04 // Out1 complement (loopback mode) +#define UART_MCR_RTSn 0x02 // RTS complement +#define UART_MCR_DTRn 0x01 // DTR complement + +#define UART_LSR_TEMT 0x40 // Transmitter empty +#define UART_LSR_THRE 0x20 // Transmit-hold-register empty +#define UART_LSR_BI 0x10 // Break interrupt indicator +#define UART_LSR_FE 0x08 // Frame error indicator +#define UART_LSR_PE 0x04 // Parity error indicator +#define UART_LSR_OE 0x02 // Overrun error indicator +#define UART_LSR_DR 0x01 // Receiver data ready +#define UART_LSR_BRK_ERROR_BITS 0x1E // BI, FE, PE, OE bits +#define UART_LSR_ERROR 0x80 // At least 1 PE, FE or BI are in the fifo + +#define UART_MSR_DCD 0x80 // Data Carrier Detect +#define UART_MSR_RI 0x40 // Ring Indicator +#define UART_MSR_DSR 0x20 // Data Set Ready +#define UART_MSR_CTS 0x10 // Clear to Send +#define UART_MSR_DDCD 0x08 // Delta DCD +#define UART_MSR_TERI 0x04 // Trailing edge ring indicator +#define UART_MSR_DDSR 0x02 // Delta DSR +#define UART_MSR_DCTS 0x01 // Delta CTS +#define UART_MSR_ANY_DELTA 0x0F // Any of the delta bits! + + +#endif // INCLUDED_WB16550_H diff --git a/usrp2/firmware/u2_flash_tool b/usrp2/firmware/u2_flash_tool new file mode 100755 index 00000000..2b66a4ac --- /dev/null +++ b/usrp2/firmware/u2_flash_tool @@ -0,0 +1,116 @@ +#!/usr/bin/env python + +import sys +from optparse import OptionParser + +SECTOR_SIZE = 512 # bytes +MAX_FILE_SIZE = 1 * (2**20) # maximum number of bytes we'll burn to a slot + +FPGA_OFFSET = 0 # offset in flash to fpga image +FIRMWARE_OFFSET = 1 * (2**20) # offset in flash to firmware image + +def read_file_data(filename): + f = open(filename, "rb") + file_data = f.read(MAX_FILE_SIZE) + t = len(file_data) % SECTOR_SIZE + if t != 0: + file_data += (SECTOR_SIZE - t)*chr(0) # pad to an even sector size w/ zeros + return file_data + + +def write_flash(offset, filename, devname): + file_data = read_file_data(filename) + dev = open(devname, "wb") + dev.seek(offset, 0) # seek to absolute byte offset + dev.write(file_data) + dev.flush() + dev.close() + return True + + +def verify_flash(offset, filename, devname): + file_data = read_file_data(filename) + dev = open(devname, "rb") + dev.seek(offset, 0) # seek to absolute byte offset + dev_data = dev.read(len(file_data)) + if len(dev_data) != len(file_data): + sys.stderr.write("short read on device %s\n" % (devname,)) + return False + + if file_data == dev_data: + return True + + # doesn't match + nwrong = 0 + for i in range(len(file_data)): + if dev_data[i] != file_data[i]: + sys.stderr.write("mismatch at offset %7d. Expected 0x%02x, got 0x%02x\n" % ( + i, ord(file_data[i]), ord(dev_data[i]))) + nwrong += 1 + if nwrong > 16: + sys.stderr.write("> 16 errors, stopping comparison\n") + break + return False + +def read_flash(offset, filename, devname): + dev = open(devname, "rb") + dev.seek(offset, 0) # seek to absolute byte offset + dev_data = dev.read(MAX_FILE_SIZE) + dev.close() + open(filename, "wb").write(dev_data) + + +def main(): + parser = OptionParser(usage="%prog: [options] filename") + parser.add_option("-w", "--write", action="store_const", const="write", dest="mode", + help="write FILE to TARGET slot") + parser.add_option("-v", "--verify", action="store_const", const="verify", dest="mode", + help="verify FILE against TARGET slot") + parser.add_option("-r", "--read", action="store_const", const="read", dest="mode", + help="read TARGET slot, write to FILE") + parser.add_option("-t", "--target", type="choice", choices=("fpga", "s/w"), default="s/w", + help="select TARGET slot from: fpga, s/w [default=%default]") + parser.add_option("", "--dev", default=None, + help="specify flash device file, e.g., /dev/sdb. Be careful!") + parser.set_defaults(target="s/w", mode=None) + + (options, args) = parser.parse_args() + if len(args) != 1: + parser.print_help() + raise SystemExit + + filename = args[0] + + if options.mode is None: + sys.stderr.write("specify mode with -w, -v or -r\n") + parser.print_help() + raise SystemExit + + if options.dev is None: + sys.stderr.write("specify the device file with --dev\n") + parser.print_help() + raise SystemExit + + offset = { "fpga" : FPGA_OFFSET, "s/w" : FIRMWARE_OFFSET }[options.target] + + if options.mode == "write": + r = (write_flash(offset, filename, options.dev) + and verify_flash(offset, filename, options.dev)) + elif options.mode == "verify": + r = verify_flash(offset, filename, options.dev) + elif options.mode == "read": + r = read_flash(offset, filename, options.dev) + else: + raise NotImplemented + + if not r: + raise SystemExit, 1 + + +if __name__ == "__main__": + main() + + + + + diff --git a/usrp2/fpga/boot_cpld/_impact.cmd b/usrp2/fpga/boot_cpld/_impact.cmd new file mode 100755 index 00000000..4af86cb0 --- /dev/null +++ b/usrp2/fpga/boot_cpld/_impact.cmd @@ -0,0 +1,34 @@ +loadProjectFile -file "C:\cygwin\home\matt\usrp2\fpga\boot_cpld/boot_cpld.ipf" +setMode -ss +setMode -sm +setMode -hw140 +setMode -spi +setMode -acecf +setMode -acempm +setMode -pff +setMode -bs +setMode -bs +setMode -bs +setMode -bs +setCable -port auto +Identify +identifyMPM +assignFile -p 1 -file "C:/cygwin/home/matt/usrp2/fpga/boot_cpld/boot_cpld.jed" +Program -p 1 -e -v -defaultVersion 0 +Program -p 1 -e -v -defaultVersion 0 +Program -p 1 -e -v -defaultVersion 0 +Program -p 1 -e -v -defaultVersion 0 +Program -p 1 -e -v -defaultVersion 0 +Program -p 1 -e -v -defaultVersion 0 +Identify +identifyMPM +Identify +identifyMPM +Identify +identifyMPM +Identify +identifyMPM +Identify +identifyMPM +setMode -bs +deleteDevice -position 1 diff --git a/usrp2/fpga/boot_cpld/boot_cpld.ipf b/usrp2/fpga/boot_cpld/boot_cpld.ipf new file mode 100755 index 0000000000000000000000000000000000000000..8acb6821e1744e7430c84000f0644f389631d58c GIT binary patch literal 2967 zcmcImNpI6Y6fR-TuN!^>LK5%L6xVs8vc6NZedgM_nZz(qMwORpw52{g zgfg+Msmh!mQkz7$Z1XAG_0sGX1EyNxqIlH^(QrDfSeDCG!Ge3e{m)In;FwFrjtCbG zR<5q<79+$X!-=B%JyO2ge!xv`?-X)88?I3PjFAPHtq!aW<~F-!$26JYbI0~T&hv|8 zy8f4v88wuKpw7$At+$T=gyU*D_&^^xDy9(3rfCFAf=W`eD^-}sHzS4UV8a4?6G}nv z>Do<%Ma)+vDrg4EMBf$o)3nL3KqmvR!nU}iKNzq*& z{SE(BZnDr?qv_CGuv&KPF5iqcY&edu8GW-e|AckMmPhu@8oQg@+|KqKi)Ag{_p^h= zZZ?7eB#ax`>_!2DRCbKQ&D)DRCTyWGdhj3J9xUNBq&M!25Bb?M=fDy;E+<=LWQdSV zU}BHtQUW+B9hcX0H$)#j{_^}&$S5ft1MC!1X<2n4K~<$HR*2J|u3N1mGc!15Q;=ak z4SdJLydQep>buPI!2K|*a5u<(a$kWdx<;xxE;yc8h8IXoX-zi=j8v;QH+8QkRdipM z)_Dht%pDLm2Byz3M)1gJB4L!4dpfr##eu&j<*nWRkY(Uk$q_U8Kn~5sf;7j>io&oO z6+tlD9}5P^qiUg2h1*iY+dvvrsY#S!Aq)%?l?+*}73;WRTklIH?vs{!UxxX30Sd>pL!d`AYgE?OWR=#Mt6Eu= zi!HgLt=C%1q%fc3Ks&x(FVdDqi)+G`y6*Y-JcF8mPluopw6PJiu@SVP5wxR5(1tUk zr{?HllAF(iIO>B3;@nWIG-(Zt&pTgVf!;Vy!q{T22X7Nq7SS1m pXC`h#aV|5#4aND)m>J?`$_(u^zAl(4+@h=;KLNa=@5L$n{SDtz&qV+L literal 0 HcmV?d00001 diff --git a/usrp2/fpga/boot_cpld/boot_cpld.ise b/usrp2/fpga/boot_cpld/boot_cpld.ise new file mode 100755 index 0000000000000000000000000000000000000000..7252d3768837bfa462b23d5ad015302702911d68 GIT binary patch literal 227573 zcmc$n2bdH^(}1UUX5p9t1QZ1mD?vdei4jFn$$}t3QB*jN15Pe^cO((ToE3AxEM`Si zOkhOJIcLS3vzWtQ_4e%S>@K$le&6@}t-RaQUEN*PUER|=H#0k8FW2*()rDq~IDY)_ zJ%)@LJ$z*U@#DLXs8@8oT+x!Mii)br0wuPnr^KML(wgF$lBs1S#pV4My-PZs`$~ zyHBsH8aX|;PiZSeyZQ@_Dyb=}uB*)%)up>0f5k>pNdIlwaA9eEcx6fH__`A5jQWPc zWE*wI=QrN`d2`3ne?8Z#iTrM_EUqZ6tu8JpO_k0lE>F!WD=({@m73${r1z_?ki_bm zs*=>e(z?O5rTdoF)Rt9Mrsm9*^i(QUTUwWzEZAG>OSPX|R$eLx-Rfr5wU6hHD6X5D zYEM#!)PSQwvQ?RS=f{YobnPcIkws??ah2aiqF*3FjYL_lU%T~!T5$|k4g zjFWoHDyK*b71hNxrKzsvrIk}e-5pXLd-s;%8DCvqTsOI@rlNB?(k0b?rr1z5v$p*~ zsXl#DJ;J^Sy8|6|Mu!n$(NtM&syMZuEtHy3TvJwDDMr;+rKZ=aP8DTcORCDNDp6`~ zy65bm(08JW*xY&S;Gu)}9@|9)MD=lHm6NMdrL)Rv>uOV0VA%el$U)jqGE6EeLHj0F z-MB-lq~FJtOG&j-tBy*hI%Wh9N_7-#4$?}{szYk;s+pyoLZCDUvl z%n1w5?cOo%axwEVg5zYY8Pba4>eQUnfd{6#r{<FX)o@RX{36(jAHx#BS#J&**mpo zab>EqsxCFDw63(KqO7u%{vAB3zxd4Lx|!;yGwe+4#;g(rYD(*-*HnhHEY^65_P^L! zRW1IIX}m_7R)gwSp=x^hB$UW5+1pl9f3qD@Q;TOCrg_cm z%;ZfkE_S7uIaSw(IjPOYm5P6%d0bg_X<4*NQ{$$TO3&H+Z~$sbrQKK?5h>NbL|Sfr%sgtRW*ZU1x?M7MO#nw<8?(3y-W-1!kG3c@lI{CWO_}F zj70hDRL%6tN;NgDs`R_=7xpfmq572;*Obe?M9r;C4Jn>f8jW09eq7c`f@!A1G^wg? zXqEV(o;cFW?POioQ|-I8Pjw7SN8;h}9>rpGTD+#Xa*A|8x}hGaaWcR)sW~0vb$3nm zoO=+}=)6k{Q_n7`oibA`94I^DVLyduquNwu>CCi^+L5f%b;Z+UFiS8%&L`D1r8A_% zwDY2>aP`SGRTZg;rS^1EQ!~A~u5=QeM8^-)TC~HFmGxp$*Q&~L>;EF4I;YM3cPFEa zg|s(1^5>al<>hI&>A@@v*JV9BMklkB%+B<|_S?2kD<0M*4iNfiWmRR@!%AzUABt{QoyWyM`*hT}0eRa!3I6I;MLq=r|f&%iRK;+0e^ z?dJ_d!;NYY9lBJ{s68o^N`*&}Q|zugol@z0HCs;gHM+h`m$kx9ZYiOP$P!XpJf(DW z=`5KL`|Un*@4}^+=v~$iZu1&F2)XLI2DK)c9PPE0LtET5fI9X-Rr)0vGO<|XF zV5NFNx*ANz879iYBr`Z;ylzJ9I#wsui^_WX5vj6D*&mioDi%*pO`I(v;sjxf(W$3H zYOvkK=`O8GmO8sV3cG<5TgRA@S=|$wGH}e`bU&mfR?X7MP+cWHGEo-WP`-C>yHV)f zTXvtZLn(qh@i>nTckjW&?5OrDpE9@~ zYmz!h)_|vV7}qh@29>52U*lR??{ZArENf?KTknt>R$M8*CT>}klJllGkuEiI*3spx zDm5wWmSTw&msd@R_NZlbnO@1ZVsu%ZjClLOm8@BHI%1qO)DQO!zb$m$TNl+X={oN68E2me8CyRk1p7y7FEy^lNb$0gZp&?%zS=lONW|Y;Iil0xC6Zm#=T&asv zmTb(fk#Cc3J~sl2qNrmALZT_h$JPn1P%VrfaSelL-Ih-_eVAEaw~N~fs( z;uU>8lP+A{O1rx(AF@o$l$9^t*=em}os;g2bWg8t(x!{4P2skur$3kfG82yFAinu2 za4BKayzk9+n-r~>IbZ8VJPO?Dcdsd(G`?!0?t*K_k1y0)wnG{_jhx1EQL2gKJAu}=v}>a=rG&Sp+~r-Rec>EvuKB|6Jh zx-Ffpq$j&N-JI^CeNSf_rvmLc->)WRM`tI|qp8!|+1c5}>ErAw zF4Nc9-Pyz0)9L5*moW)M+kws?XRtHG*~=N~40HB&hKqCUl<5l77GFWg8PaKxZ-!tJDKM~rPE zG@_g%D(F?fgnT+;yjH%8sN_f&93b3Q)N-U71`FGZl8$uBPQoTQ)W%|>wtb**2T{_I zP8lWKTGV%>yL6Ux5tFpjhYLH4iH>yXXkk||Qm?b^C+s0+sx4!L-NZyk>TN1)DyHj& zvSGr_r2`!qvR#C&q(dDU!rsCb(xHwFVPE0;%*oc$(T)tQ4V|50iLB#H6uM4{FyTxR zc6LgITR4-2n>$m4Eu5*sEuAu97v~V+*3LBHR!+Ha7pFqFvr{ST?NkYOa;k+pI)@5( zaB76xJGH{?9Jw|tJvUw0%h9>HjWbi&)0rjg;mj6xcMcPFbLI%UI)@95GgsK$IYQXX zIa1ivnI{aKqlCV5w6KYDjIhW#R@m4%PT0sfUYK-F5PFWxka*Az>*JhAlCB-vND_z9 zPbawvZews86LSfJGZ==zh%#DEXO59>RJMREVJo;U)GjwNqm=7Iy>M&94V7)-hEOlD z8kud%P2i@m9ZbQ^V0+jBc7&ba=5Pzx8R~U(!-ZQTL#AyA|>#s(J zMpJshZK2L+X0uSIhQY}VZf|fogZmj=(cqQ_movDZ!4(Z|X_$tFNoSathAC;7K8A^A zn4X3yW|(4zNoSZW2In_SBEuvyOe@3mF-$bWq%%w_!z40HD8uA3Od`YdG)!v4Qec?2 zhUsRQ_yz|u&IGL1X=9i+hG}D%Hil_qm^OxKW0*FEX=9i+hG}D%Hil_qm^OxKW0*FE zX=9i+hG}D%Hil_qm^OxKW0*FEX=9i+hG}DB)5b7u4AaIiZ4A@KFl`Le#xQLR)5b7u z4AaIiLyU70_MQw+fv3XL;OX!TI3Jz~&w^*ebKtq~Ja|650A2_$f(ziq@Dg|_ybN9r zuYgy=tKdR-HM|C13$KIM!yDj@@FsXOTm)}{i{Y*CHh4Q+0`Gu#!n@$z@E*7nE`#^N z`{4cX0r((%2rh>Y!xium_$Yh~J`SINPr|3*)9@MiEPM_=4_|;U!k6I7@D=zfd=0)1 z-+*tzx8U3G9r!MM53Ypo!w=wx@FVy!`~-dqKZBpcFW{H(EBH1127U{_gWtm+;E(Vp zxC;IZe}TWk-{9}?5BMki3;qrNQ5u0zKa5F07kV%W8^Ok~2sVK}3}92(3^s@Bz!tD2 zYz5ba>%rD=eYgQ^1KYw4;YM&{xCz`8wu33S8Eg+bz>csJ+#GHJJHsw;OSl!>8g_-< zV0YL9_JrHOUT|Bu9o!!70C$8t!QOCZxC`t9cZIvbzHoQA2iz0(gZ<$EI1mnkgW(Xk z7aR(Q!M)*dI0EhiN5WBXG#mr>h5Ny=aDR9J90w1C2f>5kcsKzT!-=p2PJ*RyGMoaZ z!ZLUWoCeEb1+0Wsuo@l;YhW#`gVW&*I1|o-v*BTI4m=#rg-5_6;XHU0JQ^MYkA=s< zDD}@KSggyc}Ku zuY^~@h45;44ZId!2d{@Wz#HLB@MgFO-U1iHTj6c+cDMxI0q=x&!Mou-a4B2{?}hil z`{4udLHH0{4j+aq;3M!+_!xW~J^`PEPr;|*Gw@mX9DE+W0AGYJ!I$AH@KyL4d>y_4 z--K_$x8XbRUHBec3Ezhwzz^X^@MHK1{1ko$KZjqyFX314YxoWP7Jdi6hd;m{;ZJZC z{2BfNe}%un-{BwdPxu%78~&qA2!saefl0W~gGty3Hikv83G`tAo5E(WIa~*}fGuGw zxGr1|wubA&4PYDC7H$YPf*Zq4;HI!0Ou@}yd)NVXgq`5#a0}QOc7a>Mt>D(ME9?fl z!yd3F+y?f7+rsVO_HYNdBisr0hC9PuU>~?E+zs}HyTd);p0FS64+p@3a1a~}hrqqy zP&f?k4Tr-Ka344lj)J4%7`QLo4~~WV!vo+rcpy9o9t_9B39uMWge7niEQOQd6gU-@ z!9(CQSPm;-C9Hzg@K9I-YhfLn4rjoba2A{m4}){y;czZI0v-wH!K2{O@ECY3JPsZY zPk<-Fli6^Y8`uB76zH3}1n-!q?#I@D2DT zd<(t}-+}MK_uxwSKKuZF2tR@!!%yI+@H6;1`~rRnzk*-GZ{WA^JNP~P0saVof~(-q z@E7VI$ZW7QrUahXHH~o5ALA9oPc4gstGZ za6Q->t`9eWZD3otA>0UV3^#$B!geqPH-qhA2iOsIf}6uFU}x9`ZV9)7Tf?rf8|)5y zz@Bg$*b8n8w}acm9pH{|C)gYA40nNj;I42t*ca{&_kerCey~3r00+WBa4;MK_ku&= zFt|4y4oAR!;7B+Mj)r64zHmP{7VZxZfaBnS@E~|F91kbJVmJ|&z)7$aPKHz9R9FTN zfzx0)tbmoU3Rc5IVGXQ>b#OYI0cXNla5g*)&Vh%+x$p>hB%BA2f=9z+;IZ&Hcsx7- zo(NBZC&N?Vsqi#-Iy?i;hiAgG;MwpTcrH8-o)0g87s89+0(ddJ1YQa+gO|fA;Fa(y zxDZ|quYuRX>)`e926!X93Em7B!CT;Bcq_aO-VT?*JK&x0E_gS*2QG!n;JxrZct3mq zJ_sLz%i+Ut1$+cP3Lk@y!zbXA@G1B-dHN7xB&4!3}vVHdb1+zM_DyTWd;JL~~_ z!fjwLxGmfcZVz{WJHnk{Z@4qu1@?iv!rfqBxI5ef?g{(B{%`;s2nWHza0uKB4u!+u z-f%b^0r!C;;V3v7j)D8a{oq)*KRf`Ag9pNc;K6V_oB)gAL|6hR!BRLGPJvTl89W3| zgXORSR>CS+4G)Diuol+A>2L;|31`9C@Gv+B9uDWiBjAy69y|&j4Ud7x!sFoa@C0}w zJPDo*Pl2bx)8Ogw3^*U23D1IO!*k%d@H}`vyZ~MZFM;ZeiZD23BE!+-n4|jk&!ku7mxHH@Z_JO;?-C$q1JKO{A3H!nRZ~z<#2f@K`2;2(} zg~Q<9a5x+R_kknfC^#C9f&0S!;8?gnJOGY^2f~Bk!EijB0E^*7SOO=(QaBk-fm2}_ zJOoaI<*)))!YWt|4}~?b7S_S(a0Z+SXTjOY!xium_$Yh~J`SINPr|3*)9@MiEPM_=4_|;U!k6I7@D=zfd=0)1-+*tz zx8U3G9r!MM53Ypo!w=wx@FVy!`~-dqKZBpcFW{H(EBH1127U{_gWtm+;E(VpxC;IZ ze}TWk-{9}?5BMki3;qrNQ8p3?4NO26dN2u9$TVsUi(nJz!vHpg&0ur54r~Ei!d7ry zxE^c`*M}RxHn1(+5N-rFhMT}mVLO;<=l+rjPO4sb`f6YLFlhP%K%a96k+>Y_ z7~C5Uha=!Va3mZBN5e62U$`F}3-^Zyz;W+g7rYzZ1DC>O@LqTyydORQ zAA}FVg(4--YkNmGFJ|0sIht1V4tKz)#_4@N@VD{1SczzlPtyZ{c_Fd-wzV5&i^M!JpwT z@K^X7{2l%Q|Ac?Rzu`a1#sZ;%3Ftx(CSfC}qNZ^XYyy24z^1SnY!26fEnrL73a$&+ zgRSBEa0A!|wuKwQjo`*`6SygC2UBn}*dBI(9bqT9IotwvhF##6a4Wbq>(f(OI#Z~`ob6JZIQ1WVy$I0a6HW$+L< z4VJ?SSP83OH9Qp7z*<-br^6X=CY%Ll!^7YlcsQI3kAO$QdGIKBG&}|#3y*`x!xP|% z@FaLLJO!Q#PlKn!GvIu9COiwC4bOq+!t>zy@B(-tya+CU7sE^7rSLL%IlKa139o_+ z;nnaOcrCmRUJq}8H^Q6X&2SOC1ullS!rS2Oa0$Ev-U;u5cf)(&Qn(D>3-5#X!w2Al z@FBPyJ`7jDN8qFIG59!q0zL_!f=|O|;Ir^K_&j_8z6f7}FT+>htME1WI(!4Z3EzTm z!*}4j@IAN^z7IcuAHt8|$M6&QDf|q64!?k3!mr@h@EiCo{0@E(e}F&2pWrI^GyDbq z3V(yY!$07k@Gtl`{6|?N5E__(F7#j$HiC_z7BEFkpbrDs6gGp+;X1GdYzbSzb>Vuj zHC!KV0NcQ}a6`Be+!$^GH-+tB3T_75!w#?`>;yN5Tfok+3)~WJ1-FJ>VK>+v_JBR% zHn11m7H$W(hdaO>;ZCqO+!^iy`@mh{Zm=)h9qs}5g#BQDH~ekEP<0?DVz+az^Skd9s;Mq za##T?VHK=~hr$|I3+v!?I0Mdvv*2ua7@PwShjZZ(@JKih9tDqv$G~IZaqxI}0z46( z1W$&iz*FIA@N{?voDa{0XTh`KIq+O~9y}jj0560W!3FSQcnQ1|UIs6RSHLUbRd6A^ z8eRjhh1bFB;SKOccoV!CE`qnf#qd^m8@wGZfp@?=;a%`;<=l+rjPO4sb`f6YLFlhP%K%a96k+>Y_7~C5U zha=!Va3mZBN5e62U$`F}3-^Zyz;W+g7rYzZ1DC>O@LqTyydORQAA}FV zg(4 z--YkNmGFJ|0sIht1V4tKz)#_4@N@VD{1SczzlPtyZ{c_Fd-wzV5&i^M!JpwT@K^X7 z{2l%Q|Ac?Rzu`YhUm!Fv0bS_9By0p5!y?!OYGLCCuqkW?o5OWr3)m92g6qQdU~9NO z+yJ(LZQ+J+Be*f#1a1o3!4%vKwuc>HN7xB&4!3}vVHdb1+zM_DyTWd;JL~~_!fjwL zxGmfcZVz{WJHnk{Z@4qu1@?iv!rfqBr94xreXrr-zv^fHPTIhQ+EI9EDXISZYu zook$Ho$DMPk6xRqt`D`i!QuJpwJCaISk!TD3S&1rJg~hsl`M)Xxh0G(c6b7OZ7R7n zEP9*6BjjsS^!Bjm5{GBd*QV$lVbMDs9%5gcqIZQw?{;_!er<~06Bb?S@EHBt6kQe; zz1QJ6{Iw~1Us%*}?vG**M6m~>*h5ilIWglr?5uEjHNe_5_efZcY!(h#n{p!i7)3pq z5sy1hI8QoHIlQD`ZEAU%Dw57K&a=*Q&hyR-&WjH3J6M}aUZRpB=Vj*==T+x5=XK`| z=S}A==WT}SWeCvGYeDD0=@Jfiasr5%H$~XTfhgY+#O;sm}uqXdk`MWzm`+GRQ z_Ye)B7{heEd z6P^JuUyd`)-K}r>c;;FH_{E3(~W2C2lC+Mj?P1p zawres?BskQ@kY)&!abb3gr&~Y!V%n}-HRLb2Fp28UiHEa8=LTFyLQepNtxo@Bb@Bq zCoFU33w`H&VRPq3;W#ev_I4hWcokpvw{z~0_#`%dL&WnPd1J^T;RNRaVTE&xu-JJ- zxUX}TFpyK7z7}VJu!RYPyPBrLzDDS z+o2=(Qu@P4&W17TN`f)#N!5FkCH98phkWLk){>eoKRmlAN4{C#Y+%}$wq`@Kk=fX6 zVm3AHs4?Y_@b^h;WP7mb+tP!Z`TIx@j`TrGr!JsMu=)@a1mNGQ($)xKbFuC~PgsI6Q@L^a#L^>t;Iz=3+2%o+1YAlnQE-mo2T zO-Iwo$R!#7AY=wLYx7(5%OKrq>t<=Hk@LCXf=y@t;G*=a45>xmzh~bT-pcis^dg(Q*2KCYlPg#0p+SDAYmtL2Wkk(5! z{OMq1H(YOjS-CvDI%?sD`b#f@#r2A{DIFy*m$aJgsA3Y*F0qs8ZFZJ|6Gf& z%j7pYO6t1Fn~4!rhuB3+^zloIco!YTkrxBimyFq!Lq#Enm}KP!HS5p_`6jXjQ-=@* zM1@j+QXz-fEfgx1Hv0OL{aBltW6j%LqjbF0YY%%YEaVWA403sT>1D(Q_1ZHOiuB5~ zsX10J*;Q+Z`YW>`FXRxD403sT?WA=z)L#Qap-8Vxo0?oYaBmop9`) zy}Ospo+9s_?>@DvqO`mG&_`YO>9sZ0+jO5?J*Bw2{tk(}PQ0|Xdu?^ucs;vxuc<5V zK4a>n@+f7zEHBf#R(F#`tu`Uw8qERP=s3TkNG=W}Y0N?Vc_?N%N2GBeqx?*o?0abH6mvYmRKu2j0I2P#*9y=_%(7k z!LRjW8fY)I4EwDZ@rkBHKIzGv_WDNcRXawl)-Uq$VB3?aY6I;}_UnAHITJGN>GU*a zqM0h6v^SvBkj4l$+el91@@i^p-*o>_$COEiPi6+%9>JgC&-A5;><+W4a8h9vGyF=& zh|@Stkk25hLX)C#nr6!78rmC?SpD-%$7!1M{~Iz+ugEyzV>$gc&y3ZY8XBjHbaR<; z%4ndk6V`V|TMw@kQSG@Z`CR=-rCTgGQq@^4GE%RkljJkr;-PY^Es6`(Ggh|6|7fgi z6>B+GwWdz~8gW&mcl~RRj#aIU)m1W93uUb8v`pSu?Wd^?jn#A&(vTUej0Wnu>x3gU zLu9nyX3FR4M=IT3!I7G!ZE48Nsf9KHX4;!A$J*Xu@=1Hy6GG;cZSOxCCtJmujZ?G= z&oPIaxnh87DA!G!wOJKy+sEt5+O4`qC#c=KAEA*W{lkiQg|HrI7Bf`8BpNeMj|laz zp~jzM<)ksaRx9OtEau!SdWa^6`*S2kqdCE@V@GMK2pulvj+P%1h_$IX^sYHZqcOdX zwa3Ex*9_GQxjen3sfP7BE)x57! ztyio~Z8g1jKT*Xbq|eSLnUl>aQo9bh`bFE0kzaJHtF10A(PX~RovM-3{3DBayR;r? z7DjUBHK*HSVWG~SXXT_NJNDl42XP8fLex0QpI68!IRA=}IER_wJw$NV~)Z=0bCk)O`%8(r~AYOB_-<2~pj_FVHd<`^OgX(s3%FL<{|! zf>!r1hl)ZDah#RYc91W6;dvZFR1hVO_m3;&5SN5PGU3dn{t14pO>MPfXyRqmtUC-e zGnd< z7KTERUYRyE$Le*pMkS?UxlZb~tA{ikUnR=eI9sf%iuB5~sX11!TQwTf>o$8VEaVU;8|3ozx<*Skq}T1CP^4F; zP0g`-Ezziiv_srs?lgBv-KUW%4Y!Zhi18Drmz7WIHmicoIBs#bmb}M5y@;PGpc=}F zTj*xSn57&l3c1A@RxUErJxeO+>!>24%6$KfLT<4v6q+w>-0Pp|$J*2!Yvz3#rTeX3 z_uFG(A-6cgAeX1tom#pfy&edKBE2$gYL39sr* ziuB5~sX11!hczl8?G`J{Bj!=5+n)Zi+#<^%9@8>7M0mBpP>rq=nqbW1_E_TuF2bH| zI`hj=0slGCzvh)kQBV-0*#qjbF0>nVFIEaVVp8|3ozdPGY% zq}S7-P^4F;P0g`-J)_Z>UeDTNVIhY&+aQ;x*9tA&kY3M)LXlpXHZ{lU^}I$Uq#fb~ z^P+i4>bCoiEQgRwIFq`S)XqR$_Y*H`xmW!2iuiF8D$zW3iZOa*Ugc0x$SKaZav3Ar zuf!=t4N>F*|NKHu@meS(r&9B}f1w|1Q**45Z)h~8*PHfOSjZ{PH^}Ab^`e$;NUyg- zp-8Vxo0?_ z;^R>0VrhfZMy5^8u?BvkQ99o0^{G7;7IKIM2Dv=F-q+F%>GfGC6zP>|Q**3dpKCOx z*BAC!SjZt3807NwTB)TQ((B7mDAFs_rsi0^zS5|Kv_pJtzA@iQ-ItJ>?GV+KvZjlu zI>dJx`QE>@h@V2z1I@y~QSxET4;(5AImBgFE;7)W-G&uHR1hUD_b)5t5I=@OmrENz z`B(U{HZ{i@xJsijy?(aG!a@#lnL#d3uWz(;LwfxZ3SFMoE7PXtSiOGLXhtu&;V~Tw zImBgFE>EwowQxgv{T2#c9_kfqQ+uOBsM+r-CL!$-f0#ebUsC&(q-MLsl-ZLIRhRf% z%lzYCRm5*(Q2`}njTos%364M^msn`!q@51PHh-iqE+Hz25?A{R3%CTo!JtC&ttpZ4 zukmATYH_-rA7_w*NH0&b2!&i?VFI~~UMI_UpuUdzQ%g3aS27fe^vblUIaaSm8qMe> zcfY1XA(vQaY4mwO>nmwoAxfLQ{2> zNHmp5qM3hP5kH=$shWj}`^bk$H0MxJ$R)0~av2kw(e-eIb`vCltCtRB7Sg z=*QaB9NYCRH5${al|2>~a*68`$mQwfYw3pcS~nDm^vblUIaaUrG#b;ZwLKOVa*68` z$mQwPL`yfM*ZQGQq*ta*&9QoIpiv2FhiH>%o7hn5zKPUqhp3pGafpp1Ke4fYa}mF( zM+KCSxi~_P5}R}Q{OE;uf`%oy-E7PXtSiL%EG^3Z?C7%w39Ac4`%hO9vwhimm zF%(Mc6>C#_BfUm+3KdDa#O8@D5}l=XdmSa)C1zA?uhPc9%_O==B(bG`dl5gjsHvKT ziNoc?B(~yEQOG5hSh>i=rky4@PRdagB)I+(C$TlrZ0K9NO`DAX#}qV`1E8MY18NIS!JiR}|Rh%?+tx;7kLr0P~VrC3vqf0s$@D3QcY z{@q1#H$5Ta44PmPy=}6_3w+O5V&x(O{ht=&45ESr4BVM$Hmo!3LZUiDAO9Xd)}p4{ zZr|0GlWweYIj7`8PH0epRvJTTG$zo%hPH* z3OCSKdsv~cuc8(;HRB9>BBUw09di@?68#ec#2J>6E`MYDd;F}5+9|c&E2m6KU$K-! z&1DxcP$G#z{=G%~G^?g+7AwRs`7nvW94ZPq#eG&z+e2QTIFJpTsv^qV@89Q`9%N)g zcWNdvL=#2meoBTgO>y>F!i(9>P zZIB?2Vf$-@Jr)*njQbME<>}Q=OE;v~KA}+5Uzs*F$LcjwqY~1NF)A@SF-GcskW^{- zkMWgLs*up#5CNb6~YrMeXu-wW;b`_0&9zT$lrR|6P<%Rq} z|2U*V4~r@X_$&Nao0?Ce zG^W>hdn_#E2g?)4<>@s_OE=VC6GEX#uS}boWA!T5sD!j1OiYv{WY6e7LaH{be$c(L zw646YR&HgjtwUOUqEupu$^N57{Dd=gP^g7tf73ZJg+oOlpLop5MK(5m>u!8Pv=BWW z_a7_d6S9mT^th-}=0D-b+SD9t;~^TQ@Ar}qlbFV#qL5EKX65qqD$#-s=~W&IMS5l0 z)Eui(MulWO@~4*@wAo8)2mtwH>B6$p-@_{Sex1#X_uHADw1}IBN9g@=1J}BU~*hS zHWMn%w(=;6B#!oiM1Hq#RX2LIYc+W37-V za-9EyA8V1aip24V=y}>|b%H$>7IKIs3FPv$I+D^2Xmz3$N^6yAQPZtEoP#^3=p>iPOayUL;)_{x!2cR8C>q z8viAeI79Li^Zl2LtiXUrH(`~n(Ys-lp$HKzSfLxwdr%}2AtmuAx&f`Ou|jFBGA(Ml)#_SA)EUr9 zUV&gkLn{Mvd0H)?a06OhXN5woq82qZ;|$j$q$$=JZb;mixJjJhEzO|Psg zt%*PLkhob@;F*WUxz9W_maN8!MTuLSmlKNFE1F$gm||tlVt6-d*w5y+uoH$HnKUo`MgJ_9%6Bu!v{KYl+Lrc zdwo@Cx=c~)g!d)xcfL+Mka#fhkn>ekqW(oho$xE^m#@VM9}p*eP@M37al(hRY>rA^ z;zmtv$O)ILkPX>Rn9)bOD0~_H>%>_x#0Vg zM6*<=#lLnzYeWMs_=xScaOUEIU#FAgGt+60>XCHXWAd5PX)Aw=S^OV4pjA1tc+DK} z@x&9(m5C=4PbHpqE*I@=sDJaI4tTjZ;FaQlPl^LRB@Xz6IN;M-FwX&R(A0(;@EH}d zA=?2jPbbS~^dw2*Sv``zd`>=d`trT2=}X({zjMI%^UMcP}Zy@(6U5t6Vx^R4SD-6Z zJg85Y#Jia!=7X%mc2|(mEqhn+o)(kAT`8a8;Eq~FCC&FeLq3bFRsPq$ywghd7_KJ9 zL*$DhBKh*PV#jm^V^+#xx`Jslr&Q)a(@5z?pPwkz=GolMsu3~M z^O7XJWT(W(iBFtw6Q3qNOMEV`K1+xC_a!yumYC@EDjaBe( zCd61VMixKzs{e9AjD0#*#lM>nW0!~-sZfi5Jt3?S4NQn{r6odULPSZDo*B09^hk#7 zd-=>6w*B6SS^Tf2gY~&Qi`Q&A{E+z3xi;}rVpZa2dAn#j)W1v8>2QrqhhJnm{3_Go zTA2<%$#hsH)8R*%4nJ!ZdDGz~NlYYukrE9|2iY>FL-usY=%(EjKI@zKU4*q?|B%m| ze%)6~6j_`8)pQv1cdUYcGab4d#mK7d|N8teB38w}n+{#tOY^CO)#6`I2V1;>>F}po zsv$ESuC)m;Gi-m!u@0N|aL%xG-ZW1*e0!l-;xa<2tUK>wG(nKAeekzPgiSXlo&SG|zS(~H6l>D5G~;(BG; z)EujquhE!ZdV;p0{vE37g!=E%#Lxb! zLJqNRC?xAJkI={3)EsNzdK%5>CGVC^he8gq%F5;GwT>2UNUzqRP+G58o7x-Uqw4PZ zRuM7P_SgpYSXjsDq9{ zC2D8aXsZ5+*wssz?xy~)MNU5?#SDTNs0qexXOA^r;Eia%S-Hr-W-mzv;u4~QDDk`h zTOpT7g+g+&bT{+=@MCRijy15oMsW%BlGhBULm`*=&C2EJwUHKXNUsi|P+G58o7x*` zm*{8}iF&M)Jr)*niQio0@-*8pY_wpHZ5|4xHM4a^?b;sOB0NsJL}#~)yQNrd&w|o$ z=4~5tBT1D?;}Tm*#NFEetH{~Y){t=tO)#!*!)++!5`SB{$iVP7-anlVg7UPqn|1zbYfMJ`XT9kg&mdhHeprS*!n8Fz`kDwfgA-Q6Aw z3%G>z7;<@7&NlU!jh?F)!lOUmIEcj zWp5c8lJSU)Wm-l3{veq$L2{+*dyC}irR#earfoSPAFeyd9qbMfi^5%|q+wBfW3-nf z+Ko|PiAl&<)0g8k8nPx=y0A65(raSPPxcj&x#U%FRXvF}mR#r~-V80`uG=15%@{K82H)!RU9#KV^i0rzg3BGBIkX-iQX_;7?Dr)y&wYHRu zu+^*19t#WYT3flu<>^(ar5n;~dMFg>m1$FRtX?xTikn-#X4+$6p*?FW`!~uwy(+YH zLwd~$g(AH&ZEB9yYqmxur1z|cxpUmZrSA1e9r(xdpT*Blb0yV2EW`H6)>ckx{~G!5MNitWG`)V%x{w*!D@(ks)Z=2*Rs(P&JsW9_l9kQ=mik;~KTFfHAXUdM$(kzScL zHOK08yhbIY-QWcGME4}AdjnDjHCy+k%(<|9vaSa9^nS8L+*5)!Me=h?gp5OIf^ko^ z$r`W!PS>EVl@mYz0(tM)zN`zPf+(?J(6*36oE8dgD5{(uY~;t<)EsNz85*VItzPr( zv9OS%wsn!q)9XYn-H={qhC-2EnKm`Y>UEYzV|tx!kA;OCwXKU>o?a(t>4x+=ClreG z%CxCDRgO1mrO=9*u=OOO3b||*tAIA1)W6JqJ@1q zw2_}pONT-Z(ay?c8<=ub1yLduv@7u7wY$Iyi6R#VoB6R8WyO$}JZ}=3c!^act(kkN zJr)*niFQ^lPqXuFqlGoQ%nF5?#ah&K+wqrc6o=67a7KO)E*%OvL^~^&r`35{xS{^K z!V0DPE7PLtw2oYy4WE>8uMAa5JH=J*LRX}N_M}VW^D|B{L(`1>;+K1kMBHnG4neHUx~XotxcYJ$gjP5_z#uV@1knntzsUsdFEY( zdABxX-fh|DW!hEq!utf=+qEt;(On{+OsPCGDYu4Z2AjKg1Y0zbnsj5Y!MJzYh*W=< z9`j3ij(c~|+0#RrElRyUY}|WNVeO^A& z+P@I=jBDRPv)mW0U|joNJ=}*h4}bE_L*`lYj`>1f#Ko_j%4>UGklbGGOYY0=D`q|M zwO8HO+}GVV+&A5~+_&9#+;`pg+?DS8?g#FN?nmy&?kDc2?q}}j?icQt?pN;D?l*Yn zx9)fD_wEnwkM2+ID)(pi7x!29H}`k<5BE>^FZXZvA5VURBWuieb^lgvBQKGCzWZ`k zO3=dczm%Nlevr?pH_cKkA#Re_(#~|I`a%H5S zkO|*JEbk6<-_DYe*7M)0>Aq=8rE6Z{*ts0FB@c1BD|72{Kgdh@Fgr!;dMi6cY+n|p z+^?Vd6P)g+VafxfXs`aBo09+jF89l9nNjoAxpGE_GeFjO{g5k@|2j$ck6an|m8=xM zPaF69tQ6H*&X75+zmuIZYCfK;OPTczdHqiQOQ_sevr74ME^yz-N)b;mIzP0Yj{50n z>zv9XOSRNDnk6YwKg;})5VbDvgL1zOWul%pEwfV8$3DtR(YC(MPLVPFBs)c9mWC-& z>+Y&NnP0O~#4g>|+vMQ0ORp{$zU%aW0qlK&z> z_uX6>_w(!&X^Za!nVQ@DYSzn=k>3Dwf6ht~ee_tyCOXMwAFt3uS+}6UQ4f)x30II*VFL!7gg)%us2Aq6x2yr^YzmR;sqQHSw-NA8RdkI^85O z;dS%6Yo>*MX}F7LS z-cWCtx3@Q3c6aj4BkGO+%r3b=$!5io@5|nZpugI+PcT63Iy6)xv`CMy*ZwjJX?y>(QONSHiNO#Zg_2+|wP$+R zytTx5ll0gt6&PQTm^ zYA`fS)H_0t?R5t4$Y5k#_s)pULr##TyS@7Kf=ci!DGB-R_E(k#Uj7c-{+>#-SC)GX zSF?l6+Y4&@Q5k!=EOX48RHfTRM$d+N^3~qR?}?*=QQ8wn2cxwo7%P!8o*F{%j*$wTD~CKP@moKlPV@ zy{m)q+OyXL6SQX!AziylJB8p~D<8IJuhRtY`k>hM>}GOguhqKV4c?8>b*oJ8>XoPm zwNN^EH-@puKO${;?PuH?eGO>69)8kP@1|g4g4*q0c*4K)csIma2-C7Jmgl#Tt3y4r zO4__RD6wtobI?mJ{j5xgy!q`r51P^!K+NefFb-V&vC9PbW^>s5n0HOspzm~4GdzgO!+ z54xD$9rbml_r&w4rm?&W<`sF3&TH~xxooll8dgtD2dWqB>@$yqTNgy`m`@ zPigb3hc{)VtjLuy?Xyzkm!Q1IvQp$tY2Jf*Da&(H+b1UO$w#yS=X|QjaYq&wu5wx875^r99?D zTxa`p%F$TJPgr{|=au5GOYu_PLwPdy?M!g}m_ptqTQnw6sO)x!_tJ(hn3IDe(_Udq+S zV-J<3;fG;cc1-=HZSt?~${dyutyuDR2k(AOiPs}DT|)e>ACDOqm&srGyccup@t(^| zc{Dd=O|HRu&*YZ!p3hCu-p>9dTzLpC;IzumX$%c z;+{AAE-KSszq2cDJjJfKQObf}rDh2OV=4J-o?X2%GVNF9uR8V?Be_ZTrl@tsAYLke zeyqmR(dGoZ2J(EXY8R_j@^w_wBa{A)+(Mg;52^QlFil74gP>eTsZ{eN7&?}yrxP#z zo$8~YB0e(h5d9cALDtCVU;OgVwTNboNV;&rPi<6#dRf|*Y&$*)D%FlpgDSOSGSX^? zszLB{nxyUcJgByIY@&&B|B|?%_l5VR_f@1@#u_~f;t|xNqF;qEoi~Jdmuf=pas9L8 z>)_A?wcGiZo|#|TdjB^w^P8Z?wiz}e7JU(Gk7%w1@#D1F^;`9AP-|Pr_kjEq$NMhU zy5>uW&LR4Cj(@c$TFq|ajjWpGe4c;4Qi+VMXOGA})}L9f?;D7&dEWV-c9)71-$ zRak=Y^wN%9`5f;jiKo}QRhsSn9L%uZs26XH+%%=a=|0K$q3+MPMx=$ZLV7?ln)AZr zd`nbN*My8<(b*%sUxJy5V3zl5FiW*QJXW>q{pS5{8}blrFVsrlYt!R)xsEhWu( ze`}V8XeE$u4W8XE*o+J#oijmxwkEzl@eP7wY%gj57-u80+Gv)BOdq{D%NDlj@L|C$&I~X$xWos z)_0dhy}QQNr29WFv<4BI|RpDi?GGn zn5>SPr6J3r6iF6EVo|5$=E*IRos(Vk?_bGuFZ>s6*)ljmZP_X~QEizUHXmA{N6D=P zCfSvEx8NjeiS^(O$m*_H8ZwrM|EkMH;eX^)J%W=}>!ZVV42_y(Pd!di?wH(3e5$wjR4*Baw8j6$ao9OHRmWkM;54=9 zs89iGi%Is;5w*Da>Z0(~F!8zJT$EQu8QIp(9kCP(>COL}u=-^!2 zOWHri(S2xW=s%KARjEoUgrhP;i0v+Hq+UXw)PR*5l-Ofk{pvUL0I#drkYx zIC`QKTIaIyB+v0*c|r%bX;kCTT8OmZ6W^57ENU)oc~(NnTXR%n)nOmE2w zPhp!bmy(x8eY(b)ueN`pCgf6lvNE_-wXX^;Q|*sQH(G~G5i_E zBx};yQMI~%wpYAtR*P#Qj$H4SGpz8CA$- zmE_#u3bo{j;7YY5?S3YsN>X=5;kW7FDlHeOEVq5iVH0kOeudkjm&tbEo8-J;A=-)H z{aQfpx^36=)SMDpI%Xmn9*^_ca7CxfSgr-jc1PXx~f&jrs*&5w$fFGSYdtoJ3D^;CPg!9MgP{Zovy zy-6h($uyWP6JbX3aG46z<;MH>vd(c<^3LR4@<73#?i=y|z$$ql;3fB4c|1TL7Whsc5U`I1=uHY&%IzmV>YtwE z4^YcwInV{-?&LkmrO9Qn2@7(h}<(_+PzwbTgHs^Nd4(CqiE_kruefwj6so^pE!w6divKhs9;UOld4d-rS zV^}MH&r5#~?qcoerFV=R?%X5N&OOe(;zAbSzaJSkcJMGeL@x0rs&^Y-gIV8pUjBA) zyLTHey$zh%iRHxI##St_GIjV+x$92R$AgY!q{PvZI=$e#=M*w~tc*|x2HFTak- z(zD?X;?`dN){zhR_8rUrChQ+?@8xeFIh{{BSpH_tJ`RglNIUmA_w!+u?k@7J9z3_dM_^rlYS`G<%3hx54egqME8dD3B<&P@JO&eP)3 z7~p5YZ7Z>mx!d@v)8IVgVV-fG6_+ppGba+`8yrm6Ca{|4Lky%hjC|%i=i#4oo`;kj zsMi+)zW!s`zs`$*iv(~l1?u+O0iM?bvR|&^`DcJic9`OC*fG_8RzI6loeiG1^3+P)D(6@6y-x~pa{|e~a zGN7XgbVLI>QUM*40lB*c__q$&yH`Ng&Q<=|Cm?GgVDFm&xjzWl`&Pi-w*&gN3g}xJ z(6^vUUoxOCAJF&jfWBP=`u-Ep_fA0HHv;;W1oSo6Jjw=>^2EY|a~S8{8rIT`8MM?s zG!4#pD85M7B{Z#ON0L@^#qK0cB~!&$LHnDe?C;h5jhDTe#>!67SlJ00x09l=vJ*6J zCqYxmRPj~N9^+*%Pe|{&!*JfOVLfS0coI9GCb;01)Bln5cS-GhQ1f@_z-p>VtODdD z?kj@C+%G3_PsvFNfeNl53BC;nfBqbkx3~{$V0$cp}7bKC5|(>wx!M*E~JbJf34Y&)aX+JYDll zB|m$p%=x_L8I6r!IA4Mkg^h68vD!vBb@+m-UJoXW6*fLg*$9tCzg6?BC@DL&@c55j z=E<&k&MQA0%dy!V%vv4>`-9~tWk=i!*~stP?aTHq1RJV^ zskeOz=s0kEI0@kRpoFq}!Sxjqs501&u)(N#vC;+3eoKs~Rr4Y+R+He0A4TGh^SF4M zGRki-V8aWqF^Gn$+O$|}#@ei+@lJ%GRkVyMKHjMkd@7l|t0VYSw2FwVwnb4sb~T17 zyQ+LDTU0caKHlR|WoNx1L1UNZDH`uZ2tHN4uPtAtEj;dn*BCuJSquu=4tYM?Gs=FM z8K0_nVVAwBva9^5iqEnw(E5a((7mvs40?Mu;o| zpYL%y*_BA&&X=n6fy}5~^AeQq<(hw1(IA$hk(z&UAx$-}NT^N?EMjY3t$9sCi`Kjb zoHegYNbA(RE`|h7D>u^J;Xxnm2&*ubMX{wDoJ= zWNdFqNHsNYaeE@1W{7Tk0jJhYd#W# ztjURil9!XFbF*3VaTPNgp*O4f7}S1J^WQ2OtFFy!{#)T(wjss_;^wkk*z~y>er;9r zX%#<{y+zHZ9Qo~<&m`2Z)_lgLvkl?T0}?T5MZXr^5`KV&n$O{XU(|eADFqH3jOf>E zVCHAKTvA{)z)0V48MiCE;t%VbYb)0jE)#sHvf^LUnKWHXqH=535{850?yO)DKkVo? zqu+|w!VeS90q%5dHfeT6g!*Q%8_3aZ+z7J}JOF={q-|XX9>|Ki>quzZx$7{tbtRPF|3GLf1Y_GaCF1V$_62|u(m-*3fzapXS=zfLMHjvPEa^bMX zbT^cczU#t1pXqKSA$`x?h>XZ%9au?l*vGD|c%N zZJrAo$nH1YZ&lKGui4~&ON1h{A+Z=?#VP+;(fLuSs_5*Fmt*w;8!*GFH3mUd@ zx2>dY@Rdu9=+5r8!0&D+A?@OB$4J{tNV~e*v!d<*^gv*<;Vk+Cmg|1o{Z1ttr|sr` zhjSP%+t07&{2|MAcXGcg;rx-ycCrokdlFiU3nvBc_uW~QG(OL6b!Tx0T8h5YVy7{t zJ6pmYb7y<(OuPIKR;BRn9e0j|z0HLSFQz+JLTY#C3dwSzJeNs+%W&(e*jdlg;ns0> z=vv%*i3ls&8QmT7&B*O;z1tv>(B(FO*BYSo!Sf3*9E69q4SY zy815??T+?Dd!v0oFe6`c=ex}kDgAD<*12Y6Q^Q>#VcyeS0LpiEcX4-he*g-0lVAqi z-M9kig!vzXz>DNsf9U;Y|A2YyzwQqu{Bf5f-5*ub*laeMaDT-0w^Y*Dj66E%ws2aj zgto|S<+PZDw%CmY?d9*c0@!!hp$Yz(5$J#H*WES={}Q(iU~YRQiJQCBZResblq30< ziFP|GnR)-Qm)iki>)p;O8tV^#>~=Cy?(P!WPh1v)ZkL4gQ@4w=?NLQz{pjBA9^6(I z+uJZy)!&BEWOScsDmoNRM>ElEbT~Q^T^7wn_l+)(jz-4-ZAQ+5?rxz(_PD!{Nprj1 z9=F%+bNk&r-2pf5Cfq@Hk-OMk0xe=2cd3Lk?=DqZ=mBnq zD~tl5`p=9W=w+Fa9^lVP_z!ZkfN_W2kxC-pOgh*dVFKJ`RkSV`WDaqc0VU_|E1@0g z?u$mb{3eZO2K&d19_IZrBfGoH-BAhu;qEBs7c;p4b0BF(dJT6>!u&Irg$tBuTtYm; z9amU(q;J`Buq-d(Kg!LAu&iLX6B6d5-3ejYib^6|_C}9!SLh`>I~i_4!hEb-V3Lbf zBo-jYxy2B2I}EoZVLskvf$vUMlGrv&^aOWOFPGKPl!W<2cPa!kxN&7AGxyZb-Ibgf zwx-=_ug0qXF`e(Y)9xw>_et(5PcPlwr?_ap9^{OKaLG=L=?o-_Z^r|DhrhA}-`84;y5UR&P^+6Ku)7^tYs4kf9 z!IjKxlPr3MdoX8~cW-BUwP!leiMfYJ_|I|=0St5ohgK0;lRMizR3ZEvU-(X3!eLbs zSUjBT9;T3Ro-cuowZrb=l@fTh{?a{MA>n+K01b&r_?bk)uiT$0B>dW!06hdqI6@-f z0`~}@9qArbN#pZ`3*DpGRO)C6=_2=NMmk19`i*-GNQ}D2N@y3m$1=9#B&18+&jp1MPyqy#I!txKU zxag@`Si;Gd>0Vr=noXK-b1&wqRkLw#@pnlTJ1h3>?j@WZ1~u}Jow^zBr4sHt+)Ejk zdzplGr+b;!Fecf(T*7>pd$}+RgyZa}+CI~M4myu3s<@d??sl(WR$W;|V&%QZz0wza zRkcL#H(2Ff`o6(lBX7{~CQ5e!%T(=U~`zWqYoF;6&J1y^SZ>E$-+e zJlA$_tJKd2s7GB6bZ?i?{^s)5-M`Q-ze3fx=ag5i9bl+q|7RN=$z)&a9`QuqKzlMd zr@xTjH=8c?rlxWusZzc$Cz&k5KiNz&Kg0;dWHK2q6%s?E+&Z|@=iXtg%omm$Q`tg} zeSX~koPtrzjZGACW5!fEKQ@sc&yJUh#^iVovW=GBw))n()#BIcAb?ZqDGHI_K+0-p zrDQd5L@?x+z*)H%aOlTtaJOM3r1dS2-38 z1_)(UKShWSP?SWRq7eCAeFTA0ks$E0>{v^GVh}oFRVzS@svT5eX) z+A1N^7EuY2n=&x4RNCBP9i82S3Axzrg%GOJ5RY-v?$Tx^Xi_V>7be@f7S+uih_|Wf zN~(rg#apk@TrUkoC=s{2UaeW$-yv_KMsuT5bE8_byk3o6l$x8=nx&(cS7wc~ zmA+QHTIFetqm_PEyIJLBjgyr=R=Zf`;bwK!Hmhp3Sy{2tSw^g{x1+05+P(&24f0AE zh&4(5))tE?d+N4W*Fbx`Jt5Q97VAm2v@N1Ytu1kBr^VR%r9&2@#H9luP^4;lTax{K z19I!){f*7i=~Ek{$W3DunWFZdmY6KRqm7!EFbcuZPfTTirJv+kBAxv(7WqttQ3(Ac zgB(v5gf^Gd-9~t^jVgE>QHZty(pPN*1M7$6zsc-kn+CekEnkI~Ua86>r*tD~<@+L3oKN^`P7L8JsW zG$rTPC)=0EYZBygfP!1eD&^~Gkt-W$>1j{4LyxYQ$97bKq*Kn01krgUhwWqUJ z+TJ=7b#s$-b35CU(h;dlmiEL*?ue<`fll+c3=Z@Sv@B6bK!i?)Jp8zkLPSzJ9tQ!m z7h;33rzIWuIDZ#R1A4n!VY^9TMF2!w5&+TG^u_8H)GJ&j=(NN7VvWrTLphl?k&|iT z`eR+J4Z>W7=s>u~f+$*55JhWT(lXekC=MsnIyjjWE^GBrfI2p#@99h^$P_85jHS9{ z+hB`yH-u@G%j{S1B)!-tSdmP)2g!tcltoFlCw6Zi=xt9>RZ|8~+I{*JCz7@uRi z!&VzJfEpS?N^6kr-g+MJd=x^I zzKqFGCIL!Wy8iZ9w@w$wDCG=lE1xo=Geqbxj4pN|I?jv5?NAg#A7U%nS|G>(nB`=wf9Li_6OVJT_FJfJ-Do_#$1sRq?Fj|q5g3hD> zC>#QSrY4PqC3*y1f;e=Uuyq4{CipZ2Ob-bsNG+#6ltB!om7_NY#Hgh-gtCUAv~u*; zfEZE=MNkdcs_{ChSpsN>a}FS_(hTN|ndJ3HX?iG6`WSTwR)Nzh)BzM@6e?ns91^C} zrlG5baL*du2p)v#v}x%2GKcews*!l+l9uR(ZXB1%J{JMx_~QZSp$7pP8|hIA1n>w_ z*{BII-H`36(AuCLustd2@j3uf`izxGJz6tKkswH3~Dj za+u}-GGIat(n%ksm7{E6C`KtoH$Zb^RO2%Ow5BLVXD&lGIQt-Fc|eNR62-_Yl?@k~ z;5?pRRg_=9>S74Ry{Z6Rxgrxs8>343 z;NX}#Zth|<;=H-5TYCQ0)hoR+(bX4XYgAyQMlurIx>L~PJrCz2xyXqbVsN)f|83XWbv z*cF!__KFr7kQK(RxcoG}QkK@HdEil1r{WpKVS1|=Oz#JV($^0|h1007pLt0*!wzE@ zz{2PZQ`<~um{P_=l`>3i%LjbkkiBYb4p-Y8ruPGb>7B|@dOt8!IF0(+ZVp%592Q1r znA&E-!jv*hZ8KRRN~vqxZ~z;oZ3~#z4;-eNLWmF(uu!IH-Nj{vGfe9)kQJs25U?;h zAt=HWAVlnNo#AAKGc1HNv=yWSz#ev(;1V#c*&L?#r+|erP3uoCE1Y3ke}b$q!6jf} zbcP8oE=}A0a#R>+gy@*KtPsvnRghv6%NwY4vt;EqRzL=^j}<^DHnOTw%2MMl6|t37 zB}-!$y`)s_W_dbbJ4@RvJ&ZC81xDQ^bxd)xUWcWv~eLmOfN}|P;OEB zs>nUcAVRH3xdZ8oVJNK}1wgr`Q7>iGQLdb|C?~qgm{v_|<;A3T5aaCwZSC=7Z=Vh# z6TC+Pt~2#ZZ{9OfGTy}=n^J7k`Y6S70aX}jJ6qV^-rqhTGm%l``Ad_r?)DZbQ#bkW z6r;$*K|b~D4JT1N@_V|Bh2S6=}nuoNrx7Y)kw-}BxOklOOOSZhzYyq zk+P&M4P-URcPluB($Yj~kxnFf+6OwN5!%z$o9ye5o+b1Qb|>nZm((@KLTI6CwQqo`R7Rnt$*UB22>n!r_6<<=$taY5sx}#qyfT3zvy4sMHBU@<5c**V z1o+QFC={{VE$m?$`KCcY5^?P{h|tJTJZ0cZQ9NYeVN}l;2nbbL>9EOI5+NMUFlvu; zgx2Lj6zwlWDV**@bW${K7jiUyXCR&90YiB{L0w7U-lwi42qLu!>{F$~F;e9_Og@!1 zm^e~GPNh=m5G*)VR}#ty+APJD1fQMe#@hzONx6{VgXn$InLxcxEh3CjiW?3UHc{8A zDnL4ms2dJJA*ve=GjLjkIzR{)h4fGu2hrB}7YT^tSyA!;N;(M7Kq(qkK$fC)`6yz0 zsY)ZU&ol!S#x7##sZy5Kqx6Alg&+>oTg70~N!|=$`ubtA!fDjk4}DTvWf--?I0F`@ zlwoR{Sr(?0VQQP4-X-9ig+J=WZ$aoy=PHq9zP8EB5*40jD1B|ymnEu%g{o~P zElerH)Hah9#;;*&Tk8jY*Fb(nDCh?P(^|!0s>zKAF#*$cI~=7A3XTeASQuwer)gEr z2on@w8Fkt)Lz*^rxUewJ2oXD6RtRTkD@X@`gLCyfS%iatY3q=~^uZ-yp-j{IlgkQc znAV>lD@ldM}JTUJ73o|OAn(ALxE8@NDrf`YynY5pMZogMj3ZZ zimnBE7_BN@+Y@q>4NpL{<<>MgAw^ei+W(5n5+Ys{mnD1v^|+T0ATLXl5wwZ^EeQ4M z(1+hpK%;WxvaaWXA(U+Bb|ynyT0`1U{8QvR6?)3(u;Od=?&w zsvx7Dh3CBfHlY0RrAmx?mVl3_lb5h6gpvOBEK+QOp(0l3kT9J#4PCWI7<|ZGZw=o` z)M?Yu^;*JtMj14s8c0k0JvdcU34q9P8FxwQm5nDLiEc$cNYS&E)hT+oAc$_z0d;zt zbdFSS38F#j9l;=q?!P>a-3}Zw8h{sWt+Fs1W4~QNhZMz@R#P`E(V` zxA(MGbCfpZ7%G$~Z3Qz)q10&|px*yOUvg9kg&|rn6BVL-in&VAD1G@f70ma|=#4*5 zmq6(pz)_0niD<$C6~-)`o4BMW!ncZWO36X6f9>C519d=SGkeBA5g!ghB|D5Vq@si7N~xDU?xq zFDPn-&w$AzD2Nly6j`^g=SiTq&pZJA2o#_62e$rH#bw4aN%WpxK%Lqqaz_s&uv;(+ zpRz3=P3!X@s`?cWWyE<9ttqNl6EgIMsNBwEXd0Ra(dp4NF(E}Ya}fw#fz{o#kfA9s z>2%Eop6YE)Jk%A>Iw4)Tdq=*liF5m#a>})vk0MKnh)`mbQgr)v_%bGPiwgl-Qxuza z{)?EjLR4FJC?$*#+9!(rI@ZeQCZudUs$oer4^}l5#ZH~HceQ!rI}@i7 zZ)f5(_|A#^CMOe`pg8*z)YB1tKpO0lezJqSS5024CT>-;3)0euY{)y+Y&GpRKWUsIHglUW>C|T zdIhafL2IUH_45=88|tOq5SY?XuPQ#L62)gUy7-(*bCSgeKC<{gBa7eENR_auQB^`t zCG2E0x`docbCM-&t!rvsEPrwq>O)YIad?Y0{GSjX1TD~FGywP{nO+kE$v=n|u$h5$ z=4gb_w(4CX5Gn=gf&w)RKxtw!E}gFkCvgQD`;u|#FclD>@GvM)Sy!(s9src)16^%C z0F>qfU1`2jyDkQG#x?4S4*+%X0H7=$(3QngGB41X2LQEs08pw|5XrECPtsCDax2|G zH9$o-HL}kSQi2=c3%mW)ml9dDa{$e)W~KO82(a!>I)`IgIY7m&V+OjKoeXiNS`lA@ zOpXYQtWXT~p)54eRfYkXj9t(SWR+nm$;@t5Z2(=R8OSQlDpoeYsx$*#C0b7Fi^1Iq zX+8AGn?j$M*~(eiL{VO=eLZ}>N3g*}iDF~378w_NZ;1GoH1smkFD1wOyOJ%j7<^Pw z%0JLu*F?OsBF}AhiS~Dc-T|3sSt?r3yo;8tm5>3^3Yql`%wIv2q1Kw66momDM``fEb;7 ze28>wfP2t~NKIK9@9C2+aucoHeKF~q$`jOg#S>jU?VSVCQJ9h9aJV3|Awl*ZiYFhnZpG$P~>=jV>1oP& z1CLi|Dmx}2sT2i19?=iq#~}Eq5a{UY=p%baPHaxXf|-!Yn5iC!F~e@d{Cd91qy+#5 zzB5i}U>_N$N$m683MxtRzO*g33BCp{CwLzb#t)>aKne-|2i6FFep*M<#5Y=KI;EMv zCQ;FCc^_*b1j7eb2#WXqMS@C?G+k9Ma3lnHXE}1J_x40NvAZP^+ns7goTzFq96*#A zUKmHs3xL!>b5Xq>a8ctCe>t5(8`Uy_kD4?9iE6U`%jDRr=;UrKLv#R=zJw|dyD9;% zsgWyYMD`LJ1yw<#NQ&0h$BxGdZM{@r_0bXEH$e8YY(`CJ8X(6}Hr=L}s7PZ|Zi
7rFf`7>KmXYpLjYempJnjmcUWt9G=h;Z0sPGAeGNzRRD^6kRZTzC_6Tkiv%J& zaU+R%$fW@&1;GBCI0RD(5g>AyXM4JQ$Cs*ZAHW3Z%mIuZLbZp0CcU|A*Hcq$w%MaqbLQVGckII7NH7cp+;5h z0-^F5L#T~_)}|{7Ks0u0S~XY!2#uW@6|5v0j{`*GEkJ0j&WivZCR@w{zO0?MWCTan8 zz2%fRyxp3hRGx|vpz^^O0TAb3B$1z3(NuQ)L{JBJrzqk8Le3^m8VH)oh3w#fU>oQn zD1-E}0ye(Mwhc6@X8;lzKa55g0wgMKfJ7}WfJ6l{kf`Makf`>&SNni`ftni6)DKC| zW;sQAHo_>flLN?aAZ0ZS(LBYq16 z>yaKPfn`*_^fVdp(tE;?FTGDNR7|JFlfx4usdT=OmDPbj6em)JQfgdUuN8=flvaqe za)gknT%nYmR%j6bsS|?|q&^D*q()8-r-uoG+%=QK!+DA_lFrJn0t`(K1C7u#k}efS zDOzTfB#li@)7ltOC701vjs=4OLRmFI5sC$hQYumuB0rZW2$YHvftO{cQxm1hLRQ%d z5Tj}bRTz0os0OJFQnUsXqo}q@h_ppiLgc0t3ag~eEj>IkI$4s79bKNxoJZPaLPRBCQiYnE4pXLXZCbCXhYlUlQMu<^>Q zakkReYFDc~t#P!{&uTZTysUAu(#L8St32GSuG(f*%{D75RyrwwdWE4zIz$Q5GU+fW zXwqhxA0N(*NGXLhOtmM+b1>_#xPFo@q+t~%A!O2NWlx<+=L*?kwj}2rOQteY6lo|` zlomRZ9!pM0hb%@ZN(VroNY#v|k`wuY+`8gKW3zPnlwlOPX^bLMlpRZ@W%oFSb{6pySYx|*o+5ydM|kpL4eqE_YWkl{?BNOBZuVU!08(gqD$bVMgKCPe>xgCN7?2r{y&UaydZ3tz?z*jiuzu3aPPdG7CMrVjeqO z1(HrVhf73XP?ERPlGL7&acR36Db>wQ*3BKs%3sb@CQEx_BoC+6>_DgaQ7`I;I8y(+VSnj%kIN>B(X#FCF-}iX2P>#&bik8KEdi07RE20HRBjPuDG| zSCmK4X{YDYjm-)}Ihi(*lWF57(zzrwB$%rZT?_YE5JjsBqG*lNsmYw8IGjxD;AB#` ztkpvS>XOQcs7q!hQ_?*R#>G>|EhJU^*!L`WuFfMmi2%7P}drDfT|c(z2j zzYL(X`w}Sq!?__CQq#*|J5M^Uj+BxC2&Ee2@L!Z(Yd@7uOI83KaLnq0jQ|YmMQT|d$ z9yT68I!g-z=)e;a>U9#NJxf4?{AFq$fCrjNgC-J3rx-wKBLiq{$V}BI<)4lS7|KB^ ze=$`Iq_u~E)HX>MC!Q@6*|c=9_Q<6YO@$c>ykoF|3@bsWD+K7&7X-L=pigT7r1m0o zhOn4Yw{rxAL}`^N0req_0gT=j2GVI#(i=ip0vNp|45ZUU(KE&55Hz`>BEo@~$qf%D z^ONLo%pmFk84#nHl3I&rhiX`6VzkzB1T+e0yozFbC=DVz&5RBqN&rJh3uPFSMt3LN z3#`x3`IBRmawfIzq>416n!Am_u_zfWBT3Edj02R@Gl8H@0|hiuJ3|{uhMJ-UVLEN9 zSrf|PEnP8LslaI!hSC^XrLq_D4I|r|kI7~Q0fVbpUrju6u^Wnl363j9aW4iTcB9r+3s zeTXMXKXF50>X};*70x*I+)c>SOx^+@bxC#8HxNXI2}O|R!-Xa|kLOnv<=3xr457GJ z6`)J^4+C_0N0-SIR36)XvDd4Zd7{y zl^d5{naJf;TceyvO|Lm|n0T$UkPB4l!6#Dd<@Mu9me+wtRB|en%I6hatZK1<0D7_D zBc#=dRGO$>EO34SA?Mo_7(UHX6c%LxPG=MB3=o^lL5+GbIz8l+JfKE7C9lS573u&q z9jD~UVfih%i9VR~;dnBETzrLP}`3a3%;hj~djG7e)Hz{2PZQ`<~um{NwRZ6+&3DYdoT9Im!G zOz#H<(>s-+^nPHda2oY~XbxA~92Q1rnA&E-!jv*hZ8KRRN~x=D*xn4&wgpV<2M$wB zAw*9hV4+OYx{J#SXPDMqAS+B4AYfs1LQsS$K#17kI>X5dXIKblXe&qufF)Cy;1V#c z*&L?#r+|erP3uoCE1Y3ke}b$q!6jf}bcP8oE=}A0a#R>+gy@*KtPsvnRS=&i%NK&) zd_y;r7F(LcmP0@WvA_->6x)&2C}pXc#Y~poCdGCnYLu_*Ju0~!>Cs_3Qrj#&j4})b zM%^GijHYYo62cf|4KOLX7U*HLs&s8n$Wb;t0nwIQ)8vE{UAbxhtG1tcya<4z zF{-woQ3AdF>?3Fs)pZ6`MQy3;NjdH+r)tVtQBKVK{cm#9l)J=-Dg5GHuTUeDJK(-5 z@;*Zlp;n~a-1fzg*A;>YQW0$LDmS;85MtAlk>FueQgk*+cdF9IJorjmE{@(oEM^Ot zY%w{W*Fj{0_bsL1gdoSBjZ*Bz`Y6Ta|0;~Mbu-Mwg!G>O@@#e@TacN^DDwPO$@FM8 zC1n~V?yZkf4^IJscrt}iWcneWcqoTaXkqflau^SxMV?J6AdHnh)Hj+Ti$IrvDga@> z)cna3+$bk0(z_P$472nWGvwEkvg(tREa^ZBvf!EzVOIkwtAXHXBxN;{vZSLodw!dg zHII}fZG<4JNxpr;DOBm3NG;N_ax7aIkw)TJZakSEmUfzBlcS}&=IOfTqWmoaWxCAY zK9wRS;b|5*Z92V5?p=790+6I3#7Ts>VWP5t2S}8-VQFdAd%wa^#bDN)8gjS z;-qgYVb(R%;+ko3()r~$R1xv!8$pt`T27Kx1tSnIzY!!-T!Z|@Fr16hM7#ip@epso z5hQ8vAxP5BfRiXAh_~P{9^y4Pf?yfT17$f9aCUm#v< zG|njUf&zumLe*-%KvgQE(9+~p3Os~ zk!IilvixW`AVqccvl^z;Mx8_l<%HEoULLCurn5*xr_QtkI#fs6)i9kl4V@(W$Hv3~ z8n+_=l6FefAiD7cB+;$N2N7E*mFkEslxk22n}{uy3Q0Og(kER(F&w3}h@qrIU9~7} zr7%gM)M+b)KD??lirO)&MujL}hze#_g(zQ$3Z{oPFDm(owAN~l(ptn&p+spbm^`!& z`kR5Y6-*ylSBeT#!Aw?&@`b2iCMkqFLsYQN0sN>}enTVR0D;n3#8Hari3lly(sV2w zqzeNM3T0FXFHk$;m0k!D24EGnLtZUQ7c5*<2ruY^g-gL@xOL4Fb)0MG}~)gV=I)yL3PlGHX86;7khpgjfb)Kb&>Jcugj1Vq{HJczXs#BXbMa^U2*2j<3n-ejCAUubfl99&OU&& zPFYRLF;!VLKvbxtt3y>0syP)NAXCT8+z|*;FS~sdT>!;Vw693a4oXp) zs(4t-m!Ue&t^nyYQFP5&Hq*hLW+I=PM*#gqd=No*5@IMzrRP|Tr9?flE{KXPP z0en#)*v(Y#g>n(n6J(#CE|hjVw4{FkU#RgU!IVa)O|?ad>U3L_6*#TJP#Qz4RQ8F! zVR|>{%vSA;q8i=SXa!EIPzRvt{#K-7qo#xp;n%C%Qb3lXQ3X(n*5#uVBYwax#STja zDvVu<`9N8g)}!iuQeTjIqqG7>&U`9RioVYCsdBHhLYBUM)VrktVX7%#1uRS{!_>BN z$FxFYh*D~6n{S8eP3JIu3^G_aVfxx;vchT9`$4^R>N}Og!sraswwbUnrBuxDD+~)! zN?mR9T}XNd71art)(;$}n%szHD`25a)4Gex3TK$sT_8)f)(bczOc%hVg>puS*x|y$ zI3q;ta9JUop{*bt0JhrH^JEbY0;a7)4%7Qnz(Sd(^(U7V&M>V%K~|XH60k5jA!@=D zAVhF+o#AAKGc1HNR28J&U}eovy~BzK`I9wbsUT#~OHP!b-ej#nDNC*2W(~^H*hOx% zG8w9!Rz_!Ab-HHhVU)EkFzOieFsjNH5M}fUNC;z;HNd3kTA+u~s?xPRAxAmy5fE*; zHT}7eqANG;f6dNo$$J|WdD6}Ss=ZeZP;R~o1Z|?a&eS8Zx}KC>qjIXItQF;=3%5>H zyRaqwtFuHWhQbu_Lk%;GUUB#@>(@{9K2s=2pSH*sPokvRK zRB16LM@KXHmE#m`Qd&azOc8v}e7a6*3KC&jAd~8oDPj}IrCAwO!KqLRoYG#98Pqgg zub?$5Xw4K2KH5$zY^axZLtsiny{h<}N)(^b=;CuK%}Ev?_{ib|jVyjsBUQqtMpX$p zm9Uf1=n`@&%}JJUsIDnlgjeFj{|Rm%(ID+>pw>Kul3%L;02%?(vDa6hENbja7NuQo zK)?c}0V-m>u6O`Ynh$if`2bLw4|JvZO6~9+IE{L>agDm-13)W5Sv(M+ES{2ifzCVt zsLca_QoVvWv=W}<*EKL7q!&boAs51`Qq`1wkgC8wNN0{lNI_{VO(ZGsjazC+9->R# z0F9%mk$rxU5)57=zIDjDhX(kd?}QdW%cdx-*|6#&`&AB5aqF0Yu4X5@1*TfjrKHnqQ*yM{Kv000Z#9k?q=HHTro>}^(78v`mW9P%mdH!5dhhx|QF|E+WW#UyHOYRD&9% zmY^iXJGOm6iub-$VMtYz6Z(PyMq92jhKMUxt^kPkbpWKYTIU}SqjQfBk!}re5Bd

qckW5H2Ab4JljhMRg^BZNu4bCF zfFKP`q%st#n1+v$4o{4v(s}r%x4iH}9OSpp5FluvVNgmCwmy|0Y<_wSRS%+ULJnol z z7Sd$fNf**hl(Cug7~lJoo6D%h2|^_hDav>%Igu}vC~|S4v6%|c3?ou5;6y?y?{wwG zz_SFJ%FYBxDn%JirYGQI5Ck6;0{o-&RM%7fVm%?1f6<;&iJztC>n&PgLPCIda3QC9uL_hCM^mNrGF6k{0IHpFB2i{|I~p}F01`DX z020;f0f`!qfW+R>MidcMutfJkc6;J-PIy?0HnvkcJzNcuEY9(EG}PI%>t`85SK zRl!M-6s;`}G>UDUikmzg@%aKd3uT9}M5znpILgjdDJCk?;JA|Hp+YGSN05{rDv0Ilb0IAK@+RC<1b#4Jn7&*E!gBV?zbt1&Ekh;j?WJrtAnWCn{aSJtOh|mXsG9Xn% zeq^F3fU3TflWb;M`DBFFz=A@Ya%eD$QZN}zj2@yzs9J(hqlz1WQ2C4@)J8ya)s+Mw z8ap-J0$2eEjhz}5tRxzb14QF3KxnMc2oMJ&%Fy9wBWeU)BN@2MqoOK2kRfMT&^9t~ z;+V=zDMXX|8NgjsTBWR)BAmt0T8k>1*(s^gW&lv4#r`f)3$Vp2rxf8;&IG0M2#5fc zPk{)4IME@A{0N4ova=R~I=PIZh~0KMo7h$-Xet-7U2=l0kRvFQ^s-`Fv916b)iVHz zj2}iL3;_}qH$b8m7eJx{8A#Of0!UPQ-Y;7q7O3!QU+GcUG<)Z-^n3sa(&JplAw7ct zyW}b;4U_^}KJ^-MP))stoRee){4V_U5A^jX+p<#~sj=MXs{Wqd)L8ZhsdS;95!oLH z^rv9sF_}og3)@RSD6SeWEz1^jMMgG!Otp~d^n!VF=T46@0Q(CqNTF3K$6e5hkqLrZO2ydQtUFF5b2^@t5Ey~3>NVu?AUYdk$V znaQ^03dzpV{17;e1%a`;BM1BZt7ALQNv|4NnH!(8EI*c=GnOiq=D@MsMEx9gA~a_x zpD*zZwQ_29u{6Efs}ipoR@c?fZEmiczo4#Rwb9Yl-JV<$PbA}sCB|xw$fZ}W_9ZcX zqw-f5 zKAPX;*%Iq%lh^{BzAY>*Y~k2Yw&W+WIEP)bV1AxcD2>jUf_C8NB*9o!(*=Y|TY!m8D)R~xJQfipFdZOv!kH)`PW%EY#8 zdUPgn5Dqw2=dxKClS`Q-R8}sXtgC}5NeYgXj0#a+p_%q%e@kp(OJ{qswXbcdEWfQi z)@?Ac5LZF5vq3D+H!AZDmcQ1)pJaZVJyF;f{Z`!q=3=C=762X29__J2pqBl(_XU-k zLOHA49()O*>fVsJi9>!UJuYAl0-hdtKmxw5iUu%bqtfKo5w5W4waFq3X$l1Ufu!#K<7IFMq zTg29ER9}l0v54sDipx7S5D+kn{qh{;Gp)64W5asedOGCZ0^WdG?3XZ$6b(`2mn7|OFt&#py`xYl-!kEGD9-p;nNIrDsT zAX`|`+u7o&WGOb!pI^VEdsf}tS&Q~;Y^>ceK9S94YMCWF*TQTZ4q3-*8)nbl>3_B^ zIXzY!Db5)m$zZP!KhetS(G&LZg?USQ;+^rp=vm2bRQ(in>hb)K-b;>;o&gr0tI{xhLS|k^A%XjKy3G}1hm`1fp&xO&#qXk z`3tSt0hK&6@Z0ktm)G8#=t@8j@c+e^EUebXk&KUdF_s^j$d6~oOI_n|6&qZdDnS=r zUcGY9=f*~o>G4u>sG<%+GLMa=rOd(lW7hafV8iDye8nNX^=BUyt#z2wAfBF5)J;QWsT)J)LS_t);x#l0aQsQIeQiTTzl= zSPM}&tjsPA=Oq5(Gss2l16?pbN_O?eVMn6}_Sh5c|8pgmBT=p?T+rx-d8TDnT0J<0 zicXax7O%5l!1({7$oI<}Z?ysS3VSW8g$7KS%6+pBH_EASzAS5&gc2+V=QlOaYnTT! z#0q6h&HthDM~5e>!%VC^o8~RR6-#$Ve?X^i=4{{%bYbk5*Qqjms9N=91;*;h^e|e~ zQY_}v73*QJ3bt##32J~WCaQz64#{$OHb@NE$$kktIee|{^!LuZ(>9z!H~U?`*G}m< zl3)Dt+TEX*mJ^<}D2<(riSfCV%`D1gR~n1D+84v(F%AC6jf{Jz6aBgIqLFCr>TOHH zL|#G~Xz7(RadC-4YP<-y!C@lkNr}OuW~FQ*2j8zAiREFYSV+N3azF*;A*;8Ppl>;z}Y~CY-l*yQ4t&}Chq)dKq8-LUEE*DR!bN4%+So3Y_Ze| zCvD5T7|2honklDJ2rnKJ%|Zf1R8mE_R+6E*CW~32q02J|yuuCOC4^IHhS+h4S&}X6 zBuX3*BKUbRt8x?ha`~8Sg%(gUtwe(A${_~#X+;2(Rg|j< zR++4o;RPNDb>GmLK>*Vxyj`G)K( z0Nn+*=4DzT%NUd81MNg zTP|~@5Xl(FMqCK6z$Aq$7ukt?S-2RlSo!=>zD!gP^krON&;t#X`I?ch4Ccyaj`w9i zxin(ZAaQ49nMGwt+yJn(@_}d+Ws3skuFU-33SBKWL_u4|$MYpv7i20+%o{inJZt&4@@S=rNeHmEu0&T~Z%enh=?S$zoGlcxneNp1$Ru3PGrV=V zAbZ8j7mTiWd#(Sgc1Ly`GslDOWc0z^-$HFqZW?YIvJxg*P41Xce z|6PVwAlVT(znv?9wafscH#Q4w@^Ib{#Nkhd<9$;xH#TcYyDz6AuL9KH?n(3^kb`0? z@+#QCLBaz*1afAuu{_2G)6f2-KOiUBEYg6nwc4{BmdH;eyR%c-(Ikk5TMo&@s)?+@ zq;QUw*7j~#zxh&Oy2|ek7;w@F*9n9w$n>^2jQ&_-qrvVN_!Mt~%U1W_ogU?YU7FyaL7emXg03TNI0R^_8db-HQ?lFZQO{`#)fb21V=|pO`Flj|H}OxZ~K|3IK54 z4C@>itjb~*X0sF7g0O5lH#*JMC$Zs?Bv{u6uN&`bZ*Q-g*H9nW8S=1Yo&ALofU=I<{8vPA;)59M*iS9 z40G2<3}jh`WWg%4v9*g2hx@wgdx}(2n^nL)p<8nb7VQ%$+-TMm}$% zEpQo|i|!vS#+Z5S`Dmy-s8_y>5Y|x91WxC;q2xXM(MY-5{@uEBqPkO*jcww^GL=f8qtdX#O zL>R|QTQ&^rmbpB|WL=DOLfe(+V9y%p9?V2#M| z`XcrYmz>un2I61rWyR3k0EJDNjPCqOAqAZS`)Pb{z-Sp=nOfx)A9O*F5e#R+B)qqH zX}oLk(oUlnu6zXZVNwQz&KO(+fw$iGz-uW}5FB0yCOEy^EbNDkf&z*fOHHr~J3_Xw zWgyF5LSzp6Ky<+TD8=5ezPu8!hZ zJ3Qk8-7Yg#5X3nGpQw7t2{@nOaqs_bO~FD0hIu{{n5d?LqZpBaypG#v?9Qh$i()X0 zLJ46ul!8z!(SUQNN*%qEV?z*s!%Rmtjd#6?^k_U?$YC3)(9s|3DEq*th~_UL zFte8QbW12bscB&d>(;8x0B;Y$D_Pina;5DpnJG4SW~u>x7hBN}3uZ>^g*&OE8Q#lQ zXC_+)y?DnhOu94iHf5o)2q{qeaK;0hwEM!mk{1%5eq(KEZtafUUA==#b~5Hl6B=INMX6LzMk5Ka?-=HTo2pbpFjXhdH|LT z06bybpQ0RMT;P)f=pC1r@)PhJVPFT{h-XKkT(vzd@EqT03LZ0zL$?A?=e5G^zzhsB zwQ+baqws`~*qWNm81v>g!F;`;xe@+bx1b*WyMSc~#Y4H`$^u*(EX0@PSHjTBw2yk# z&!*O*dkD;}`wE$0!(|v~267m5v!k`c&;*LLJAQYk)!+3h30Pog7fS$%xOiKK=-1g^ z&p;OLvqSGRIZ?}+T3LeExcCSPm7IhLy)iw(ri-(u*rT1eHh})dgThl50_M|v!&yPc zU{VZAtQnx0iWI`jBwBVE6Nk<^3qFd&S_AeA+1UVW%tDu)9AdkOyi7`CB{03rvVNJ3 zD6)*ACC>1b4jK^ZZ*jsV606xjkzsi`w-&oezN!p@13=$5P=DU3ZnZQ*qc4P@bBksc zFQ{f_q|CHJNFa200?JfxDE4EK$M#5eTptHBKvGdywcezt+`P--&s8E$W7!P%NR?K* zU~rP(n`MfO!NG*k0fTq@ipks*tY!wXWBDoem^NSU;Y__Hlj-V%_r8g2K6!7SF0ljs zp2_JzCQOLq%TndJ7DvWle1?%>RLo$7U^t4OEVgAw*{TLsn7i)g8{$ts;#sgg1x?hL z;}fAbfi*=bJCNwEnB71D=urxY-gJczcwX-cxm;Q=Fw6-_Nb=i2ZC(FI>mwk7eQI zJaLyI(qgGJlWDw&Yw;XvwZkR=-`rI&fPvd%tr5CHrMqk9Z4P# zw_Nh=@Iv7UI2&PoWhJdYRayoXXS&AYnPJ$pt)#MPBhQx18X@xehzA%DFq#_zzbRn; z4h=9>D&f;e2nlG3P%5t;QM$Jpn=W-_V7*Yu=!;~x$tAl!Jly=Psz6nEmizo{dkFaP z5Vv|_olo~qjux|vbD7ezN()+9a{&`Oiv=h^OR=TjpHE={fW$I+qwS0jB>4lZydmK_ z9+3SoF^AoNDV#kb3Ffsxow1nb9z#soXMWC9w!mj5jIj@Y2+I+}ltR_R{D3X!uPB>Y`>%n=73A)>cIlC4`kDf1h?H?Z1=Jf!(MAf^3VoQ*_fGdDT z3r|zPXbtPMv}i5DI-el6sGyU9rfu{uv?J>q_;>SZ_m{T6$}rgf=RsyN+1I*993FFO zPbTMh^VeQDM;u}O&zvMYk^wV?Ofo;jCbr2E+we#x4Z|`~p!nC>x%_*#7exB1Z6iyg zkBRi>hOud8aF_E*^dBPsgFB2}qmPU9C2!k5jy@sMm)6=#qECA16YQmadLMf) zKV5IQ$a{IpUWUAvKW1kUb49B??58)gM=*W)tHuwI_mWzBG4ftAU?&iB$sP8fpFY`M z}hxT>Fw-2Fn!SnMho&@_`Kce^KNdp`Iy7(c0WC6 zclhb=7<(aaGHo7*yvcLSqY<;ubLKIAy2Csc)5)uhb&&U{KUh~H@6mPEWr#VU%eoxX z$KGdMf$5`T*45FcL<}6;Vcn1EpYLuw7JXXeAM=>;YfPW`gmq2y8881->j6xkc&2qN z@*Xo_{Tb6IF0!t}^f4P+4`TYnO^ts9JiJAm*{gs{)y{Y4@I9B=@VYJ9>M&d?`l1a`9I&OCSD#`ZfOU8E9rk`$N7q{R{+PaIUwbvCuYS~6fV@{8WKSUPmABYqh`H)h zd)!Z_?L4Nh{Ijt>@?J4vk0S3CFWWgEv!}hUpWe=1j_E5tu>OYW)AQD2nEu5+*55IG zQjgJw^3VH?^#s<@DbHE&VfxI^jD?6faLnEsZ8+~)<7uq-Q%3Etqs;TSF)qgZGmo>f zh(GXR`x}V)<&oBt7$>L9vW77Kpf&bZm_F~{*87M#v&Twf{y}?MPoZrmzhPxC|G-;} zozc$APOv^e%$e(3Ph}O-oa=`+s-+~`WW-iXg9hse|6U07;QV}0qa$? z?XB#+r<2{{%n1U`Deg$=4f;JX!BCEA$^DW8^mWV^I}YA_BAiTboxCrWh*@=m)#RtYY3z>p!!NMrWB&9})``e__*2$#n196Atm85LvqP;D zFn#!&Mjy(Vs<(DR-l?4RZN#j+*!qs2-q_j^(^LB!OOZD7~{;5MNqko`w0T+pVu*dh!fwOFwN`e!mBE;5|`(VFSxWIfZs>J;WD}wAROT z;onw`pI&SXAaDLt^9$sis5d`F%!-ov8Kx(mF+cayZAKh<$6h!8gS_M4GT%Z>K4rd* z>GAu`fBWe=BZ0ijA2(k{-q8)r7Z5YnZ@!4>(VNYe{B*4`h`hPG%*T*7_qq89VwV5V zd=%6BUTpf~(Y_lRt;qZ92aQFT9=X=&!t|l1*$YtS1-AJoOpm;7`|);Rr*#PCANr7a z59-Q&*Y;!Yf-9{9G5_!y^Il9ZJK1i=^aYz+2VwfKN%N1G9{I0zFs2WE-iV=X=ig#1 z#&q^9V-HLpe3<<`wCDW)m^WiO`?zye^d&wp)=ii`rpEd`rjKon{2kXj8}I83 zMPC+U<*WM|)6sv5bnH2+C;Ey=@1Kj@kG|UY2>VgQ-?G z8lM-;BT(1g_c}c&^W`&)dc@y3Y8;AwYq{2W9{up)u+ikD%`KK2-$VQ#e{G(GGWThX zoP~9s@EfzV;TRte&mzr8j=6nwZ?L^r~M}L5Y)T(M&=f{ zUS7DBaZU6Mfsegw{44q|kzR6Y3%ZO(th?W}8cF2+(Y59-Xn*|eNFCbq!G4kT z(YJTp9a)Ax|I>Z;9hm=@1I)uv*WR=2SI}>pUSj?b?MY-KUA}L>jI4va_Z=4b6nUQ+ zGmh}>`Ovu;ZTND(NGHbLh8@oSDBqZ{Kf<*23;Q#~f3eD%LcNE?%ndNV>xxJ#>biFq z`$SA%x6(?Ye-2#F+8WcPbDV#9@{RW{itK@H$yz`c| z4ca`}Xq|<&9dU(yBl`K~$0O&X4G;C2ry@SJiP?zhflG}d+T8Y-Gluxrwlz-2a&JXkzh5k{9!HtK*d}@=;y1X%d;?{U9d3Th%QqIT z?_7rIH*SyIg|W0zv+*G6?RnnX6U(@|&GP%$!&XH9it@L}nO9*MGsDimv5fB=VE-QN z+$bN}3Nd${WbKdXLq3jVu#Wz8Zsci9KRGAbjq;90cWY!0#_HWA`yRCCmP_s3Fnz(Lky}x(@lj+P(;MvQ zd>=6{Zfrh@>3uJ78n7NeImbBxb*&k3TG1yPMx28X|N5%v`B;_>k1&3Q_P5j-k7Ikk z@rUL$=!eXU=3g)-b9+acP|iJL#`m#*{!_u3hvjZxmy*cKe`!DBOjDbjt@lW*O1usVKM*OGO*k>dDhFs)6tZQ?2WDx!H#~(#bL;D{b zG+snG=U(FMiE;SPlbqioul;aj9_HV(!q^Dw==FqgKbGb29~wJg{$KiyQ_&B7_nBK^ zT`b(legS1}@~AzDc3$@la%Etn2rlHts?>{ks}pL-{Y2oNckZ|GgoyF~(%{ z#z-9VKZ`hi{J(Uzxhu}wt8nn*9 z^bwmydax|({n5C@=bh#FetuV=oTeH~M3Vr^N9JafTIgvl3Pd2(Z@&L-;@KxhUoX76< z1LyZ%zVYP`tZ9^U$Ue@gzOJSALs*vIofggb<-IAg3-Z4Im&o_gCm-Bp{~T?;?rQ7D zDD!{?=GRf?!p)rjApV_p)8Es}f8;!l`Tuo|&(J^p--=x2<(unnY#fdGU9Xt0p{~(4 z&2~%=4n)pGn;$&fcqjUn826Vt&XH)tnn`;-^z+$InVo3o;C1GEm_L3=tZ zVBacUIbUEIKg^g9p`6^eEq`6GVk2`I zj?qh3n7>BM&|AjC=))D4*wa|Xs|${cnCJSeuVT9N4SNerpSQl%g6UP)M2<(B|Gb;= zEZW?=vAqg0SD$F^gt`Vcj%$y z@X3FSUtxcB)0gI7v0ie&vMxm4BagN3!ZQADx%C^w92K!PLrn3o=uH@7n`~{&#aO-f z&1equ*S#|G2ds;YpL8bCe&b5#P``{Xn*KZ_zMgRo#&he%k!R3`Cr0dg)cfnXQEYc+ zO;2<`)U{s9-UNMh?nc(Sh%c<~+=_GcFHdt8c=j0YJYv6#b#47LI*M}Ez1Z|)FL9`G z0LDz~6V56u%jWkR$6%fIZD;!9=}%@GzeoGq6OkO&(fb=midYvLK4ALizk~mZbfC=j zjxY}Nb)9Q$i~hW2+PDIB^{31OaXkI$ktWV#jfJlB64vj>XFB_0*q79#w zjCauwoj;3wfcc+3Xw1hwy=%{Mp2qx79<#oQF;;rs7)3kVue1)sSUqf0b2+w=A7`Tb zpbhKZ9_ht;T>lK`?L;y6EaUVpk<$_X=`XCMXu|qj2UeKRqRiz*<6NwxzGmkK7`HF|((?E2_P@fs0P*P^O#j?}@ht0I zEZ12-w2na=4nH{Z3zYNFc1{cW`K1TUbx>DNuThKj^2Bb=msr;y4moMWzw*A-jW+LJ zXWWLoy=$ypQP=e65eIcW&|`m!{z`Np8*p!{cVh-`@Y>m1_LqMR2N z+F!-;p4S?=1?ysq3(Q*d`5tfE+oNsgZ|&TKa_qCrpP)~c-fV1*WqkTL$KMxvadTre z#z|~b!{6gNc&_PsAL_Qsgp^B2bJe%#hOFQc6w zofdf(%k__kqAPqk8%N4(?YQwxwDTWlIM;i9ju~0UJ`VkG-B%;`V!K=CHs>&`uQks{ zuEDae^I_y>^zA>kx6a4-KXR6RB*x+Oo7#W(wU%A9DP{4)w~t)!~0kcrdP!6?J)hzFKmC$>e|zc z&Cn;WoMYUCe(3ouI_=BPnla=}d~Ob6dhvzkQCP-g(mDz2zKxiV7e`CJu9|2krk!ieag@K;1;&l&leP;Y>tTDp^HlpEep}ngn1^M# z`6_D+c@KIevJ>L}xVgP9`s(b{?OB-qRg+V~Hf8K=yyWNq#eNd)x0~&2@%-iHF{c~r z^q&#?7##PneI)uY+P}p}WDH~GD{ojwVc8FR!?+6l-0e7bVmtcc8lwU2ztu3d!1Qa! z*#4gRh1=T)p`2^F%-zt=#J0wX=%1EdoP*IPuV3WchUqVD^G)>0*guUSzg>(uSQndh0Yl-flG0ILBUUM*f0h;>Nw^ zpHb$rKbm)9dZfpA1=GL0HS$%&!@6yA^!cj~8nJ+lOPvoJhoSu~7n{w<8^6FVV7*+`8u=#LbLSoQ`H1;#t+h9%4|v3Q0DW@kT<3m2 zetr@?56iw`o3#(hJg_^miC-^|IvGrFkhM=iIoEeOxCd^0@tUz7&J(V`-0}Cy-hIfJ zLi^jca|&qZYtPvJ9OK$m#!rzqesSamjJ=0HvDQI*R{YDZLA~e9v13@S3!irWi$46| zHsdt(Tg!*mYUDk%7_G%J8t*t3@;E64fPU*P7$-1(*Plb@fqEE?fz<-~AvGY!<6O)_Istzh zN}#?#-Ts>b4*_;Q@(0xeb}D~|QUmfG+F)iNk61kj6<|Y>A#?!fi&+9P1>7Ufgu=c7 z`gc4*PXHTK{Gc^J+x`s-2f%kP5U2o%KUSK81jxAdKtCPpZ#jC4_<#EuKpPhz$G<7E zLSY7gozrh1?tsp6N{Zv!c0t3A-_uQnfqnrpca@;#KwobXz(8GraA#p9z*v}X08<0D z;fr9WfH;5mgna~j-0cTB13n_Np#OmLJ6B=n|2y76t28i6;QSsO#sD1eph3F8nAOKY zZvj58aYN{Vyd>K~f`EFc_yswBA67#q;< zt}=`gX#0%_raAV}8FIW9RB;1(4~T7dACwM=Ra+}00eDWR`U$cJd{<5Y7Xh&~qXg{& zI&b%ZCV}$?`>;?TwsV$H;GPaXbsqKxu`(AVw)?D!e%mnAp_kT1au+jGH;I#sfu;A1<_gTDgyJVJtxzm?G5qEH0-a=rt5 z0_1e21Qv4~PhCn5pkL4p3N|1nb_bv~Ah!5$P#7>*D1pGufS&u$!R&w@94BP{zi|ei zAws%=+TPv-a~;btf>i6$bk1L_)s;`cHL&7$}$i zZ@qec0OknPKN}U~0uYC^OQ2N1X2efe1YpCQIplb6NhULeB_QwN2X;J;vj#*2upz@4 z(hJzo_yA;c9RCQYD=?x5sSmw`Im{0^c7=7E|vs623f z#Te`g)Pq3}C;^B+&KG>Vm)K?)QVG~rkDxeyFXqe%Ii8;>-oYY|{WXPU0mt*bAYZ_K zrN^*>An$8!6vu0) zc9$SwfX+%C*bE@EUIh{W?sxCzL2rP*@E2jg{ROlo3%dcxuh~G3-_^dl3{D4fXA(xi z1n9T@06GWgoa6x+Q7->qouI+s}2J~BJ3Tg&q2vndo;Fz=ui34(4!$;u)$a{^!w1B>rS72`c^%2af z4mAMkndTR$4A6rcfQA5d)yo9E0>r-)1zrX0v^J->49Gka0bK#&(8&qo1N@zQMsd8B z>p=jI0&O3SfqnzyF;E*C2-rho2{{Cg!Ap?iJ;PP&5HX;yj5uh-e|-Ui>%sQG8n0dt zR2uMcZx;3fsJA(N@B^T&wgqGz$nOC+cngS+4IBpSQv&^y2UP)az+R+u1@c8>NO292 zf3^(L1ICm_E%6NaXmQ01^|0@-N481;Pjd)j%(gG4R#%<`5%3d?|_{wmq6CQoTich z)(2`&+X2=9+;b1tL4lMj|2Gdnq+t-Cul@6s#>chM459|==Ux{y6BvWwWH4}l1mV~q zN`U>@u9O#mwnv*>bAjiUnhh`%$P1wZCIr|?7Yfz{;&!i{(h6uxokM{Ia_7nd{S5TW z%uewfkoS%THv;|M=Yj$2tDqGj&^4g0-s-^40e-D8P#oXm9Q~ok?`l6dgZY3OxXS=O zzE9~9psc_eDHT8X_`RxLD&%-AE(rnJ1N^$}56b~^H~$8z0*s@sM@OH6p8D^5eR%ac24(Jzm8u}Qhw+$$W z9f-q^Op4<*Nk3x~Ayt@OrruhNw2IdFwX(%Pownq^<4a9Ib8YBwX z)5QWkp0_)EAp(F6=`|DyfNlO^&`F@}*KJrepda!FauJYE^MU;aVzoX81J=yJ^y`#> z&0r=9=<#ojcNnk~p#RPScnmnU2=Kk9+_{=o;Jz$Ro z4Ojpek7~V886ZBdlR@3Ue5i5&dI9Js1cFWjG5^j7f>5shw}#~)X8@fkcR`KEZ8>26 zKpf^AVbg%`8{Z+vYZp~{kW}D)THOKt4%qy)2lfe&-+c)gKF*grxD4>oES2&m5I2Ym zOc|&p25~S8FsEUYK}CT5_%;v|VE;G*vI#tM?yFNkfPSA0!;ar;{OAF<0p}mELeYSJ zT3zrxV2tQyK_dY>_X|K-z@8l4Imq#TjG87`Gtk%J1#k?Y(`Xb@3amNT`GaKvo$CHD zML_4jNRT-&22u1NM#{DSi}~zx3T8l$?H}+{;8>Rxv;+97Mg*Y~EnVnsvjm`s^Uv-**hRNjlyW zyJc2SqM323e{Z(j!aYkWjDBeCw%yUHa@XrE2ZB4T{Ks1ko`pz|2N=Y29R!MeHM01) zoKN+erKq*tGn90G74uF?@s*h95r;d>LCSBv8JpsqC9-5r%Ku2`Q$6Or3xD^^PVkXF z+6+F`CY!HZ9~_mR`+NK__Kwyz-q)94Ml`56hs6GUF!2^{u}eRuGnRq9+C0NYQzj1| zzA-N7A#hsBvM999)JmYX6ZULW_w_z5u{MT-Zv8<&al@Es9UcLfiFe72hKO9${cX3lItT@Es5WRw%|0^0bED!LdYo=+JP?8KsuAS2=}$7I-gC&Z~+=r zZmM&;g4?T)jB`~TmJ%-buhD*0d|m~SO0uTs?|7a@W+eSH8Q^3j?qdtgZ#YO`gZ+ZF z6HGsd+#D#g%Qe1D5In2Hme+?IAVJ)?5xay@Ko1ZzjV~u@;}+ zgBbj9uQw2RuB_v0&&r+G+v%olG}n}B zfM50E>$Cs-50mCl-EL+tgO`i4e%ECqtCeQpwC~x7N#fbm=suy-lRpE4W+W?2Vu0 zj8c)N&Gt>vv8bM)_R-fLZXL`Y*Yi>R)};M!JrA7Zqk5;$I&uAo?_{6gqxKXz|I5r| z%tOYaWPFQ^Z=d9&{$_Gd=s)63GKS^-?{yY3<|kwGivN|jC*xT%-Xdel%KvT8O2&L- zY(vKGWE@7u$z)ta#*Jj$L&n2oJVVBtWDKwRUw?v6@=<=xYxz_QO#_OMP53AE^x%3* zXx#OFiKT(cDI!-$r>r{Ta*9#R`zzdrb~t#$ldIA3y8F+p)n`_9Lea(r(5>KUfm21y z)XDVjC?YbV33KRHdY`(>zDwWt8p6h}e5;}6a>q+1WkKeZE{tJOe(H;DNo#W4SyJQHVfXVwEm>U{_}+z z0^xe24igt!#=3YGk8Q;M9h6G7VWe7+&$H{HLUtcq#E@MzAMB+wHah65&dM`0_`tI> zFE9n{wduY-AH?!uFB-oSCSH)rQ1tj=>G>>AYD)aL|5%?;(u)sP^8&=R7t6$rvO2TD z*fVz-Vz^@t!J^2`O|HG z)598go`01jo_BjgPf$9hfeW6W&(BfMjv0MxD5UMsCj|c%R$z5?RGF=xk^ffk+$2&c z`}IoC*Q$Jp>SbhdlM9L~es}qMOI3#SS;CX-nQh#~VllHg+B0Rnl;}@=n%+27AKFs^ zvUx(-2;X8Q4`<@@SiD@#-vEu0c2chrbB|Jez3Q81;F9NABJ5(5E{Q5H{T54xRPI(u zoS7xl*q8_&7Oy7f`jCtB3T;5Vzr7qlB^ zPscKGtEp`wa6&|6D3 zqjinbbEfv&KmT$S!OV`-A-7lBa`99%52l_e>84jS6gDp~y5XXpo9EvrXw+tWsP3|A za$4W_0^QKn{CX`ao6(0B}5OZo$CIiz5qI<{fkM0+zj@qAO>LZr_Ex*wvs0 zeV~KGc?4&^LnNlas?l98A8|g>4;2{T*mN=N>+fbC!F`vz;wl+0er>{=f4ML9nBSW5 zutaP`N$e@jvju0;aV}mE|E5|Jp`MgH(RFsvF6Z~eEduFEj3<^>vTOa!S62VKCXuSW znZzi0T+t`%k4_``j+%I@qB+ds`!k0$`0iw3I{dbCN(oobCIAFrQ_ z?MOr@D+Sc*n(8o~m+CI?Ccx0>Yl%&NcCE#Jr#$jeP&>^^>I}){c^GSxKc0|KX1%pe zSgSVeFF#A!RMrq7>|mRrD$vaQkV$q(rbUB=)kG;6d!cB|iMdK*i9(jh!IR8^jZJY= zu!ov3iYFO8oeaOMl$^V`b)ii*flJBlPm!Pnjy;ht9=gd;vrd>UZ0+h&I!Ng|R}~nf zxwt;H&vgAA!5}o@!^}79UZ>89w05d+LQGZ}RU4w8|B6+Kms!**oGRDlTJ+NawdWc~*h0SI~Xnu=Bvo#$0XKxt4!t=D41Z?$6JT>N&iMBV2R@G2D8|<8M=0yZ*`R?nl=} zNe6Eqxug^X{tO-t-!ytr%2T9)| z(kh8(6>5xQ(^26;qC4tR&liTv1incn_+jE}LtHYxpj7RovaKFGQ765MyCT+Up<`L@ z)ojZ2noc>XQUS_5GJ|}Z_cq~83k{ODvSrWh_B0)@q{$^mV=C+~AE%ESCA_D~f&=Cs zKBB;yHs_ko`1-F=^$uD1xr?B7Yuo?+El@CI4SRI>u5Aa==rj6=>VfmFtF=kN9r}Gz zS5EpRO@^~QLH;0yDA|1F>_nakNM8%(s`z`(Q=^~Yzmu4%ZF{8S=;)Lpxj4`$uY%Rr z)8SVhSRcpdDE|H@<8#E`C;2FT=g64-h#Sky>|}(IeGOHK^9MI?-M~23yYjT*Tmy}! zkvp91iKc6y)7L*0K(9`q2LI55Lms@FeRU3?b^=`9DHMpCD67%I(B? zQm>chdZ#JlqCV=C5WMsgzPhoY`x432geCO8vQ+RzbZR9_>Ut0RTU>`Q6X@DKbV$_curQqLolgU+PyOE2q5sCPpJ`Z% zu+T|by?c>#89rX@|Dme%su8nNcQpH}Ae4B(RL;hgFVCYV=tmwL7La-p*DSSaB^=%i&>p14G{Qe6S^?6=KQG>R2DcP>v^*1f|9; zSEYBD?%n)cg}~z~1t0y=qt;A374L+49;P~1%;C!TS6=mAW`U9PyJo_b+I_jjsCB>A zzdiVUn}p$G>USTFrrmug2W6Qp1Hrjm-la|YI#TL zeOPI|@+Zs9_3tu=9sY$lV%q)4yp(x~tE$uE868H-J#`#t1&bZ<-fTfB{%IY?sVu%Q zVyyc&PP#oph%yzK9*(%u%C*Z-+o+SarVy#z*CG%dK@d0~8ZK9fDbV81g&5KLx>-sV z@XX!UdU^DG5xU6A{lu`J`*CT(d5R1b&Wg*I%#m~p>2@fc9W!mzUO9FGhi8454C&KM z8F~vZ%tQMVT<=`>d0bq6Z)*x~>NR2ZQ-Xgt@aH<4y1hF}tg-Yalo%KJ?d$Dj-DN=( zcjD^2RjetMYHi?@QMwhLCoshX<@5PX*}9MD7Cjd}ucGAZ!u!SnM==K7^Chp*KWaNC zW)f+_EAM3NpO)N4x5T*KMf`g5NuISHG~OqtvQznpzxA7>(vsEt$Gz}c5=~-Nr?%wH zjmlDda!g2>@{BK1tAw9^jaiDyLpWd_RqR6wrI<=kS$^qnXY@V*E>XlDuSow#nzX%u z@$Ab)s;0`1-5whCnX_LX%aPbq9?2@8rf8KvkYY06-O`s(ort;0+vT=trL1^4`^eibTB<(?#lN8TYlT3ObWWZyVQ@_1OkM0t2aoTL}NH>*T^J*_s;iuX!C(`nl(k$j~jYrhdHFSM;l=|}TzUB9t60~pT*LC?Vol+xa^eGBFD{3LN7nHn0pKmNX zB`9#(8yywQwXXO|!H$iT>v`(zGxSW&OP*2mY|mxiFvY002*Tg|mGQ@uAneOC)@zam zvMSOswX2thNVvfu5m__Z6xI8Y?;zQ7V~$8YzM8v@@~WpQl3Pf3!WxFu`9Brky^k>n zQZ*fI7=)qS#B9vnhKPvbKfW);KtI{(9Uruuj>kEdOH0&T(a-vbx%w*;>x-!>VX>I= z4_O8^#(XJRG(gwpEV=v)J{W$7dHtAcaR3pVb9<)MPVpDb!(0(Uv?u0geRmby`F!cW z2UaTm>H*k`VL$BdaoL6&W3j=4*@cK_#XtJ*v~z6_8yIxRHTtN;=AG%Lf1T)Kr^ban zTl)@!tQDVkO!Cja-<524u<4S=~)PYO=A8)Lm%GTRZwt zjB!E@u6XV#swyrk!uHmE$F)6NW1=Js-V(u`%TQUCz*x6c=G_IxgM!O8ho3>2zR?sZ zy(`(nRMxZ1G0~?~{wu#&w#M0oTTh{7(2zfqX{d-h#c)d-5g3xRNz2sw+t5mSLeW(m z-j|Yc{-f|qxwUaKUg2R{0(0EfM{5Hv%jsMD>dxHk_~p3r>fw5XfAd$GiC;?ncBySFirGz~|Nc(qN2?TAq6vyo{lu$LW-qSV^!j{~=b(|GI~XLvrTKym+p! z(xe&m@M^e39kwv?vOX^T_osPtYi@o~TfAmf_rPU>#(W2T$vIY&awVk-4sqXy&!*e+ zLJ3>uqa?{zo-}8H@2P2hs)fGI5vu-m;Oov~IsTrc#kR!JO2S^+>ifE)5y|)34$B&q zH3(3ds<0kg{dGM2y^$n4%Fi|C(UR-YPRQXze9W(pciq04&=SN=)<{-~ z%YwO@A#HAA;t$7ilF>_PT58ioPGOLTTA{ru3OiV0_h(pSKkf|wUG_`6E-K13YulXr z?6JMG#3zYw_>k0v8KoU(Z%1VxuwD8m7f(bLsSVzk8l<`;D3@p*@&XS}f;wF^z7uv? zBfe5%{~l62zEn4B;BPqoeO|lUV+i_7I)pD$PEl`6-=|fb$p}4|rTCFQI$0?6*R%Cm zA5+A*pRMLD;hTm^^PNM}>m%6pk{#sVjx$+Tr!GvLr;1ZThu`+=&ly)4A1kH_e*XeZ zmvpM-wRmy1RBiMt%$-Hlk6@Y9uY4<3o^4vvAa)73NTs^vo0r9L?Ba|v0g6^|*=8?^ zieBT&Oz{Tj)&5-Mx02udCY}Y~xDETee^G&O%=I)Qwr3pKuRs65ew(ER^}L!#`_UpU z#xZf1uUz8?&OQ0AdseUutb}%^*R-t+k>3)p4fze9jfp;6u1%SPnt7S6_BglUT<;l{ zV5+{O|E9Paee2S!R6f6^xDlre8}?mnu>Ru5t2Eixym51?Aq0y0``@ImJ1vF6A`h3l zG0Nrs3sF;#Db=W7%J2SNVnh!FI+^`Wo47sfR-n0J>VR7h#x;B%<`8i?`8@aVXuazs zTafW1GJbHxqJNuvv^f!vqwzma?fi1K;C5#kK=R<+vSjkZ)Kq`iJ3k2!J%B4QynIX@ z-0*0h*PxBM7Q>4(tjmrG6OogXrL5ql{_TU%ZJn2n>&nr3yx{8*tZ9p;EobQHDh`*j zbXqyP=>S7-c9Kpx)~>j)Vaam-uCQ7(+IKI4zPThM!R?1 z;N47>oz}wVV?MjS&(~gLEp(@mYGb8DICZvS!N>j`J)ef1T<<&La59b{*|9mo^HP-OK(vt&tJVYGmc&_ zzjX^<_@Le3bx4$(! z7B@Y=hf$jQK_l4J)Qms>n84rSu%rmP2^uW=4+2ZngXbi@8Gx0`4E zPWp!=vtOBvRmd1|#G#!73_>Z&?}B)>it0nOCrU|@dn*wH?$*vM-+LaJB`MGD#!R0^ z?FAaPN%KNDPbZt<4>moarM!zs9|4f0_NHK?=-jkm3eb+Y`gIj=Gv$~8P~cINL+d5={y{s zpR|&wR(s_82tKWJQ@`|X&TEQk1Wezvfw#mc^VphJD=nP#cZY0!cbAO!$awpR8>G|a zZIt0nrC%TZeem$jo5?7I_McMheoVc=P??S0b?2=x`3?_kd6MM_F5$D*r$4SF+VJUFu=7N8 z0{yC-))TA>Z3KHGMBH|_^`yV^Wd8gi;{`IFJ7W4Zk+)x86K-U8>GWpUa^*`D;3Z!$ zBZ(D7r*3jSU0L`_XV$Ft2gB@h5UsA&B=s>EE~c!KfzGct(wSBsubf)z^;lp?R%WUb zj8H{UT)`5z>0cAy;j#k=nGIjQ^mmK2o2-kW@O6bT@A_Vp65F&aaaEd?O0?eVE3%Un z2ifB8U*VK{O73x}sz-3==<1XHjFH(pPR8HJc=U*`D0EdEh+;e&DH4VasvT?U%AQk; zq$_{O%AqJ%e$Y3Xscj!dL>Mj8`jq4dyo>@Ufq*tHY=-^80-VEKPlOZ^j7`cY@ zp=%2sWH;5^dV}t*qI>*tU*PKWNMc-(#(>I|l)cmjDfKwM{!$;`qJ7-WSEA_~vHN10 zC;fd-=1(6Pe<0)DBd(~EWrkW1Z)ZyJyLGrMB&CRR1(_7X>GG1gAF^=D_0Xs4q|SU& z;R!>e#joTp%%JD|Ugg&yD?(|Zw?_@a%_^`CZ&p08>!lLNc_!{)b!+IfZv+I_7^?XS zDsr~zK2Hma{&Fv>Bz%UB3eMy|FQkiF)*=v!%h^bI(1*DX{Q}3U-aIBe2u*mQFP&;+ zaMGV!hNJej={t^YvGWR?5n^B@0x%np0YP5#<*& z>KYw|a<$#_Q$=4aS)VSjrjh%f`K6T1{xUKyC*zVMwvsgGmC+&0CZO{Po2zP8kzm+WSl|9sYl#@ z0sr$Gh4N5ScZ`~%e$sXIQc5PlOq53nm^SctfdtFzWY+;WE2=&!$I7HRoMq~JM5_Py z0M)isEd=TCt3uCy^q`))7GWjDvk+&OZ$Z_0m5raktwdFG+uZz%+t)0iixxD`yGg&w z5yka?WgjMMOL1Z^^Q)wK-M|TLx*-Off6QE?mO}nY_8i^BP8U}+*fltFjKG;2K^eyO z_42=Ozy3k5;e#qlZ`ts%mC}81(%(ojf1=1Znv5fkSi<&VSkD2{DDS?VlrqlZfUW1k zT0ay1V>m~f=vC*RuBNL~PqS&@;j!4-GD?w|X{-LAzoR8sySSvUU9@j0S~OQ~u7+Ge zxO)EbQ1{cBljEF@%n7}Z{ZVc+wlROj=`|xFRmM~V&z9tFB-KHGy5V!D$Gn>xkIm`tpX8{&|tv?@h)&WbApw z?gf*#bR%)&d4+$GD9`5%5}21eT`#cxv7{TbT{cT%x7741gN0PS`7_W*b^mzj)z9VG zEH91b&TIPa8UIYTt-(>S6zhPeePPIYJ7&p~${|VNW66+mOWkj;ta}S9ksLxEErH#{ zVXyZYMeYk)R6iz=edoqirL*!>CFIP{JK8UQz$=_p1*cHJ!y5+JaId_?AGtq5Rn;qu z#qta#Y2B~X>1HWVUiA9*$zkvo#OkEKwq*X;k+D4)KRsePn~Tam3%E=9Qo2jMQbRn+ znp4Yo8Jun4n}Z2!t35vVixV;GuMzkRnG(~gyC3*0VWhW?D0F!V)mpA?ky7NC99ldN zjr8_2r4;RBkd13=^B!sw#MXr45T)KkuJ(H8FWJVGaD#?c1=Y!RCfbyLpQ<~a5~rJ4 zCX3##r!J|{o4VfJP+hL_y`zH^RaAE#|bevb1b@*I=cOI8Si%F?Gvwe?(-hTSwwGF#8fxsmCH|F zvwJFr*-BwUD(JQ!s{Kuk#+T6#GxEK%;cWAofl(S*h3Bd>%@kKS?90UX+#je6sh)yAR~Th@LlkrmlFW zeyoGlfOsaLGDv3}L-)6Rtiec&NKA_J$i4D5?aeub57lD3yV#Dp2OJAuGw)3Hnj*jb zSc0FJc zpa@~CFnoUcH&=uJ`U*il<9r;;PdUd-tOuPn^ILRfqOg+PutnvQlm6|J?JwCQ<9}ql zbHr1rpSk`vLaRoWY}9QWo{M_(E)!vh zBeFN}-WE?OXBXj97jdPhQWhyTNj=lg{i-FdTgP5?oUrJ7zw6+%)cMbodS`nDM=@V2 z)#in+kb?i`_tzgX`xnUgFB#7t@m<+mDC8%;EpRTrXSGzQ;OI}}e7PBcqbh+8=2tk< z4wgF%;bw*~mm2B$PH$Zv=uQIjWAK(pI53-vliqwLrulH1iYAYJ? zj>shu<5F(DR%wjc9rgZ>*Re@No1_n;7YQpH9f2B)gY2)cf_}<{(J7O1I1Ap%w{~AK zZG{}S%$5lb-^QAaZ`i?+?nU>Svb&zqXrIiVBboh9Wb91F&yJY1(t6(61H0_G(x%+8 zd3DWgso-J@3Yiz6k(PH~JW)<|{ohM{JA7|hx`gn#PuDo+>f1`Ztnmw;HCKw_Yv0LU zNczERmjNe*(Ysyr=YPPPkYt{8a8A`Wn}8nV-g9gXVlGSX^WN5>^uNcu-|Gke8_-tEn%7bFo?}&`8NF zi;b!|Yl8!K(%*+<{#cOlBQkz)#5ztGuBQ>`A1|@Nqrs`yd7rrCuk3wOQmDGj#Uh-T zC9<2QEM{VfrwvC;$nxflY#pxewtn|Un^%^^zs@w~`7JrS$koM+x|M7Y>~_sjQd{e5 zkl2qLq(GL*MbbpDS9pyf;l`DHgiNh)h@4l}`DJYsIh!iKg8QZ!{09$li)y?s*N z>xBG&_TT!Du`e0>k#Q&)hn(R5_!EDE|D!*gjKfawf8<{tameTVa^6bi$1gJ0uD3r> zQa)*~!wLES=yN7xS2BK1#(`uUK*lj-98AVRWE^*rkJjfvWDF)_3Nk)C+ON!$9?2YP zPZ)^Gk+vL9ncX?|_edX%OrC~}>ByLYjG4*!3>gcMG5-nvPd-GBI3v2zePLGhr*BRH z?fG3Usgw2zosf?_vRCi~|EIm^5#KE^dbeSPq%T$Yn+#tqZ9dta?S%Y)+Mgw34l?E> zT`0a@t8AJ6x_N70#jB$O10`qUm}E=KT)*pD?YiOI)@S1DZ zi}EleZDjXv^ycS1;fS3}bfnW(&MMM0fnG{)j4Rw!`y!-u=(FI^RcA}0(< zEB@yWKLvXc6i()goy?!JWXwUvY)7ms%ER%ZMCo11e7u1qLaTXW*4(rBD$yx}wfl)c z`2DWXJ9vXTA5hgk!q^=i<0LjomB7Z%XPC(tzNJg&Y2HZR-U>EiPefNG+a0Fvr#tyR zzLKR?ei2RGtlmYRP7`6e@Z80G{tijpyLHexNx@;7e|uaj<^?(}#(wUrspt2LC+(*u zvyX<1X~~%Ch;wofk_J*L+u3%`vGKizOHDbnkuzDS*;x8z$>6aWF~nv3(O)4z=Vd%_ z`DtSnr2%$jVGE~IzLGIXf9O$nlWJ)YwfT=%#Wz#vK0Qewn%`B-N69G%o80tC@Qcsz zP2I=aydHhQ*xFWwVDk=vbG{Dec8w)gh%DJ7jd3HNWR$rc_XzcS!g-tOuMGrFaI7qG zkgmors#X?<$^20sdUN)qe>-IR$#=`?{*j$2T79p@md3h@%Zy8U zJCL|EAKlDu6MC}~saqJziRn#XOn)f5>=&jOUKn;K{N) zoF1;@2f6mcMAw~%DJC8Hz=7Z$VDxR!iZDf!<09_x(nWQ%NJY4*1M56!Z2E|YUXEy< zdHKDjTKY>i;qR5x`jWV_0ePg`%xf~dzQT_sD!!s@%bX&2#7&x_k@}muoeA(nfAvpx z8P9&+`=0hlx?GAF;$>@Qu^`VTPwmF1r)!CN5emIIOtW1Aj=S!mY*vMBN#`!cqCaM4 z9_R1q{(Sf3_X0<(PR1H!e20vc$QVJ!NHT_#u{aq^kg+5gUnk>BWXwm#m&y1d8MBZv zD;cwq@fk9PkufD1Q;{+BBp=;>G){gGa>SZse21Lp$n-d$ zN9~!&zBf5V#>`~Qc#@Cu&v5iT*0H`LW+Y=KGNvcvsgvJ(9krh(puJ!}R) zxf5QT9m&{I@@n)Q*%cpK-?**M@J4h@^|CkLAU%J7NyMEX0T~~9982x{yF$Jz;F^>1 zt0%L!fs7l;xbBE^8K|SaJX87ABsl1sLAu1^Yj*h_)db2uFXee7_;tP7^$URo-f-mi zW?tg#Ua?$xbz$_D5;c}4x5~%Tb5U|;h0223i~~u`OjZ(;H@+QFblzEG#T_kL>XSP% zjf(Urc1Q0K%+N_8h1!)O7Y8L{UOQ?SAqj6X{`9}wo{WUL5@=sqFQd+9V!S4nBhF)= zBpuqk$yHVelvmGH@&3>n!J^u+Zblf78~-3<^K?hJaOYRul^=u`{{4e4Jhz`Mc`G{k z3s%FQm(gXaI5&<&B3$NsuQ*~7eInPw7a56bHU^{B#vQ7DB?W@FubM)|I(3`YZ>f;F zUJSH7iqGg+ZcXaq-)mP^^fG&ZyYPjW#AfMs+Qfi#Gn(6W$8tMwUNt;s{Q^`;s??Ef zYUQW2ft~jY=j#{n2}#LzRKY@{5eg+`e>cUI_JcAnZV76+7|4x`(rS zaq_A38Dqwm$kvv#G?7ai7BPEj3oHBwwz{hG$ z*-!P3;qXQKpVuQj-=KO|I|fwM;E9cu0y074owg;KPE2N>u&2{xnY`3LD{YOu>9QQY4wA^@d3CQ#2Sr!7p8hUQN?X>^eDcQL3#?fB z27JHb(u2e;eXTdQM)7I+0`(3U*A#&nxhqEPZK?sygJs_zzIqOe?95X$9Y7$Xyg0N`4gJbY4HW zJlR#joI=toc55?G{gZ~FPuU2t8AxWd#d}W<7hz zGmh=dQ*XmxYj#M32DUslI!7`n=vG2l3TPfIZHDWA^;W$Vnvt&3ma1#x4@*MYfmBTr z6rca<5}tU*T)015*NeOsp7o|cx#Bb0*qFyY;542)fA{4AOuTLk^&s=xKq>A{Btm<> z>~hY$!{<$W zy(Zh~xw`Qm(=sr6H>Gs?+8WL5um8ilWZMgCr8T=uYmlPcPs<~!3*xN=LPdTKYknjo zwXI`a3y54OMW(LqmOBD-Hxo!YVfU<*d=;pw&c!Il#bXK6tvt}6kQ?V5W?@yr4-4QO z2}A!#pj!P^lYg4U&>2;5=;PdbCUk7~&US_|ckdCk^W7JkSo0>>iW&}{Dh$H!MpVD_ zQ=KT-S2CLB_?v|esSx#kP@4IN?vhU+VnGEv?Jc1AYB{f!o1pI&r*}$OHq~R6O)s@@ zGndKjR)!#nxja(=W6R!eli?%1>Q_U081lvE(Kr9bwbL&~f3@!6B4a0LMm7HE?j*&& zt3koBokic?9hQ&XQPi4>x4z0w@=tseHhk`ePgBNec zOkfPR>l5kaB22j54=yD%3KLNFc%r~VN25`LR1r+2OjBm3|KX7K8CZJICPE}!C7=}~ z{Axzcuvf$HY8kj6#Tm_xQoK>SB=M^`c43c`0P zCD9DUcAq+DND@upTMnFBPRfBTz4Zw!;__x~gFd~`P2`tc2g>LN=LGp+?%LXi_mCs0 zR7|65#tu7AiWvL!*NMD|VOl&3#(wjjr;wMw9VqiQf8;S&8Nad_veny21wv&vO=$=_ z*B~Rev#6OJ#c?Uo9xwEge+Kz_jarq|(i2`c;jv~ROrqB$S?j~|7I8D>{oRbyqq0{O z-(_LoEf~MLYPKv-m3U?Tf4k!lM$zy7h%ClKQZ`mZt2YJ zMvSyix1saHdPB7##YpC>h9#WE}YG$d#_h<);^Wat3^37<|mt1d+NS#W;1r13xtQk2w2yv~9O%%p7z8XZ;Lj(2e1Phf`E z#KTyO;y%6{O07^fqBB9fNsHkwX9fArYC3$%QRqb&1f6vXoZ>2ZWGkZ43Ry&PM5(8} zoWn_E>z2k;dmj?i!i%G-IWAG3`E2M)D`$?g&*xj6t}mg~VA30_lvmxyAoXcT zx~jFe{kvb$>_S);w^ zO>q%766&3-9FK4Q!;^B$cP^b67MSj=e_?^YjIc{nI$c20&v&l!o7g|qV5#-Ez#t9o zRZ%?GoL{zA&3d6Ar{5e`9P30=d8JS>qwx#w&fs}usV~F7jK9L`N)Yrd4cc~eQ;r@R zDT{gWYwxJ&mjG(jo3#kUZAHS&(A`KB{fh^N1N z;pHboI+t+(;#t~eK`*M`p}Ne0xt^369Mf$-leZdaNZ}hEE^=!(&1p z9fEG^Sd>1!jG5|GB?@Mq8QXuNBGJ8<`9U(5Q#m6+OZ3sg)5QsszlP;)dxW2UMk|*V zC|#=_(^q-$-oYv)24P<2w-RTcXN7%ri$7Lh^U&)0V zRi)U=0U~OISOZ&g`>=JLiVVX2aPxoC7Wcnh49<5}((l1f#$@Wpzg>&p9nv`4vSx}} zD!l(7SGT%dGXO0!6-q?(l|B7+-H9`A+g!`EBKtNvuP(&$m(9(JzI($A!$WC=(r}2y zjkcs@`AY>g7P^d>@oddQS6vBpe1UKL_*RaJQ!1(qqwWxZk4(GK7L%n~or#<~7ar~7 z#6HW5;Oa+@<@#L0HuYVg7a6|QdQhv%m!+w5b>pU>_U>v-Yi>HJw=Q7no4M^`;p(~Y z53#(e#=Z^3P|k?;A}h^q70gT(BhzL9ZDOrWGDK=$z;$ZE7YEC&Jo8>xpme%-4UPosOGEI0wk& ze$sm1Y~^Xan4c`IH0i6mfU_~bqxO!@oOdOPpi=zgwZjr@?&hm<0eGuEakep*S>wFc z2Ypo^v9t8D$cp@Io*-4LE#8Z~4YwaTp@&LQ%TxcRzsMW})Crp1BsM2auuD4qrLhxk zlW{84P-YI?U$T>W+Y>vY0MRp#MPDzOOTGRIHL}hei!FmVqCwT&z8NNJ9#4_ZQe0Mt zN>A&dK{*FI8)`aS=zjxDNBbj+uo3Q0wApZ~=N3POAYiYmiyHP0+!bzwJQSYRBd+=G zBwct_`@=(&>EPF^Zq(ST?6>)B{0GAC{|?>&nc}>&h(T$Wgq5Q{pK7diUMGwOOvGF% zn@CVy&k!v*dx-wxsWW^>OmCeav+MS@Km<_|9nv=YVb}YzDrxKH*k|O6SF~GGklN_q zZKuuO-RQ)~d3A|X2n0uCJ3Px`afS0)ZYF#F0pg@M-d;f zUtg}QAoOI>a{am9(UvV=HLLW<32C0WAzLvm50^A=ybp?y#?|{){(K&HFL=%}Sb<5J zfSrpQt4}mAR+Y@Gm#}&mpp5X_H{eO-$*JM(Wt?-ZKrjZf-|H4Yyr24_|AzL>0!g-3 zxc8$}#zzO)yq79nS@@l5#?yk#1;h8<+Cw?Af1x8|Sqz!^^7H(pE*2sstw^Z2hLS^s zK9>T|MZp6#$D<9<7LOiWvgx-iUJ76m#9?HkO~!4QXZ$`mPNkkJwZwl;{SZ2zziB!(5d%9F$62TRqtEv z1O+&ML_;B^#@094><>9Ru6q& zZZEmX-)a;smXV;;oQHgW<=$zbI=-kk6zUIE)zfKvig}i1tn+5WKDnO8MR|tw->Wo* z*g3Fkb{flKb_18D-q2W}7~E464=&tPZVHvZZcujd%FEL-YB`>#;Axr36`Sub+b&&U7!e?K1Qa^A1=dYU#AnhPZ=le%HvPPKZ-@QXPrJR^dzIzSoczm!YkNH)6QYr6V|Y5 zVdpHv#(FeaMW$NcL8vnxA`N|<& zv3^KEd45MTYz;uHJE~!J`wEwV=b5WCGL2ZF6!G{&i>byR8J^>c32hk3 zs(bmDr&Rjd?mWKbS$qj=k{PTcRLBR480&f6eX9|s+@NJeb27OhIh6C5%J3HSO|jp6 zrs{xz!3fpHy3z=6B7~MDWM07k*(aw`YzrN z^(Du?<()+7@bL!ODZ%gVu=5c+8!?~M3AzgY>buICh|dWe+|umTX=WC8(~cGfz!7yk zP{|j*%R>Awn7*djVApB}uaNtCV=p3YufLvehaxg+=C@`eXm_Y-=Nlm~5HWXj!5)9- zX=7fx_H^?&aJgnqJ!DwpJ-I=|Go$Fw*qBiG=_N*%QzC=j6Dy;xSn=ZCu=?c|AI^_V zL4tvkZQiRrsW|&?ff~U$IXQaXy4S>5#sDc1Jh+)U; zlQFCQvW%aGDdg^%qdjveXa#OBw?6Lv1a)SRMT&%!UY=jnE?JuEa*x+|3oFgy_L=2b za;q7n>pBavK-Grtvz~k4RB|9%p_JdZ!l`>G~gI5RO8(ZzP zi7@WY>l4bf0VgT}6%W1Jr7&6rA!4NOl*)M=9~KK9w?Sl8@{M#IrdB@*G+Z0>>H#{Y z%|ymKXf#t!8gRR@`{DJ%g)iH`OnEtYOjw@576E?Kay8|lbMuB_;=A&06C}{R%_Sy9 zJmj}xPjeNW>Z{;4^%x14H5v z=k;*h9TtA%;wlE)4j;Fv+st5mElOpPdcq4tgY}V`qepSp$q|dQV^?C0Yo6V>yO07n z-`Soz;-h;lS!gIjFa9v_U8nxs!$U}x-XoAQEZ z#-9<|-2&#Y(_ZaRitHDCCr{*(-n!6!buMcWXjWN!xa6&(Y6(TR+Bsqt>|4Dim(0#I z;j5#2*=lY8V63VHH2=)rl6K`dCj8?RfIPxTEepLi%th1Efb=+qc-J|YrvEW~yGVgM zv!gp4^ghZOP`eCQND+k$!TDG|Cs884F-J2cd&Q`;NM`ac`G_?_%%4?T?AqU zdM6hRn3LGNSSevJQ)D*-6e?AfL;L<<(M|oZ}rTnPWE!z)|^Ub`9r^BnqT-z6zzc0N&Jy72~~v z?xa*cpt>Gvcuj>j(E_g3ePLNIC&b&-p>_ULjVo5W{^om%t6m26n}O`9Ayq)Jd>*6q zL#rE4e2Yf|?=^uUo39Xr`R{Fye9#-xpdEp%w6*3plgVsTsYJzak2T}Fa;B*reFZ3G z$HnJk_@_WS**hzyRbNJ(WTuX6E!;yGWeM5mh9+Wmc|WngJ;8`OQO)UlH}e#FVdaj( zIBo>2>MyOdtx+=~FcZ2e&aet}$$)vEB3zPrn56M`F8vL(yQSc0s<3W_N9OH8@?A!} zRJwO(fZpUfQEIzk3T+3T&HJ!9n|fFDX6l5=6&#IeVL+l{*}HA@7(TUxUH zuIHQ}U7u%v#nmKSWr@0`=PLDilx?%yvp@p;Wg|KYCnUL;3!7!cO6IK_mLd(01}SwZx3~DQ8f7NfP_|V{Fa=2>b`t2gy0ly_^{`J`v5MZp-pE8Yd^Q_P$|K|@xQh;f z(HR14))P0m;|p@K`Bm1yAIs7Z_)tZ=>Xm1A)eNd&C2>WwuwW;ia^lY1ZM$zU{smQ+c+}9225277Q_p|yD{-r0Bw=Hip4SHm7*!N#ROlF6gcx9E%FHQ>y z5_;TFpDSe&PR`6vrRYNiE}sR!OX73ye&S$~(iNR$qhMA*yEiAM4xxIFze^$ifPWnT zNDZ|;oSyE;r2B$V;MIfsIK#|kok>|63ko1?EX(0N3=(I;*ksTcqG|iZW~A*k@O||X zEXR;a*{hP(L-$=XD6F{GxJp;#A;kw~a>=fAqYK8av8x*_1^zH4V>`|1EwMYwaZmo8lq2>cxH+@k&Wy&{;C`DKZxmG-# zNhJz?I|vsP64U7^Rc=H+?5zyo2?gq;%5AcL;}5*fNpf#Z31lk|^CKJlbz`E-#?~=h ze&D()et1A}X*q|UTM_O8PGI2YR?r8C&w%PxUb(;kwJy|5oJ~^n z)gTuUJmTej_&h ze7DgWx!fkHS}V3^^Q7wNBj%B2<)zP?yP3C3W+%EY3NPUkD<~;}ME|n}W%y|gbpT|i zi$h@SMQ|2^l4ughGKp_#)gDRaHq8&3Ia8K!i5vSMzV#k)xRMf}XBo)JDu{Sod)hW@ zcWt-d?kG;t6ONy2tDAtpU)n8F9Ij3=xQzHv^g+jc^kTwUmE@aeGLAst?e!K5N7cg6 ztNrozD_qdmejS*YdfEorI|QEUUaR*A7Ol5t>^a)P0Y5t1kS&aZfaEF!6 zg>9fjThi{D>hq{Bv3m}@oW1DH5MeWI7Tv@p;JeRbHhRd}n2t9Al69~3X5=Y95|+T| z@H72^)m75&$?&GSW*Cs*9Y&zcZ~GZX%r^~J^J()s zO2bTtx!>i|o1i72U%Hh?q}u(n2Aa8&DiQ09j%3w8T> z^z(F4s!#4ORuJ1BeK|*+ftMP-51CN?qx$)c<{<6i zqDMIOO{hi5$*1P8x;2;CSl-tH^l%qj!=59*US4$8!=x!Tm7oVJ&NE-LSEzfTHTgj{ z(hQjq()aXn@Y2O4g__;*?MFb-7FMfq(X)`#CG-I=LRo>Al8VA@a-8LTmbyz>^aDY= z9cilxpLx`(S7Q#HN1g@?`Ae;=)%m{2RL2ptzI{gO24kh479{Pjpvf|K4cNlLjZ$iQ8uJdxTz3R$@2R+uF|dfZacJd8qj0_tjoq3 zaanzp=`+-`fk`T*dGRv%d~*FamQ28zkO6$(=Sb5Pk%6l-_*lqD;5A8kFy~^5#MHBJ ziC{P`;_2w&568!wii#C?i%dY5>k=Y8siZxip4V5#-7cW>V~+ThwneQx3!!;RSWyLw zlpnzrV2Kig+`rxX=8ueLZao{hJO8ij-YTCZbJt|6w zLgbOcZ^pE`hG9cx+6+UUNMKLtqjNql(v%VHY4tV@RDk?2;{|zK`9))18Es43^HBM) ztI0*GFkh)bK|uiwHM%^6j@jR9_L4Qms^lf1yh#X5y5ciw0fpLcM-=#04hrP1Br;;XD1?$b{lu#&2kqGs7 zP^8yeKrjdDy}QZlv$AP;RJjgv9W+*qm1^nsa(HTSCQse)BXTOnUg(M5hm@s`Yz2p& zcFKB42JhfOQK*G3mgDi< zlJ`HZtMV%M--PyM71zZ^FDAZ{szfuSHlRLcwF}yB!ytaaR?q4d%5h~W{%-10?>k?i z1V6=7Jpv7uIh|5#6ha(L-$vY>;i-Zp%?{pxd=9ndnN!d1&|)C!&on4>YNTL#)#JxI>Dg&lHoOaZ9kr2L!7UtF3}l2^WQ>c0Rv{cF{dn%y3fyH~V)?^k-XnW(wUf09%guq$NgqdD%RQ&B-Fs9Ak}L`+4d;knfCpTD?RlkG zcH=n~T!p;Q7!pgS>&E!S$c-CSSSEOXwLY^KGj^tPIMWt*B2mPmx1|m8uH_d0wK)RT zDImOS0+Dp+F_ds^syYT28J_98+N$Ux10v+vj?utsLz-JA-fbOMcNN*Xy(EGtPQSgt zDJ-Fpbu&CqYH$7EBLZ)dx1wLB>OO43tapA9mUy4DTPU|KcG0K;tz6R{%W`Q!c}96Pp&vqq z6u(LBV5PiaW0{^WD92-tP-wojwbrIYL+m}3(?zR_NspyCzCBIet|bG(pVL%;&*Zb6 zq-ZP~dHTAIZ}PAr{Cu@d)Zp80#yLjd$$6SvfQxlJ0l6RVCU36ZqkoY}gkJBMbP*3R zs%hF$v{2ifz`qMckB`zRrAU&#(3}58#8&#d^94Cy4oc#G|0PLz5C_X0#;XAz3O~{; zns7)$gP6KfB`-EjU09TewKzTh4k#HYjQLiP*a$9FVr-ulffN^9;~Jklt|ai+^E0I9 znYQy!!IqPSy(#ZtJ<4#g-mq)xIb26X&p2nobs}e3(@rK9>7FrgsjNGJ!GaxE{(a)C<>`k+R&l~XOgeZZc(h(h!z_DS=3{UinP>28(}jG!yt z$hX1H92u{9Nv{oa7^2ovN70A*(&%#28Uwp2E5gDgarX-$Ef)p3w-_#Fz0~m=LSL@* zv(S4o$dnH~W;CT`hTTrirk)g$WWE5V(4rZKD#y{&(Vbl}o=s-P&Mc&TbaYcEMCyROY zh?+A~p-#s!oS8`zeiHKa#&$tVCG>6RY{Q4h-<6Q_W*dB> zEx}PoXoHYN7!?&=;7fqdH1bWL*TA-vM{dbNXIBYP$Z0I>Yr1uotl2`nEW1 z=T(zPE@NxGnH0ACCR=t6oAU@?U9|q2)b9vlZSz$5f|1x}1y`)Ni57G&4YdnX^3}$c zF3gUw2EjHG`<}m=oy>bYNGQs@{|=y51qeJVZ&w+&IqG0DRfOLTkntPV<(^X?R(nva zwu($ixfP<#pPlOZ%x;SQgc8C$A#p5EkmH{1U4d7vy?&?@QR0-e%f*Qt#K}v?iWdN> zMK6}Zs4As+9+x^Bo^8M{wPge)0EW4z;dx&vpH)No0+!j|xF=D5ej=T-&8>lnkH6q1 zSR!{}RG+o#MBfGQTv38#ARWI7W?Ds~z3?KIC05lYEbb}SI$;1rt)2m3OJ`w%gq&yq zEGixIWx^slI**Btaj(6X%_se+XebzCT{rDzq5HAy!MEw@M<#jjPc^{QQaY>)6P5Lx z+x>KeV5K2-I{Dndh{m1s-GgUvH1VYZ`s1gBB}ENH;}fb-?$KQ1=M3NHY+XKnM1?C7 zosoa<;^f^yN*{+@Qw*0EHYPAPv4D-vIjW!QhM_tYXgd7#)UkW4qTe>68?yiyj9dE! z?1fe_P2CUgGfVF?1MvALa1aK@e!bgUe*rVEPSiE5SvY0 zEErXP{QSGFD}Ffksve`l`7^40y^7AbV)&C-_R~JS_8m6tVx_b1EzpUXuG&_iec!oM z#gY@37;tXYiPcKNx{;ZW#Xt97yMoh8Z1q2UVY>_M+GU()_Zb3+{ysc19ufcKB(BmZ zy$0ak>Dp#)EO0UxuBSo}zlCo~I96j+*q2KCa^>NJZUTBGG+Oukr;ecVu_nk6L=2x< z5N$4(uOwM&r?)JkxC8rItw{-$=<~cZNgpdMQiuK?@8(lzoI_|s2UT3U>w(tH=f2GQ z_4)16Shr2OO?}j>bP6k6M9z1$Wok|P!g}bJj@!a&VRK&K2jzWvN@G~^%5u>Jrpi_i zGPTP$H?0A}Z4lp0(fk61WT4};8aq@bjB#)}kbS7b2ca(U{u&Gt$itag8{3Z&50Q#7 z8HDl0@HM|9y-v1?!S+H5&KI26ol1E4Y5sT)4iRijCj=p88Rg$RU7V_8!*jTi+Y{|w zNk{9&tY%y`>h%7Dz&v^_>ut51$gv5X7z>AscuSy9g6698AmjGQ0-X(NDs}jg6q9g^ zb{>UrC8NAXZ+7V88)P;{Q7oCgxP=!2uXRw{&D$%<1cTwJvLx_JR!RJ)IIh^#l}E*y z^oyEBE?FROQv0-ym8Z|)yt@4H9%&#=u-kBdKx}yym+o(JXy@EN(cdn@N8FLH- zTF>yFUE7t#?Z~Iy@vCw`-dsVtd&dIr=1zH;Z&b+OqeGh+{p9#eK3|epXo=CsS2k*K zGIP@6F@X;rHa){)$!fBn&LS;;M4XHfwq;^phtQ_ zj1L~@VqaThTG9*3C)H!^?1j1lT!&&o3e|awYZO{J5q1x)hCw;Ft0Be(?(?baLLnDW zK^F*cuQtSS1$yqKbq>jAUoQG$5+j4lR|yssVd*z>O^7Q9()E6E2*Q0ey!G_d0Y|w|i1a6P2*Y1PrPF+!2ZtC$^91tl*fQJ)PG#bf9Bp0=s|F^*%1(ws7ZbQs zgK@kbJtS8T2GnK?IH9meCQ+#_3I8(HM=GeR;`EJQ6{);#*ZYpGW^g}U=)>eCfUo<5L zs-pzeIOm=qL03UgRdn8kErrO$W-TE6!$%63Lypfwzxlaze|GCu zy)VW9nmJpBn`nu@!F8@zB`dC1R9cM>rB*og;>JLN6cHjAcZMPW@**So6Y_EQjq+lY z^58jT=uY6PZ594T7>wU*(1z?HfI2Tf(vwb6sR&q8p%s>isVjEMTg1}^uk4nG$jh(b zZF9HA^}i_wC|Q(>Gaa^q6Qa#!tO1-p$7Leo1$XH?hTl&@Hu)M8?@0Yiu)D z+zyW>y?rnxS!*Lo2*RscW1E zP~AD>LKc7jzO=7`mXl-)bhG1o?OPjbGXRxgR(U`)GAyL*p~NMA<+!em4!deOcy`Ar zXT_(Zgz0>!w-w(RKtt-s=}ACdTH52jf*ywm45*Czd7=a_$5?n%uWoh&@_jn;F4RpH zs&JiOtCP7OmtScga(_`syNevUT4t z{O#r>YPoQeDrc8Vth(aM3V})jQkcaY4R%3Q37%^6%nbbkTrR$K{+KYMofX&7ysWeF z7@ty?nauU__ZnQvWr$mFP`ck)4)4iVQt{{)j3)jmFsB3>8f6j0*c2?%SO2vXb}(*n zDM$QLdk>XQsu&;&meM|27@DZp{Y4iSRL~TOJC|~*d7@iLvgHDc@wtm)h``R&_T{=c zXsj69N|nMC_;hLw{NwKNVCIXRhC`1+P!rkTOJlkHF*j*XG$p^J1<{4T4+&gy;`E%O zCLAYqj_>A9y6KhEw=vgR<1m5I!*IWa0Sp6S`Yk zjRIF@`dRTcV<`7jdXDF7HSX))Cb`|Sy|B1YkJO8U1J`+IP4944eZsw`1qn{PDRsfEtlW2pZ&X^?o)e~* z_c>jr*oXmlwNciF*%+%Fp;)fjXnKS?4S`IJ91(1M}V4iHSyC;xz6^*46bJ#QGq^ z@dmB$ zCSNEJseVfa+e$)|J#B_SEOeS)o%9%l2j};yNm^|Zt0K?2;U6r5HxnwKM7^o@PzZ}- zLuq~l(L}`E!+t+^{bkAarTFm?gjMHNm4~i}^>1-paO=qHo-)^G~(cBK`ZsT^yps z=$O7zk6s_V15g;F3Ur8)rOuhfnRQNI)KTw0i6YNFLF3pT-Zfl?uzxAdjY+M#B6nS@ zUc4cF{6QBlBaZAQm}GL8Dt;QR!?in8r_c}T<&$o5o@ih8b!>QAKWw|;@%6ARt9!-Gzf>s=3aJN=i z`^%+Q`+LCt>7<9_f{B5uoW>E4nu_tE*)<|J3nsjGdMjubt#5+H6CQnqE%V*OB+5oU zk3{06b027cxtig zPGz~O(wSt5(E|DVU4NZ@2rh4~32-O9tQ*ML{g(e!XH#OA%jIw?F(1r$i#MO>$pRwV zg=d`-Hb8h#!Wk={OViUnA`*9E*cgD(S&{2gueIKe7$~1IkiU-_?9w=~YwHY3H9P$XCVXpEB-IJlkL$URp zTu%RPV8Nmjjxwj>+u)TC4mzzY6nE}^gD%w63<%Bz3Av_ICA2nw!%PH&y*5QM($7kF zdcJc{LWCE!(AEMj(d#$lZqWsd!??ol9}`xjc68Lz+^VD7z!c@Wwi&KQ)LBoCutJF@ zh{ZhJmVxuitx1PO(()HxVmCWpi*vBm)~w?_O$t3iu*L-l;d1$w+-recBReo<+}X}M z0>ayOLPRPW3%QIFv9GEs4t+Uwlwv-YGMz0Rj&cf?x7?PRGG!}mxX(YG0g`RNBzGUr z97z_)UwQRT1gqZFBS!Y7=MilHkuRDp6VzQV;Rt#x836evX$@DgMV&0sq#pP-dX7FJ zaW4MoEzI>;HraD4cea@y+X~C{2qPxijah_}HSX?Q^k_OK&58-{JfG4}R~SRgzV%p5 zs1V3h=5&llw?*A_9vha}Hi6Kpe_5|3u0&3lwXf`r3V+>=ao4o6p%bKB8l>Xng_-h@P8 zU3wneGoXQil4PYOx;Y$$jHg}((ccL zR0A31-UrzslK9671xaw2jEao=9lxie?TAVgPdO9y^EH)}g< zH*2EnF9K<>qrH>09g!aa!SL%?+q>JDx>-9q@MEmaTufblAfP&Wx+Z#H7@3|c$q-;i z2Uj;2Q)>q|Qe{7i&O^147(AQ|Y42o5v?n^a@&D1PG|bxVS0UbMuYOZI{=d}!Xi(SD z(a!Z(b)72?ZdRmDcoRuOps%C*tA?(Nse>ztHSte6q@I`nwZRCaF3D(m+R$Ghe^=B3 zg3&-Y)C7cw82peu(lRbZ-O>}ZZ?#)z^X-R(Rw=Rs~2U;5nN9yQm8|=BT->S0iS2eSCvm`p~LsS-> zWjamL+)LcQLAVetZHZT0!FJZ9*?}dxx|&)N@$PO;?rt#B)T1@=vUjy~mHwmp(?3pV zUH3T(Qq6xIzYrrh+S@zsCpM@mb*74h*-O6=`-%8T4Cz2{`7v`%?fzhA?O+LYFg3Fy zn$udC+PM-*bbitXn>x97QjLZUnpkYL`DI85 zcu2{_RSfk6kP7sXOWCWXbl@iQj_`#_z924#1Fk ze`6NzuEc%h1kMgnN|N;U66e3_AB@*t)d!%&zrP8DHId|%30BqwQ@fu|_V?>o`~YYK zpi+{)NSV>iN?Eq$O$UV2-hNAWC6PooGoDmlSFK65%Y( z&D^c+%=gQ+OkMVi^j+QdL|QIH4{JwvS1BAEvhN)Gk8>?j$RwIe;lTe?({^-s`T55g4Zs_N|4m{634%(AB+&G;sfycW$YY?{}T3y zS!aEJJ9a-22V?bz@&SnLU3C9r9XePN@k6iVaP!VC$WZO$Z`zPHm*q=<1I#3hR?m-8M$C+S& zI=Uu0!1GXu$pxe?f>!yo+-aFJ*8lZ_N8o=o^y_|{g!tdF#ab{2bV7y402jKII0siORjJ8X)MFS+W?WJEX*8c_llkMMQ4Oklr z)csGOk==_je=q-iEW((&y6xR+fmplHf>n$NS1diP9gM6T?TJSArfzOV?yfFQaz++T zmZnC3-J&xh)&AE#_~u!#@o2cY`sILbI&(Kg;(SY)|D! X^kyJ2KK#c`W)i=>W5$bu^!fh))y_%0 literal 0 HcmV?d00001 diff --git a/usrp2/fpga/boot_cpld/boot_cpld.lfp b/usrp2/fpga/boot_cpld/boot_cpld.lfp new file mode 100755 index 00000000..0f0c8f2e --- /dev/null +++ b/usrp2/fpga/boot_cpld/boot_cpld.lfp @@ -0,0 +1,5 @@ +# begin LFP file C:\cygwin\home\matt\u2f\boot_cpld\boot_cpld.lfp +designfile boot_cpld.v +parttype xc9572xl-vq44-10 +bus_delimiter 0; +set_busdelim_onsave 1; diff --git a/usrp2/fpga/boot_cpld/boot_cpld.ucf b/usrp2/fpga/boot_cpld/boot_cpld.ucf new file mode 100755 index 00000000..789bb1d9 --- /dev/null +++ b/usrp2/fpga/boot_cpld/boot_cpld.ucf @@ -0,0 +1,34 @@ +NET "CLK_25MHZ" LOC = "P5" ; +NET "CLK_25MHZ_EN" LOC = "P6" ; +NET "LED<0>" LOC = "P12" ; +NET "LED<1>" LOC = "P8" ; +NET "LED<2>" LOC = "P7" ; +NET "DEBUG<0>" LOC = "P1" ; +NET "DEBUG<1>" LOC = "P2" ; +NET "DEBUG<2>" LOC = "P3" ; +NET "DEBUG<3>" LOC = "P29" ; +NET "DEBUG<4>" LOC = "P30" ; +NET "DEBUG<5>" LOC = "P31" ; +NET "DEBUG<6>" LOC = "P32" ; +NET "DEBUG<7>" LOC = "P33" ; +NET "DEBUG<8>" LOC = "P34" ; +NET "POR" LOC = "P42" ; +NET "SD_nCS" LOC = "P20" ; +NET "SD_Din" LOC = "P21" ; +NET "SD_CLK" LOC = "P22" ; +NET "SD_Dout" LOC = "P23" ; +NET "SD_DAT1" LOC = "P27" ; +NET "SD_DAT2" LOC = "P28" ; +NET "SD_prot" LOC = "P19" ; +NET "SD_det" LOC = "P36" ; +NET "CFG_INIT_B" LOC = "P38" ; +NET "CFG_Din" LOC = "P37" ; +NET "CFG_CCLK" LOC = "P41" ; +NET "CFG_DONE" LOC = "P40" ; +NET "CFG_PROG_B" LOC = "P39" ; +NET "CPLD_CLK" LOC = "P13" ; +NET "START" LOC = "P14" ; +NET "MODE" LOC = "P18" ; +NET "DONE" LOC = "P16" ; +NET "detached" LOC = "P43" ; +NET "CPLD_misc" LOC = "P44" ; diff --git a/usrp2/fpga/boot_cpld/boot_cpld.v b/usrp2/fpga/boot_cpld/boot_cpld.v new file mode 100755 index 00000000..3c53a799 --- /dev/null +++ b/usrp2/fpga/boot_cpld/boot_cpld.v @@ -0,0 +1,85 @@ +`timescale 1ns / 1ps +// //////////////////////////////////////////////////////////////////////////////// +// Boot CPLD design, only for u2_rev2 +// //////////////////////////////////////////////////////////////////////////////// + +module boot_cpld + (input CLK_25MHZ, + output CLK_25MHZ_EN, + output [2:0] LED, + output [8:0] DEBUG, + input POR, + + // To SD Card + output SD_nCS, + output SD_Din, + output SD_CLK, + input SD_Dout, + input SD_DAT1, // Unused + input SD_DAT2, // Unused + input SD_prot, // Write Protect + input SD_det, // Card Detect + + // To FPGA Config Interface + input CFG_INIT_B, + output CFG_Din, // Also used in Data interface + output CFG_CCLK, + input CFG_DONE, + output CFG_PROG_B, + + // To FPGA data interface + output CPLD_CLK, + input START, + input MODE, + input DONE, + output detached, + input CPLD_misc // Unused for now + ); + + assign CLK_25MHZ_EN = 1'b1; + + assign LED[0] = ~CFG_DONE; + assign LED[1] = CFG_INIT_B; + assign LED[2] = ~CFG_PROG_B; + + wire en_outs; + wire [3:0] set_sel = 4'd0; + + assign CPLD_CLK = CFG_CCLK; + assign DEBUG[8:0] = { CLK_25MHZ, SD_nCS, SD_CLK, SD_Din, CFG_CCLK, CFG_PROG_B, CFG_INIT_B, CFG_DONE, CFG_Din}; + + spi_boot #(.width_set_sel_g(4), // How many sets (16) + .width_bit_cnt_g(6), // Block length (12 is faster, 6 is minimum) + .width_img_cnt_g(2), // How many images per set + .num_bits_per_img_g(20), // Image size, 20 = 1MB + .sd_init_g(1), // SD-specific initialization + .mmc_compat_clk_div_g(0),// No MMC support + .width_mmc_clk_div_g(0), // No MMC support + .reset_level_g(0)) // Active low reset + + spi_boot(.clk_i(CLK_25MHZ), + .reset_i(POR), + + // To SD Card + .spi_clk_o(SD_CLK), + .spi_cs_n_o(SD_nCS), + .spi_data_in_i(SD_Dout), + .spi_data_out_o(SD_Din), + .spi_en_outs_o(en_outs), + + // Data Port + .start_i(START), + .mode_i(MODE), // 0->conf mode, 1->data mode + .detached_o(detached), + .dat_done_i(DONE), + .set_sel_i(set_sel), + + // To FPGA + .config_n_o(CFG_PROG_B), + .cfg_init_n_i(CFG_INIT_B), + .cfg_done_i(CFG_DONE), + .cfg_clk_o(CFG_CCLK), + .cfg_dat_o(CFG_Din) + ); + +endmodule // boot_cpld diff --git a/usrp2/fpga/control_lib/CRC16_D16.v b/usrp2/fpga/control_lib/CRC16_D16.v new file mode 100644 index 00000000..7e2816af --- /dev/null +++ b/usrp2/fpga/control_lib/CRC16_D16.v @@ -0,0 +1,89 @@ +/////////////////////////////////////////////////////////////////////// +// File: CRC16_D16.v +// Date: Sun Jun 17 06:42:55 2007 +// +// Copyright (C) 1999-2003 Easics NV. +// This source file may be used and distributed without restriction +// provided that this copyright statement is not removed from the file +// and that any derivative work contains the original copyright notice +// and the associated disclaimer. +// +// THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS +// OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED +// WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE. +// +// Purpose: Verilog module containing a synthesizable CRC function +// * polynomial: (0 5 12 16) +// * data width: 16 +// +// Info: tools@easics.be +// http://www.easics.com +/////////////////////////////////////////////////////////////////////// + + +module CRC16_D16 + (input [15:0] Data, + input [15:0] CRC, + output [15:0] NewCRC); + + assign NewCRC = nextCRC16_D16(Data,CRC); + + // polynomial: (0 5 12 16) + // data width: 16 + // convention: the first serial data bit is D[15] + function [15:0] nextCRC16_D16; + + input [15:0] Data; + input [15:0] CRC; + + reg [15:0] D; + reg [15:0] C; + reg [15:0] NewCRC; + + begin + + D = Data; + C = CRC; + + NewCRC[0] = D[12] ^ D[11] ^ D[8] ^ D[4] ^ D[0] ^ C[0] ^ C[4] ^ + C[8] ^ C[11] ^ C[12]; + NewCRC[1] = D[13] ^ D[12] ^ D[9] ^ D[5] ^ D[1] ^ C[1] ^ C[5] ^ + C[9] ^ C[12] ^ C[13]; + NewCRC[2] = D[14] ^ D[13] ^ D[10] ^ D[6] ^ D[2] ^ C[2] ^ C[6] ^ + C[10] ^ C[13] ^ C[14]; + NewCRC[3] = D[15] ^ D[14] ^ D[11] ^ D[7] ^ D[3] ^ C[3] ^ C[7] ^ + C[11] ^ C[14] ^ C[15]; + NewCRC[4] = D[15] ^ D[12] ^ D[8] ^ D[4] ^ C[4] ^ C[8] ^ C[12] ^ + C[15]; + NewCRC[5] = D[13] ^ D[12] ^ D[11] ^ D[9] ^ D[8] ^ D[5] ^ D[4] ^ + D[0] ^ C[0] ^ C[4] ^ C[5] ^ C[8] ^ C[9] ^ C[11] ^ C[12] ^ + C[13]; + NewCRC[6] = D[14] ^ D[13] ^ D[12] ^ D[10] ^ D[9] ^ D[6] ^ D[5] ^ + D[1] ^ C[1] ^ C[5] ^ C[6] ^ C[9] ^ C[10] ^ C[12] ^ + C[13] ^ C[14]; + NewCRC[7] = D[15] ^ D[14] ^ D[13] ^ D[11] ^ D[10] ^ D[7] ^ D[6] ^ + D[2] ^ C[2] ^ C[6] ^ C[7] ^ C[10] ^ C[11] ^ C[13] ^ + C[14] ^ C[15]; + NewCRC[8] = D[15] ^ D[14] ^ D[12] ^ D[11] ^ D[8] ^ D[7] ^ D[3] ^ + C[3] ^ C[7] ^ C[8] ^ C[11] ^ C[12] ^ C[14] ^ C[15]; + NewCRC[9] = D[15] ^ D[13] ^ D[12] ^ D[9] ^ D[8] ^ D[4] ^ C[4] ^ + C[8] ^ C[9] ^ C[12] ^ C[13] ^ C[15]; + NewCRC[10] = D[14] ^ D[13] ^ D[10] ^ D[9] ^ D[5] ^ C[5] ^ C[9] ^ + C[10] ^ C[13] ^ C[14]; + NewCRC[11] = D[15] ^ D[14] ^ D[11] ^ D[10] ^ D[6] ^ C[6] ^ C[10] ^ + C[11] ^ C[14] ^ C[15]; + NewCRC[12] = D[15] ^ D[8] ^ D[7] ^ D[4] ^ D[0] ^ C[0] ^ C[4] ^ C[7] ^ + C[8] ^ C[15]; + NewCRC[13] = D[9] ^ D[8] ^ D[5] ^ D[1] ^ C[1] ^ C[5] ^ C[8] ^ C[9]; + NewCRC[14] = D[10] ^ D[9] ^ D[6] ^ D[2] ^ C[2] ^ C[6] ^ C[9] ^ C[10]; + NewCRC[15] = D[11] ^ D[10] ^ D[7] ^ D[3] ^ C[3] ^ C[7] ^ C[10] ^ + C[11]; + + nextCRC16_D16 = NewCRC; + + end + + endfunction + +endmodule + diff --git a/usrp2/fpga/control_lib/SYSCTRL.sav b/usrp2/fpga/control_lib/SYSCTRL.sav new file mode 100644 index 00000000..43bfef10 --- /dev/null +++ b/usrp2/fpga/control_lib/SYSCTRL.sav @@ -0,0 +1,24 @@ +[size] 1400 971 +[pos] -1 -1 +*-11.026821 2450 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +system_control_tb.aux_clk +@29 +system_control_tb.clk_fpga +@28 +system_control_tb.dsp_clk +system_control_tb.dsp_rst +system_control_tb.proc_rst +system_control_tb.rl_done +system_control_tb.rl_rst +system_control_tb.wb_clk +system_control_tb.wb_rst +system_control_tb.system_control.POR +@22 +system_control_tb.system_control.POR_ctr[3:0] +@28 +system_control_tb.clock_ready +system_control_tb.system_control.half_clk +system_control_tb.system_control.fin_ret_half +system_control_tb.system_control.fin_ret_aux +system_control_tb.system_control.gate_dsp_clk diff --git a/usrp2/fpga/control_lib/WB_SIM.sav b/usrp2/fpga/control_lib/WB_SIM.sav new file mode 100644 index 00000000..467cd35e --- /dev/null +++ b/usrp2/fpga/control_lib/WB_SIM.sav @@ -0,0 +1,47 @@ +[size] 1400 971 +[pos] -1 -1 +*-6.099828 350 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +wb_sim.wb_rst +wb_sim.wb_clk +@23 +wb_sim.rom_data[47:0] +@22 +wb_sim.rom_addr[15:0] +@28 +wb_sim.start +wb_sim.wb_ack +@22 +wb_sim.wb_adr[15:0] +@28 +wb_sim.wb_cyc +@22 +wb_sim.wb_dat[31:0] +wb_sim.wb_sel[3:0] +@28 +wb_sim.wb_stb +wb_sim.wb_we +@22 +wb_sim.port_output[31:0] +@28 +wb_sim.system_control.POR +wb_sim.system_control.aux_clk +wb_sim.system_control.clk_fpga +@29 +wb_sim.system_control.done +@28 +wb_sim.system_control.dsp_clk +wb_sim.system_control.fin_del1 +wb_sim.system_control.fin_del2 +wb_sim.system_control.fin_del3 +wb_sim.system_control.fin_ret_aux +@29 +wb_sim.system_control.fin_ret_fpga +@28 +wb_sim.system_control.finished +wb_sim.system_control.reset_out +wb_sim.system_control.start +wb_sim.system_control.started +wb_sim.system_control.wb_clk_o +wb_sim.system_control.wb_rst_o +wb_sim.system_control.wb_rst_o_alt diff --git a/usrp2/fpga/control_lib/atr_controller.v b/usrp2/fpga/control_lib/atr_controller.v new file mode 100644 index 00000000..fed2791f --- /dev/null +++ b/usrp2/fpga/control_lib/atr_controller.v @@ -0,0 +1,57 @@ + +// Automatic transmit/receive switching of control pins to daughterboards +// Store everything in registers for now, but could use a RAM for more +// complex state machines in the future + +module atr_controller + (input clk_i, input rst_i, + input [5:0] adr_i, input [3:0] sel_i, input [31:0] dat_i, output reg [31:0] dat_o, + input we_i, input stb_i, input cyc_i, output reg ack_o, + input run_rx, input run_tx, input [31:0] master_time, + output [31:0] ctrl_lines); + + reg [3:0] state; + reg [31:0] atr_ram [0:15]; // DP distributed RAM + + // WB Interface + always @(posedge clk_i) + if(we_i & stb_i & cyc_i) + begin + if(sel_i[3]) + atr_ram[adr_i[5:2]][31:24] <= dat_i[31:24]; + if(sel_i[2]) + atr_ram[adr_i[5:2]][23:16] <= dat_i[23:16]; + if(sel_i[1]) + atr_ram[adr_i[5:2]][15:8] <= dat_i[15:8]; + if(sel_i[0]) + atr_ram[adr_i[5:2]][7:0] <= dat_i[7:0]; + end // if (we_i & stb_i & cyc_i) + + always @(posedge clk_i) + dat_o <= atr_ram[adr_i[5:2]]; + + always @(posedge clk_i) + ack_o <= stb_i & cyc_i & ~ack_o; + + // Control side of DP RAM + assign ctrl_lines = atr_ram[state]; + + // Put a more complex state machine with time delays and multiple states here + // if daughterboard requires more complex sequencing + localparam ATR_IDLE = 4'd0; + localparam ATR_TX = 4'd1; + localparam ATR_RX = 4'd2; + localparam ATR_FULL_DUPLEX = 4'd3; + + always @(posedge clk_i) + if(rst_i) + state <= ATR_IDLE; + else + case ({run_rx,run_tx}) + 2'b00 : state <= ATR_IDLE; + 2'b01 : state <= ATR_TX; + 2'b10 : state <= ATR_RX; + 2'b11 : state <= ATR_FULL_DUPLEX; + endcase // case({run_rx,run_tx}) + +endmodule // atr_controller diff --git a/usrp2/fpga/control_lib/bin2gray.v b/usrp2/fpga/control_lib/bin2gray.v new file mode 100644 index 00000000..51340216 --- /dev/null +++ b/usrp2/fpga/control_lib/bin2gray.v @@ -0,0 +1,10 @@ + + +module bin2gray + #(parameter WIDTH=8) + (input [WIDTH-1:0] bin, + output [WIDTH-1:0] gray); + + assign gray = (bin >> 1) ^ bin; + +endmodule // bin2gray diff --git a/usrp2/fpga/control_lib/bootrom.mem b/usrp2/fpga/control_lib/bootrom.mem new file mode 100644 index 00000000..d688b434 --- /dev/null +++ b/usrp2/fpga/control_lib/bootrom.mem @@ -0,0 +1,26 @@ +00000C000F03 +101400000000 + // SPI: Set Divider to div by 2 +// Both clk sel choose ext ref (0), both are enabled (1), turn off SERDES, ADCs, turn on leds +1018_0000_0001 // SPI: Choose AD9510 +1010_0000_3418 // SPI: Auto-slave select, interrupt when done, TX_NEG, 24-bit word +1000_0000_0010 // SPI: AD9510 A:0 D:10 Set up AD9510 SPI +1010_0000_3518 // SPI: SEND IT Auto-slave select, interrupt when done, TX_NEG, 24-bit word +ffff_ffff_ffff // terminate +#// First 16 bits are address, last 32 are data +#// First 4 bits of address select which slave +// 6'd01 : addr_data = {13'h45,8'h00}; // CLK2 drives distribution, everything on +// 6'd02 : addr_data = {13'h3D,8'h80}; // Turn on output 1, normal levels +// 6'd03 : addr_data = {13'h4B,8'h80}; // Bypass divider 1 (div by 1) +// 6'd04 : addr_data = {13'h08,8'h47}; // POS PFD, Dig LK Det, Charge Pump normal +// 6'd05 : addr_data = {13'h09,8'h70}; // Max Charge Pump current +// 6'd06 : addr_data = {13'h0A,8'h04}; // Normal operation, Prescalar Div by 2, PLL On +// 6'd07 : addr_data = {13'h0B,8'h00}; // RDIV MSB (6 bits) +// 6'd08 : addr_data = {13'h0C,8'h01}; // RDIV LSB (8 bits), Div by 1 +// 6'd09 : addr_data = {13'h0D,8'h00}; // Everything normal, Dig Lock Det +// 6'd10 : addr_data = {13'h07,8'h00}; // Disable LOR detect - LOR causes failure... +// 6'd11 : addr_data = {13'h04,8'h00}; // A Counter = Don't Care +// 6'd12 : addr_data = {13'h05,8'h00}; // B Counter MSB = 0 +// 6'd13 : addr_data = {13'h06,8'h05}; // B Counter LSB = 5 + // default : addr_data = {13'h5A,8'h01}; // Register Update +// @ 55 // Jump to new address 8'h55 diff --git a/usrp2/fpga/control_lib/buffer_int.v b/usrp2/fpga/control_lib/buffer_int.v new file mode 100644 index 00000000..e362d93f --- /dev/null +++ b/usrp2/fpga/control_lib/buffer_int.v @@ -0,0 +1,191 @@ + +// FIFO Interface to the 2K buffer RAMs +// Read port is read-acknowledge +// FIXME do we want to be able to interleave reads and writes? + +module buffer_int + #(parameter BUFF_NUM = 0) + (// Control Interface + input clk, + input rst, + input [31:0] ctrl_word, + input go, + output done, + output error, + output idle, + + // Buffer Interface + output en_o, + output we_o, + output reg [8:0] addr_o, + output [31:0] dat_to_buf, + input [31:0] dat_from_buf, + + // Write FIFO Interface + input [31:0] wr_dat_i, + input wr_write_i, + input wr_done_i, + input wr_error_i, + output reg wr_ready_o, + output reg wr_full_o, + + // Read FIFO Interface + output [31:0] rd_dat_o, + input rd_read_i, + input rd_done_i, + input rd_error_i, + output reg rd_sop_o, + output reg rd_eop_o + ); + + reg [31:0] ctrl_reg; + reg go_reg; + + always @(posedge clk) + go_reg <= go; + + always @(posedge clk) + if(rst) + ctrl_reg <= 0; + else + if(go & (ctrl_word[31:28] == BUFF_NUM)) + ctrl_reg <= ctrl_word; + + wire [8:0] firstline = ctrl_reg[8:0]; + wire [8:0] lastline = ctrl_reg[17:9]; + wire [3:0] step = ctrl_reg[21:18]; + wire read = ctrl_reg[22]; + wire write = ctrl_reg[23]; + wire clear = ctrl_reg[24]; + //wire [2:0] port = ctrl_reg[27:25]; // Ignored in this block + //wire [3:0] buff_num = ctrl_reg[31:28]; // Ignored here ? + + assign dat_to_buf = wr_dat_i; + assign rd_dat_o = dat_from_buf; + + localparam IDLE = 3'd0; + localparam PRE_READ = 3'd1; + localparam READING = 3'd2; + localparam WRITING = 3'd3; + localparam ERROR = 3'd4; + localparam DONE = 3'd5; + + reg [2:0] state; + + always @(posedge clk) + if(rst) + begin + state <= IDLE; + rd_sop_o <= 0; + rd_eop_o <= 0; + wr_ready_o <= 0; + wr_full_o <= 0; + end + else + if(clear) + begin + state <= IDLE; + rd_sop_o <= 0; + rd_eop_o <= 0; + wr_ready_o <= 0; + wr_full_o <= 0; + end + else + case(state) + IDLE : + if(go_reg & read) + begin + addr_o <= firstline; + state <= PRE_READ; + end + else if(go_reg & write) + begin + addr_o <= firstline; + state <= WRITING; + wr_ready_o <= 1; + end + + PRE_READ : + begin + state <= READING; + addr_o <= addr_o + 1; + rd_sop_o <= 1; + end + + READING : + if(rd_error_i) + state <= ERROR; + else if(rd_done_i) + state <= DONE; + else if(rd_read_i) + begin + rd_sop_o <= 0; + addr_o <= addr_o + 1; + if(addr_o == lastline) + rd_eop_o <= 1; + else + rd_eop_o <= 0; + if(rd_eop_o) + state <= DONE; + end + + WRITING : + begin + if(wr_error_i) + begin + state <= ERROR; + wr_ready_o <= 0; + end + else + begin + if(wr_write_i) + begin + wr_ready_o <= 0; + addr_o <= addr_o + 1; + if(addr_o == (lastline-1)) + wr_full_o <= 1; + if(addr_o == lastline) + state <= DONE; + end + if(wr_done_i) + begin + state <= DONE; + wr_ready_o <= 0; + end + end // else: !if(wr_error_i) + end // case: WRITING + + DONE : + begin + rd_eop_o <= 0; + rd_sop_o <= 0; + wr_ready_o <= 0; + wr_full_o <= 0; + end + + endcase // case(state) + + // FIXME ignores step for now + + assign we_o = (state == WRITING) && wr_write_i; // FIXME potential critical path + // IF this is a timing problem, we could always write when in this state + assign en_o = ~((state==READING)& ~rd_read_i); // FIXME potential critical path + + assign done = (state == DONE); + assign error = (state == ERROR); + assign idle = (state == IDLE); +endmodule // buffer_int + +// Unused old code + //assign rd_empty_o = (state != READING); // && (state != PRE_READ); + //assign rd_empty_o = rd_empty_reg; // timing fix? + //assign rd_ready_o = (state == READING); + //assign rd_ready_o = ~rd_empty_reg; // timing fix? + + //wire rd_en = (state == PRE_READ) || ((state == READING) && rd_read_i); + //wire wr_en = (state == WRITING) && wr_write_i; // IF this is a timing problem, we could always enable when in this state + //assign en_o = rd_en | wr_en; + + // assign wr_full_o = (state != WRITING); + // assign wr_ready_o = (state == WRITING); + diff --git a/usrp2/fpga/control_lib/buffer_int_tb.v b/usrp2/fpga/control_lib/buffer_int_tb.v new file mode 100644 index 00000000..4fb5c671 --- /dev/null +++ b/usrp2/fpga/control_lib/buffer_int_tb.v @@ -0,0 +1,447 @@ + +module buffer_int_tb (); + + reg clk = 0; + reg rst = 1; + + initial #100 rst = 0; + always #5 clk = ~clk; + + wire en, we; + wire [8:0] addr; + wire [31:0] fifo2buf, buf2fifo; + + wire [31:0] rd_dat_o; + wire rd_sop_o, rd_eop_o; + reg rd_done_i = 0, rd_error_i = 0, rd_read_i = 0; + + reg [31:0] wr_dat_i = 0; + reg wr_write_i=0, wr_done_i = 0, wr_error_i = 0; + wire wr_ready_o, wr_full_o; + + reg clear = 0, write = 0, read = 0; + reg [8:0] firstline = 0, lastline = 0; + wire [3:0] step = 1; + wire [31:0] ctrl_word = {4'b0,3'b0,clear,write,read,step,lastline,firstline}; + reg go = 0; + wire done, error; + + buffer_int buffer_int + (.clk(clk),.rst(rst), + .ctrl_word(ctrl_word),.go(go), + .done(done),.error(error), + + // Buffer Interface + .en_o(en),.we_o(we),.addr_o(addr), + .dat_to_buf(fifo2buf),.dat_from_buf(buf2fifo), + + // Write FIFO Interface + .wr_dat_i(wr_dat_i), .wr_write_i(wr_write_i), .wr_done_i(wr_done_i), .wr_error_i(wr_error_i), + .wr_ready_o(wr_ready_o), .wr_full_o(wr_full_o), + + // Read FIFO Interface + .rd_dat_o(rd_dat_o), .rd_read_i(rd_read_i), .rd_done_i(rd_done_i), .rd_error_i(rd_error_i), + .rd_sop_o(rd_sop_o), .rd_eop_o(rd_eop_o) + ); + + reg ram_en = 0, ram_we = 0; + reg [8:0] ram_addr = 0; + reg [31:0] ram_data = 0; + + ram_2port #(.DWIDTH(32),.AWIDTH(9)) ram_2port + (.clka(clk), .ena(ram_en), .wea(ram_we), .addra(ram_addr), .dia(ram_data), .doa(), + .clkb(clk), .enb(en), .web(we), .addrb(addr), .dib(fifo2buf), .dob(buf2fifo) ); + + initial + begin + @(negedge rst); + @(posedge clk); + FillRAM; + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing full read, no wait states."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(6,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing full read, 2 wait states."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(6,2); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing full read, done ON the last."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(5,2); + rd_done_i <= 1; + ReadALine; + rd_done_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing partial read, 0 wait states, then nothing after last."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(3,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing partial read, 0 wait states, then done after last."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(3,0); + rd_done_i <= 1; + @(posedge clk); + rd_done_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing partial read, 0 wait states, then done at same time as last."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(2,0); + rd_done_i <= 1; + ReadALine; + rd_done_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing partial read, 3 wait states, then error at same time as last."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(2,3); + rd_error_i <= 1; + ReadALine; + rd_error_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(5,10); + $display("Testing Reading too much, 3 wait states."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(9,3); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(500,511); + $display("Testing full read, to the end of the buffer."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(12,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(0,511); + $display("Testing full read, start to end of the buffer."); + while(!rd_sop_o) + @(posedge clk); + ReadLines(512,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(505,3); + $display("Testing full read, wraparound"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(11,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(10,15); + $display("Testing Full Write, no wait states"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,72); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(18,23); + $display("Testing Full Write, 1 wait states"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,101); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(27,40); + $display("Testing Partial Write, 0 wait states"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,201); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(35,200); + $display("Testing Partial Write, 0 wait states, then done"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,301); + wr_done_i <= 1; + @(posedge clk); + wr_done_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(45,200); + $display("Testing Partial Write, 0 wait states, then done and write simultaneously"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,301); + wr_done_i <= 1; + WriteALine(400); + wr_done_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(55,200); + $display("Testing Partial Write, 0 wait states, then error"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(6,0,501); + wr_error_i <= 1; + @(posedge clk); + wr_error_i <= 0; + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(0,82); + $display("Testing read after all the writes"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(83,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(508,4); + $display("Testing wraparound write"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(9,0,601); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(506,10); + $display("Reading wraparound write"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(17,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(0,511); + $display("Testing Whole Buffer write"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(512,0,1000); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(0,511); + $display("Reading Whole Buffer write"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(512,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(5,10); + $display("Testing Write Too Many"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(12,0,2000); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(0,15); + $display("Reading back Write Too Many"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(16,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferWrite(15,20); + $display("Testing Write One Less Than Full"); + while(!wr_ready_o) + @(posedge clk); + WriteLines(5,0,2000); + repeat (10) + @(posedge clk); + + ResetBuffer; + SetBufferRead(13,22); + $display("Reading back Write One Less Than Full"); + while(!rd_sop_o) + @(posedge clk); + ReadLines(10,0); + repeat (10) + @(posedge clk); + + ResetBuffer; + repeat(100) + @(posedge clk); + $finish; + end + + always @(posedge clk) + if(rd_read_i == 1'd1) + $display("READ Buffer %d, rd_sop_o %d, rd_eop_o %d", rd_dat_o, rd_sop_o, rd_eop_o); + + always @(posedge clk) + if(wr_write_i == 1'd1) + $display("WRITE Buffer %d, wr_ready_o %d, wr_full_o %d", wr_dat_i, wr_ready_o, wr_full_o); + + initial begin + $dumpfile("buffer_int_tb.vcd"); + $dumpvars(0,buffer_int_tb); + end + + task FillRAM; + begin + ram_addr <= 0; + ram_data <= 0; + @(posedge clk); + ram_en <= 1; + ram_we <= 1; + @(posedge clk); + repeat (511) + begin + ram_addr <= ram_addr + 1; + ram_data <= ram_data + 1; + ram_en <= 1; + ram_we <= 1; + @(posedge clk); + end + ram_en <= 0; + ram_we <= 0; + @(posedge clk); + $display("Filled the RAM"); + end + endtask // FillRAM + + task ResetBuffer; + begin + clear <= 1; read <= 0; write <= 0; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Reset"); + end + endtask // ClearBuffer + + task SetBufferWrite; + input [8:0] start; + input [8:0] stop; + begin + clear <= 0; read <= 0; write <= 1; + firstline <= start; + lastline <= stop; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Set for Write"); + end + endtask // SetBufferWrite + + task SetBufferRead; + input [8:0] start; + input [8:0] stop; + begin + clear <= 0; read <= 1; write <= 0; + firstline <= start; + lastline <= stop; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Set for Read"); + end + endtask // SetBufferRead + + task ReadALine; + begin + #1 rd_read_i <= 1; + @(posedge clk); + rd_read_i <= 0; + end + endtask // ReadALine + + task ReadLines; + input [9:0] lines; + input [7:0] wait_states; + begin + $display("Read Lines: Number %d, Wait States %d",lines,wait_states); + repeat (lines) + begin + ReadALine; + repeat (wait_states) + @(posedge clk); + end + end + endtask // ReadLines + + task WriteALine; + input [31:0] value; + begin + #1 wr_write_i <= 1; + wr_dat_i <= value; + @(posedge clk); + wr_write_i <= 0; + end + endtask // WriteALine + + task WriteLines; + input [9:0] lines; + input [7:0] wait_states; + input [31:0] value; + begin + $display("Write Lines: Number %d, Wait States %d",lines,wait_states); + repeat(lines) + begin + value <= value + 1; + WriteALine(value); + repeat(wait_states) + @(posedge clk); + end + end + endtask // WriteLines + +endmodule // buffer_int_tb diff --git a/usrp2/fpga/control_lib/buffer_pool.v b/usrp2/fpga/control_lib/buffer_pool.v new file mode 100644 index 00000000..96929623 --- /dev/null +++ b/usrp2/fpga/control_lib/buffer_pool.v @@ -0,0 +1,323 @@ + +// Buffer pool. Contains 8 buffers, each 2K (512 by 32). Each buffer +// is a dual-ported RAM. Port A on each of them is indirectly connected +// to the wishbone bus by a bridge. Port B may be connected any one of the +// 8 (4 rd, 4 wr) FIFO-like streaming interaces, or disconnected. The wishbone bus +// provides access to all 8 buffers, and also controls the connections +// between the ports and the buffers, allocating them as needed. + +// wb_adr is 16 bits -- +// bits 13:11 select which buffer +// bits 10:2 select line in buffer +// bits 1:0 are unused (32-bit access only) + +module buffer_pool + (input wb_clk_i, + input wb_rst_i, + input wb_we_i, + input wb_stb_i, + input [15:0] wb_adr_i, + input [31:0] wb_dat_i, + output [31:0] wb_dat_o, + output reg wb_ack_o, + output wb_err_o, + output wb_rty_o, + + input stream_clk, + input stream_rst, + + input set_stb, input [7:0] set_addr, input [31:0] set_data, + output [31:0] status, + output sys_int_o, + + output [31:0] s0, output [31:0] s1, output [31:0] s2, output [31:0] s3, + output [31:0] s4, output [31:0] s5, output [31:0] s6, output [31:0] s7, + + // Write Interfaces + input [31:0] wr0_dat_i, input wr0_write_i, input wr0_done_i, input wr0_error_i, output wr0_ready_o, output wr0_full_o, + input [31:0] wr1_dat_i, input wr1_write_i, input wr1_done_i, input wr1_error_i, output wr1_ready_o, output wr1_full_o, + input [31:0] wr2_dat_i, input wr2_write_i, input wr2_done_i, input wr2_error_i, output wr2_ready_o, output wr2_full_o, + input [31:0] wr3_dat_i, input wr3_write_i, input wr3_done_i, input wr3_error_i, output wr3_ready_o, output wr3_full_o, + + // Read Interfaces + output [31:0] rd0_dat_o, input rd0_read_i, input rd0_done_i, input rd0_error_i, output rd0_sop_o, output rd0_eop_o, + output [31:0] rd1_dat_o, input rd1_read_i, input rd1_done_i, input rd1_error_i, output rd1_sop_o, output rd1_eop_o, + output [31:0] rd2_dat_o, input rd2_read_i, input rd2_done_i, input rd2_error_i, output rd2_sop_o, output rd2_eop_o, + output [31:0] rd3_dat_o, input rd3_read_i, input rd3_done_i, input rd3_error_i, output rd3_sop_o, output rd3_eop_o + ); + + wire [7:0] sel_a; + + wire [2:0] which_buf = wb_adr_i[13:11]; // address 15:14 selects the buffer pool + wire [8:0] buf_addra = wb_adr_i[10:2]; // ignore address 1:0, 32-bit access only + + decoder_3_8 dec(.sel(which_buf),.res(sel_a)); + + genvar i; + + wire go; + + reg [2:0] port[0:7]; + reg [3:0] read_src[0:3]; + reg [3:0] write_src[0:3]; + + wire [7:0] done; + wire [7:0] error; + wire [7:0] idle; + + wire [31:0] buf_doa[0:7]; + + wire [7:0] buf_enb; + wire [7:0] buf_web; + wire [8:0] buf_addrb[0:7]; + wire [31:0] buf_dib[0:7]; + wire [31:0] buf_dob[0:7]; + + wire [31:0] wr_dat_i[0:7]; + wire [7:0] wr_write_i; + wire [7:0] wr_done_i; + wire [7:0] wr_error_i; + wire [7:0] wr_ready_o; + wire [7:0] wr_full_o; + + wire [31:0] rd_dat_o[0:7]; + wire [7:0] rd_read_i; + wire [7:0] rd_done_i; + wire [7:0] rd_error_i; + wire [7:0] rd_sop_o; + wire [7:0] rd_eop_o; + + assign status = {8'd0,idle[7:0],error[7:0],done[7:0]}; + + assign s0 = {23'd0,buf_addrb[0]}; + assign s1 = {23'd0,buf_addrb[1]}; + assign s2 = {23'd0,buf_addrb[2]}; + assign s3 = {23'd0,buf_addrb[3]}; + assign s4 = {23'd0,buf_addrb[4]}; + assign s5 = {23'd0,buf_addrb[5]}; + assign s6 = {23'd0,buf_addrb[6]}; + assign s7 = {23'd0,buf_addrb[7]}; + + wire [31:0] fifo_ctrl; + setting_reg #(.my_addr(64)) + sreg(.clk(stream_clk),.rst(stream_rst),.strobe(set_stb),.addr(set_addr),.in(set_data), + .out(fifo_ctrl),.changed(go)); + + integer k; + always @(posedge stream_clk) + if(stream_rst) + for(k=0;k<8;k=k+1) + port[k] <= 4; // disabled + else + for(k=0;k<8;k=k+1) + if(go & (fifo_ctrl[31:28]==k)) + port[k] <= fifo_ctrl[27:25]; + + always @(posedge stream_clk) + if(stream_rst) + for(k=0;k<4;k=k+1) + read_src[k] <= 8; // disabled + else + for(k=0;k<4;k=k+1) + if(go & fifo_ctrl[22] & (fifo_ctrl[27:25]==k)) + read_src[k] <= fifo_ctrl[31:28]; + + always @(posedge stream_clk) + if(stream_rst) + for(k=0;k<4;k=k+1) + write_src[k] <= 8; // disabled + else + for(k=0;k<4;k=k+1) + if(go & fifo_ctrl[23] & (fifo_ctrl[27:25]==k)) + write_src[k] <= fifo_ctrl[31:28]; + + generate + for(i=0;i<8;i=i+1) + begin : gen_buffer + RAMB16_S36_S36 dpram + (.DOA(buf_doa[i]),.ADDRA(buf_addra),.CLKA(wb_clk_i),.DIA(wb_dat_i),.DIPA(4'h0), + .ENA(wb_stb_i & sel_a[i]),.SSRA(0),.WEA(wb_we_i), + .DOB(buf_dob[i]),.ADDRB(buf_addrb[i]),.CLKB(stream_clk),.DIB(buf_dib[i]),.DIPB(4'h0), + .ENB(buf_enb[i]),.SSRB(0),.WEB(buf_web[i]) ); + + /* ram_2port #(.DWIDTH(32),.AWIDTH(9)) buffer + (.clka(wb_clk_i),.ena(wb_stb_i & sel_a[i]),.wea(wb_we_i), + .addra(buf_addra),.dia(wb_dat_i),.doa(buf_doa[i]), + .clkb(stream_clk),.enb(buf_enb[i]),.web(buf_web[i]), + .addrb(buf_addrb[i]),.dib(buf_dib[i]),.dob(buf_dob[i])); */ + + buffer_int #(.BUFF_NUM(i)) fifo_int + (.clk(stream_clk),.rst(stream_rst), + .ctrl_word(fifo_ctrl),.go(go & (fifo_ctrl[31:28]==i)), + .done(done[i]),.error(error[i]),.idle(idle[i]), + .en_o(buf_enb[i]), + .we_o(buf_web[i]), + .addr_o(buf_addrb[i]), + .dat_to_buf(buf_dib[i]), + .dat_from_buf(buf_dob[i]), + .wr_dat_i(wr_dat_i[i]), + .wr_write_i(wr_write_i[i]), + .wr_done_i(wr_done_i[i]), + .wr_error_i(wr_error_i[i]), + .wr_ready_o(wr_ready_o[i]), + .wr_full_o(wr_full_o[i]), + .rd_dat_o(rd_dat_o[i]), + .rd_read_i(rd_read_i[i]), + .rd_done_i(rd_done_i[i]), + .rd_error_i(rd_error_i[i]), + .rd_sop_o(rd_sop_o[i]), + .rd_eop_o(rd_eop_o[i]) + ); + + // FIXME -- if it is a problem, maybe we don't need enables on these muxes + mux4 #(.WIDTH(32)) + mux4_dat_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(wr0_dat_i),.i1(wr1_dat_i), + .i2(wr2_dat_i),.i3(wr3_dat_i),.o(wr_dat_i[i])); + mux4 #(.WIDTH(1)) + mux4_write_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(wr0_write_i),.i1(wr1_write_i), + .i2(wr2_write_i),.i3(wr3_write_i),.o(wr_write_i[i])); + mux4 #(.WIDTH(1)) + mux4_wrdone_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(wr0_done_i),.i1(wr1_done_i), + .i2(wr2_done_i),.i3(wr3_done_i),.o(wr_done_i[i])); + mux4 #(.WIDTH(1)) + mux4_wrerror_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(wr0_error_i),.i1(wr1_error_i), + .i2(wr2_error_i),.i3(wr3_error_i),.o(wr_error_i[i])); + mux4 #(.WIDTH(1)) + mux4_read_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(rd0_read_i),.i1(rd1_read_i), + .i2(rd2_read_i),.i3(rd3_read_i),.o(rd_read_i[i])); + mux4 #(.WIDTH(1)) + mux4_rddone_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(rd0_done_i),.i1(rd1_done_i), + .i2(rd2_done_i),.i3(rd3_done_i),.o(rd_done_i[i])); + mux4 #(.WIDTH(1)) + mux4_rderror_i (.en(~port[i][2]),.sel(port[i][1:0]),.i0(rd0_error_i),.i1(rd1_error_i), + .i2(rd2_error_i),.i3(rd3_error_i),.o(rd_error_i[i])); + end // block: gen_buffer + endgenerate + + //---------------------------------------------------------------------- + // Wishbone Outputs + + // Use the following lines if ram output and mux can be made fast enough + + assign wb_err_o = 1'b0; // Unused for now + assign wb_rty_o = 1'b0; // Unused for now + + always @(posedge wb_clk_i) + wb_ack_o <= wb_stb_i & ~wb_ack_o; + assign wb_dat_o = buf_doa[which_buf]; + + // Use this if we can't make the RAM+MUX fast enough + // reg [31:0] wb_dat_o_reg; + // reg stb_d1; + + // always @(posedge wb_clk_i) + // begin + // wb_dat_o_reg <= buf_doa[which_buf]; + // stb_d1 <= wb_stb_i; + // wb_ack_o <= (stb_d1 & ~wb_ack_o) | (wb_we_i & wb_stb_i); + // end + //assign wb_dat_o = wb_dat_o_reg; + + mux8 #(.WIDTH(1)) + mux8_wr_ready0(.en(~write_src[0][3]),.sel(write_src[0][2:0]), .i0(wr_ready_o[0]), .i1(wr_ready_o[1]), + .i2(wr_ready_o[2]), .i3(wr_ready_o[3]), .i4(wr_ready_o[4]), + .i5(wr_ready_o[5]), .i6(wr_ready_o[6]), .i7(wr_ready_o[7]),.o(wr0_ready_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_full0(.en(~write_src[0][3]),.sel(write_src[0][2:0]), .i0(wr_full_o[0]), .i1(wr_full_o[1]), + .i2(wr_full_o[2]), .i3(wr_full_o[3]), .i4(wr_full_o[4]), + .i5(wr_full_o[5]), .i6(wr_full_o[6]), .i7(wr_full_o[7]),.o(wr0_full_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_ready1(.en(~write_src[1][3]),.sel(write_src[1][2:0]), .i0(wr_ready_o[0]), .i1(wr_ready_o[1]), + .i2(wr_ready_o[2]), .i3(wr_ready_o[3]), .i4(wr_ready_o[4]), + .i5(wr_ready_o[5]), .i6(wr_ready_o[6]), .i7(wr_ready_o[7]),.o(wr1_ready_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_full1(.en(~write_src[1][3]),.sel(write_src[1][2:0]), .i0(wr_full_o[0]), .i1(wr_full_o[1]), + .i2(wr_full_o[2]), .i3(wr_full_o[3]), .i4(wr_full_o[4]), + .i5(wr_full_o[5]), .i6(wr_full_o[6]), .i7(wr_full_o[7]),.o(wr1_full_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_ready2(.en(~write_src[2][3]),.sel(write_src[2][2:0]), .i0(wr_ready_o[0]), .i1(wr_ready_o[1]), + .i2(wr_ready_o[2]), .i3(wr_ready_o[3]), .i4(wr_ready_o[4]), + .i5(wr_ready_o[5]), .i6(wr_ready_o[6]), .i7(wr_ready_o[7]),.o(wr2_ready_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_full2(.en(~write_src[2][3]),.sel(write_src[2][2:0]), .i0(wr_full_o[0]), .i1(wr_full_o[1]), + .i2(wr_full_o[2]), .i3(wr_full_o[3]), .i4(wr_full_o[4]), + .i5(wr_full_o[5]), .i6(wr_full_o[6]), .i7(wr_full_o[7]),.o(wr2_full_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_ready3(.en(~write_src[3][3]),.sel(write_src[3][2:0]), .i0(wr_ready_o[0]), .i1(wr_ready_o[1]), + .i2(wr_ready_o[2]), .i3(wr_ready_o[3]), .i4(wr_ready_o[4]), + .i5(wr_ready_o[5]), .i6(wr_ready_o[6]), .i7(wr_ready_o[7]),.o(wr3_ready_o)); + + mux8 #(.WIDTH(1)) + mux8_wr_full3(.en(~write_src[3][3]),.sel(write_src[3][2:0]), .i0(wr_full_o[0]), .i1(wr_full_o[1]), + .i2(wr_full_o[2]), .i3(wr_full_o[3]), .i4(wr_full_o[4]), + .i5(wr_full_o[5]), .i6(wr_full_o[6]), .i7(wr_full_o[7]),.o(wr3_full_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_sop0(.en(~read_src[0][3]),.sel(read_src[0][2:0]), .i0(rd_sop_o[0]), .i1(rd_sop_o[1]), + .i2(rd_sop_o[2]), .i3(rd_sop_o[3]), .i4(rd_sop_o[4]), + .i5(rd_sop_o[5]), .i6(rd_sop_o[6]), .i7(rd_sop_o[7]),.o(rd0_sop_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_eop0(.en(~read_src[0][3]),.sel(read_src[0][2:0]), .i0(rd_eop_o[0]), .i1(rd_eop_o[1]), + .i2(rd_eop_o[2]), .i3(rd_eop_o[3]), .i4(rd_eop_o[4]), + .i5(rd_eop_o[5]), .i6(rd_eop_o[6]), .i7(rd_eop_o[7]),.o(rd0_eop_o)); + + mux8 #(.WIDTH(32)) + mux8_rd_dat_0 (.en(~read_src[0][3]),.sel(read_src[0][2:0]), .i0(rd_dat_o[0]), .i1(rd_dat_o[1]), + .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]), + .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), .i7(rd_dat_o[7]),.o(rd0_dat_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_sop1(.en(~read_src[1][3]),.sel(read_src[1][2:0]), .i0(rd_sop_o[0]), .i1(rd_sop_o[1]), + .i2(rd_sop_o[2]), .i3(rd_sop_o[3]), .i4(rd_sop_o[4]), + .i5(rd_sop_o[5]), .i6(rd_sop_o[6]), .i7(rd_sop_o[7]),.o(rd1_sop_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_eop1(.en(~read_src[1][3]),.sel(read_src[1][2:0]), .i0(rd_eop_o[0]), .i1(rd_eop_o[1]), + .i2(rd_eop_o[2]), .i3(rd_eop_o[3]), .i4(rd_eop_o[4]), + .i5(rd_eop_o[5]), .i6(rd_eop_o[6]), .i7(rd_eop_o[7]),.o(rd1_eop_o)); + + mux8 #(.WIDTH(32)) + mux8_rd_dat_1 (.en(~read_src[1][3]),.sel(read_src[1][2:0]), .i0(rd_dat_o[0]), .i1(rd_dat_o[1]), + .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]), + .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), .i7(rd_dat_o[7]),.o(rd1_dat_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_sop2(.en(~read_src[2][3]),.sel(read_src[2][2:0]), .i0(rd_sop_o[0]), .i1(rd_sop_o[1]), + .i2(rd_sop_o[2]), .i3(rd_sop_o[3]), .i4(rd_sop_o[4]), + .i5(rd_sop_o[5]), .i6(rd_sop_o[6]), .i7(rd_sop_o[7]),.o(rd2_sop_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_eop2(.en(~read_src[2][3]),.sel(read_src[2][2:0]), .i0(rd_eop_o[0]), .i1(rd_eop_o[1]), + .i2(rd_eop_o[2]), .i3(rd_eop_o[3]), .i4(rd_eop_o[4]), + .i5(rd_eop_o[5]), .i6(rd_eop_o[6]), .i7(rd_eop_o[7]),.o(rd2_eop_o)); + + mux8 #(.WIDTH(32)) + mux8_rd_dat_2 (.en(~read_src[2][3]),.sel(read_src[2][2:0]), .i0(rd_dat_o[0]), .i1(rd_dat_o[1]), + .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]), + .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), .i7(rd_dat_o[7]),.o(rd2_dat_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_sop3(.en(~read_src[3][3]),.sel(read_src[3][2:0]), .i0(rd_sop_o[0]), .i1(rd_sop_o[1]), + .i2(rd_sop_o[2]), .i3(rd_sop_o[3]), .i4(rd_sop_o[4]), + .i5(rd_sop_o[5]), .i6(rd_sop_o[6]), .i7(rd_sop_o[7]),.o(rd3_sop_o)); + + mux8 #(.WIDTH(1)) + mux8_rd_eop3(.en(~read_src[3][3]),.sel(read_src[3][2:0]), .i0(rd_eop_o[0]), .i1(rd_eop_o[1]), + .i2(rd_eop_o[2]), .i3(rd_eop_o[3]), .i4(rd_eop_o[4]), + .i5(rd_eop_o[5]), .i6(rd_eop_o[6]), .i7(rd_eop_o[7]),.o(rd3_eop_o)); + + mux8 #(.WIDTH(32)) + mux8_rd_dat_3 (.en(~read_src[3][3]),.sel(read_src[3][2:0]), .i0(rd_dat_o[0]), .i1(rd_dat_o[1]), + .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]), + .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), .i7(rd_dat_o[7]),.o(rd3_dat_o)); + + assign sys_int_o = (|error) | (|done); + +endmodule // buffer_pool diff --git a/usrp2/fpga/control_lib/buffer_pool_tb.v b/usrp2/fpga/control_lib/buffer_pool_tb.v new file mode 100644 index 00000000..16741438 --- /dev/null +++ b/usrp2/fpga/control_lib/buffer_pool_tb.v @@ -0,0 +1,50 @@ + +module buffer_pool_tb(); + + wire wb_clk_i; + wire wb_rst_i; + wire wb_we_i; + wire wb_stb_i; + wire [15:0] wb_adr_i; + wire [31:0] wb_dat_i; + wire [31:0] wb_dat_o; + wire wb_ack_o; + wire wb_err_o; + wire wb_rty_o; + + wire stream_clk, stream_rst; + + wire set_stb; + wire [7:0] set_addr; + wire [31:0] set_data; + + wire [31:0] wr0_dat_i; + buffer_pool dut + (.wb_clk_i(wb_clk_i), + .wb_rst_i(wb_rst_i), + .wb_we_i(wb_we_i), + .wb_stb_i(wb_stb_i), + .wb_adr_i(wb_adr_i), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_ack_o(wb_ack_o), + .wb_err_o(wb_err_o), + .wb_rty_o(wb_rty_o), + + .stream_clk(stream_clk), + .stream_rst(stream_rst), + + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + + .wr0_dat_i(wr0_dat_i), .wr0_write_i(), .wr0_done_i(), .wr0_error_i(), .wr0_ready_o(), .wr0_full_o(), + .wr1_dat_i(), .wr1_write_i(), .wr1_done_i(), .wr1_error_i(), .wr1_ready_o(), .wr1_full_o(), + .wr2_dat_i(), .wr2_write_i(), .wr2_done_i(), .wr2_error_i(), .wr2_ready_o(), .wr2_full_o(), + .wr3_dat_i(), .wr3_write_i(), .wr3_done_i(), .wr3_error_i(), .wr3_ready_o(), .wr3_full_o(), + + .rd0_dat_o(), .rd0_read_i(), .rd0_done_i(), .rd0_error_i(), .rd0_ready_o(), .rd0_empty_o(), + .rd1_dat_o(), .rd1_read_i(), .rd1_done_i(), .rd1_error_i(), .rd1_ready_o(), .rd1_empty_o(), + .rd2_dat_o(), .rd2_read_i(), .rd2_done_i(), .rd2_error_i(), .rd2_ready_o(), .rd2_empty_o(), + .rd3_dat_o(), .rd3_read_i(), .rd3_done_i(), .rd3_error_i(), .rd3_ready_o(), .rd3_empty_o() + ); + +endmodule // buffer_pool_tb diff --git a/usrp2/fpga/control_lib/cascadefifo.v b/usrp2/fpga/control_lib/cascadefifo.v new file mode 100644 index 00000000..c1a4ab33 --- /dev/null +++ b/usrp2/fpga/control_lib/cascadefifo.v @@ -0,0 +1,50 @@ + + +// This FIFO exists to provide an intermediate point for the data on its +// long trek from one RAM (in the buffer pool) to another (in the longfifo) +// The shortfifo is more flexible in its placement since it is based on +// distributed RAM +// This one should only be used on transmit side applications. I.e. tx_mac, tx_dsp, etc. +// Spartan 3's have slow routing.... +// If we REALLY need to, we could also do this on the output side, +// with for the receive side stuff + +module cascadefifo + #(parameter WIDTH=32, SIZE=9) + (input clk, input rst, + input [WIDTH-1:0] datain, + output [WIDTH-1:0] dataout, + input read, + input write, + input clear, + output full, + output empty, + output [15:0] space, + output [15:0] occupied); + + wire [WIDTH-1:0] data_int; + wire empty_int, full_int, transfer; + wire [4:0] short_space, short_occupied; + wire [15:0] long_space, long_occupied; + + shortfifo #(.WIDTH(WIDTH)) shortfifo + (.clk(clk),.rst(rst),.clear(clear), + .datain(datain), .write(write), .full(full), + .dataout(data_int), .read(transfer), .empty(empty_int), + .space(short_space),.occupied(short_occupied) ); + + longfifo #(.WIDTH(WIDTH),.SIZE(SIZE)) longfifo + (.clk(clk),.rst(rst),.clear(clear), + .datain(data_int), .write(transfer), .full(full_int), + .dataout(dataout), .read(read), .empty(empty), + .space(long_space),.occupied(long_occupied) ); + + assign transfer = ~empty_int & ~full_int; + + assign space = {11'b0,short_space} + long_space; + assign occupied = {11'b0,short_occupied} + long_occupied; + +endmodule // cascadefifo + + + diff --git a/usrp2/fpga/control_lib/cascadefifo2.v b/usrp2/fpga/control_lib/cascadefifo2.v new file mode 100644 index 00000000..984cc46e --- /dev/null +++ b/usrp2/fpga/control_lib/cascadefifo2.v @@ -0,0 +1,56 @@ + + +// This FIFO exists to provide an intermediate point for the data on its +// long trek from one RAM (in the buffer pool) to another (in the longfifo) +// The shortfifo is more flexible in its placement since it is based on +// distributed RAM + +// This one has the shortfifo on both the in and out sides. +module cascadefifo2 + #(parameter WIDTH=32, SIZE=9) + (input clk, input rst, + input [WIDTH-1:0] datain, + output [WIDTH-1:0] dataout, + input read, + input write, + input clear, + output full, + output empty, + output [15:0] space, + output [15:0] occupied); + + wire [WIDTH-1:0] data_int, data_int2; + wire empty_int, full_int, transfer; + wire empty_int2, full_int2, transfer2; + wire [4:0] s1_space, s1_occupied, s2_space, s2_occupied; + wire [15:0] l_space, l_occupied; + + shortfifo #(.WIDTH(WIDTH)) shortfifo + (.clk(clk),.rst(rst),.clear(clear), + .datain(datain), .write(write), .full(full), + .dataout(data_int), .read(transfer), .empty(empty_int), + .space(s1_space),.occupied(s1_occupied) ); + + longfifo #(.WIDTH(WIDTH),.SIZE(SIZE)) longfifo + (.clk(clk),.rst(rst),.clear(clear), + .datain(data_int), .write(transfer), .full(full_int), + .dataout(data_int2), .read(transfer2), .empty(empty_int2), + .space(l_space),.occupied(l_occupied) ); + + shortfifo #(.WIDTH(WIDTH)) shortfifo2 + (.clk(clk),.rst(rst),.clear(clear), + .datain(data_int2), .write(transfer2), .full(full_int2), + .dataout(dataout), .read(read), .empty(empty), + .space(s2_space),.occupied(s2_occupied) ); + + assign transfer = ~empty_int & ~full_int; + assign transfer2 = ~empty_int2 & ~full_int2; + + assign space = {11'b0,s1_space} + {11'b0,s2_space} + l_space; + assign occupied = {11'b0,s1_occupied} + {11'b0,s2_occupied} + l_occupied; + +endmodule // cascadefifo2 + + + + diff --git a/usrp2/fpga/control_lib/clock_bootstrap_rom.v b/usrp2/fpga/control_lib/clock_bootstrap_rom.v new file mode 100644 index 00000000..46563db6 --- /dev/null +++ b/usrp2/fpga/control_lib/clock_bootstrap_rom.v @@ -0,0 +1,34 @@ + + +module clock_bootstrap_rom(input [15:0] addr, output [47:0] data); + + reg [47:0] rom [0:15]; + + //initial + // $readmemh("bootrom.mem", rom); + + assign data = rom[addr]; + + initial + begin + // First 16 bits are address, last 32 are data + // First 4 bits of address select which slave + rom[0] = 48'h0000_0C00_0F03; // Both clk sel choose ext ref (0), both are enabled (1), turn off SERDES, ADCs, turn on leds + rom[1] = 48'h1014_0000_0000; // SPI: Set Divider to div by 2 + rom[2] = 48'h1018_0000_0001; // SPI: Choose AD9510 + rom[3] = 48'h1010_0000_3418; // SPI: Auto-slave select, interrupt when done, TX_NEG, 24-bit word + rom[4] = 48'h1000_0000_0010; // SPI: AD9510 A:0 D:10 Set up AD9510 SPI + rom[5] = 48'h1010_0000_3518; // SPI: SEND IT Auto-slave select, interrupt when done, TX_NEG, 24-bit word + rom[6] = 48'hffff_ffff_ffff; // terminate + rom[7] = 48'hffff_ffff_ffff; // terminate + rom[8] = 48'hffff_ffff_ffff; // terminate + rom[9] = 48'hffff_ffff_ffff; // terminate + rom[10] = 48'hffff_ffff_ffff; // terminate + rom[11] = 48'hffff_ffff_ffff; // terminate + rom[12] = 48'hffff_ffff_ffff; // terminate + rom[13] = 48'hffff_ffff_ffff; // terminate + rom[14] = 48'hffff_ffff_ffff; // terminate + rom[15] = 48'hffff_ffff_ffff; // terminate + end // initial begin + +endmodule // clock_bootstrap_rom diff --git a/usrp2/fpga/control_lib/clock_control.v b/usrp2/fpga/control_lib/clock_control.v new file mode 100644 index 00000000..1bbe6bd7 --- /dev/null +++ b/usrp2/fpga/control_lib/clock_control.v @@ -0,0 +1,115 @@ + + +// AD9510 Register Map (from datasheet Rev. A) + +/* INSTRUCTION word format (16 bits) + * 15 Read = 1, Write = 0 + * 14:13 W1/W0, Number of bytes 00 - 1, 01 - 2, 10 - 3, 11 - stream + * 12:0 Address + */ + +/* ADDR Contents Value (hex) + * 00 Serial Config Port 10 (def) -- MSB first, SDI/SDO separate + * 04 A Counter + * 05-06 B Counter + * 07-0A PLL Control + * 0B-0C R Divider + * 0D PLL Control + * 34-3A Fine Delay + * 3C-3F LVPECL Outs + * 40-43 LVDS/CMOS Outs + * 45 Clock select, power down + * 48-57 Dividers + * 58 Func and Sync + * 5A Update regs + */ + + +module clock_control + (input reset, + input aux_clk, // 25MHz, for before fpga clock is active + input clk_fpga, // real 100 MHz FPGA clock + output [1:0] clk_en, // controls source of reference clock + output [1:0] clk_sel, // controls source of reference clock + input clk_func, // FIXME needs to be some kind of out SYNC or reset to 9510 + input clk_status, // Monitor PLL or SYNC status + + output sen, // Enable for the AD9510 + output sclk, // FIXME these need to be shared + input sdi, + output sdo + ); + + wire read = 1'b0; // Always write for now + wire [1:0] w = 2'b00; // Always send 1 byte at a time + + assign clk_sel = 2'b00; // Both outputs from External Ref (SMA) + assign clk_en = 2'b11; // Both outputs enabled + + reg [20:0] addr_data; + + reg [5:0] entry; + reg start; + reg [7:0] counter; + reg [23:0] command; + + always @* + case(entry) + 6'd00 : addr_data = {13'h00,8'h10}; // Serial setup + 6'd01 : addr_data = {13'h45,8'h00}; // CLK2 drives distribution, everything on + 6'd02 : addr_data = {13'h3D,8'h80}; // Turn on output 1, normal levels + 6'd03 : addr_data = {13'h4B,8'h80}; // Bypass divider 1 (div by 1) + 6'd04 : addr_data = {13'h08,8'h47}; // POS PFD, Dig LK Det, Charge Pump normal + 6'd05 : addr_data = {13'h09,8'h70}; // Max Charge Pump current + 6'd06 : addr_data = {13'h0A,8'h04}; // Normal operation, Prescalar Div by 2, PLL On + 6'd07 : addr_data = {13'h0B,8'h00}; // RDIV MSB (6 bits) + 6'd08 : addr_data = {13'h0C,8'h01}; // RDIV LSB (8 bits), Div by 1 + 6'd09 : addr_data = {13'h0D,8'h00}; // Everything normal, Dig Lock Det + 6'd10 : addr_data = {13'h07,8'h00}; // Disable LOR detect - LOR causes failure... + 6'd11 : addr_data = {13'h04,8'h00}; // A Counter = Don't Care + 6'd12 : addr_data = {13'h05,8'h00}; // B Counter MSB = 0 + 6'd13 : addr_data = {13'h06,8'h05}; // B Counter LSB = 5 + default : addr_data = {13'h5A,8'h01}; // Register Update + endcase // case(entry) + + wire [5:0] lastentry = 6'd15; + wire done = (counter == 8'd49); + + always @(posedge aux_clk) + if(reset) + begin + entry <= #1 6'd0; + start <= #1 1'b1; + end + else if(start) + start <= #1 1'b0; + else if(done && (entry + // reg [7:0] ram3 [0:(1<<(AWIDTH-2))-1]; + + // Port 1 + always @(posedge clk) + if(en1_i) dat1_o[31:24] <= ram3[adr1_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en1_i) dat1_o[23:16] <= ram2[adr1_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en1_i) dat1_o[15:8] <= ram1[adr1_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en1_i) dat1_o[7:0] <= ram0[adr1_i[AWIDTH-1:2]]; + + always @(posedge clk) + if(we1_i & en1_i & sel1_i[3]) + ram3[adr1_i[AWIDTH-1:2]] <= dat1_i[31:24]; + always @(posedge clk) + if(we1_i & en1_i & sel1_i[2]) + ram2[adr1_i[AWIDTH-1:2]] <= dat1_i[23:16]; + always @(posedge clk) + if(we1_i & en1_i & sel1_i[1]) + ram1[adr1_i[AWIDTH-1:2]] <= dat1_i[15:8]; + always @(posedge clk) + if(we1_i & en1_i & sel1_i[0]) + ram0[adr1_i[AWIDTH-1:2]] <= dat1_i[7:0]; + + // Port 2 + always @(posedge clk) + if(en2_i) dat2_o[31:24] <= ram3[adr2_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en2_i) dat2_o[23:16] <= ram2[adr2_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en2_i) dat2_o[15:8] <= ram1[adr2_i[AWIDTH-1:2]]; + always @(posedge clk) + if(en2_i) dat2_o[7:0] <= ram0[adr2_i[AWIDTH-1:2]]; + + always @(posedge clk) + if(we2_i & en2_i & sel2_i[3]) + ram3[adr2_i[AWIDTH-1:2]] <= dat2_i[31:24]; + always @(posedge clk) + if(we2_i & en2_i & sel2_i[2]) + ram2[adr2_i[AWIDTH-1:2]] <= dat2_i[23:16]; + always @(posedge clk) + if(we2_i & en2_i & sel2_i[1]) + ram1[adr2_i[AWIDTH-1:2]] <= dat2_i[15:8]; + always @(posedge clk) + if(we2_i & en2_i & sel2_i[0]) + ram0[adr2_i[AWIDTH-1:2]] <= dat2_i[7:0]; + +endmodule // dpram32 + + diff --git a/usrp2/fpga/control_lib/extram_interface.v b/usrp2/fpga/control_lib/extram_interface.v new file mode 100644 index 00000000..7554592b --- /dev/null +++ b/usrp2/fpga/control_lib/extram_interface.v @@ -0,0 +1,53 @@ + +// Temporary buffer pool storage, mostly useful for pre-generated data streams or +// for making more space to juggle packets in case of eth frames coming out of order + +module extram_interface + (input clk, input rst, + input set_stb, input [7:0] set_addr, input [31:0] set_data, + + // Buffer pool interfaces + input [31:0] rd_dat_i, output rd_read_o, output rd_done_o, output rd_error_o, + input rd_sop_i, input rd_eop_i, + output [31:0] wr_dat_o, output wr_write_o, output wr_done_o, output wr_error_o, + input wr_ready_i, input wr_full_i, + + // RAM Interface + inout [17:0] RAM_D, + output [18:0] RAM_A, + output RAM_CE1n, + output RAM_CENn, + input RAM_CLK, + output RAM_WEn, + output RAM_OEn, + output RAM_LDn ); + + // Command format -- + // Read/_Write , start address[17:0] + wire [18:0] cmd_in; + wire cmd_stb, store_wr_cmd, store_rd_cmd, read_wr_cmd, read_rd_cmd; + wire empty_wr_cmd, empty_rd_cmd, full_wr_cmd, full_rd_cmd; + + // Dummy logic + assign RAM_OEn = 1; + + setting_reg #(.my_addr(0)) + sr_ram_cmd (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(cmd_in),.changed(cmd_stb)); + + reg cmd_stb_d1; + always @(posedge clk) cmd_stb_d1 <= cmd_stb; + assign store_wr_cmd = ~cmd_in[18] & cmd_stb & ~cmd_stb_d1; + assign store_rd_cmd = cmd_in[18] & cmd_stb & ~cmd_stb_d1; + + shortfifo #(.WIDTH(19)) wr_cmd_fifo + (.clk(clk),.rst(rst),.clear(1'b0), + .datain(cmd_in), .write(store_wr_cmd), .full(full_wr_cmd), + .dataout(), .read(read_wr_cmd), .empty(empty_wr_cmd) ); + + shortfifo #(.WIDTH(19)) rd_cmd_fifo + (.clk(clk),.rst(rst),.clear(1'b0), + .datain(cmd_in), .write(store_rd_cmd), .full(full_rd_cmd), + .dataout(), .read(read_rd_cmd), .empty(empty_rd_cmd) ); + +endmodule // extram_interface diff --git a/usrp2/fpga/control_lib/fifo_2clock.v b/usrp2/fpga/control_lib/fifo_2clock.v new file mode 100644 index 00000000..6b1eb607 --- /dev/null +++ b/usrp2/fpga/control_lib/fifo_2clock.v @@ -0,0 +1,66 @@ + +module fifo_2clock + #(parameter DWIDTH=32, AWIDTH=9) + (input wclk, input [DWIDTH-1:0] datain, input write, output full, output reg [AWIDTH-1:0] level_wclk, + input rclk, output [DWIDTH-1:0] dataout, input read, output empty, output reg [AWIDTH-1:0] level_rclk, + input arst); + + reg [AWIDTH-1:0] wr_addr, rd_addr; + wire [AWIDTH-1:0] wr_addr_rclk, rd_addr_wclk; + wire [AWIDTH-1:0] next_rd_addr; + wire enb_read; + + // Write side management + wire [AWIDTH-1:0] next_wr_addr = wr_addr + 1; + always @(posedge wclk or posedge arst) + if(arst) + wr_addr <= 0; + else if(write) + wr_addr <= next_wr_addr; + assign full = (next_wr_addr == rd_addr_wclk); + + // RAM for data storage. Data out is registered, complicating the + // read side logic + ram_2port #(.DWIDTH(DWIDTH),.AWIDTH(AWIDTH)) mac_rx_ff_ram + (.clka(wclk),.ena(1'b1),.wea(write),.addra(wr_addr),.dia(datain),.doa(), + .clkb(rclk),.enb(enb_read),.web(1'b0),.addrb(next_rd_addr),.dib(0),.dob(dataout) ); + + // Read side management + reg data_valid; + assign empty = ~data_valid; + assign next_rd_addr = rd_addr + data_valid; + assign enb_read = read | ~data_valid; + + always @(posedge rclk or posedge arst) + if(arst) + rd_addr <= 0; + else if(read) + rd_addr <= rd_addr + 1; + + always @(posedge rclk or posedge arst) + if(arst) + data_valid <= 0; + else + if(read & (next_rd_addr == wr_addr_rclk)) + data_valid <= 0; + else if(next_rd_addr != wr_addr_rclk) + data_valid <= 1; + + // Send pointers across clock domains via gray code + gray_send #(.WIDTH(AWIDTH)) send_wr_addr + (.clk_in(wclk),.addr_in(wr_addr), + .clk_out(rclk),.addr_out(wr_addr_rclk) ); + + gray_send #(.WIDTH(AWIDTH)) send_rd_addr + (.clk_in(rclk),.addr_in(rd_addr), + .clk_out(wclk),.addr_out(rd_addr_wclk) ); + + // Generate fullness info, these are approximate and may be delayed + // and are only for higher-level flow control. + // Only full and empty are guaranteed exact. + always @(posedge wclk) + level_wclk <= wr_addr - rd_addr_wclk; + always @(posedge rclk) + level_rclk <= wr_addr_rclk - rd_addr; + +endmodule // fifo_2clock diff --git a/usrp2/fpga/control_lib/fifo_2clock_casc.v b/usrp2/fpga/control_lib/fifo_2clock_casc.v new file mode 100644 index 00000000..e9b0cfc2 --- /dev/null +++ b/usrp2/fpga/control_lib/fifo_2clock_casc.v @@ -0,0 +1,31 @@ + +module fifo_2clock_casc + #(parameter DWIDTH=32, AWIDTH=9) + (input wclk, input [DWIDTH-1:0] datain, input write, output full, output [AWIDTH-1:0] level_wclk, + input rclk, output [DWIDTH-1:0] dataout, input read, output empty, output [AWIDTH-1:0] level_rclk, + input arst); + + wire full_int, empty_int, full_int2, empty_int2, transfer, transfer2; + wire [DWIDTH-1:0] data_int, data_int2; + + shortfifo #(.WIDTH(DWIDTH)) shortfifo + (.clk(wclk), .rst(arst), .clear(0), + .datain(datain), .write(write), .full(full), + .dataout(data_int), .read(transfer), .empty(empty_int) ); + + assign transfer = ~full_int & ~empty_int; + + fifo_2clock #(.DWIDTH(DWIDTH),.AWIDTH(AWIDTH)) fifo_2clock + (.wclk(wclk), .datain(data_int), .write(transfer), .full(full_int), .level_wclk(level_wclk), + .rclk(rclk), .dataout(data_int2), .read(transfer2), .empty(empty_int2), .level_rclk(level_rclk), + .arst(arst) ); + + assign transfer2 = ~full_int2 & ~empty_int2; + + shortfifo #(.WIDTH(DWIDTH)) shortfifo2 + (.clk(rclk), .rst(arst), .clear(0), + .datain(data_int2), .write(transfer2), .full(full_int2), + .dataout(dataout), .read(read), .empty(empty) ); + +endmodule // fifo_2clock_casc + diff --git a/usrp2/fpga/control_lib/fifo_reader.v b/usrp2/fpga/control_lib/fifo_reader.v new file mode 100644 index 00000000..49d05b1a --- /dev/null +++ b/usrp2/fpga/control_lib/fifo_reader.v @@ -0,0 +1,28 @@ + +module fifo_reader + #(parameter rate=4) + (input clk, + input [31:0] data_in, + output read_o + input ready_i, + input done_i + ); + + reg [7:0] state = 0; + + always @(posedge clk) + if(ready) + if(state == rate) + state <= 0; + else + state <= state + 1; + else + state <= 0; + + assign read = (state == rate); + + initial $monitor(data_in); + +endmodule // fifo_reader + + diff --git a/usrp2/fpga/control_lib/fifo_tb.v b/usrp2/fpga/control_lib/fifo_tb.v new file mode 100644 index 00000000..136ed011 --- /dev/null +++ b/usrp2/fpga/control_lib/fifo_tb.v @@ -0,0 +1,153 @@ +module fifo_tb(); + + reg clk, rst; + wire short_full, short_empty, long_full, long_empty; + wire casc_full, casc_empty, casc2_full, casc2_empty; + reg read, write; + + wire [7:0] short_do, long_do; + wire [7:0] casc_do, casc2_do; + reg [7:0] di; + + reg clear = 0; + + shortfifo #(.WIDTH(8)) shortfifo + (.clk(clk),.rst(rst),.datain(di),.dataout(short_do),.clear(clear), + .read(read),.write(write),.full(short_full),.empty(short_empty)); + + longfifo #(.WIDTH(8), .SIZE(4)) longfifo + (.clk(clk),.rst(rst),.datain(di),.dataout(long_do),.clear(clear), + .read(read),.write(write),.full(long_full),.empty(long_empty)); + + cascadefifo #(.WIDTH(8), .SIZE(4)) cascadefifo + (.clk(clk),.rst(rst),.datain(di),.dataout(casc_do),.clear(clear), + .read(read),.write(write),.full(casc_full),.empty(casc_empty)); + + cascadefifo2 #(.WIDTH(8), .SIZE(4)) cascadefifo2 + (.clk(clk),.rst(rst),.datain(di),.dataout(casc2_do),.clear(clear), + .read(read),.write(write),.full(casc2_full),.empty(casc2_empty)); + + initial rst = 1; + initial #1000 rst = 0; + initial clk = 0; + always #50 clk = ~clk; + + initial di = 8'hAE; + initial read = 0; + initial write = 0; + + always @(posedge clk) + if(write) + di <= di + 1; + + always @(posedge clk) + begin + if(short_full != long_full) + $display("Error: FULL mismatch"); + if(short_empty != long_empty) + $display("Note: EMPTY mismatch, usually not a problem (longfifo has 2 cycle latency)"); + if(read & (short_do != long_do)) + $display("Error: DATA mismatch"); + end + + initial $dumpfile("fifo_tb.vcd"); + initial $dumpvars(0,fifo_tb); + + initial + begin + @(negedge rst); + @(posedge clk); + repeat (10) + @(posedge clk); + write <= 1; + @(posedge clk); + write <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + read <= 1; + @(posedge clk); + read <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + + repeat(10) + begin + write <= 1; + @(posedge clk); + write <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + read <= 1; + @(posedge clk); + read <= 0; + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + end // repeat (10) + + write <= 1; + repeat (4) + @(posedge clk); + write <= 0; + @(posedge clk); + read <= 1; + repeat (4) + @(posedge clk); + read <= 0; + @(posedge clk); + + + write <= 1; + repeat (4) + @(posedge clk); + write <= 0; + @(posedge clk); + repeat (4) + begin + read <= 1; + @(posedge clk); + read <= 0; + @(posedge clk); + end + + write <= 1; + @(posedge clk); + @(posedge clk); + read <= 1; + repeat (5) + @(posedge clk); + write <= 0; + @(posedge clk); + @(posedge clk); + read <= 0; + @(posedge clk); + + write <= 1; + repeat (16) + @(posedge clk); + write <= 0; + @(posedge clk); + + read <= 1; + repeat (16) + @(posedge clk); + read <= 0; + @(posedge clk); + + repeat (10) + @(posedge clk); + $finish; + end +endmodule // longfifo_tb diff --git a/usrp2/fpga/control_lib/fifo_writer.v b/usrp2/fpga/control_lib/fifo_writer.v new file mode 100644 index 00000000..064ad3cb --- /dev/null +++ b/usrp2/fpga/control_lib/fifo_writer.v @@ -0,0 +1,31 @@ + +module fifo_writer + #(parameter rate=4) + (input clk, + output [31:0] data_out, + output write_o, + input ready_i, + input done_i + ); + + reg [7:0] state = 0; + + + // FIXME change this to write + always @(posedge clk) + if(ready) + if(state == rate) + state <= 0; + else + state <= state + 1; + else + state <= 0; + + assign read = (state == rate); + + initial $monitor(data_in); + +endmodule // fifo_writer + + + diff --git a/usrp2/fpga/control_lib/gray2bin.v b/usrp2/fpga/control_lib/gray2bin.v new file mode 100644 index 00000000..5df40bd5 --- /dev/null +++ b/usrp2/fpga/control_lib/gray2bin.v @@ -0,0 +1,13 @@ + + +module gray2bin + #(parameter WIDTH=8) + (input [WIDTH-1:0] gray, + output reg [WIDTH-1:0] bin); + + integer i; + always @(gray) + for(i = 0;i>i); + +endmodule // gray2bin diff --git a/usrp2/fpga/control_lib/gray_send.v b/usrp2/fpga/control_lib/gray_send.v new file mode 100644 index 00000000..7fc07d40 --- /dev/null +++ b/usrp2/fpga/control_lib/gray_send.v @@ -0,0 +1,29 @@ + + + +module gray_send + #(parameter WIDTH = 8) + (input clk_in, input [WIDTH-1:0] addr_in, + input clk_out, output reg [WIDTH-1:0] addr_out); + + reg [WIDTH-1:0] gray_clkin, gray_clkout, gray_clkout_d1; + wire [WIDTH-1:0] gray, bin; + + bin2gray #(.WIDTH(WIDTH)) b2g (.bin(addr_in), .gray(gray) ); + + always @(posedge clk_in) + gray_clkin <= gray; + + always @(posedge clk_out) + gray_clkout <= gray_clkin; + + always @(posedge clk_out) + gray_clkout_d1 <= gray_clkout; + + gray2bin #(.WIDTH(WIDTH)) g2b (.gray(gray_clkout_d1), .bin(bin) ); + + // FIXME we may not need the next register, but it may help timing + always @(posedge clk_out) + addr_out <= bin; + +endmodule // gray_send diff --git a/usrp2/fpga/control_lib/icache.v b/usrp2/fpga/control_lib/icache.v new file mode 100644 index 00000000..dd93c88e --- /dev/null +++ b/usrp2/fpga/control_lib/icache.v @@ -0,0 +1,134 @@ + +module icache + #(parameter AWIDTH=14, + parameter CWIDTH=6) + + (input wb_clk_i, + input wb_rst_i, + input [AWIDTH-1:0] iwb_adr_i, + input iwb_stb_i, + output [31:0] iwb_dat_o, + output iwb_ack_o, + input [31:0] iram_dat_i, + output [AWIDTH-1:0] iram_adr_o, + output iram_en_o ); + + localparam TAGWIDTH = AWIDTH-CWIDTH-2; + reg stb_d1, ack_d1, miss_d1; + reg [AWIDTH-1:0] held_addr; + reg [31:0] idata [0:(1<>1)); + wire latch_dat = (clk_ctr == (clk_div - 8'd2)); + wire send_clk_lo = (clk_ctr == (clk_div - 8'd1)); + + wire send_bit = (bit_ready && (bit_ctr != 0)); + assign ready = (bit_ctr == 0); + + always @(posedge clk) + if(rst) + clk_ctr <= 0; + else if(bit_done) + clk_ctr <= 0; + else if(bit_busy) + clk_ctr <= clk_ctr + 1; + else if(send_bit) + clk_ctr <= 1; + + always @(posedge clk) + if(rst) + sd_clk <= 0; + else if(send_clk_hi) + sd_clk <= 1; + else if(send_clk_lo) + sd_clk <= 0; + + always @(posedge clk) + if(rst) + bit_ctr <= 0; + else if(bit_done) + if(bit_ctr == 4'd8) + bit_ctr <= 0; + else + bit_ctr <= bit_ctr + 1; + else if(bit_ready & go) + bit_ctr <= 1; + + reg [7:0] shift_reg; + always @(posedge clk) + if(go) + shift_reg <= send_dat; + else if(latch_dat) + shift_reg <= {shift_reg[6:0],sd_miso}; + + assign sd_mosi = shift_reg[7]; + assign rcv_dat = shift_reg; + +endmodule // sd_spi diff --git a/usrp2/fpga/control_lib/sd_spi_tb.v b/usrp2/fpga/control_lib/sd_spi_tb.v new file mode 100644 index 00000000..e30a5bdf --- /dev/null +++ b/usrp2/fpga/control_lib/sd_spi_tb.v @@ -0,0 +1,40 @@ + + +module sd_spi_tb; + + reg clk = 0; + always #5 clk = ~clk; + reg rst = 1; + initial #32 rst = 0; + + wire sd_clk, sd_mosi, sd_miso; + wire [7:0] clk_div = 12; + wire [7:0] send_dat = 23; + wire [7:0] rcv_dat; + + wire ready; + reg go = 0; + initial + begin + repeat (100) + @(posedge clk); + go <= 1; + @(posedge clk); + go <= 0; + end + + sd_spi dut(.clk(clk),.rst(rst), + .sd_clk(sd_clk),.sd_mosi(sd_mosi),.sd_miso(sd_miso), + .clk_div(clk_div),.send_dat(send_dat),.rcv_dat(rcv_dat), + .go(go),.ready(ready) ); + + initial + begin + $dumpfile("sd_spi_tb.vcd"); + $dumpvars(0,sd_spi_tb); + end + + initial + #10000 $finish(); + +endmodule // sd_spi_tb diff --git a/usrp2/fpga/control_lib/sd_spi_wb.v b/usrp2/fpga/control_lib/sd_spi_wb.v new file mode 100644 index 00000000..53036d36 --- /dev/null +++ b/usrp2/fpga/control_lib/sd_spi_wb.v @@ -0,0 +1,66 @@ + +// Wishbone module for spi communications with an SD Card +// The programming interface is simple -- +// Write the desired clock divider to address 1 (should be 1 or higher) +// Status is in address 0. A 1 indicates the last transaction is done and it is safe to +// send another +// Writing a byte to address 2 sends that byte over SPI. When it is done, +// status (addr 0) goes high again, and the received byte can be read from address 3. + +module sd_spi_wb + (input clk, + input rst, + + // SD Card interface + output sd_clk, + output sd_csn, + output sd_mosi, + input sd_miso, + + input wb_cyc_i, + input wb_stb_i, + input wb_we_i, + input [1:0] wb_adr_i, + input [7:0] wb_dat_i, + output reg [7:0] wb_dat_o, + output reg wb_ack_o); + + localparam ADDR_STATUS = 0; + localparam ADDR_CLKDIV = 1; + localparam ADDR_WRITE = 2; + localparam ADDR_READ = 3; + + wire [7:0] status, rcv_dat; + reg [7:0] clkdiv; + wire ready; + reg ack_d1; + always @(posedge clk) + if(rst) ack_d1 <= 0; + else ack_d1 <= wb_ack_o; + + always @(posedge clk) + if(rst) wb_ack_o <= 0; + else wb_ack_o <= wb_cyc_i & wb_stb_i & ~ack_d1; + + always @(posedge clk) + case(wb_adr_i) + ADDR_STATUS : wb_dat_o <= {7'd0,ready}; + ADDR_CLKDIV : wb_dat_o <= clkdiv; + ADDR_READ : wb_dat_o <= rcv_dat; + default : wb_dat_o <= 0; + endcase // case(wb_adr_i) + + always @(posedge clk) + if(wb_we_i & wb_stb_i & wb_cyc_i & wb_ack_o) + case(wb_adr_i) + ADDR_CLKDIV : clkdiv <= wb_dat_i; + endcase // case(wb_adr_i) + + wire go = wb_we_i & wb_stb_i & wb_cyc_i & wb_ack_o & (wb_adr_i == ADDR_WRITE); + + sd_spi sd_spi(.clk(clk),.rst(rst), + .sd_clk(sd_clk),.sd_mosi(sd_mosi),.sd_miso(sd_miso), + .clk_div(clkdiv),.send_dat(wb_dat_i),.rcv_dat(rcv_dat), + .go(go),.ready(ready) ); + +endmodule // sd_spi_wb diff --git a/usrp2/fpga/control_lib/setting_reg.v b/usrp2/fpga/control_lib/setting_reg.v new file mode 100644 index 00000000..ccbaa3d2 --- /dev/null +++ b/usrp2/fpga/control_lib/setting_reg.v @@ -0,0 +1,23 @@ + + +module setting_reg + #(parameter my_addr = 0) + (input clk, input rst, input strobe, input wire [7:0] addr, + input wire [31:0] in, output reg [31:0] out, output reg changed); + + always @(posedge clk) + if(rst) + begin + out <= 32'd0; + changed <= 1'b0; + end + else + if(strobe & (my_addr==addr)) + begin + out <= in; + changed <= 1'b1; + end + else + changed <= 1'b0; + +endmodule // setting_reg diff --git a/usrp2/fpga/control_lib/settings_bus.v b/usrp2/fpga/control_lib/settings_bus.v new file mode 100644 index 00000000..d01a30ab --- /dev/null +++ b/usrp2/fpga/control_lib/settings_bus.v @@ -0,0 +1,49 @@ + +// Grab settings off the wishbone bus, send them out to our simpler bus on the fast clock + +module settings_bus + #(parameter AWIDTH=16, parameter DWIDTH=32) + (input wb_clk, + input wb_rst, + input [AWIDTH-1:0] wb_adr_i, + input [DWIDTH-1:0] wb_dat_i, + input wb_stb_i, + input wb_we_i, + output reg wb_ack_o, + input sys_clk, + output strobe, + output reg [7:0] addr, + output reg [31:0] data); + + reg stb_int, stb_int_d1; + + always @(posedge wb_clk) + if(wb_rst) + begin + stb_int <= 1'b0; + addr <= 8'd0; + data <= 32'd0; + end + else if(wb_we_i & wb_stb_i) + begin + stb_int <= 1'b1; + addr <= wb_adr_i[9:2]; + data <= wb_dat_i; + end + else + stb_int <= 1'b0; + + always @(posedge wb_clk) + if(wb_rst) + wb_ack_o <= 0; + else + wb_ack_o <= wb_stb_i & ~wb_ack_o; + + always @(posedge wb_clk) + stb_int_d1 <= stb_int; + + //assign strobe = stb_int & ~stb_int_d1; + assign strobe = stb_int & wb_ack_o; + +endmodule // settings_bus + diff --git a/usrp2/fpga/control_lib/shortfifo.v b/usrp2/fpga/control_lib/shortfifo.v new file mode 100644 index 00000000..83d2c198 --- /dev/null +++ b/usrp2/fpga/control_lib/shortfifo.v @@ -0,0 +1,63 @@ + +module shortfifo + #(parameter WIDTH=32) + (input clk, input rst, + input [WIDTH-1:0] datain, + output [WIDTH-1:0] dataout, + input read, + input write, + input clear, + output reg full, + output reg empty, + output [4:0] space, + output [4:0] occupied); + + reg [3:0] a; + genvar i; + + generate + for (i=0;i>1); + wire stop_now = (bit_ctr == 10) && shift_now; + wire go_now = (bit_ctr == 0) && neg_trans; + + always @(posedge clk) + if(rst) + sr <= 0; + else if(shift_now) + sr <= {rx_d2,sr[7:1]}; + + always @(posedge clk) + if(rst) + baud_ctr <= 0; + else + if(go_now) + baud_ctr <= 1; + else if(stop_now) + baud_ctr <= 0; + else if(baud_ctr >= clkdiv) + baud_ctr <= 1; + else if(baud_ctr != 0) + baud_ctr <= baud_ctr + 1; + + always @(posedge clk) + if(rst) + bit_ctr <= 0; + else + if(go_now) + bit_ctr <= 1; + else if(stop_now) + bit_ctr <= 0; + else if(baud_ctr == clkdiv) + bit_ctr <= bit_ctr + 1; + + wire full; + wire write = ~full & rx_d2 & stop_now; + + medfifo #(.WIDTH(8),.DEPTH(DEPTH)) fifo + (.clk(clk),.rst(rst), + .datain(sr),.write(write),.full(full), + .dataout(fifo_out),.read(fifo_read),.empty(fifo_empty), + .clear(0),.space(),.occupied(fifo_level) ); + +endmodule // simple_uart_rx diff --git a/usrp2/fpga/control_lib/simple_uart_tx.v b/usrp2/fpga/control_lib/simple_uart_tx.v new file mode 100644 index 00000000..e11a347e --- /dev/null +++ b/usrp2/fpga/control_lib/simple_uart_tx.v @@ -0,0 +1,60 @@ + +module simple_uart_tx + #(parameter DEPTH=0) + (input clk, input rst, + input [7:0] fifo_in, input fifo_write, output [7:0] fifo_level, output fifo_full, + input [15:0] clkdiv, output baudclk, output reg tx); + + reg [15:0] baud_ctr; + reg [3:0] bit_ctr; + + wire read, empty; + wire [7:0] char_to_send; + + medfifo #(.WIDTH(8),.DEPTH(DEPTH)) fifo + (.clk(clk),.rst(rst), + .datain(fifo_in),.write(fifo_write),.full(fifo_full), + .dataout(char_to_send),.read(read),.empty(empty), + .clear(0),.space(fifo_level),.occupied() ); + + always @(posedge clk) + if(rst) + baud_ctr <= 0; + else if (baud_ctr >= clkdiv) + baud_ctr <= 0; + else + baud_ctr <= baud_ctr + 1; + + always @(posedge clk) + if(rst) + bit_ctr <= 0; + else if(baud_ctr == clkdiv) + if(bit_ctr == 9) + bit_ctr <= 0; + else if(bit_ctr != 0) + bit_ctr <= bit_ctr + 1; + else if(~empty) + bit_ctr <= 1; + + always @(posedge clk) + if(rst) + tx <= 1; + else + case(bit_ctr) + 0 : tx <= 1; + 1 : tx <= 0; + 2 : tx <= char_to_send[0]; + 3 : tx <= char_to_send[1]; + 4 : tx <= char_to_send[2]; + 5 : tx <= char_to_send[3]; + 6 : tx <= char_to_send[4]; + 7 : tx <= char_to_send[5]; + 8 : tx <= char_to_send[6]; + 9 : tx <= char_to_send[7]; + default : tx <= 1; + endcase // case(bit_ctr) + + assign read = (bit_ctr == 9) && (baud_ctr == clkdiv); + assign baudclk = (baud_ctr == 1); // Only for debug purposes + +endmodule // simple_uart_tx diff --git a/usrp2/fpga/control_lib/spi.v b/usrp2/fpga/control_lib/spi.v new file mode 100644 index 00000000..a80c488e --- /dev/null +++ b/usrp2/fpga/control_lib/spi.v @@ -0,0 +1,84 @@ + + +// AD9510 Register Map (from datasheet Rev. A) + +/* INSTRUCTION word format (16 bits) + * 15 Read = 1, Write = 0 + * 14:13 W1/W0, Number of bytes 00 - 1, 01 - 2, 10 - 3, 11 - stream + * 12:0 Address + */ + +/* ADDR Contents Value (hex) + * 00 Serial Config Port 10 (def) -- MSB first, SDI/SDO separate + * 04 A Counter + * 05-06 B Counter + * 07-0A PLL Control + * 0B-0C R Divider + * 0D PLL Control + * 34-3A Fine Delay + * 3C-3F LVPECL Outs + * 40-43 LVDS/CMOS Outs + * 45 Clock select, power down + * 48-57 Dividers + * 58 Func and Sync + * 5A Update regs + */ + + +module spi + (input reset, + input clk, + + // SPI signals + output sen, + output sclk, + input sdi, + output sdo, + + // Interfaces + input read_1, + input write_1, + input [15:0] command_1, + input [15:0] wdata_1, + output [15:0] rdata_1, + output reg done_1, + input msb_first_1, + input [5:0] command_width_1, + input [5:0] data_width_1, + input [7:0] clkdiv_1 + + ); + + reg [15:0] command, wdata, rdata; + reg done; + + always @(posedge clk) + if(reset) + done_1 <= #1 1'b0; + + always @(posedge clk) + if(reset) + begin + counter <= #1 7'd0; + command <= #1 20'd0; + end + else if(start) + begin + counter <= #1 7'd1; + command <= #1 {read,w,addr_data}; + end + else if( |counter && ~done ) + begin + counter <= #1 counter + 7'd1; + if(~counter[0]) + command <= {command[22:0],1'b0}; + end + + wire done = (counter == 8'd49); + + assign sen = (done | counter == 8'd0); // CSB is high when we're not doing anything + assign sclk = ~counter[0]; + assign sdo = command[23]; + + +endmodule // clock_control diff --git a/usrp2/fpga/control_lib/srl.v b/usrp2/fpga/control_lib/srl.v new file mode 100644 index 00000000..fa28c766 --- /dev/null +++ b/usrp2/fpga/control_lib/srl.v @@ -0,0 +1,21 @@ + +module srl + #(parameter WIDTH=18) + (input clk, + input write, + input [WIDTH-1:0] in, + input [3:0] addr, + output [WIDTH-1:0] out); + + genvar i; + generate + for (i=0;i 5) + clock_present <= 1; + else + ; + else + if(abs_diff<3) + clock_present <= 0; + else + rd_counter <= rd_counter + 1; + +endmodule // ss_rcvr diff --git a/usrp2/fpga/control_lib/system_control.v b/usrp2/fpga/control_lib/system_control.v new file mode 100644 index 00000000..5d89f13d --- /dev/null +++ b/usrp2/fpga/control_lib/system_control.v @@ -0,0 +1,47 @@ +// System bootup order: +// 0 - Internal POR to reset this block. Maybe control it from CPLD in the future? +// 1 - Everything in reset +// 2 - Take RAM Loader out of reset +// 3 - When RAM Loader done, take processor and wishbone out of reset + +module system_control + (input wb_clk_i, + output reg ram_loader_rst_o, + output reg wb_rst_o, + input ram_loader_done_i + ); + + reg POR = 1'b1; + reg [3:0] POR_ctr; + + initial POR_ctr = 4'd0; + always @(posedge wb_clk_i) + if(POR_ctr == 4'd15) + POR <= 1'b0; + else + POR_ctr <= POR_ctr + 4'd1; + + always @(posedge POR or posedge wb_clk_i) + if(POR) + ram_loader_rst_o <= 1'b1; + else + ram_loader_rst_o <= #1 1'b0; + + // Main system reset + reg delayed_rst; + + always @(posedge POR or posedge wb_clk_i) + if(POR) + begin + wb_rst_o <= 1'b1; + delayed_rst <= 1'b1; + end + else if(ram_loader_done_i) + begin + delayed_rst <= 1'b0; + wb_rst_o <= delayed_rst; + end + +endmodule // system_control + + diff --git a/usrp2/fpga/control_lib/system_control_tb.v b/usrp2/fpga/control_lib/system_control_tb.v new file mode 100644 index 00000000..a8eff481 --- /dev/null +++ b/usrp2/fpga/control_lib/system_control_tb.v @@ -0,0 +1,57 @@ + + +module system_control_tb(); + + reg aux_clk, clk_fpga; + wire wb_clk, dsp_clk; + wire wb_rst, dsp_rst, rl_rst, proc_rst; + + reg rl_done, clock_ready; + + initial aux_clk = 1'b0; + always #25 aux_clk = ~aux_clk; + + initial clk_fpga = 1'b0; + + initial clock_ready = 1'b0; + initial + begin + @(negedge proc_rst); + #1003 clock_ready <= 1'b1; + end + + always #7 clk_fpga = ~clk_fpga; + + initial begin + $dumpfile("system_control_tb.vcd"); + $dumpvars(0,system_control_tb); + end + + initial #10000 $finish; + + initial + begin + @(negedge rl_rst); + rl_done <= 1'b0; + #1325 rl_done <= 1'b1; + end + + initial + begin + @(negedge proc_rst); + clock_ready <= 1'b0; + #327 clock_ready <= 1'b1; + end + + system_control + system_control(.aux_clk_i(aux_clk),.clk_fpga_i(clk_fpga), + .dsp_clk_o(dsp_clk),.wb_clk_o(wb_clk), + .ram_loader_rst_o(rl_rst), + .processor_rst_o(proc_rst), + .wb_rst_o(wb_rst), + .dsp_rst_o(dsp_rst), + .ram_loader_done_i(rl_done), + .clock_ready_i(clock_ready), + .debug_o()); + +endmodule // system_control_tb diff --git a/usrp2/fpga/control_lib/traffic_cop.v b/usrp2/fpga/control_lib/traffic_cop.v new file mode 100644 index 00000000..e7579656 --- /dev/null +++ b/usrp2/fpga/control_lib/traffic_cop.v @@ -0,0 +1,25 @@ + +module traffic_cop(); + + +endmodule // traffic_cop + + + +/* + + Traffic Cop to control buffer pool + + Inputs + + Commands + + Basic Operations + + Outputs + + + + + + */ diff --git a/usrp2/fpga/control_lib/wb_1master.v b/usrp2/fpga/control_lib/wb_1master.v new file mode 100644 index 00000000..e56ba1fb --- /dev/null +++ b/usrp2/fpga/control_lib/wb_1master.v @@ -0,0 +1,430 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Bus Top Level //// +//// //// +//// //// +//// Original Author: Johny Chi //// +//// chisuhua@yahoo.com.cn //// +//// Modified By Matt Ettus, matt@ettus.com //// +//// //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2007 Authors and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// Up to 8 slaves share a Wishbone Bus connection to 1 master + + module wb_1master + #(parameter s0_addr_w = 4, // slave 0 address decode width + parameter s0_addr = 4'h0, // slave 0 address + parameter s1_addr_w = 4 , // slave 1 address decode width + parameter s1_addr = 4'h1, // slave 1 address + parameter s215_addr_w = 8 , // slave 2 to slave 7 address decode width + parameter s2_addr = 8'h92, // slave 2 address + parameter s3_addr = 8'h93, // slave 3 address + parameter s4_addr = 8'h94, // slave 4 address + parameter s5_addr = 8'h95, // slave 5 address + parameter s6_addr = 8'h96, // slave 6 address + parameter s7_addr = 8'h97, // slave 7 address + parameter s8_addr = 8'h98, // slave 7 address + parameter s9_addr = 8'h99, // slave 7 address + parameter s10_addr = 8'h9a, // slave 7 address + parameter s11_addr = 8'h9b, // slave 7 address + parameter s12_addr = 8'h9c, // slave 7 address + parameter s13_addr = 8'h9d, // slave 7 address + parameter s14_addr = 8'h9e, // slave 7 address + parameter s15_addr = 8'h9f, // slave 7 address + + parameter dw = 32, // Data bus Width + parameter aw = 32, // Address bus Width + parameter sw = 4) // Number of Select Lines + + (input clk_i, + input rst_i, + + // Master Interface + input [dw-1:0] m0_dat_i, + output [dw-1:0] m0_dat_o, + input [aw-1:0] m0_adr_i, + input [sw-1:0] m0_sel_i, + input m0_we_i, + input m0_cyc_i, + input m0_stb_i, + output m0_ack_o, + output m0_err_o, + output m0_rty_o, + + // Slave Interfaces + input [dw-1:0] s0_dat_i, + output [dw-1:0] s0_dat_o, + output [aw-1:0] s0_adr_o, + output [sw-1:0] s0_sel_o, + output s0_we_o, + output s0_cyc_o, + output s0_stb_o, + input s0_ack_i, + input s0_err_i, + input s0_rty_i, + + input [dw-1:0] s1_dat_i, + output [dw-1:0] s1_dat_o, + output [aw-1:0] s1_adr_o, + output [sw-1:0] s1_sel_o, + output s1_we_o, + output s1_cyc_o, + output s1_stb_o, + input s1_ack_i, + input s1_err_i, + input s1_rty_i, + + input [dw-1:0] s2_dat_i, + output [dw-1:0] s2_dat_o, + output [aw-1:0] s2_adr_o, + output [sw-1:0] s2_sel_o, + output s2_we_o, + output s2_cyc_o, + output s2_stb_o, + input s2_ack_i, + input s2_err_i, + input s2_rty_i, + + input [dw-1:0] s3_dat_i, + output [dw-1:0] s3_dat_o, + output [aw-1:0] s3_adr_o, + output [sw-1:0] s3_sel_o, + output s3_we_o, + output s3_cyc_o, + output s3_stb_o, + input s3_ack_i, + input s3_err_i, + input s3_rty_i, + + input [dw-1:0] s4_dat_i, + output [dw-1:0] s4_dat_o, + output [aw-1:0] s4_adr_o, + output [sw-1:0] s4_sel_o, + output s4_we_o, + output s4_cyc_o, + output s4_stb_o, + input s4_ack_i, + input s4_err_i, + input s4_rty_i, + + input [dw-1:0] s5_dat_i, + output [dw-1:0] s5_dat_o, + output [aw-1:0] s5_adr_o, + output [sw-1:0] s5_sel_o, + output s5_we_o, + output s5_cyc_o, + output s5_stb_o, + input s5_ack_i, + input s5_err_i, + input s5_rty_i, + + input [dw-1:0] s6_dat_i, + output [dw-1:0] s6_dat_o, + output [aw-1:0] s6_adr_o, + output [sw-1:0] s6_sel_o, + output s6_we_o, + output s6_cyc_o, + output s6_stb_o, + input s6_ack_i, + input s6_err_i, + input s6_rty_i, + + input [dw-1:0] s7_dat_i, + output [dw-1:0] s7_dat_o, + output [aw-1:0] s7_adr_o, + output [sw-1:0] s7_sel_o, + output s7_we_o, + output s7_cyc_o, + output s7_stb_o, + input s7_ack_i, + input s7_err_i, + input s7_rty_i, + + input [dw-1:0] s8_dat_i, + output [dw-1:0] s8_dat_o, + output [aw-1:0] s8_adr_o, + output [sw-1:0] s8_sel_o, + output s8_we_o, + output s8_cyc_o, + output s8_stb_o, + input s8_ack_i, + input s8_err_i, + input s8_rty_i, + + input [dw-1:0] s9_dat_i, + output [dw-1:0] s9_dat_o, + output [aw-1:0] s9_adr_o, + output [sw-1:0] s9_sel_o, + output s9_we_o, + output s9_cyc_o, + output s9_stb_o, + input s9_ack_i, + input s9_err_i, + input s9_rty_i, + + input [dw-1:0] s10_dat_i, + output [dw-1:0] s10_dat_o, + output [aw-1:0] s10_adr_o, + output [sw-1:0] s10_sel_o, + output s10_we_o, + output s10_cyc_o, + output s10_stb_o, + input s10_ack_i, + input s10_err_i, + input s10_rty_i, + + input [dw-1:0] s11_dat_i, + output [dw-1:0] s11_dat_o, + output [aw-1:0] s11_adr_o, + output [sw-1:0] s11_sel_o, + output s11_we_o, + output s11_cyc_o, + output s11_stb_o, + input s11_ack_i, + input s11_err_i, + input s11_rty_i, + + input [dw-1:0] s12_dat_i, + output [dw-1:0] s12_dat_o, + output [aw-1:0] s12_adr_o, + output [sw-1:0] s12_sel_o, + output s12_we_o, + output s12_cyc_o, + output s12_stb_o, + input s12_ack_i, + input s12_err_i, + input s12_rty_i, + + input [dw-1:0] s13_dat_i, + output [dw-1:0] s13_dat_o, + output [aw-1:0] s13_adr_o, + output [sw-1:0] s13_sel_o, + output s13_we_o, + output s13_cyc_o, + output s13_stb_o, + input s13_ack_i, + input s13_err_i, + input s13_rty_i, + + input [dw-1:0] s14_dat_i, + output [dw-1:0] s14_dat_o, + output [aw-1:0] s14_adr_o, + output [sw-1:0] s14_sel_o, + output s14_we_o, + output s14_cyc_o, + output s14_stb_o, + input s14_ack_i, + input s14_err_i, + input s14_rty_i, + + input [dw-1:0] s15_dat_i, + output [dw-1:0] s15_dat_o, + output [aw-1:0] s15_adr_o, + output [sw-1:0] s15_sel_o, + output s15_we_o, + output s15_cyc_o, + output s15_stb_o, + input s15_ack_i, + input s15_err_i, + input s15_rty_i + ); + + // //////////////////////////////////////////////////////////////// + // + // Local wires + // + + wire [15:0] ssel_dec; + reg [dw-1:0] i_dat_s; // internal share bus , slave data to master + + // Master output Interface + assign m0_dat_o = i_dat_s; + + always @* + case(ssel_dec) + 1 : i_dat_s <= s0_dat_i; + 2 : i_dat_s <= s1_dat_i; + 4 : i_dat_s <= s2_dat_i; + 8 : i_dat_s <= s3_dat_i; + 16 : i_dat_s <= s4_dat_i; + 32 : i_dat_s <= s5_dat_i; + 64 : i_dat_s <= s6_dat_i; + 128 : i_dat_s <= s7_dat_i; + 256 : i_dat_s <= s8_dat_i; + 512 : i_dat_s <= s9_dat_i; + 1024 : i_dat_s <= s10_dat_i; + 2048 : i_dat_s <= s11_dat_i; + 4096 : i_dat_s <= s12_dat_i; + 8192 : i_dat_s <= s13_dat_i; + 16384 : i_dat_s <= s14_dat_i; + 32768 : i_dat_s <= s15_dat_i; + default : i_dat_s <= s0_dat_i; + endcase // case(ssel_dec) + + assign {m0_ack_o, m0_err_o, m0_rty_o} + = {s0_ack_i | s1_ack_i | s2_ack_i | s3_ack_i | s4_ack_i | s5_ack_i | s6_ack_i | s7_ack_i | + s8_ack_i | s9_ack_i | s10_ack_i | s11_ack_i | s12_ack_i | s13_ack_i | s14_ack_i | s15_ack_i , + s0_err_i | s1_err_i | s2_err_i | s3_err_i | s4_err_i | s5_err_i | s6_err_i | s7_err_i | + s8_err_i | s9_err_i | s10_err_i | s11_err_i | s12_err_i | s13_err_i | s14_err_i | s15_err_i , + s0_rty_i | s1_rty_i | s2_rty_i | s3_rty_i | s4_rty_i | s5_rty_i | s6_rty_i | s7_rty_i | + s8_rty_i | s9_rty_i | s10_rty_i | s11_rty_i | s12_rty_i | s13_rty_i | s14_rty_i | s15_rty_i }; + + // Slave output interfaces + assign s0_adr_o = m0_adr_i; + assign s0_sel_o = m0_sel_i; + assign s0_dat_o = m0_dat_i; + assign s0_we_o = m0_we_i; + assign s0_cyc_o = m0_cyc_i; + assign s0_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[0]; + + assign s1_adr_o = m0_adr_i; + assign s1_sel_o = m0_sel_i; + assign s1_dat_o = m0_dat_i; + assign s1_we_o = m0_we_i; + assign s1_cyc_o = m0_cyc_i; + assign s1_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[1]; + + assign s2_adr_o = m0_adr_i; + assign s2_sel_o = m0_sel_i; + assign s2_dat_o = m0_dat_i; + assign s2_we_o = m0_we_i; + assign s2_cyc_o = m0_cyc_i; + assign s2_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[2]; + + assign s3_adr_o = m0_adr_i; + assign s3_sel_o = m0_sel_i; + assign s3_dat_o = m0_dat_i; + assign s3_we_o = m0_we_i; + assign s3_cyc_o = m0_cyc_i; + assign s3_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[3]; + + assign s4_adr_o = m0_adr_i; + assign s4_sel_o = m0_sel_i; + assign s4_dat_o = m0_dat_i; + assign s4_we_o = m0_we_i; + assign s4_cyc_o = m0_cyc_i; + assign s4_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[4]; + + assign s5_adr_o = m0_adr_i; + assign s5_sel_o = m0_sel_i; + assign s5_dat_o = m0_dat_i; + assign s5_we_o = m0_we_i; + assign s5_cyc_o = m0_cyc_i; + assign s5_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[5]; + + assign s6_adr_o = m0_adr_i; + assign s6_sel_o = m0_sel_i; + assign s6_dat_o = m0_dat_i; + assign s6_we_o = m0_we_i; + assign s6_cyc_o = m0_cyc_i; + assign s6_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[6]; + + assign s7_adr_o = m0_adr_i; + assign s7_sel_o = m0_sel_i; + assign s7_dat_o = m0_dat_i; + assign s7_we_o = m0_we_i; + assign s7_cyc_o = m0_cyc_i; + assign s7_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[7]; + + assign s8_adr_o = m0_adr_i; + assign s8_sel_o = m0_sel_i; + assign s8_dat_o = m0_dat_i; + assign s8_we_o = m0_we_i; + assign s8_cyc_o = m0_cyc_i; + assign s8_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[8]; + + assign s9_adr_o = m0_adr_i; + assign s9_sel_o = m0_sel_i; + assign s9_dat_o = m0_dat_i; + assign s9_we_o = m0_we_i; + assign s9_cyc_o = m0_cyc_i; + assign s9_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[9]; + + assign s10_adr_o = m0_adr_i; + assign s10_sel_o = m0_sel_i; + assign s10_dat_o = m0_dat_i; + assign s10_we_o = m0_we_i; + assign s10_cyc_o = m0_cyc_i; + assign s10_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[10]; + + assign s11_adr_o = m0_adr_i; + assign s11_sel_o = m0_sel_i; + assign s11_dat_o = m0_dat_i; + assign s11_we_o = m0_we_i; + assign s11_cyc_o = m0_cyc_i; + assign s11_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[11]; + + assign s12_adr_o = m0_adr_i; + assign s12_sel_o = m0_sel_i; + assign s12_dat_o = m0_dat_i; + assign s12_we_o = m0_we_i; + assign s12_cyc_o = m0_cyc_i; + assign s12_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[12]; + + assign s13_adr_o = m0_adr_i; + assign s13_sel_o = m0_sel_i; + assign s13_dat_o = m0_dat_i; + assign s13_we_o = m0_we_i; + assign s13_cyc_o = m0_cyc_i; + assign s13_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[13]; + + assign s14_adr_o = m0_adr_i; + assign s14_sel_o = m0_sel_i; + assign s14_dat_o = m0_dat_i; + assign s14_we_o = m0_we_i; + assign s14_cyc_o = m0_cyc_i; + assign s14_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[14]; + + assign s15_adr_o = m0_adr_i; + assign s15_sel_o = m0_sel_i; + assign s15_dat_o = m0_dat_i; + assign s15_we_o = m0_we_i; + assign s15_cyc_o = m0_cyc_i; + assign s15_stb_o = m0_cyc_i & m0_stb_i & ssel_dec[15]; + + // Address decode logic + // WARNING -- must make sure these are mutually exclusive! + assign ssel_dec[0] = (m0_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); + assign ssel_dec[1] = (m0_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); + assign ssel_dec[2] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s2_addr); + assign ssel_dec[3] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s3_addr); + assign ssel_dec[4] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s4_addr); + assign ssel_dec[5] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s5_addr); + assign ssel_dec[6] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s6_addr); + assign ssel_dec[7] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s7_addr); + assign ssel_dec[8] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s8_addr); + assign ssel_dec[9] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s9_addr); + assign ssel_dec[10] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s10_addr); + assign ssel_dec[11] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s11_addr); + assign ssel_dec[12] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s12_addr); + assign ssel_dec[13] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s13_addr); + assign ssel_dec[14] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s14_addr); + assign ssel_dec[15] = (m0_adr_i[aw -1 : aw - s215_addr_w ] == s15_addr); + +endmodule // wb_1master diff --git a/usrp2/fpga/control_lib/wb_bus_writer.v b/usrp2/fpga/control_lib/wb_bus_writer.v new file mode 100644 index 00000000..fc148a0f --- /dev/null +++ b/usrp2/fpga/control_lib/wb_bus_writer.v @@ -0,0 +1,57 @@ + +// wb_bus_writer +// +// WB Bus Master device to send a sequence of single-word transactions +// based on a list in a RAM or ROM (FASM interface) +// ROM data format is {WB_ADDR[15:0],WB_DATA[31:0]} +// continues until it gets an all-1s entry + +module wb_bus_writer (input start, + output done, + output reg [15:0] rom_addr, + input [47:0] rom_data, + // WB Master Interface, don't need wb_dat_i + input wb_clk_i, + input wb_rst_i, + output [31:0] wb_dat_o, + input wb_ack_i, + output [15:0] wb_adr_o, + output wb_cyc_o, + output [3:0] wb_sel_o, + output wb_stb_o, + output wb_we_o + ); + +`define IDLE 0 +`define READ 1 + + reg [3:0] state; + + assign done = (state != `IDLE) && (&rom_data); // Done when we see all 1s + + always @(posedge wb_clk_i) + if(wb_rst_i) + begin + rom_addr <= #1 0; + state <= #1 0; + end + else if(start) + begin + rom_addr <= #1 0; + state <= #1 `READ; + end + else if((state == `READ) && wb_ack_i) + if(done) + state <= #1 `IDLE; + else + rom_addr <= #1 rom_addr + 1; + + assign wb_dat_o = rom_data[31:0]; + assign wb_adr_o = rom_data[47:32]; + assign wb_sel_o = 4'b1111; // All writes are the full 32 bits + + assign wb_cyc_o = !done & (state != `IDLE); + assign wb_stb_o = !done & (state != `IDLE); + assign wb_we_o = !done & (state != `IDLE); + +endmodule // wb_bus_writer diff --git a/usrp2/fpga/control_lib/wb_output_pins32.v b/usrp2/fpga/control_lib/wb_output_pins32.v new file mode 100644 index 00000000..1517f206 --- /dev/null +++ b/usrp2/fpga/control_lib/wb_output_pins32.v @@ -0,0 +1,49 @@ + + +// Simple 32-bit Wishbone compatible slave output port +// with 8-bit granularity, modeled after the one in the spec +// Allows for readback +// Assumes a 32-bit wishbone bus +// Lowest order bits get sel[0] +// + +module wb_output_pins32 + (wb_rst_i, wb_clk_i, wb_dat_i, wb_dat_o, + wb_we_i, wb_sel_i, wb_stb_i, wb_ack_o, wb_cyc_i, + port_output); + + input wb_rst_i; + input wb_clk_i; + input wire [31:0] wb_dat_i; + output wire [31:0] wb_dat_o; + input wb_we_i; + input wire [3:0] wb_sel_i; + input wb_stb_i; + output wb_ack_o; + input wb_cyc_i; + + output wire [31:0] port_output; + + reg [31:0] internal_reg; + + always @(posedge wb_clk_i) + if(wb_rst_i) + internal_reg <= #1 32'b0; + else + begin + if(wb_stb_i & wb_we_i & wb_sel_i[0]) + internal_reg[7:0] <= #1 wb_dat_i[7:0]; + if(wb_stb_i & wb_we_i & wb_sel_i[1]) + internal_reg[15:8] <= #1 wb_dat_i[15:8]; + if(wb_stb_i & wb_we_i & wb_sel_i[2]) + internal_reg[23:16] <= #1 wb_dat_i[23:16]; + if(wb_stb_i & wb_we_i & wb_sel_i[3]) + internal_reg[31:24] <= #1 wb_dat_i[31:24]; + end // else: !if(wb_rst_i) + + assign wb_dat_o = internal_reg; + assign port_output = internal_reg; + assign wb_ack_o = wb_stb_i; + +endmodule // wb_output_pins32 + diff --git a/usrp2/fpga/control_lib/wb_ram_block.v b/usrp2/fpga/control_lib/wb_ram_block.v new file mode 100644 index 00000000..044d34ca --- /dev/null +++ b/usrp2/fpga/control_lib/wb_ram_block.v @@ -0,0 +1,36 @@ + + +// Since this is a block ram, there are no byte-selects and there is a 1-cycle read latency +// These have to be a multiple of 512 lines (2K) long + +module wb_ram_block + #(parameter AWIDTH=9) + (input clk_i, + input stb_i, + input we_i, + input [AWIDTH-1:0] adr_i, + input [31:0] dat_i, + output reg [31:0] dat_o, + output ack_o); + + reg [31:0] distram [0:1<<(AWIDTH-1)]; + + always @(posedge clk_i) + begin + if(stb_i & we_i) + distram[adr_i] <= dat_i; + dat_o <= distram[adr_i]; + end + + reg stb_d1, ack_d1; + always @(posedge clk_i) + stb_d1 <= stb_i; + + always @(posedge clk_i) + ack_d1 <= ack_o; + + assign ack_o = stb_i & (we_i | (stb_d1 & ~ack_d1)); +endmodule // wb_ram_block + + + diff --git a/usrp2/fpga/control_lib/wb_ram_dist.v b/usrp2/fpga/control_lib/wb_ram_dist.v new file mode 100644 index 00000000..cffc2f42 --- /dev/null +++ b/usrp2/fpga/control_lib/wb_ram_dist.v @@ -0,0 +1,33 @@ + + +module wb_ram_dist + #(parameter AWIDTH=8) + (input clk_i, + input stb_i, + input we_i, + input [AWIDTH-1:0] adr_i, + input [31:0] dat_i, + input [3:0] sel_i, + output [31:0] dat_o, + output ack_o); + + reg [31:0] distram [0:1<<(AWIDTH-1)]; + + always @(posedge clk_i) + begin + if(stb_i & we_i & sel_i[3]) + distram[adr_i][31:24] <= dat_i[31:24]; + if(stb_i & we_i & sel_i[2]) + distram[adr_i][24:16] <= dat_i[24:16]; + if(stb_i & we_i & sel_i[1]) + distram[adr_i][15:8] <= dat_i[15:8]; + if(stb_i & we_i & sel_i[0]) + distram[adr_i][7:0] <= dat_i[7:0]; + end // always @ (posedge clk_i) + + assign dat_o = distram[adr_i]; + assign ack_o = stb_i; + +endmodule // wb_ram_dist + + diff --git a/usrp2/fpga/control_lib/wb_readback_mux.v b/usrp2/fpga/control_lib/wb_readback_mux.v new file mode 100644 index 00000000..3922b03e --- /dev/null +++ b/usrp2/fpga/control_lib/wb_readback_mux.v @@ -0,0 +1,60 @@ + + +// Note -- clocks must be synchronous (derived from the same source) +// Assumes alt_clk is running at a multiple of wb_clk + +module wb_readback_mux + (input wb_clk_i, + input wb_rst_i, + input wb_stb_i, + input [15:0] wb_adr_i, + output reg [31:0] wb_dat_o, + output reg wb_ack_o, + + input [31:0] word00, + input [31:0] word01, + input [31:0] word02, + input [31:0] word03, + input [31:0] word04, + input [31:0] word05, + input [31:0] word06, + input [31:0] word07, + input [31:0] word08, + input [31:0] word09, + input [31:0] word10, + input [31:0] word11, + input [31:0] word12, + input [31:0] word13, + input [31:0] word14, + input [31:0] word15 + ); + + always @(posedge wb_clk_i) + if(wb_rst_i) + wb_ack_o <= 0; + else + wb_ack_o <= wb_stb_i & ~wb_ack_o; + + always @(posedge wb_clk_i) + case(wb_adr_i[5:2]) + 0 : wb_dat_o <= word00; + 1 : wb_dat_o <= word01; + 2 : wb_dat_o <= word02; + 3 : wb_dat_o <= word03; + 4 : wb_dat_o <= word04; + 5 : wb_dat_o <= word05; + 6 : wb_dat_o <= word06; + 7 : wb_dat_o <= word07; + 8 : wb_dat_o <= word08; + 9 : wb_dat_o <= word09; + 10: wb_dat_o <= word10; + 11: wb_dat_o <= word11; + 12: wb_dat_o <= word12; + 13: wb_dat_o <= word13; + 14: wb_dat_o <= word14; + 15: wb_dat_o <= word15; + endcase // case(addr_reg[3:0]) + +endmodule // wb_readback_mux + + diff --git a/usrp2/fpga/control_lib/wb_regfile_2clock.v b/usrp2/fpga/control_lib/wb_regfile_2clock.v new file mode 100644 index 00000000..e248e516 --- /dev/null +++ b/usrp2/fpga/control_lib/wb_regfile_2clock.v @@ -0,0 +1,107 @@ + +module wb_regfile_2clock + (input wb_clk_i, + input wb_rst_i, + input wb_stb_i, + input wb_we_i, + input [15:0] wb_adr_i, + input [3:0] wb_sel_i, + input [31:0] wb_dat_i, + output [31:0] wb_dat_o, + output wb_ack_o, + input alt_clk, + input alt_rst, + + output reg [31:0] reg00, + output reg [31:0] reg01, + output reg [31:0] reg02, + output reg [31:0] reg03, + output reg [31:0] reg04, + output reg [31:0] reg05, + output reg [31:0] reg06, + output reg [31:0] reg07 + ); + + reg [15:0] addr_reg; + reg [3:0] sel_reg; + reg [31:0] dat_reg; + reg wr_ret1, wr_ret2, we_reg, stb_reg; + + always @(posedge wb_clk_i) + if(wb_rst_i) + begin + addr_reg <= 0; + sel_reg <= 0; + dat_reg <= 0; + end + else if(wb_stb_i & wb_we_i) + begin + addr_reg <= wb_adr_i; + sel_reg <= wb_sel_i; + dat_reg <= wb_dat_i; + end + + always @(posedge wb_clk_i) + if(wb_rst_i) + {we_reg,stb_reg} <= 2'b0; + else + {we_reg,stb_reg} <= {wb_we_i,wb_stb_i}; + + assign wb_ack_o = stb_reg; + + always @(posedge alt_clk) + if(alt_rst) + {wr_ret2, wr_ret1} <= 2'b0; + else + {wr_ret2, wr_ret1} <= {wr_ret1, we_reg & stb_reg}; + + always @(posedge alt_clk) + if(alt_rst) + begin + reg00 <= 0; + reg01 <= 0; + reg02 <= 0; + reg03 <= 0; + reg04 <= 0; + reg05 <= 0; + reg06 <= 0; + reg07 <= 0; + end // if (alt_rst) + else if(wr_ret2) + case(addr_reg[4:2]) + 3'd0: reg00 <= { {sel_reg[3] ? dat_reg[31:24] : reg00[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg00[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg00[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg00[7:0]}}; + 3'd1: reg01 <= { {sel_reg[3] ? dat_reg[31:24] : reg01[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg01[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg01[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg01[7:0]}}; + 3'd2: reg02 <= { {sel_reg[3] ? dat_reg[31:24] : reg02[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg02[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg02[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg02[7:0]}}; + 3'd3: reg03 <= { {sel_reg[3] ? dat_reg[31:24] : reg03[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg03[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg03[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg03[7:0]}}; + 3'd4: reg04 <= { {sel_reg[3] ? dat_reg[31:24] : reg04[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg04[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg04[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg04[7:0]}}; + 3'd5: reg05 <= { {sel_reg[3] ? dat_reg[31:24] : reg05[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg05[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg05[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg05[7:0]}}; + 3'd6: reg06 <= { {sel_reg[3] ? dat_reg[31:24] : reg06[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg06[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg06[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg06[7:0]}}; + 3'd7: reg07 <= { {sel_reg[3] ? dat_reg[31:24] : reg07[31:24]}, + {sel_reg[2] ? dat_reg[23:16] : reg07[23:16]}, + {sel_reg[1] ? dat_reg[15:8] : reg07[15:8]}, + {sel_reg[0] ? dat_reg[7:0] : reg07[7:0]}}; + endcase // case(addr_reg[2:0]) + +endmodule // wb_regfile_2clock + diff --git a/usrp2/fpga/control_lib/wb_semaphore.v b/usrp2/fpga/control_lib/wb_semaphore.v new file mode 100644 index 00000000..a9208e6a --- /dev/null +++ b/usrp2/fpga/control_lib/wb_semaphore.v @@ -0,0 +1,42 @@ + +// up to 8 semaphores + +// After a read operation, the semaphore is always locked +// If it was already locked before the read (meaning someone else holds the lock) +// then a 1 is returned +// If it was not already locked (meaning the reader now holds the lock) +// then a 0 is returned + +// A write operation clears the lock + +module wb_semaphore + #(parameter count=8, DBUS_WIDTH=32) + (input wb_clk_i, + input wb_rst_i, + input [DBUS_WIDTH-1:0] wb_dat_i, + input [2:0] wb_adr_i, + input wb_cyc_i, + input wb_stb_i, + input wb_we_i, + output wb_ack_o, + output [DBUS_WIDTH-1:0] wb_dat_o); + + reg [count-1:0] locked; + + always @(posedge clock) + if(wb_rst_i) + locked <= {count{1'b0}}; + else if(wb_stb_i) + if(wb_we_i) + locked[adr_i] <= 1'b0; + else + locked[adr_i] <= 1'b1; + + assign wb_dat_o[DBUS_WIDTH-1:1] = {(DBUS_WIDTH-1){1'b0}}; + assign wb_dat_o[0] = locked[adr_i]; + assign wb_ack_o = wb_stb_i; + + +endmodule // wb_semaphore + + diff --git a/usrp2/fpga/control_lib/wb_sim.v b/usrp2/fpga/control_lib/wb_sim.v new file mode 100644 index 00000000..b324e145 --- /dev/null +++ b/usrp2/fpga/control_lib/wb_sim.v @@ -0,0 +1,79 @@ + + +module wb_sim(); + + wire wb_clk, wb_rst; + wire start; + + reg POR, aux_clk, clk_fpga; + + initial POR = 1'b1; + initial #103 POR = 1'b0; + + initial aux_clk = 1'b0; + always #25 aux_clk = ~aux_clk; + + initial clk_fpga = 1'bx; + initial #3007 clk_fpga = 1'b0; + always #7 clk_fpga = ~clk_fpga; + + initial begin + $dumpfile("wb_sim.vcd"); + $dumpvars(0,wb_sim); + end + + initial #10000 $finish; + + wire [15:0] rom_addr; + wire [47:0] rom_data; + wire [31:0] wb_dat; + wire [15:0] wb_adr; + wire wb_cyc,wb_stb,wb_we,wb_ack; + wire [3:0] wb_sel; + + wire [31:0] port_output; + + + system_control system_control(.dsp_clk(dsp_clk), + .reset_out(reset_out), + .wb_clk_o(wb_clk), + .wb_rst_o(wb_rst), + .wb_rst_o_alt(wb_rst_o_alt), + .start (start), + .aux_clk(aux_clk), + .clk_fpga(clk_fpga), + .POR (POR), + .done (done)); + + clock_bootstrap_rom cbrom(.addr(rom_addr),.data(rom_data)); + + wb_bus_writer bus_writer(.rom_addr (rom_addr[15:0]), + .wb_dat_o (wb_dat[31:0]), + .wb_adr_o (wb_adr[15:0]), + .wb_cyc_o (wb_cyc), + .wb_sel_o (wb_sel[3:0]), + .wb_stb_o (wb_stb), + .wb_we_o (wb_we), + .start (start), + .done (done), + .rom_data (rom_data[47:0]), + .wb_clk_i (wb_clk), + .wb_rst_i (wb_rst), + .wb_ack_i (wb_ack)); + + wb_output_pins32 output_pins(.wb_dat_o(), + .wb_ack_o(wb_ack), + .port_output(port_output[31:0]), + .wb_rst_i(wb_rst), + .wb_clk_i(wb_clk), + .wb_dat_i(wb_dat[31:0]), + .wb_we_i(wb_we), + .wb_sel_i(wb_sel[3:0]), + .wb_stb_i(wb_stb), + .wb_cyc_i(wb_cyc)); + + + + +endmodule // wb_sim + diff --git a/usrp2/fpga/coregen/coregen.cgp b/usrp2/fpga/coregen/coregen.cgp new file mode 100644 index 00000000..1ee75a7b --- /dev/null +++ b/usrp2/fpga/coregen/coregen.cgp @@ -0,0 +1,20 @@ +# Date: Mon Feb 4 20:12:22 2008 +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = Verilog +SET device = xc3s1500 +SET devicefamily = spartan3 +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fg456 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -5 +SET verilogsim = True +SET vhdlsim = False +SET workingdirectory = /home/matt/coregen/tmp + diff --git a/usrp2/fpga/coregen/fifo_generator_release_notes.txt b/usrp2/fpga/coregen/fifo_generator_release_notes.txt new file mode 100644 index 00000000..554ec87f --- /dev/null +++ b/usrp2/fpga/coregen/fifo_generator_release_notes.txt @@ -0,0 +1,160 @@ +COPYRIGHT (c) 2006, 2007 XILINX, INC. +ALL RIGHTS RESERVED + +Core name : FIFO Generator +Version : v4.1 +Release Date : August 8, 2007 +File : fifo_generator_release_notes.txt + +Revision History +Date By Version Change Description +======================================================================== +09/2006 Xilinx, Inc. 3.2 Initial creation. +02/2007 Xilinx, Inc. 3.3 Revised for v3.3. +02/2007 Xilinx, Inc. 3.3 Revised for v3.3 rev 1. +08/2007 Xilinx, Inc. 3.4 Revised for v4.1. +======================================================================== + +INTRODUCTION +RELEASE NOTES + 1. General Core Design + 1.1 Enhancements + 1.2 Resolved Issues + 1.3 Outstanding Issues + 2. General Simulation + 2.1 Enhancements + 2.2 Resolved Issues + 2.3 Outstanding Issues + 3. Documentation + 3.1 Enhancements + 3.2 Resolved Issues + 3.3 Outstanding Issues +OTHER GENERAL INFORMATION +TECHNICAL SUPPORT + +======================================================================== + +INTRODUCTION +============ +Thank you using the FIFO Generator core from Xilinx! +In order to obtain the latest core updates and documentation, +please visit the Intellectual Property page located at: +http://www.xilinx.com/ipcenter/index.htm +This document contains the release notes for FIFO Generator v4.1 +which includes enhancements, resolved issues and outstanding known +issues. For release notes and known issues for CORE Generator 9.2i IP +Update 1 and FIFO Generator v4.1 please see Answer Record 25222. + +RELEASE NOTES +============= +This section lists any enhancements, resolved issues and outstanding +known issues. + + +1. General Core Design + 1.1 Enhancements + 1.1.1 Error Correction Checking (ECC) feature support for + Virtex-5 block RAM FIFO configurations + + 1.1.2 Full range data count widths now supported for non-symmetric + aspect ratio configurations + + 1.1.3 Option to define asynchronous reset value for full condition + flags (FULL, ALMOST_FULL, PROG_FULL). Applies to block RAM, + distributed RAM and shift RAM-based FIFO configurations only + + 1.1.4 Support added for use embedded output registers in block RAM + FIFO configurations (Virtex-4 and Virtex-5 only) + + 1.2 Resolved Issues + 1.2.1 Coregen GUI - For built-in FIFOs, GUI reports incorrect + number of built-in FIFO primitives used. + Change request: 4433738 + + 1.2.2 Programmable full flag is always asserted even when FIFO is + empty due to incorrect threshold setting. + Change request: 435835 + + 1.2.3 "ERROR:LIT:250 - Pins WEA0, WEA1, WEA2, WEA3 of RAMB16 symbol + .. , these pins should be connected to the same signal" occur + during MAP when targeting Virtex-4 and Virtex-5. + Change request: 338260 + + 1.2.4 Write Data Count and Read Data Count overestimate the number + of words written or read when core is configured with this + combination of options: First-Word-Fall-Through(FWFT), + accurate data count using extra logic, non-symmetric port + aspect ratio. + Change request: 436886 + + 1.2.5 SBITERR and DBITERR outputs are not driven in behavior + models. + Change request: 433637 + + 1.2.6 Maximum programmable empty threshold negate value is + incorrect. + Change request: 433921 + + 1.2.7 Programmable full flag behavior is incorrect when the + core is configured with this combination of options: + FWFT, non-symmetric port aspect ratio, single or + multiple programmable full threshold input port. + Change request: 435874 + + 1.2.8 Programmable empty flag stuck high when the core is + configured with this combination of options: block or + distributed RAM FIFO, single or multiple programmable + empty threshold input port. + Change request: 443569 + + 1.3 Outstanding Issues + 1.3.1 "WARNING:Ngdbuild:452 - logical net + 'u1/BU2/prog_*_thresh_assert<*>' has no driver" occur during + NgdBuild although programmable empty or full is not selected. + Warnings can be safely ignored. + Change request: 431975 + +2. General Simulation + 2.1 Enhancements + None at this time. + + 2.2 Resolved Issues + None at this time. + + 2.3 Outstanding Issues + 2.3.1 Ncelab warnings during Verilog structural and timing simulations + in ncsim for Virtex5 Block RAM FIFOs. + The simulations will be successful, but there will be warnings + similar to the following in the log file: "memory index out of + declared bounds" in simprims_ver_virtex5_source.v or + unisims_ver_virtex5_source.v. Cadence does not want to fix this + issue. These warning messages can safely be ignored. + Change request: 423374, 423375 + +3. Documentation + 3.1 Enhancements + 3.1.1 Added clarification on FIFO flag latency. + + 3.1.1 Added clarification on actual FIFO depth. + + 3.2 Resolved Issues + None at this time. + + 3.3 Outstanding Issues + None at this time. + + +TECHNICAL SUPPORT +================= +The fastest method for obtaining specific technical support for the +FIFO Generator core is through the http://support.xilinx.com/ +website. Questions are routed to a team of engineers with specific +expertise in using the FIFO Generator core. Xilinx will provide +technical support for use of this product as described in the FIFO +Generator Datasheet. Xilinx cannot guarantee timing, functionality, +or support of this product for designs that do not follow these +guidelines. + + + + diff --git a/usrp2/fpga/coregen/fifo_generator_ug175.pdf b/usrp2/fpga/coregen/fifo_generator_ug175.pdf new file mode 100644 index 0000000000000000000000000000000000000000..2c3e3c2003b29bf6eaab908f4b65bdf6a2902e57 GIT binary patch literal 1069823 zcmc$`cRbZ^_&<*9mC9ZnkrmG3ILO{JdnDVjviHa+D=QKrTXuv(W|EPNvXiZdY}pFG z*Fn?!^ZkAvzuzCfdK~9;i+ppwNHxg+buFr^lYQGYo=&obHD} zo~8#Va*Brl{9pUQppertL!pRMW1(=^DIQSXQ@#NM)IB{G!O#1zEKnr$bUy+3seUlX zY29E@fq&-`27~bf5rY2r%THl2UKr$58z0~4HpG97MM6*06M&p*gG1n_>;i|LwiO%( z`PYYFaG>MA@&RsenjRnD>9Ih>pBjsVp3)62aC$y?A*bRJFx6>W@xl?O=<)IjoU#Eg zg7=gjy!^cX#y$*yp;Ke|AgB5AK>?KdXD$J^Iz^8U&UeaIe7yXp$08u7`|+a@^>2US z`;R&26F{EwNd)AyKO>-sQ}QAHBc>6&r{fZU&eLQ00Z;x%J_P?Myn-SA19SKx@Ka;? zp{MQ44@B#~Jow?K@EOJrIKruZe5Y}PpZ~NU02uo(p2Coj({Y4^p2hI*Piig0dwGj@1oLXn#z}oY#EN}=goBz;*1553{@d1Y*p#SqMA59ojJg~CtaE*#2t%BFB&Ir`V1;n36g0*4|I|N1i=3K;cYS%5|KbQ|;(Cc=R= z zg#+*jjb-RhQu+I zD(Ku1ojRiIEnTfZP<}WBohSl<1D3J2bwxRYWo%7cQBo)~2Xhoy9{7eI0i>1y0|<~; z!g*mxum%VYc!h|Fi>our#16<8hevgMlr(gxMRRiEUM|;jE5RogvJRl>NjM?oek*j&OiLpC)7|}#i zcpYw}k!LZtSqOED_yLK*aj0I85pk_mQA`bs9jixV5el$1JfoJ=RNRDC3(u?OUMueA zNvudy@cdZNahCC3gUMAnp+vCT`o;$m+$A_`$ex8eHmdL5Tza3+28D^@S3l{qn{nQS zQ&G5O?bDIOG>WirpxwJRBS@3=;Gp82FHG(9!%wIN)nlT^a$cj=4#Zx^&2~wDl+)!4(hpNSAA*C*L<~ z2lqyZpfFR`w5FkYinEGdr8nv(?)ax?;R_C0J;!Zo*edaZHp6coo!b_3khtryGzdWI>y-AEqEi43z3TIx5xHMZg zklx@VPn4B9Q!Zi{iebQht`*WdWd~*urE{&Gk}!-Vc-+%*mF?vYGiJz)qh9LeGlQ0I z-WR%UDD2NTEwGKY7)NnpZW9=n{s+kVI0(2>`RGR3XGbS5BTm62X zheT&W$quK+C6MfAYAVqXjO5P)h;Q;?RDtW|2x^~72thu!ON!jKo|`FWfs zn+4NE%g)s{bIj1aVAw>pa(kwZH9~KXT?{ro=chuuOqEJnKwG9>ym{_Z*f4epTMC=V zJ=wJFd;7|t?Gq>po=JSk+7(EJ2PQ?4^M+c!p1PLcvhI@6(or!Wv+Jx%pkxtZsFYwv zDqu~AE!MCsCMi=V(I*gNW#w=`E{(KTuQg|tmFtRxEQX8NQYGo+Mt={{Y8}`5Mmejo zfkgb2$F=RnrOi|!9+)+N{tD$tZCC7mK zHM{tF3)V^k@ed;_ET2A^%MBOK=eac<{#mfz*Y??in=xla=`yG0Q~bRSRyD#2=(N9Q z&XzD_wcdLXYvXQ9y%}W(#y$3VE7trTU9@D6SftoBttOgl&%JFtctZ*tb5)n=V68Fv)h&98^6U2 zWHZVA7^+leqJ!^G=ilkdaYtoQUyO~Wm)SNKa)?{0`iio5C(VRn>TciRjFCG=_QGw8 zE@^fpBGIsvZ@RABMnbiIB6BKF4)Uku+oGRiTD|Kc(Jl|M1rrHBns?{7<>bg1sod1+ zI7?f^_nkT0CdVzOqTKt2ztFsve%qj)h0a9oez3U)*H(T4| zKTrd(rk5iMED4aYb+9}lpk!i-vOS^#rFq0STag@BGsT&F8p} zq_cyggoCF(IwL^Vtu;GO#Af)71bh?ddA3fff=ND64Ta^2k=e zhohnBGzg&mmo(@O$I@I=RR*@xuBn17Ol)0HU}|DQ zrnEYT9l3?M77+OsS3rEIc9r`FcV3N8tJy40m{y#5P40YuL7|3lf_+HG0G%R#sCbsi zXLcI*xZq-DO99ldL+G_Y>w78;3=u3fIpPZD`dBlaZ&_>TSlCE;G`?|h^pb|W;@C~f z#K#lcju{hZC9Rf9e_osmA{_CxU{CWUKD)jFG|L3zD7=l2fH~4DDVd)c8Hymz%~*W< znH0B!skNsxnfStpaW{tsBWcqOgi+?gi)@aDl%Dxt@$JZY-m1@GnQlK0HIKuP9S zMCTaUUqjyx#n-;YaE5;9N0dSBEgaLHi{G|Vj!T--jV4-r?qikceUW}A|OyM1vlIsN>bjB6Co-=zW?Xej65pO){)Ex%vrFUMOn+soNeEGbeOk*xyt)hHape`B8 ztZv>3v@eXQJ*zZXaI9IMyUEiq>)DBhsH^RSUFr#_Rbnz1!3Fe(l=Lrh`gDC zTl+KnvSm_jEZ1j7%OwqcRBAC!b}oKg`heBJx}4$79b6nG*BiVVHgcWSR9_$zEszSc zS!##wvW1B+1?qQk#x^!TIi;iyytN?C>v6tZ5K1lDd)|n|fIcVeJS~BZC$@T{tfpUG zw_}n?GK$BYn5>1KM5Oesb>&4iGPhcT0*>78MYWxfs}Cy=^7L{?7KkO(E*6J&Tv1FI z)Ma#iKR76UZV->~rIpJ9sSGq}aJY9<=J3`<%94i*@0esUHd}L@*I1Vb;mq_ zn3IZP-5ej&lN9B3Z-<|uN1Yu7R|;txGI*4AVbmI{gbhhlIdX9V_nqn-`Ec}w4Xe3= zHhcwApP`hjj8ubfFF(} zRMSn!b~%)&{#`$gS#O@I<>rTn$s=#TMxy)T?+w2W5gTBzPtH8}Vv&cTbLMH9L4EeX zmS64R>dHf5-zMK2r^)=V6jzbLGWB3+#|Wcui(YV_&zIjZE#SRJ1(#uStsuvpFqzU|mvm@Vu~2bL5@ z;W{P4|6Wtj0CpV88g8bpXlO^H3iJr&0LV6Twsv%Na7M%1@ee~K6Bk!WD-)mvApq8~ zHg~mh(TDLPLH~cgARzeB3Wr`c(Es7UMi%-70r3G_WN69IpMFZn2W&n3Z(ivBXliI0 z$8-_E%>eX^AK2_izm7fw8|M5#PxR;G5$IMlee^c>QBUC8qfsZlevLYzAOLI;9krov zHJs2mri7*f@c$_ZPCVHaWv2~7B9ELHofZ8DA7C`FV4>jX zmHjv;0Ja${U4T1OV6@w#GY)PTg#UnU-^AEZD&w1$IHXqwK*J*6t{DP#(;Z|ObR)9D^ zsT_~i0n30D!Kz>hur^o?5X#Z(xE;u9(E{8Anrt2H!LC-$C_pG{Pq4kU{S6Wn*a>Xv zY+`m3<$6T=b24v7<{-k;Y7(i(KeE$U&C*W}$Qs{3H0Py?^F~>pu zYYe&<04je2%ujA;XgCHGG$r(>pYLdy(AVhKZy-WnA%R`ipWmS00UH1<=&?V?ps&$z zh<-nM0ip8$SzVHvWU% zpV)Dn#vfw`3;}}zo0I<&e((d^Mlj%h3ZN4lB!B>Z+Wg<~15oG}+WlYf11znSa~zLa0A#oUpm7Ro z%ubNz6v)T{a3KYRy2VLcivz%+3BZg802j7L@ofiyi6;ODIza57AO;ZfC;(Et!GA=y z;!lM7g-%B}b&LSIe}y-Cn;#wC`e1oUN%YbJJbWO4zUKf^H~9G|!AZmMOrQK{f${P4 z{l~8s;PC`FSRB3cY!Cck17_3R+6<*GEAi*AnUn-CO$`sqH~tQ9(_-RFo6Jb`14?mY z_-sw?GhnOX%j097myjF65tqUtmzD}DjE4={xyoFVPUU%OR!lnRJKuX|6EZ)CET{Z% zFO>=@o2p`&+qZIYWm{m|V`XJ*qJBGd9V3G+r?6rGLWB`DnDJgAvW1d@+%%g2;|w7P z>l_BmtC)vdhzV~pwpFq8`Y6GVh%`gI80-2=O6{*8tA}CSAHI@ch|wVN*yAdkQ-qwJ z7V^(2ZPBE7<;1gws9jiC!*;6VEwf3hpS|@(V7QquO8fS64$D-D$B;Ga6rB$=@kr(Z zq{l!({3g<<qvTK2+HEk!zOvSebAaD`JZo7a!lrm<9Sft)bwKFG9w}WM z32t8w%Rr4x{dFz-SEDnGiR`?Zk(W6Zp2Xn>LL^yB;=WQOW?z5&z?u#-v0*(0nLBLi zc|TA(v$0Xa|6-3&@LoxBgKF;hyS@jV)oJ2Ch#c%hXLW=L13W29y7pdF_HtuHmSDg7 z_C-Ir<2wdq?Ipv@op-)Fxn3On)UGBkUv6%0irD0gzR~RF-%BTL5L>Bx|HgGR`J1s* zJ1nWJJs@eGwd83bV!rHps?yWuAW?=-MhrXaUY?d59dX_{l+kTXc}m4vQ%i%K{kU!w zlo~e=H7@w0hOY67eyFN4E5R%o!Yk$ZhVktM5yng}hI%>4#O?77?)X?d*ec(|)cB9l z_XK;}8dD;DkF|>*MLaN{F?N3Z(%$d=h8`AH1{Uii<`v_!DYu2lu>8reUW@bI#d`~4 zm=3@yC2tcK=*AGv$K%dmaJ|h~%4mz#WGqB|rnb?~kt{MWx{+X2){*fR!PDFN#^;p+ zLyd8-;*@~!FNqW1CDIcojkz+7vny%Jem*=@J(DXRgY&j#3?)p0yPGf{Z$306lcC#w zZo6A;4%?Nq2HO`$S^R9qO2$J7R-Xx@EnaQo%Tnrlw>2i|Jqe2L+?-^sx?qTRw(;?* z$PVNdmdIJ7K(?vSRW2+t+Sju5=a??Zu*eCj-;HDP&ZhV(gL_}iT-2FZAmWBN>wVca zqPV-xYQz*-QBMYQ+OmQyTAhxQoEnQZWSYIz3&oqB-R&1_q);k|&F8%G2bWE>2 zEcSN4^svOR>|Ug660fqiO74Azwu5PGXC^(xWzgB!?HJL^MywOe4Oyk^Pvam8g3{vF z*&AxD>~GkqIQrsrG!a^2Q5j!UujDWFGtK+W)6Cn=U!aZpB1M#g>g_L|DQ6m9u}bgD zwF1x4*RUB#fV1enF3er*jqYp65;cKP+ecc-Fg3l>eKXXBY}t9VHX3>Jiy14QMq))$ zR3ZbS)^;mV-lPU*mZ+Gd+$sKr>5KFiX3F51*4R?>BJDx^1@;Bpn+aX0h@r2xB()Fg zLw)c0rb~XRa{44dsKPdT<{ig71D@AzUlgjGmkF1tw~0L-$xah^svF{zgl_APV>`5_ zm@!(VS&kcvm>z`fvRs_1UCa5w>38uEbSQY36Pzj?8Qg0c)#4Y?LHVA`j_WaZe8N}) z9#@Ip%ktMnpNct)5xP1BTAP0tBl+#hirp)aqtCK~Y`w)lt` z@iX>mbRT>-&eNk}`J!bxZj9OIrEj@!@P5F4-qunmz2bP_cX8C|x~D=FWmzGv^b?nHjSy5+XUy)icF zGq_!06k`-WkvPG9PBxBfo{Zsw@9fG~@b<;E2HIEna`;w6$n&dq89Es-NBzn4z$$TT z@h5jwaQB&!EV>u#M0dpRD$2>X`7rS}Uv&zp()r=V&cur-=id0-O=Z$(5>gsy;(wMZ zL?>j0prJvmRIJ+Aws8zU4_~kO-rcuyT+dj(?YF%Jd{D37s-M5-T~GL2YR|UmRZ~vW zt(L3zHX{b!_>q5CI?t-dD!>@pe7V)_jdHWgCzsEmpBq#h6;v-TDksVlE7+=BO_P#S zkROh5m9$}tSM*S{jFpUicsX4qGtWGaIPcbj`J}>Y!U?!}m-FnDYU{h*GTo;<1?3** zIw&MyCca8g)_Ubtb_HkiV}*Re;i%>v?%=Xnv1E+p0oW-%`C0UwJ*^1@Ne$3 z!X42aEAP{9OxId{%v#48#F@Xt9Gv~S?saPL^N7z>4%e?5kZbm9x%!3~%QwZkC>B4| z=HAav)Z@A$qA9`t7W`4;TOgMKf-@O#!IwIM%n#f6J1%l&QJe|KYsW`5d^6OO()0i5 zs}*GPcwXE~bJuv6xk5^~=%DgafvHc+^Y)GQvse6!+Dim8m~JZY%2v?ZtQm_|}4&wwn7jvzuO4LriMs8$ zZFt;jxa8kbrc(QECe=fS_37vcYA|P|ri}B}z}CQ=&E|X7R5zt>h7KN7J+&Q^GIE@#+-%+)-u%EXWj8eNe%WEZp%!ZQc?#1u!)Nlla!c|% z5?oUI38gXH(W?S+d)F|sG4&4;tCCofVv>G5vU)U-ERkH2LZ1?rvYl$4+M6bp_B5R# zJtBQC!#ZOqQ#P|Qi#03ZG45mc$6vCwv)|+(a|&{4b0c#1^X&5`^VRd43-}8P3h4`@ zpI|+4d-ApDMp1XMRB_c)?x&e0lqC_R7^QBd^JT_mgU=M7y?%~-{I zjb4v5={EH@t2MuCQEX{zm1%8yBmSnLO}MS@t>D}0c4YgD4n#*~Ctqhp7hhM!JH)%n z_x$gxx&^vxdam}o>=o^8?33zi?U(QG7*HAL8Ppma`f%gJBqAsI3`La5tH>(Qd6CuG(L@dHv7CV?K1siCg=;vmxS4ivjuaoxw@}1 zU%Th^=Vuli7rrlsES+0QS!Q1@UlCjBT-9BjS#w@H{1&-Rv!1uXyYYHcb#r{nZtMGY z_zulZ{w{L&&7RKQ?01hJcs~;NIreJ~6c0uZ?G6utjkWEd_3!_^v39hn@E^Z9C4WBX zz{}6~f7>&ciX+mzU`hGL5BERTPE zOk00}D06e@`KKOEMI9Z1;ZJAIUBs`*dr84dD3ycDTU&q`FS|nJaMcp8G8*o(y!*v( z+i%w6aD7ZsW7(cuxle>(JvR2!r#!CinMbZNzLoCXU$4dS(#)u|dVe>?rfm4QW0Bx# z>-X7l2oz{0lJ~}$RbWP@&*#c41^H8OZ^=Phep;fiJi~cLN?N3qCCSHCaXQALv}BE# z9F4rE{M2q zSVs)z6KNM**xbcw-3%7o9L26ch+1A3zkeM(O&k84c#pomQmzF4Bk7u2`Ks!r@NbTf z>ripwS*>2Gx79hrvn(|F>BGYrB)@VL24pF)7e2~T$5v+dP>{e;>&PGLcPmUz=(#Wl z5sV*~<*$lzADMNR%qFv=N_C5N7X9MaH^#&W=An22r@zp0t8LW6FWyt}i(D^@R;&-B zA0rK8SJUf|0n*ypuQLKoE`hTG*ODI&-MpidsPkYOd*#LoT@xGW2htaEGrdW@x2)qg zQj~ZU6PDuZ8SnFc>N_Va;wO?MGU99BUO)5oTh}+CZz_nG`f0-ZgkTZokEOEXruK;E zQQR!a>$#n!YzZb;Oz4+)_Z8=R&xY)hw9$RW4M~%ZnG>_7JeI$qCjk3bYkS;}7dDwjRik(53ge zl}ch)tj07kFqN7?N?h3q)bWCB_V@R24N$DJTj%%8sxIFldS|NAH2LnuNQ(#cS3#m` zi_Dvgj^jL+dRB=-ov!R-VQG_!(KipAt@GAe33cME1!GUYqV0SYNq-{{liHE+gBY%P z${jwkj9BeQZIzU&_=2~X@$bIVv=`9`h}}?byS04otjSY5_ptKvz?AZ~v)fXxjRZm? zxR2lBjkbIngJ89?`!qx=rdIO$tFT@y*X%s-m_M()p-sFBYGL3JSf9Dye$kNk(Y5I1 zhTa~0XPn4K=Hdn6b`gzo%ui>7FHO?H6S=-!sH`4T>0T`7E`9D^Nf+7Os%jaQj`cp6owD%xBg>za9xXK=|G z-?VCQjYU}d&RK(qmP|(qvl@`hc?r*_#4C^GW9licb3xuJJb;LodiFMn2M$O>S879E z*k4E&HaxToeD>T+{?$fHS)vJ0Cuk-{nvkgkID4aJV9xDxWXu zfFD{+u{y~FX<0o-=(&%C(zYODWh?A(cM3VVZnPJj$tdR^yY+2e=@!ekl}Fm&B!%%s zN!x_J2PJLDUVN66{d)gJf@WYdaY~Yibh~tR0y|R2i;KfD#^vx(!iH=)?w!Jl%RTb@ z6&atGGgYp>G7g3-M%oi=*LR(7FlW4@a;vlbHuj?yR3`=^A}6Gmbf+EbrqJ|R`tD^p zSNz~sB#OQn%7r-}Y2Ucff+K$Qof&KG_{^jr^WruCd9r&k!3@_#+nzV8yG(9*NpJrk z)yywVa;nlLRS$-AJdX`%D=)`jd`@G%DR{-pHfO;wJO%z%g|zNa1cxOtTZ*CGiSud8 zV@T6k3qJ8F!<{W&(fG!;2By@9`OUi#uc)%7>JO+UM@Ai_cF!4J=k5%NVAwFjQ)?g> z-U{v`wzauUj~{FshcD@SF4`@UIr0q2na4tR)B+!^hOy5=rO68W3H6Iqt#XP<&pGJ{ z=v=jBTG2IF5o1cSb7SyMmc9q+l@q_#v0_q6#znuOUuJZd#=*^Z4bjmkC-lM1{82{1 z8B)C&D#P=sQT1}IVi)jaEVe>|!#XsFw3#fiD)o2C8P&nmPuHb4A$v#>pW=)2AdP2i zTE*N{Bl{%3Ek;W4=c|vf>moe7s-C205{}Mo`Fdw}ktz;G+`EWfjC)3(_>#`YPHhC; zr~sxt9p|N&3tq2AaFi_0dTL%Ad8AifI<{PA+kVbCeAehkG%tjT$suSg*8ZDRgzNnb zNa4kl$4NBuYAS)39$=dDT@A0f{fPdyQyMKJ@5ehJ48BCB1s#>S)9FF!{|K!HFhc? zVp&i2fMx1C(Q~dbQ_G+Nr1;&9JFb{qv$s8NduTErs7Sx*TX3LHT3#NovfY&7MBQJ- ztM;Z(7j~JCC0{QQBo`DCWD>8?TvcZ!&r)8VpJd?k3GjI2x14t=Uc_y{$z14280 zfs{O3yW73qx_S=%*C$1}>u)r-Kvh|rE~P#h_i8eooxb!iJ^QsoB|e+f%~DX_~Pm3H`GeS&o+&X6D1Jk&5KA#P6iY)alT_KG9P@N>;2g} zR(hvVpj59g&PJC=pY6lbM7%M_Vj4V>*AIJ$_Ajc4R_g{&ZhL)Y-RTsGL%ixI@O>5% zf=j7U_8g!2n`wLNmFHXO%1m9kS2qL+_Qk08HZOYQtCO&%Pe0&)sjq2)wd`l2H&$$I zrJFa`?D8GqTw2x=v$7R$(qcA2`2t*IwQ+0Wy3D8zuFlA7DbrU}K?>Kh?j{)Pmz2hQ z?!Q_VWS_=+PI+^OQz=0HMZO-swr-(Zk$;;muFf%N)mpZ(IeV55) zJFUkqxiPU8%H&8#+SR=4?ao^v#qV)R7?F23`VeobxT&Ik5n8sclh zMj@o-i={?$ouEWR^XY4k_5u;7U}wknCV1OqugO z>8q8Gir;!RcTqep`#njYKhJW@zj!hv5b;o*h@0L?knc!3 z^5W+gn(4H>_i?Cu!SJp4PTc+wD-1kzNik0?<@}&9&mttBWVibqOYoxW4)x5r!i%ja zp*gzImlg&{gJ&+*bwomsoQ#b)d8UTy2}sC@gqf#mc@#w0-_`w$yxS)vJM(282Q<$4Q$98xdN^TQ zz^?7m_P%j5%XPT#-qreV(6(;O>|2Y}_iS`+ZftWXgE-9@aN`1V20hDZ?%AAE`N}p( zcWFknM2VildO3EL+mRDa2(bX|m~N-nK9yT+ZOq~p8t5=uENb8HOlFacU*OUHpyu&y zCGgB%k%m4+pmGtm8TW^Lnv4MDxGTjEo6UAd!ue-Pu2;wxP<-Iht+L46?UL7cJdhTM zXRxl+KP2U?)>#nrfy0MN_P(VGGDF^Nb@-4pum%Yp;*up)9}}zHxhcRQ>NL5UH$R-R z`zi5;H&$@=ww}SEPK$z$K7PrMnL9KICAWuc>Tlb3@Oj7w-muA}!hDc`a!%`B3u^bY40e^$!YZ&O{= zxW}ke?1r~*s_}rV*3qjjcEG6A;hlcGWk^89^#V@4(eyrno24>R;WwWPGY8i8W{8%} zo9|p`UZ1->c`K#kdFkq#N?bYeD_}`%%5SfTiVx;A8zijH4ggOcFYFb*$zzLuo&5OF zZ{#-QVmGa7Oyyx`&g(FFLXn=yN4kDBb%oxtpNw%*X|JARLOMF!NVnFw@E}h3BmDg~ zWIGY&?w)It7pEf~?gt*~8jyRIHdAbQ$xg#cUs;RgGIqFhLHVXeR4uDMc~R~tw_~Xa z>AhFJ(r3PbCEP(_}V4@*sY8u}qC zbUSNC($15m(^raW#~=DI5a$tDqv_17r|-Fz=}l$@Lt8u7=VdYvNHy~O4c$}fJq-jV z$(<8;jGhnu7&IRlvaY$Jle|G5ZWo{Pys4_e#Pf_fg1G0p8}Gf{wpz+Ncc7^fpVa7Y zaaO<-tNEkJ%LQFl?wI#gy_k(^)uA%r(JKfddh9|pr*+>HH$C}beo=!5dG#1e>LA09 z)z<=a(aAgmwnHQ#b!&Ye*qcA)kbW_G+w`{G6V(7dm^`jYOdEHfO>DAs^D$d;9sat3k{P>?l4KJ}Swev75JtZRk#&m_YYryoLw`#w*#K#O;V&K%`Z&nS*7EYx$3u;;#N|2;8L6%=23ie~&DP6! z;y!@Uhgc=O?g%+ky6z}=YlH0QMn z#szVg_K2BMYy1inzb;**d0C_|yB*QH-&pH``-Ys%KKk{?v-4O;jY*|I7hhi*2lhA0 z0!_lpeBU0_NgDR@QC&U17W`5g`MO@_jnm4~8JK14wVWVn!tnDy9yV-7$KYeK z&>naU+;h_+zf%}!6y+w@!7Il@u)&i9ll@Q!rQQ&ilv{S>KZ>X+n})x$GiqNkE3{Pk zEz#(5bLoc34?US23qv(n4?SG3kp-?49t(Bpyma|_sEIlUb7aa|>Iax|Wb#)t+>Zr| zgj=OXap_|#ih3zUstES?2@bIyP8X{At9k5Wf?@;F#1qEp(x0Z*blU}MLVdR&R?A)M!F=LyhGBvIS#{hTTsK@V-Zk3f zFB;1Jj+&0zjt@Q*ZTVuS=xlQ3JQBt*f$iWHOAxLmWrSiekh=yRum(}TmLs;wH6^xK zfPCj^9c>b8dVh~hQh3`d<+W%`Lx49|w@D*bKby&2le<5tcn`C1KMJZXP@Ed}0@@$h_hc;^*N9Ygi~7s$TdqVw zS-9m4G0&-`G$pAI11@3+ZQ%dtQ#@Pw=={2E1gq_j_e^GzXF|;g1etut_#yVIOmkv< zOBpVCjX9GS{mMQpy(~O)0XO|_Yt^*Xk0{LY?COKs!?96e%cbV*;$2+7jM(ltCQ98o zTxKGpUFV+HBA6Bu{Ii$h-PoJV8Ia{+y|+@79HaT;B`M0yxbBkqC_>L~eRt(xr{mt> znqVuRP~^u!5@H%Myj`CMdBQ!O%}6zg>{kpDuP+P+e@)5>t>vdvV?o-pIX)N9#nWd~ zq7C4n25zx*#ug4&w4R$z1%Zq1(6_(MBOk%NG{M2A!2LR8VIjFFG!8HP)5Mj&&s*0= z<#LkT$@>ZlTSa~_veNDfHCewhkup_V8>VeSP1}`WO8ul6=C-c*YC4bV|Yu7&I;Pxh;NdmBt*gA z5!*%Yt#IB|Aksxje0cYHWHMUg?i7A3?r3nO-eEF#USK1iSE6zv*JABl!7ZD(Ymyv% zHB{ho)BMX@&!6x2i*CQ~^37zp|5Qe`w0I+VKqrXhEz{Nd^6m8}c+Q{mxH#H7+7EVQ z&)jQe#H=3k=o8Bcg=W;_rC%K<^l=iRZTbYoksTup?7m>>k!}UAsdUa zEXYqoM_z7c?em%z|Dx|jrCS$lcTv&buH+beOBZs-qtIqqdJsIY7@EdH(=V2d;qw+6 zIc-eX=y8>j)RJs;bM$p13zkbZPownmlsG9oAHD?9CFbN*?wX@3FaC-lnf7u$lvvTtl1vjPDMTH8(pN&uWnD#EB*H-w2QnI-KfEa&}Sh zlMg@FCB>N_37g94ki9tzzHuEoakpT@jV~kqKnhPCPbtPLHpj-qQ-fa0G>j4MboE{% z!w`nq{Vef4jbW~m3fdki)(RbG&WZ zo9}~fd-be}AW+EBct<~SNOoN;O6>B$`t(`dAWTYPiHdVgVS;&#o{5S&EH0Uz;U!6#>-8?kYATuYmiike=7!}!-Q_a!I|g7W$JB&p)sO12SgrCJ zT) z@G>@7Ac88>&X%M&Uoj(aL1 z?}bDj3}C)|Tr_s7Vv@Lrp&# zCc|9$(IsEu9`82an$uEEeo$T5T$|H%tQPDLYN3vhCO;dhGX%t(Q*KDjCTiT_J6bOv z5Qz-cx8gHh=4y=9*}ZXgFX-9F`0$M%=@f2VFE$^3Hi5j;SjBm>{sOi{$?bj%>Yz1U zI4v(Tc8B-V>sePZZnd4WaRvJuFGM+}J=86^v)gWyAkT-Fh&dCOsFCKc7prD#MMSLn$u+g?}R>9}z(kj)f?%ni_ZR>o%#BdFhdmOg|~j`7mO;Y?iP}QlTPG0Y8MbJ=q0Lf$b=IEWb)A1dn~@ zwf>X`W8r(4Q4d;v-2Eg_u_7RCxHQ~v9$@`y*!bT44*_Q~vOnk%r9y(K{DDfiS0LPU zXOne{(kP--(J4Dg#^%E7`2CCf6RO-5npmn&+PP-i#hltLDe@P?YQo-oh2BQp>S9L> zTZ~?HS=Y>?ul%|;Xx3QxNlF;mIq2UJSLC<{U9jK!2rbOJaL=p+i(@{%+@^G%OY*$y z$ISr-csB_QJEa{&kZuy9d_@d9Ff&FH!7Ll_wSgz3{;D!2upOt(r~T>$HEE;FMz9R# zW9me^b?lax?v8xYn zHUEev(nvKn=NQqA(Q}oKPCIK}Fm(;C-Kk`#b*t6e^nJBKxSP)I)2s&M*E*Ou{nmx@ z^9fDLPbXA~lY&a&^CPNU@8vd0@FN?%zWcRoi@Gxsl4wIT3@%-u$szs}oX4J8odA~6 zF&a1K6EnWR&43H9^B0>9sFJ=pNavDxZ!1K|{l%N588V6UoewocQ)mT{cv3etyktXr zs~&UZg2o9#Aoy=3W^_w2CkWX1&dR>gU@1|Y9J?^Ny*b-Dfz$5MSzi07kKV&jH?gVk z!<$qBNXByyjCZe0l;?164|e1{ER5PEUlrQ&w0q}T>=SD5aiDvSSA^Hk*;vfx14ZyB z*Pz>Ht7-b;`dY(A-^{%-GOR!aTjyW~NBif0@65N^g6|NArZL<}T7cRMvfie~WMwfa8-epC*OaarbR*FG> zBLla=YquxeOLDh71w0u0S~3QnG)Y#{BUi&Dl*BSIQWC^O&ycTRH^|Biw{Q((b3Kll zBv~kPc`^U^PVOygSUCMaP_|H)jL>$|*B!?Px%X{q;yTs|2Gnq8V;t(5vUFZPd^#=0 z!W-*cnxY=Ks;JN2Bxr{x1~XfpVd1&ZFYY_LKRCOm{qg4eLvhY?t)KnAknlX! z;V3PAS&|&;n!7#xWbRE#N^0!W8#fsISGfZx+N;;TVyhP{QOe36cxvw%uEaeolZS*oe9Li7PP<#j;_tClSPJ5^$6`e3Q z%MYfn_LO1*jtao5abwIJZOZ=mn6bOi?N%oE{`)VD`>LV?fgZ3#%O{^?zVr1`Ai=H44r6Si*{0zE@r`oZ7bKs~9Q?2y!jNWF zF1!8|hfcGN3{x8G;C@sK6cZBif+_KiG?L^Bc49MQW0fpYCE%^*XvO5v6S%eellbeK z?4k$CA&*|_e(cbt8aiNAdaE#8^Qu%}#5Pwp?{Q*n$)y*~7&YK4CMFNmLKnW_`)E7% zFKRrzIZ$B}L!8Bp^>vfO^s*s^>SG?fbJ2GN^S>_n8=aXJ1Xbb{`ji(IzvtQMV^4Q8 zspxL4$++J3W}b22;{K4;D9p;axUQS62; zFg_~#_|^Jn0m)In>{Z*WNQeq7}qwmTt3*~_V2a7==94Dl?1%A;!uA8mk0j$Q|`Yj^^Ro#PER>5^!_cw zu{tV1$uXb?`m`xPxnqg=c!2H#2!US`!Hy*YT93=Tk0lZ}b#QY9XVHZ>GOZd@}CnI2r-;Szdso$0A4qbv+11kP%MbDU5+vg-dp?TGE)vx@jXT9ofERxlnIF9ZsK{W9q< z?S4`@(e6(JtD>AO9GvY;?9EUh^ocvbCA!@HFD;LTAItSi%M%lwXo+SGLkRHw)beMA zJ4nmb+Sc0ph$P6w-W>D?yT4iduDSm?mFRCJ$@i*eJ9G(8%$(1^xvr zK*N8g|ET8nm%UF;m^d~MAQms|KOqx+zUD8yI)?0D^L+%HN9S?;Ey{1?`ib7?6Dt1< zxD!!+GKV98g9?8`C-Ns=qYp4c4@0{iaE9lxnU7~o38+`HH}m?7@sZK~208x!Bgp+F z29gIR00+*~`!zNEKO=zuI8u%e6gxV}>>rE#a?DfHa>V~1$2^(a6Td$yE&RE30W$Cb zi|MbBN3WQFER?d&CXQA>>98#bI19xY099bsumGxO|F*(!y!+*mCoGTc4KP6@uq~QkC(mMZR*xy+76I;;d*Zn!8fBXDj{P@xQfGPfESiWC|J@L?EXgOKL zkLUMjX}so+a(1^ydHk*4X`n+NGWe%3=)>lK&nI|}KKc;&bgVpD7$k6h+%I8HoDC{~ zKEW2~cFbM|W#Z}vEYANe!EZ48X%6%;jQ=6QFP{9sLj4@CqC}zFsWTf(KhzLa=TKG7;}quuKwC1eq3xw~M|k4gI^N+Je7K zECdEj4#C~PBEzFqbGP+%1@HA2e-n~t={Fb60A7=X6#zzyMT3I5^9a)L{J;o?cD9ag zco(zZ*TcizYY7x7-?9h_suK)mXkHjloEUPc1Yw9x$^eu(bOq8J29H?5-v00h((lEp_5-Y8>eJJkdO0JWeF zLuC+DUEFPtEM9B)iWsD^VIlEoQqXcD*cY)QSrHq*m8${;fN%~EyLe6)T+D(6!rw(C zhKga&D;kVJ8Y)D&Fa~&1;5jw&hGGX5NH&y#vJN7=rkl5qo%LaqyFE(97gV1VadLzI zWbr_t4=ojc(LfNdMWhT+`Fvp@#52BlVW1EvyF}j${)DjVp_-tJR{dgpSk!rZX^bSa zd=MWn@7tiFsnAD|y#}@ySU>o^1_ZD6P7c0s@QM!wHC;Vi?4Z?#j{+BKWx+MgPY<%q zAk!m5ybhr00nzomgCL%E*@cM_MyWZ0LTFAlzMwP(G*iN;{f;- z!bvUN>OiStsRhH7Ar2~+n>`o_u^phmi46q7UOsjKA~@8-|Hh)!_4g<*o;3WImsrVS zeIV+epCvFd=!A|;2cj)_I!KOe-gu#--V!w}QVaf5|2Y&y4U2|C2!_Cx3>zE4G8WdS z?8?emthmL)A>}b)<0DuKY?$-I0cTw(JV6G4mwT}#qCn|7*i08AL91ek;7F7<|7|HL z85!_xg4T%_!EP@vFvnmic-i4Md6cT7o$V3eI2MCI|AaIMK^%B-&O-tV#1fexafq|q z)yD2HL1vmT2}^t;gaX1(!n_lkINr&M7`uBNMyXr7xQG}!db#^L zI4%~8gu7b;2oHQ-mC`r~$lVbDiDQ`gIfUHE;+2CU6>~t9?*E6$!Sc+5gV%=Qgo8ea z>xsjgd7B{%Tmq_oL;#0(Bk(KOvoB~K_Ns{Hk+UK^7W_#Il-Hi$jgYk2!bhOp2>e7m zL^Y^tC4ToJngirtELwTscQ7;&n2Zb%9CjTG-vJI|X&wW?gugd08N75!O12CPs&y_2 zz!t~a;IF~vj-Pq(6Y+Z2baV0n>7qqRJ1=(!kp6VFwgJ_l@Rem23%$&zAp@UJV<2z@ z6+oj}$Q3RIT>NEdm`l|OPXqC{u+oST16)`;uyR4&%l{nrg72AEF1#dHQto1Ca9{?` zh=g+CWkygg@j8a*7^DX9S`<*#NprynKz8HuGuTS`+%L0q#egZH2Vm|@(OIZ|;6M4XS znHHuOBP|7{cd4I%8ch(z7Y}W*&=CF-p^-TvXcGST!WeMU&~k!5529}m zP~{06_n^X8i@!|5-z-hgNrGyAtz(lTQ~d!S>{yo;L8Ff2RB!jlJ|WeEw0 zMGFr<z&n<01I;JZZL4E#jwWAWb1$Qu;k#%lp8>Fwq2vRHZ&4h@+$g5HVuU!V&t$YFzk z9+uwN%L$YOCv_@B2DU`8$fxHg5hsa}S#UB?=PO`6@Tc+jZYUKyM{7SPcjEj5BIFWP z!W-E9-b-w~;2*#rUVue|7DV%^L@ouuUqb>VI5bACso>F&*ccWsc&KaA(wzaB41yww z9V}2J4z$w&^9g@{`I08e&fsTn<;(*z@TIC=;_|Sddhf#K0X3YOSM?%i2T3ZsB>S^O zR8qbTwh}~CL~w$>!Mz7gY9TR)Tv`$YM#4AJxx zpRE4J0Tyb3_CExIhdeJ3IIlt{DZ=3s~(p**=3_#vi(VkgU&wl zmWhy%Rvsn69``~QrT=P=%bYV9930*u%LZXm5hhszoVBs@r(bP1cYl1~rDo>4H< zvT9ED_D;6GEEO$7l)jUP9UyT* zkstoIfo`_o3cwA?(k==>@SM4&iw@o|@j`-;zrw!kEIG<7G*9&g{4}A3URm) z{UgjqSpWKV-ri2G;5HXrBdMr z146A{GOGyp2QgiQHw_C2=+zj|+jgF#hGzkSR1MszYSDz@{~i2g!f6|6Krt^A0z~v_ zEKx#sfw>LKPS7YE^}reh$e=UC^28-kRm%Bu3L*$WEcm0u*pLR{&w^_=D8&!+?G{S~ z{j){-nrD_1e~RcxjR*~YSkDcjOrl6pdFH=MvN@Qto1422=s*MQ2LI723>J#)K4Hk_ z0(HY#+$3ya*8)TxAJF&)Ckja65;#$C^9LBLqF@KbNQsI|TZj?rA?$T>J0hkGA{`?G zO@2oo9}ihEF@Jx5(Evcyb_)=-b$1m2rSZWZg3e+p;KI?)3*Y?=G(EuA{m09<@DyQT zPf`VKGe~wQ1Fu{`qXw9V{Xe^viK%12-^FVO{N4W>jmsA70I9N%LrZ~1EXz8%5|@Ao zF@ED!(8zT6=l;DpLK>P|V*YAhJ>}|k_-V3!=fPmF2i%=ST9mA)rm%zy-x&g%CR^!B zYxx9>P3hX(+yD6feAP0gvpMcObLB_v`VGhE!!7n5vgmW?{MKeMlRIuMlo0J6T=yWh z^7gMV>fkC?6HhC-8+<#O(r(WP)YjZhtz+u?c{v07q>gW$bS~$07ipJ+k{r$Pmt_4Js#gg1xqZ86`iZTpv|^?#IWKi* z{~n)pV{J)(xwGpG-8&;5o?Z?b##8c29Veda(KoH8cQ_h37XL{!z-z}{5C5@#-ejg< z@6qljjJfWdO{(M^roH}m&uGZ2$fMD@i2*$aM(aK0kLM_kD)*v}rizH8%MYt%exk4) z-IMlL^>s|uOm1tXg7MmTCF*ySCZGNHy{N%((!Rg-N+>SgmSr+!19s=9ti1^ooyY{Zuh);KjXNq<-~xDV)K2U0|y2z1e%|xsK1#sOKaQx zVQMbZ>ftBp{Q7-!&e*G;bbX9X4f`&0k6kXZ*PavqW&GBf{jvKk9nT`EJ>{3L1T#8F zeeRnR-`y9tVZYXE1GlmppE9X_drvd==@=)3-HN(QX`t0Lq}kK)gJN6N1}FMwO$`AU z3wC}Sp+N^8#q{FMFu;A_m(A?Gyb@4GBJasn>WOLDUBP@wwyKs<*{ zw#Rz-iTZWi_k&6u&&4;qNGSZCT*tD(y6t91Cex=@v^7UT(d3iz_*1qWwn3k&f)B9l zp?Lge;=Qu9`1tzEwba@?L+2b=hITlhc|-S_YTT3gqm&%zAz*h^E|)TcSK{3ZwbyE@ zaozwu@GaM@6;`fWhr&Ugva zPDL-fF0bac_%GgdD)gEtBR2CmdBGoyaSZ&!y(7KR7i2v}BKGrWB-dL8Z+-hye_ww( ze-*1_)u*J`qsFD%_fEbVJDkg`5>B_LHBZy2f}^r=W9#c3rIDfB?9sZZ^gdx5(svH_ zUlgfjm}XhOQBRF=cSvZ%Wm`V+0iNF9Tnt8Y^xeLHxxOFhVSJL0L33|ArO#^Yd-_yc zz}ZK8RM@z$#Hg?9oxR`uZBjB>CP?voiR_x4yVABETt#s*Bz$B4p@IUX>modsA!@?v zJZ5U!o^X^rWR&>Xa$5AqMO}x88@(uoFei$8o7QwUP;dtQq?Ni8QSEgmE4$6;`fs&* z&0v1?>fHw^V?ACpmu@KE`=I9guR<2KgdIQSj@n)_xwS9f3B5i_KxD4_PN@hkzPrpx z$)71u5iKk3ymNd5XVT}ApjCB2{Y4Z7T5o-=jMi$Y75t`jzsrcxo!y6h`&nzsVwZT> zF^cn>XFTk>Dz!{*MkW{Ss`m}Mrf*Dr*Rkrsk6v9bJD$>44Ji>1ZX}8sMpEB@JaN?C z+{>JP=kpsVj?}kNxr_?GZ(XuAFUQSd4t4|&n zYTvdqF2P})cEofux4c$W`{yTIe>ndnt!b4MX_c&beu658LyLnsRx3ODp3!ZcKsuT* z8sCSEx2@~ezX_;YB_HJ7I`#SlTlV9ILxQ?(uh2n0KlHMMk0f0x&pYw5_i0{e+}9A< zVFj6=JoKxYd#E1Ji5M1oy!!U-n(?1<{u=wmc;XMbC+Xo{(GEC1L zpDtv4x7xlf>5k^t%~?G@zGCA388ok?k*!PQJpS|LRB;WiGY)mr*7fRyQ(Jr@6cVn^1{3xM^0_N`^C^Y)DZ1 zD0tq?0lMNjDvKhq@o(~nZFTLaxbDQDg)#UYWt>5H4bBzAbHLig&t15wg zc1QMe)EBVSuTJU4rkPe)vtME=Gi-9*nJZZo#d6K4C_}j6TjOWy57JHLvR@wGscPp7 z{JYOn)?)1+s%ll&FOnhZqm@tfDpjfSMO-|Duk?2%7iySzw@{6q-r-5pdiv()=Z(K6 z>_4AuF?4tq9(}`=Sut)lTsy3E$2R}6(a-*<>YCC=?>+QW?=>-}+TKo@6sk1pJ1VpN zZs|)t_0C)}IvJU)B+&oTNff(F0hP0A_{v|`w{j1TOl51M|nhLuUcilIf@Ht4mb zM#}2Brl6Z5)vg>be*TFMUk+!I{)yl3*VKP&Eq$v_cHdLNlk2& z8qEGmK_hB3Vwlq1P5I)}@YmrHr-?xm9#B*J^4RvkkiKnklWcBRwppi^@20=-q1En) zs-@#m+JoNu$5&k~vheRY6*#(SMXKqnHv`hJGXB4gTDf8m) z$b{xhQ|5EAEgPJ+*0Cyxw9>r#7vN_8>d|QwR{f0}_a}_()yV^zeu-a_pMJ~R zc%XTo)d!Kz=P`cYDjl;oO2(GH!%^&8Kd~>3Lh40y?!AgNfx-S!DU;c+3SS(0zYrv3KR6WR}d{rIC}Cn>dw)z}D)ctbp!)RXfPDP<8Dv@{cyB&(kP(GIoEd;dx%r@1lbO^~9#S}3bPw^2p;r6(*tszV&Q6pX)^tPf+3?u)u{yD0n3Xvpz_fehIL zy!{7-U-YYw*(PX51-8z(rZ-u1^st&U%|hbbq5T6HcfmKSj6% zO&9(4?wd1@Z@2e(uiJf6q_fqBKQFN_W0yjDz|D&<)T5`>4mlf}y}7LFw%McM{g1?w zTZ%_#Pdqs8cz26?n0WY~gHC2;R}&^BGf|yl0qdDOw;8Ls;tpJWjJ}a8TfLP7)yeIA z-0i+)X2{(gA7A3EE*Kl*KFjCFT`u)D{AA;$<@Kb~QDUQJf8EZ*xovAZa#hj>TyQ2; zwdH~S?QdqchW|aK+Tc5!#MXjUWBMwz>B?26l%prG$GIPm+S>~mta^?UF~`n2U+A-N(qZou@<{ev{qf-HP29&5_hxZ6N70 z;9$T%-ST_l(VC;{KHIR!w6#b}mw09#$iL=uzOi|53pF`rMxO=g9{t>lhCxxN$v2t zgybjZza(xLyEB{noc;N^G5Qd{V;$jU$8o7OhsTs?O1t{s&74Ht?kzP}yXSiQWp2fl zR%#YXZNo*?Bu`yO7B?WYBe4z4hrnBJ8H-M z3Nf3iM{YGvde|nO2swFQs z=J1~_S~g089BybanmCI>u|j!Ay@y+6%hY1F#bsPp6|iR#2n%pbuM2GZQo>qVCEz$x zVDtIv?Hkl(7i`*UBm3DJ*e7?ixKCf~x3AcbZqoJJU>9C~-nuB!*uHE11=liek+9X< zPf8X?&D=#L9nyEoxXrq0f~BKh>#Fg7OJ(NDf-hmd(h930RNq{*(&zGcBiMS}=Dk|W zmaRFbcJ&GkUQKFtj4!xnX$*uHomxP-(Gs|aL+bR>p}7K6!EU-+5X%6 z)(wX;b-c^->?u6doVDl5iP@mcgbkVgeIxmb!@SKF4~!i4^pw)NeQH>rTfe6_Fx1Q` zM%Pv4P^Gb^^BXG%L%GX=Myuc6NwLXF$AQ|nMY+;fJI}7x*q{2<+Bvr~GX%SKT|J9@ zCLhgFzN=XuaV2TznI_)d0XXIJr^_wMe1 zqP-J)T8=#0)50vL%cC+Tq1~KlNv{?B$Ys+8ZPxgvmNgw+l_AFj9F%aL*Kq=U;RSE( z9i>dtAHCXiQ^M};>ZLsyw_#zCWCtHJ{>b*GNFzVQ&L3w!O*_hNRf zj!fEttk7us5=GtkCcz6Ky|@0HmgQuqeI{SdKc&(6LL&9~{#%>V*>o;S=m{uh8WeKs zU6kH?F-X3!PQ36!uaCTM;;~oyoHvKFnw)MV-JQ}GS5C{O=gV>beX+Fq)%Q2Ex-(%| z*%LkiyIhTr*Ws$LQbq}T6-_mUf{*B$^~G{tIHp-|B8le5 zPB%rB8MS8f+5I@V+xu_n^HkNZvMm-lkMw*u)CTk@cqcabh2E17dzRzBy7Fsh`k2R_ zpOimpwjFVE`*VA5pyg_%vc6n9uWJ5>+Un(&&pwtObiVe?+F`#5{VA3633sn|#Qk3N zU~=7ls+YCml(b=`lIi^$)^0tRF+xjmu;+L9Sw4=Yc8(MKmFjlda(17|=c2oQOtW0> z4TYF>;`ixv`eu%4$DnI0Kg@e*;|w=rVJkN5knQv>Q0B#9plFy>nU{qdr~gV9<1b`T`0IES z{+J$S)n{K3TC&WU>Yk5Cgq}FjxY+Qn}Y7=@ZW^L0biMex)AtZ!dK=fm|y`~ za>KvG=af+}6$fOD;a|dEf%#l0n3#itxj-nGh=YQeXP_-L{vW`M2^7p}0A0`FU&3F( za|R4RM_%}s@L1prUle?qih?hAQSjv{3cjI4!B=7bl{|-e3uI@{3FQ%%r_Z5*!j}a2 z^f?YRKF4B2!DAF0$f8T)WJD#gOETw+Q{wYi2}ms)QYmt1utXSW3ACsT__%~5K2tA_ z76pxtF@Og`9uVPH2a;t;E`H(S4KEA<2#tn-(9)8k;s8kkl<^@K34h5$N+f71i9`Zw z!^y}%D0sEu0m;U|U*?dIhKMvzm>Zn56afUrBO3&|<{>2r5pRAT1Scgb0|bJkk-~^d zl7X@CR-Yusk~)yku^X9 zbu&c4Lcn39$%aCng_9I&;aX)86u9FAP-v_uSj(W-{69e9AG?!;T5zGnP*@pADzqe6 zqhPs;lP?vhY=D0iLrQ_m{Q*`Q*Z|%dAV3^B?trM$04aJAC1)_eJ(Ig8kva_|v6ifVF#i(Lus4S0A3%~T5TWb>i8VhvF#$B#^dT33 z!H9w*D;g(G){z515z4BOP#O{AnK%kWO91B#rXK^m4UiCnBNs{%e(*|4Dk9c0!RMes zTnk{JjSY`TPV@xifnK2k0xn6A}}GLcvy0gWW-kr(ecRvBAFH#Z@78F8=$={ zweA0Fgf}8)?~07Ke_^?asbf*nNGUvZ98eOpZVmp8`=$W?j~*1q0&1ZrV*et1H=rTh z|KPig8b9^w(b#xrLg+J%&lYj#hv&0+wAZ(sG}|TNx_wke|FEW7)@tch{9WOFXH8#M zWfXO|X*>IiN0z8u4LCdV{bSRB#NmMy>bslF_Xr<7B=c;ISgWPC-$8~OHd?*sk)qo2F#ow;-O=`pxIap-9~8Xn|9H_Vo8EoRx-S{xIvA@N4+R=4&;GTg9@5(a zUPng7{*3zh?BeCn;q2ON*Fi_?!`C+dO7>pk&Rt)%aSpTpaMrQ!suXwL2?YyX`xY5-r~A*JJM5HN34aB0 z3eS!>Ifj3)pUJM=wUt3_^>v$_{U4GZyfmS>B=}^^#cDYJ!9o5}Q*;is$kraJzHCo< z9zX6DQT4|GjnP35)EFO4`M;#`me>$VeKY0gF~RRU>il&NuBqA0mR0rJ{aE$ZQ!Ny> zv9D&zZ5}$Al~>rwI_VoseO0cNyIF2~(q*hke%UrnMB( z@qheW;*_SnLYb4XH2sgiCD65G-jAxzAGmers@Scmx!LIA(8Cks(MS1)ew!wY-2EuK z+5f(Wzf~2s)vqg|o~g^tj{SIT(u2CUBCmSn)*AN*-tTXhru^GLm+~8Jb-0UX{MZLg z&M1{f$EV!Sd2U{FKQ6K}Kw^@51M{Hgq1A$w+FTN5ZmVJn&pd2BFBBED+;}T&GmFXBx`fc5$ zYVLbn*Yq-n-k!WIHVza|Rx#;s{mjZ3G%bHWV;_Ui55_drr?#xVdzwaSNb%|&AU2|YDPVdxN z^Ta3H{a;woY$&VTBZA$Y*EknbSHXuhI@CRWpa*-5MYPT)Wq^UlCp!8{eSz6~{zJ#S zHXQd33JZGrjP7E#jp0XX9;24L1$kO`t#~gvL2Hy9!*Vg4+_?+Nuq5bljud~&`B%T6i)0aB36layBJrY9w z@5$M&KQxul{WU_bqgPMJX{Y2hRn(}4d$cc~yR(>l?#F?|(U7|tTTg!7cV=*F?P^a= z5v#Y-<5C;reoQsuB!h$Zc6ry21s?ugz79v*Ilh@zcce%8OOJbd-7(5G<+i9ZF?n+z z)`Wcs$jq7Z^NahvU8LRgW8v0H0sjXRxTlZo(TUQ9Viw*W-o-6%x9#t=3LKAimo5*j zj_7ea`BHHU?k;QP-9qQLdrzdSk8cc)<(FnQlwy2PT+r3bu6rURkXHEFZvBVlyz3vH zIT-RVy69H@={?Xt=WIOn$isRt@Y~j(Tf!_eHYyEtr~mzM;_4lB33dHnlP}k~W1`wD zLO%=KE8eU2+*#f1PsfMvM{)zNE4B_53AUl-gg4upmkBis=Un)UZvMMEMdy^|m&Yk7 z^va1^3@>EQ9?DwxJfBjK=6-lfw1qPLYHj!Sjkz2bIDAi}P^4P6^6QF+9^#}-ReMIw zZs$iOeL5h`-n`~?-M5a%j^-cy#6E|6d`ffQSK!H4IDG7cn)zA1ZKp22EeZ(#I-ykJ z+jEe*+g``~DpP{-peSc8lZ&JIl{bwWL^CZ@_{?sE>2v;$N-Pccy`p*Arc%CwiORwa&|S<0+8m5q-4PK_Kj{y?qqt48OwibsAxRBB?e{Vcl0%|h&*NZVC!b)jo) zuCjmAcVh4CDw3~D{?fGb?X)Fz0-ewG&-X{o(+zGaJj=I{eO8e*>F0z)KT+k&5Kvby z3C(L$d3?K|Ihp(W$L@%OjoCv^hTxO>Zm++0$w^bRB z1+@>U{K`N1P?qhM{Z%2Vkb~CLO%Jz-O}#3PVY@r%wISwuk>xL|FY807u@nyXbewjc z5)6r`%T}`CZ*eLu9~o^vS$Y}GT$#}uvDG<9gInIz`^@&!X6&<%eq8d8Qf;j`Zn~w8 z&diirgEH=8#K)up(~x}uefqiR=cR4OzERA-gX8FVuX z*_c+I+jcdy##xb*?pe;@Z@UpDMrTVcJ&!dd+Df`sL*>`@qpoCUZ`6D6wmWdQoz#=> zdeV8TP>RV^o{9|IWla%RQm_5u6P(eI%5WYE4nFl-NSTJZ_gYeph^_YvK`9ZrF$ag8 zm?SQ*x>BoRdqWZ05_LbG)%hqcm)ufbK6%MEieWKb?IsyE!TM`BePcE?O7YU{P`~Se zEx=rfysNbKp7583z%}cvuw^;3G2E1qTovAagVQvRz7Fn^6bPXz51@_+_`6QW{jWf@ zK<6rzud#xMXem05DTz^(PMl;o+8c;r8}kdz<+9H8$%lpOu^x-Vk>bT~L72{vmp`h;CxdZOi^cVxDTJrBPFB zZO#;$-DJI3vS*gvb3D%axgBN4u-NUdHDRwk8e_Qw&$R^~tvKM}Bbpde=+mp}q*|J! zpsaieRi=)-sC^3^p2X6g_8H!_;~dCSB;tH7=OuDn;4`d z$=vEXx;M$>mz6wS=qkB&vY%;WDTE3-e{vlYs-GJ&@zmlQInMFpfy`X%J;f?9E6V7H zFND|rFkqmo+Sc~EgR3`LZnIC>%`1#bxYD2~kq=X&rp1_qO4JoLRQ#8rU<+$?o&1Be zc|6<~+ap^n3+ppt#j&GH1|7HG&+YGgD=@Md+ zGH&WL>vwe~^=#Tkp{0~^GC0sY#>KqOS)?k#(G0|or-}|H`;X-)vYdBvbfgh(-xzU| zPF#%l;|0MF#S~e7_lpi#YNDI#S5d54rD9C!P3qzmyKn&hubWr+wHdfTfWmGR+`t0d zT);oUSES%ziT^YBaucrE4DN>DU*f}caQ%h<32sV&g4->CyEgcj@K@kRE#OiS{w0)X zFhIezH&AfUkAe&Fpy0aPD7Y#*3a(X$f{zF&xS$^jt}Bazi*ci*;IY63gi&z$WfZ=M zu>lG$^^1bb2czH$*eHCtT<~Y`Siso~8Vg(s6a|;oM8Ty%QE(Yf6kPff1y|`q!9}=H z;Fb*fcQWu-z~ut|CwMI2h7>0_%0*9!hx6Q=^vbqfDgQqGWgsi23&rCxq*=X1#5+4Jl-gm z%LOWh;N_Br($m0p$jnm0a-mm9AQC+WTqGBC6Doniic5+D+5v?84{|~EfJmi4h~EA! z!jgoO)gZTv1`7mSh>>%(gNU`lJuiL<6RQxpgva7@dl+!h51_#RCo6>rK}q5((vg%3 zS`I`|09q0=pWOo`{mGVxP+5*dHVp5Ch(Hiimju}`FijHRK1>RaO0Mc4s1?$@ct=M9 z3cBe9P#~FvXETs_M}pU#6#hvyDX|uOHX-wd0|_dC0}~6#yc`1{NRc#>6SOpG2`^n7 zkOVJ~40tXH96Fh)UIc4}zX6hj1pAjzE}NzlJ(1>+`a&qKV9aFVbwpz%q6 zkXrpGtQC9_82KXWMhp)Ja=ei802ahC0LcF!7xeU;)I{N*j1pPi|LasAe#a)lC&-Fi zrl2v2Z;w zl_UjPa>+qZo;Ylj5aR)?Y5+?w}D_`W$;Fc!2y8)7Ck7LtQS%#O z?*U3uK|m512|SGh!2S!?3Q-e2mL(F1Fj0X124Ml}9V8+^{uitji~=7p6JbGLmYBae z#sbKH0a?NI;=^$w5Q0F!4?+R~t${EQf+ZJA28VBdMba9U1QrA=alA!HKv5IG0?6cI z0i#%nKt$BL1~v-V$`Pgt27myDDQGrFu9Xw&P?MBviKhS)^?yQE0R%8YS!ojf6@NBb zK2Zo^1=PlaZm7uStRPq`S@}{I0S6ceZ$$!F10d^?O z37AGypKAQfnR3N=3}JhDOX)wf7WvXn#x`)@Q3&(DB()W9v^Vadb7V1X5^U~u>x z8j(N*1`QMn0a^=iL3jp@B)JoWl#eAT*3$R^-qX>LFNGL1fHeX5)WFioc^?23<%KH) zlZ0A+!axJABf%CRL^4%JsQgRH7M8dL$QH!$c8#a$KzL+=U@`dGuOuZ|;t&9c%z{xv zaAafPi`tUJSmFuLfHy4-HdC;2z*`*%j%q%$xUIeuHWBL#r)zCXTaWUew|d8@=8pY zqb#S9femlXh10i(zh3VfTg9_m>&Ad&kD2Qe%%*jeLmVM})w$Vj!dWgR$?O6rZK>xZ zQ#<-U3|Cu?Nl_J?JrFqF%#yh-h~FW~pDLt4PjW*gpMJ61>iQD|q)|*q6ABZY!2*a)(x$d=$mrh?OQg;UlhJ?OLW&b20xOS~BdyQf8T4oi;7j~KMdF!(| zC5C-a>vp;8>HmBpb_EnBn zRP1O_=;1OkTM7%^j~nINQn>Ov5_0IQ_GN4n`F8(&u)_Mu*BK1b;t{q_-uqF%y)+Vd zUsR>SR%U#%RIc1{6Pw(fM&B2=tPZ=;8uZfaGATKxQbrY3pSaS>%xa0E;@W!tU$%A|`r`Ip)UZOil~!;h^#T zdSRI=Jyqt8ZSnWV)kNq{TUt@@VYfPm*va%hVp6$5m8`RcO>OXeGKHd1O!IxyV)G*u z5-Q0Fj(bWEo(V~au9F*JxUOn&L};rd)=%UIePPz@#sVtF!slBg%RFZ`RMr`)BIr_uTdo<9SJ(_&i5NP}O>JfRxCQ$`_i{F|2La*EXT#hs7sqnMV zuiCM%n$s?Ti+=`7OL=L%_SnwN4LfT6v!ZgJTHR8Lh!E-+viQ2|l4b$wPCG?^UbmdS z^eM-6C4KDlQ!1`1B6*T!!Ld&*s9IC_3XI0tN?1-iQ{yx|qK<`^6|mfQ!Hiq+Vn|@r_WtWZ<>nF5$#M(92HRv{Wk0R<7m#I zmOj_6uF*ds6**@RlH2COvVO*@WUord3ohi{DcS8Tf z^yQVCa%g?ltd=P2>WRI{c=f~%&sQavlH3?fFlP?t&RxfZuw+|3V;s1B3MZ-bu3)6f zGxx50>;xpBWv4q+!v=1}Zy`}_i9V!6|2 zi`!k|&fh;|$o|%TQYhWay~|5ZGebhKz<;)6lj0iH&KA0h4N38IyTX&CDb3#2Y}!+_bs*$% zNK5=xld|M9nW-ANA4WYTU$VHrZj|bJJ|Xf&U`Vl8=aux+TNT(bo#XQCu`1s)^LN&H z+_bF2Y6(v}y}6TK`kSvlQ!$&PV|7xhh?fd0T0x!e4Ey1GH0ZYNh8m6G!`8bgqC>=k zB+qk3{M@O!dhME)&Qor2$-xYXsmZ!Hwzpdi4yENrvrO9RyeNux*%QU+8oo=Y?`qS> z036pn){r!=gPuP=xBK0-bbTFwvpY^j zAsxdqqhn|LW{(e7k+o{2$Y|{JkyUe4TTqmg{WgtHdgysiWxmZXXtB!=J=JDCET46% zc=RKir}%00Z}%)Y|4bOFA7-Vu61)+4s52?EXls6y>O+4|>tGDC>4T);nIj4F?{0 z+$}60mbslj%LX{Vy`;tSZGTw7kslp->wpT^=j<;r>JXMB5YPXau zk`hhbwhK2czF!yoT`)3po=sH!`seQyPIX_LykmdV_SNQx)jmuM);In8NO;XqMSi1= z5mTC$A%=70XE<|w<=@RVuk@bQWE_5Jm{81@{-UgD#xE^MP*Tw0o_Xtm)ESrdkq`W} zm4)v_w~maB3>+9y-LB}UViQG`Tvo3foVstvR_*8yW$njl$Aj`I+42g8O~01u)SMWr zwd}j~#Y}7KJ-^U%&n)b*KhrcOQ&L|YdRE+XSwU~ln!2;sZXax$@%;4_9j&dXSG2#t zw>_Jc^Fv0bQ+lhyIN=iQ*b&FrE(ujNe3>4fz~rj9Y?MJWhx`Z74GQ?#FN z@9te4^gnp^qx>~lEx(_uV4;X(dsy5)?IfuqpVRWTRq9iblu&FP(a$#1c6EEofL%Ls_x= znBR!-XWI3wWm@a(Z(9{Sp8hjP@!RcorrWhA+t-O%ab|Bey8Jf3^6LA9@t^>@rus=sn14mfw59ZU5Tsf4KA}a$fy@%W}B+3$Fj2P4!!su1`V9 zuZNp{me!A?m55EL{LE!a;957;o^KS((DB?_&PU!ftb1-qUBPEm$G_a|{lHzc%r>@| zhFO*Fhd)Co!f^1bvR#FV zEqjoKxsR4{dt}D?{-$Qty~htc`8MPC#PT|(wMk@7S$qoDXP01KvDZu7T4?8Uewi>; zIp_UBpFiI@C_Q^8^xnC1bvJvq^)X%$%6n$7^k)3q>5{TQp4c$wpeOzH<1gvnJfc~% z`d;zH;;7l}Ge+$%B{!;#{TA{$T~c0f_648RCe`@vLKP+hj+7HNv<2}Z)rYIgKfD?n zIs4+4>!`>kb}!}a+lp}4Yx{!#1WOoHrOL5#^}W}<=f`391?&FZC3DY2;AH5gBegeM zTqVw)_bb&o8x&Qd9qfF5DydwCyAOWO0{Va7A#kwwPujcBV9IG(!=*qLvU((FEGmE3Fn`n6RQ_wD>31UsI44ggfe)YC@eXM+^72u*aTn;G zpn1z(-spM8?3!}1!nc;{$9s9-jvpLcuZMA_i=a)9iuJMf*P9&Swj8;eH*C4%^IYcK zS2M1XgZWt;Zc?caP0n!My~Zm#c;fxV#s@*Oq5XZm0oyBs&SfYb@pHWu!8&n?y-Q>$5eu82%Lw0{1^%<$?jqp+JFu-83Le*M$A{owSU*zFs(t9Wf? zU$f1dCH>+~k0u-6-b*G=wQ12l{YBMnEbkaN^`cu(H1eywXJo3@rX6UHl*b*9=Sxx> zJ)-&}G4|7jVv)id(w18!3+)=HX??k|)0~?IC{)id-#o2w#f|I5q_sjwhRyX8;a4Ku zH&x?knj5C&vf6BIthak#Ilz`~dTsL|hwAgPPvUw$8!)k6pONi)(q;0eHQ*ShXUh(| zpX;5*l{V}M8nmA=JM^M!QjSMV*o)6~PNZ&2JOAjr2F-8i?{yR z9r{WLHT^E-NIH*TI?tF&Pd^=1M!WUeiyfJMt=r4kf5dP4Z1$-7T{hbje#@Qvrv!JS z^&G(QJliH6is>UwgAnE=WAl0 zBul*La%<22erZO=TvLB{|L3YkYh~A{`@X>pP1lv$T&9dO|6HI?*7?Y*{tcUG8+2yZ z^S*uG$CFMPSG+&&lD#(6G302UVoKkyy18}+ZNJ`cys8Jpnw}U)zs=#FIHY~4xOR~C z$@-ne$De0EnlTV`U@BxD@V2QQ#O~JYd;W&9+d8(d%TbDgoSylJgl9MgL& zgHEe1pNFP-FZa#3S+Tu|Eid_MLxp=gU;Hr9SNKwKf&M^j{Z^dQW4V+5d{o&-;E9s59Wua!W zTEz$B$V)pE?%l4LJLn(fq=Ib*))@@^B#dY_Qx~o;M z8gJYe!Kr(KIemt*Uk&&Cl-=VWyvN^T(nvyRji%t)hiu||a%=y*&>dm_r6(uch^e59oJD zjp_L+Z@*~uF!H<8IbJq_S808IoFHZ0?lt3~7|Amq~;J@<~UGUY>!Ot*B z5KSoRLa6FODCjF0*0e>I)9#Yx`jnsAlc|-X7NOc!9-0KXyRzt>z6nH_yZJ)sJ zq3jtL4^ra=jTCu7BUN6|NSPNjQs)JY6na4;m0rM0JNVzjZC}94JLG#vwHGwpe+1+c zkqS0Q!51`A@db^Pe1URZ_;J8FAn^AQ{o&s+f$`yKoX~#}{UJn6G|bfeFJ>W9&z*c` zAyRi9pQ2mLEQDv9C{6qSnu-FO8PNbh9una-Ldj0DNsSQMoWU^ zJ#s$}F(|=x0a@e*0ERT;BMr7ZNh}Ex_y7n`_JS@9$f2P?A|ZYDNF<5jbx14{LevBg zU?Gtpz9!%^f*dQESYQF;ThWokT9PbAE~>yQP=fjZ7WDF#d@QJQBx&s}Ng4x#fVhPO z?Ll`Hcb!{wMeUv^i2}NX@s8RgZm!{mrO9wY*-v>6-OFu z$qh52KZMta2DOs_&75prBYs&)V^$!AqKVqp!WWAOi4rC^LQEb&S0k6+2=4qqPa3He zuE>DJfGuMA5+?8)A(Ax^j9h*rUNFg(4P(iz5*2Tj8!Ay!l^jg1}uM>_WW$$fXv7SwdP0k`{@y zyTD4}_YVw290DACz-lB@e;82lMtUW8fe#Fqn;#Y|WUz@qL`DoKOhvw704&^!>RWpC z40{VKm?S(FU=0IiBiVKVlqfE>0$BtOa7oC8#fKIc@CpRHeu0q5RU!nol54_8(Imhy zaCCupgIIt}E|!!u2o_dY!}v&@2n(`7^gKBpkS54QA@tHDZG%fZ1+uK5mmknnfnqB% zcvx~J!bxJqSJEDd;y###2oQoK7X@i!N>U>HNrp&oh(w@}A|#OnL`DECuYU*urDSj` z1~K^K5(zLQ1O#gr9B?3LawP*`k}FvR{!B&!40+WeDFsDLpnA(cfJv=H6NV3dL|~B8 z7T&dB$bHuWTp-f#mh@yT@e~jW8~{R&76K$!aY(;x(kP@O3Jmh51&a@rAY8J+#AQ}= zNJOnui9;o@-{9>Jp_Y*!1OgVC#7gW*G*SOlV#1dMRK*Y&J6Z~=l>{mbk$ZrXgqzos z*5VRZ0p>~q;q`;Lf*{FNA87iCZ%|L_P_?x14}=1EYd{*vA|OEWQShB&NyT={~uU*L@#s+EIbf+{|^@4sIjX%yVS-_eUmvE|-zn$yanA$Km5%y7<#mW@ZI;J`_}uVxsj=q1sb$u>IxK70 zYy8vj*~7+J5xC}0SlZ_G4|(ofafoW7xch=H-Cnn0TIZNy$@_r~0}l$4ZBkB&tu;NJ zfo37L^{xbQSOyO|)Z>%v=WUQQHed<#i)oE38z zdFscSex>Ws&Qs@nBwl9cxZ967*Vk6rgfVTe_;Bl6V(H#ZX}d1zCY(FzaA2qJ`hst% zb%IV$mG)))nEUhl5e08zdexs zm2UDWe(W&a?6rn5iJRQE-jbz_MH21Ja%b4wU)xyOrLhfV+>-Epon^c8g4ydIbfqlH zoweV0$;hyn@fw^tEA61^b+d?fPqEqXE{kiusbdZN7r3`vI39dkg`?d{a=4V7-oxI3I-+014 z=4BS+?j40EU0YG6rzpO5UT7QsvDfwnnnwGLRC}I_)!or%rSxw+Ti@<+yy1|Ev&b4Q zp=nc6HoLHea-$zp^v)@+U*-*`T^=wne_`G9V z!XvU68kdg)q5}mxR=J5#1cEKLS`CH2!|#n&6p z?ankEFicKKxnauLSA2ppsQ|Oe%Wo3n^>xqQzY!drXC21QTJw#5bdR)nG@a_RO20CC9M>*A!G$ju2tBI!Cyn9=qN_6j`YKTSOb+wbLuGUtbVf#v1U4QnyOR!g1mGR{>>#4gXV&uEx0=}UPm_WN>anWo0y3>ak z9|>b^&0TlsigCU^U!E-8!~KX_zU{o@fB~x^U%r5!EM}9e=dVrlqYcOR+V#73iTm8V zutWXx6+NTJ&s~{jbi?xuz9dCN3n)0`G1GpQ;I?;53yS}2!gpD#`5fPXV#%-2Zn?-^ zu0v^~H9UE@1J}E)u1=iXo|nU+|Lk?1Eq8zx-?%2mPg*34A#+{h8WRiJ@rHGVZ_Re2 zi#X#{lXl;!b8t$!o2;KG#5$#Br^=gGUKSkhw70T#&DDau-A#;i`b>{%QrK9dS*Cwt zPOm=Iy?H0w8H!sN$17%!KOL~B41E+^_9}m~rkx?BICH3`PQs;N#U!Iq|AYf49y>ox z`2Og7$0WTr$Nxv&TgO$kb#23xbSa%GEzPD&X{4k)c+=Feu!)jgi(PYj`Yi`nxNKM?YI{*TfVYf#_9-fNY-uuSnq>ZA;m8CFk4h54Ez4#HKCAeaMvdNU zGNl|V&*@!I-Bc#n*NaX-t8zpyUj|ppDRyx zhvmf&TpV-fdz3GI>CFr{CGuMc<;uB&G3KKB6yT?mXK&^|Z{Iq}5FHk&C8hAv5d$*c zcJ^Nls@>Cv?k7;K;eF;U#y`x=w>=7IqLdNLmSaZ#N@pHzSmxfVsu{U!{OnFK9h#iC zlm=UdgB)EPXI+G3BBnOXNx74&vRLT7h*TPJ%Qt*dVIlW$8kF~IKJHcp@`cQfeM->l z`4MgHLb@Q~afc<>EUKm8Ma~=F1l~$CpqgMauwJ2Og(>SRLJskBY1XHwS2&->+f8o7 ztKNe1iIAb=f8f60f86;n?Y7qs8tZ~rI8DZsNfbNU>Cw9TzLcg$6HSd-Est0#`d*RI zYu~;#gt=jiHR&VqTzIyz)G{t2RY^1XYg_4dwEGBiYIV4~vc%U&gb9Y&=RJ20i({S) zTb!h?(0_D)E{}VAnSDQgRlSSr;m~K1>*S*bk_$d-cX;wb+vHlasvnuB_$`GH%;f9Q zo_;laK^+ep^S17lQ7sw*{I_Vl=H2YClf2&vyadqky7gWYhd;mS;QOSs>h`Sq%jvMs z^HuX1SIZ~R2A{Pm9F<+MUM(VN@RPE?x?}5K!SV^ORfAOxi#Pm!3WrQpyHuJ>(+jYI(;e4Mq4f1D*WK|zhdSi(vGd^p`Go^IqFL;EwmnZuK?moXMfYlzH zI}t1~N3#1!o*NDetM{F|0i8H~QqA@}Pu>TsF=zj2md)|;2i5mwbvse8b?W*-3Yg-j z&-2H2ufLBZP|4R~^ngh^G@hb2Qwwn&iFt?Ca!c1OK-V?GyF{|QDd^f^-Xi0*aC{y< zeKGcs7m@6|N!Mc}_3X3@g1*k9dfle3)-}AAsU~EM!S-lv>YgDf%e?KKBUT(~WEa>a zcAd5bVqaPr>G-E(#iCLyrwP5TA~P{+yUAL65Aio>m_j|Ke`NOsgbp|IWa0^^1XBo2r`mF~2{OS`wB|KuCy4$R1WqDmSdy(`HnYaZRi!w3}R; zT1(7i{uEOYPu}#V?@80+$~$tH#NX08XAS~3#gViph9g<-eep`hK9y&_|JomQ+^j!x zYKG`LEB!}X7-pJ#IK@|G=<(KE?Sy9iA8D$QT6#B7r`44^$;BpPyp9Z-{^}y~tjwA_+H* zeG6l`C(fLt`Z9b(uz68Ku+=M@807i^?;=&N?NvVy8>P1G?vu*fqmH@mXo%Sx`rgW` zV_(du9W|?Z&7RS;d@0%L2qC?=Y*|otW>5s7xkGa%b?EW?p zSdrt&62Yp9tcS7Z+M&XIOr}0B6+=*Ab|qJj3Qm}(S$|DY;6++Wt$g5N$Uw@L3#Q9$ z{K3`bfHrx;oRSh)N#1CNdpCOtlxY*zAE@4?{}w0RWVQVvrXr33?JL9gO|RC>Zg?L; zVkI%jn1t&g$@4#6`ivjcMZ=GH`Uc008E$h*h37fSOh34qGld)NPkL1FK(&iR3)9-H z>hzf3F2s<^cbqPQD}C8eqkyAe{(XxF`{$D{>k-8+dRn+?uobPrj`OfKUv|PIA4Z)B zrbGo|R6S6ipA-Ge^Q2RwYT6+=`j!GRdA_$OoA)CV11zTUQKNMZ(@aba zH;J9w>rbfk>f0r~`C8X9lNh95j2xQJrj|GfBo>dX+?z&)m;c-_{SI-{L@3*ZKKxGXRjMd?LL0^Ekev>X3SNo z`G@b9T1<}xt&@!ptXSPvq--&dgZ zmM^yz(TzveT4Gj4Q@B#&mVMyVR2o){XZctWkEU8s<)dXxBsp^;O9typv#Jt(r_HsahEQaMxMLm*Esmi-;ma)D?I$7`ns45)^-hTTQCOswXNz61UXAA{ z2@fV?v;<@4|uW6CfXug5|ocJM+3GQxd@xMA8wtJ>jrObKr!}Z7}tS{k-u_4ZUIN41f z9GWUL+&s3f-AcMCSIQ^FDnm9&`fX!|2&F|}jKRc;vw$Schq`Q&Z{$E`>ER9CBoq!W zqMZh=oVhZ4JC!No7eWGM_+cqeSrw_dWV45MJCcDubQM*pXd@HKkM57X+CndyioRWJ zeyrGepFV1RDdCEjb{j3mNdx}vr;7>IQ3_i)Q-*=VNKqfOk>Qbfcki@#MptlHa?sRk zaE%f~?!_47N{7a*3^A@aIJe#(RIFZ-h2iJL`H0iH2OUrG^!`{+oiEJT}|nDdTzSd z^!G=!y>S&O)P<7+Buxpcgy^C->>H(HOKIQ5zB?>R|EffZ>gCSn-93HvZQ&!w(}`T| z$||~-jP`Q)Ldv0v{MQIgjYZxLB5;jr8YRFNyiQk`?kUDX&gxlRR^8&>m__-Ph9Kppr zkA#X=OmF!MQ-Go)m|(C5L_$%xI46T(*mCt#l;2jxO9|ag4I_9V_qs3e%`>I9)28t8 z&!k){!&+%<(?5Q_@m|ANz=~ZLc`=KKAnqPXPg#N8$h%q8)kb?;E}r8K4}4xXok5$< zMuI_;M^?8qkg7i?ZDCXkQXcwz{cs1++xvF)D$j+?IUrv0Gfn?*f_rS75a!sKh84i< zf+*<$<+bNbFo>2O8$?VGh>D)S4@5}Mm||zLK*0Y&1ohY;ih4j=2l6?DiFPik_iqfb zbD=$!%QM8z1^UiIlz(H0osH_ZIOzX6L+s3)zg+-0dMc^V#|h<|joA-1!3t{enB10Jxw_6%n6d@v!qkBbl77hzqd5BoiI6^<)46Y zK+(ZDzkeSgC^OM4N&^4}Fd6;;j2jw- z;qL-rz)y1mL3&^~;M@ZjxOdYfT3i643qXB7Csdx!**^2nA#nofRdA~_9zbf36DZfd zRR1;z76|IX_-CGj6KJLZY1{>F6L+b`T>!}e1gP_{LQAKdZIu@c={!9I=12faO`Jgc zj!WgC&d|V(wV)(#&O}asLj$G>Ok#oTOq^h5!KHR4;Bx+Tp8l5cfZS9ZUa8N3C9uC7*q2$by4YMkJb=u_f>BRk^ALon+zPic0SG+of~xm>GE@F zvA37Szh(D-acCWvnUN{u+BjHhAn}PX6)!}JxO9KZCWJT5QGoB}Xa=fwk>&n7Dt_K1 z+3MaIMw;ljTXu~YwW#zopSB9BBJVt6e273GqRI8hBtWjbW+HHNb2B&42>yF6U1YaC z0$w1NS6v3yf^3#)46R1~xWg`CGGbt0DvThRyvhPjK9!s0YIvI>{Y)G#j1`6NM{jz%g!6eIPna7i@rqC^x|=ALXJQ8L){N^hr%9M9wc689!+g zm6RKq-3}w=o*P10#fa1UEm+}53o(gq=8Z~2##_-mrdz1fc;52s?qQ=s4w{ea4z-D^ zBf5~qm`GKGMf}J@NT1~%ks2(a+|=}cO@qz^sh}O zV(HzF4d!nAcMP`*-erbf!ewwX9Mxa-oUq@(p2;cV2w3xPFGu8+PADwznKC~1@BSB#hhjadk4)a#bK{{d}87EBKbKERlXrp z-Z(>wRQamNh(*Zs&*F)xf=D8EKE{i2Juc1Qpogn>x^LYi63eWtp1M`2h>L8ZtrxD( z!D~*l;fkahQbhhDUDswGujUS`t54EUghem;%I1<|dryBiu^g^uDI6tgc!IKWFs=%h z>6^R7V@(anceRaV)V_^HdS%*ibuY!+%&%DAoufFkcd;wne;>^q)Kc1kB6ou}QbYfa(R{U3>!S5F`4ypB99OdUV1XOiL4(XM>? zsbpY5QbTsGJIf!Q!-sO!)lrtE)jCqAbV`GXMSb+t>$B&hnl9NTx)F9UTGmvo^h_Xp$ani<)2K5Z{mz?Dc#}HV%SfzVmKGB+dTAv< zP)m2&WKM_Ng6cEs3(jjlIGMNR91QlF&_#|&+7(yJ_7*qj^|W!XPr`TgeDXSUZA_CV zsL2r2D7g92qhc|KAfb_yXHQ?KQh2*xP7(iyih+oDuF?M8mPO9)z-e|ztN#5f${V`{ zV`8HopSR5($gGME^Xis69(hGbP)QywY(6t9dOz8EBum2lRtp zF7Q2waylDCIvwb$40#{obVAhA*&y=iY!Ll)Hi&>a+nInB@H-F*bwK;({Qn^$>Ok{1 z$ZN>&L3GrCCU|zcVZzXuT=V@9PV&&OE5U1_q{~U&i$SgYmMmL30MqHjfLyz#6l^tO&G` zy`&Y-^f95i^M69x5h&=mv=we9B6M=OI*+e)1y6mMk5ulO-Xd1u{jpYSJ^OFOtTlsTcfXg0O zFSB6*Y%f6D4{CGyvxmiRBl_u)o=Yo3=86O8VSFj`0R!Xa<%Jq~`G@u=M3@@f^Y^bs z$N^p$m${XJkpUG|P|Lsmq5cU0122rftO#Bgm$f2Lx&(E`zz?c_S`i2Z{I&>zNw};P z!4jfrfB^iL6~XlV%UTh{1JI$N zJUpQ&fwBXQQ-9scKn>Yttq9P&0fIC%u)o)4oi7h|fPVg)6@iMC%UTg!WC<1Q7pL-f z$~!w)7aKU(owdCO%LiZ9iX1>YPH1cK?AZ3t8G|VK{saTU-D%tcs?=^z)ZcQ6@h&o=y3vV%KjF4gzQykvf_VX0fG0+S`nBrsCCk3hujMW26XQQ zuSLkf0E+S@tq205w@?Y{yccgD;D*t^SrI5KxwI95P%*TMoU`NlKSu_X#{F80V7B@t ztq2SZD4&8hW4}U>zps1Xq5Yc`!AifEw;~U;BhI{mYa_w@l(@cl9(5W2st5xIG}d7wo2&%Momj_a&< z)6WS50`HeMAs4iR{ka!<4h1#v{{O!JGK&PzulhV@@Mns)zl+$OyV&Q~3W>S{We)$1 zK0mA8Z##1rf9?x|r4-!NPI@&wsoiVMNpE2+NaBb!&`sMXYhQz3{4Dq2sW+l7NS(`kTx&>=KHxWG)?b5t8#565cE(&rbR{Cm z5SQ7Hqn_s|6n0B71tq!$swb2rUGqq5;jObVi!WZ6lxmD5N_&mMVu*jI+waPD(S4>T zFdVg%95MT2XiLu?)Jy9*Gf(Ohzfr!GMY#AQ;#BY8Lpzb*1;9h5bLVax(_|c?iM?-{vsdP{GjU2m))aEN+Y;38%7C` zf~99i&^_d5bnlG5p9(LHTw~!{GE$qHMSHw}j29B#+{*7qrLexEA|q3v*XDs+KBq`SX?WdWwaH=+fp}3PCK9cu&Jbx#EQj);by< z%w83)7o+=tRavkvNgPW8`(Zj^)pjLJ{jGmoxN*xG3SX_j&67ipA%scSLh(7<+~&9L z0oDl*ZAcc}uZ-p7`_pUlq9@YQP`{RHo$<x)Ng2V7}^FAowfmaFbeYIq;Pe{yvAUXT$}Ybd*;38t8E zirh<)@Gg9z{GK>VFbgr_ZK7jA0wI;sKI@x3k=D8|uD*chI`lrmJ6Oe-XML}{w<&Fp z7{^!KR=q16*Zp5Y!2w$rZ*aX?uY+7<6@!vPqTg+9m^M^yl zZ^OQQYD#}M?}UGew;DxX4jiGl2vmGk4O2q5KkyIjitV=pwRczBO!c zD&xd`B|bdQ{+2F#{`aZr3aD|rCzvJtaR$6uFx;txh#JC2p#^ObT4tH`HuDVzUjA2a zJ&<$1j<>Nag>gVQh%eJ*N$V#)eNY7RJ+NzYb<<=vpY=q{BoBv3Kq2DlQ>MUi=0F_7 z$`5r9X{`ib1&8A(Sq+i7$nGUB8dIlE7a!6-xiRkT;1%zKK)Bi5%nxvSxhdw-`;^vo{e;u3t5|9=CeWZ%WedRB~v%6Q^h0LH);P=Gmg>Xraz*(c9t7 z0^}0kFqym}C`?)W_^s_{$T0jpyZRvGO?K4oJom$qBqG9uMj<> zd)1I2XUMDk!rT$o6u#2_I4io84i`ptj|zmU!ssS{qh2_yMzog49T&z<+GrRFx2 zJm!q8fgCU^lV3=3gIZi6)EK%j#9~Lou&##-P}_@Dl)rSxg>~y z1>T}#JG*7ygp!J&cpc(!3S*!*Mg~=c7vs(JXVljAC0onMYz#bSq`TewEo{K)Ya7{D zd7aUK4Pbbvah0ia>K@)NmdaiWP)$<@W9dUj;F_&=7`>?{dpM#D#!6zUZXWwTNtL^Nl&4 zIfqiB!g;7J`(f(!gC#FMJ(EnYL+)q&#CFGT&S10jU8$8c>v9oH>b`T9UkR?I%+5NS zn8^1>8%7_$SsAwuX*;}Pnt}5`WlR!G?&FEgeeiYsm{CR<<2-avhAZ!T!dK5;wLA+_ULfJ3CdEV2ZqFdAJ> zcOBuh4m#U{N&8J-y*Fut8*}xzDeu-EmQ>E$cn@0NDdg;Pw!6ZXF-q)}Dfld0iv8|J z&eu*!9&@cQc`vWdD3WLrMZ%5v8zaXY!PB6vbxZ^nzmYiZuhb^Ae2JN_QWH#GV#+L= zr+=t@*S=c3cU9N%hR5c??UmRP-43A;a-JF0JVU!4k@GMx11~*1m?oZ~m+A9yrnuJfm^j9hyMDU0 zvH7_npG;5Yy$}jHK$c1HwsMgxsfW_Dx*RXrr++qAdYi}6-O3Yxkti1klB zqh1R1ro?SWuc7NtE#Q!w;;ODV%k~5)qs2%#MVIW2H40lSD(f{AEmPa(`wIql~8kzW?ea)-%S{yjf%d8Sv=SYx`Hafx{Q1R7Bi>v?F%{{2<1d=C|SXtedy8(V9p1!zR#vb_MNq zndtrd5-_TuRAIJH<|qZS{Y~Wtrb>_O=mX9AXI}<>Qh2N9qzhk>t-jbheWy;&%Ed6v zB+bOTvtL)3gZdLy&V7gH_+nMe^~|AB*Gwpg@%mI|O&Y_ltRWHWc;iJz4s}(r6OI({ ziO|f|FS>+g-+EN(;UQ5^MfTYmlnyT$xxBTXqlTPzpkBL&#Om2O{f2hmZaL(Kyfy*m z^6N6K3Y~7eT^tbI@+xaGBWEDLGG0K(Tmk}^!dI4g4)p&en z+_QG3G99lwK3bs-qbo{nGH_N)yImu!1F;+1h3UqJ-BC82Danmb7oc2EqX>h0B;1^~ zb*(E@{Phx3u2mn8YGpp(B)lcY5Yg0NXqcBDR~~vd;=Gh?6iQ*iUE(5F`{`iw>zSJE zJ(ezA;V0AjMaNHL-^`DME}V49Db;JLOvt?v34DZ(_raA{hmo~~=dogJK904CzxI;v zecs3Kt|-q33t@}RqQ9)3eY0F%z(Knuj13aOZhUr+Iti6b)ywf#M~1d>k+xH8BWrf^ zlXi)GWXe4RK|+$KS{AtF*T~V54<)#tG(D4(>7*JlkR>W1_vOBOixj6QknLN$Gw%tP z0>xE$tHlHOFk%D2EjqPjTl-J<3V7a2j?f`@Q)+pfxM9J+x~gKze}yHpktK6?n368^ zMuf=01MXDDo32{p;tEJZ@(n=&16+hBU$BB%)UJ5haAvWXtCcF1r{U}A23M4f_msI| z-7|IzsN_<)*|n3C#T1)GzoZ8Uonp5X2V9g<2cl4ah1LHpqYi=ymx3U|r9h_~aNZao zK0-3j=fb7`mT5khGW~;0^SK7pq~gW+jl;r7k~jgN$4OI11zC28cW6@bFYeiAgAlqXqa59XFXt zuy-hg@bm^d;-&d~OWrN}#?w5>$2A9nkT$oSRWo#SrH)*+?srCgU}I%KPdKQ0gjauM zXRYt!T9B+8y?yTf%4q=)2?Nd4a$T5|kujHpkXJP$LeQ0T+4XEu#SqJVOgIo)#xuV? zS{z)H24x<(EL_U=;Miniy_C?-T*o$@eXPg_RmBqc3)fGaTTACG>=ZR;KYO z)dY3(EQ_rvio$B%yLqbtiFk%_kH^j>3mk~LI?{F}agzm&@V z0bMpOBC9EEjI7XWK6hGs%ULw!<|wk4)yM}9xKH^-LfOr2yL1rF$eYTaiE>p@JIRSS zyv?&fZv*kKOtfM%^TQEw!D>=e7iniNHV8lRuxfB_l3c5l7>cfO!){8Ia8}upBvXr` zetgxo=XUOULJI0*g(v@7xhi*e9VGc~301M7=>)Ir0DalbT%JsjpS3fqX2K4k`4;x{A~t69 zI(AWZX+Of1WfUa8j;oIq$ZrugOuTAA-xKtBYYxL_^AvZ{{}Vi1hxo|Nt8zEpFh`{L zbPnXsxSM_KPlYV%iZ+x}U8haCu`^W@vYk*<42dlv^=YM@3$ZP8f2WFMv+CreIy#5bmp zEl`z+g?=BEx}0)D9yMl4-1auK|MvJ? zK{@wZ7AMXKD9RlpQ;y-hjspA-4`d@L{fXh3pX~$0&4~i`*dZ!Ep0(RZqb6L_C1wB>(vbWm5hMr)t$fBYoLGv)Q5 z`Y!3rT;cvoUVt;*k6GHCxvfGRef3YT-J6YgYB~*59)6Nev~C$P-B?EU0@ap`@X_tv z<6f(j<^FP`_}0sU=RVCQEEbJHBiq(5eV+Jr?E^)zHg}9RwX7o^lOiH(1uD^#lf87y zPfONR8X!W-yAQgz|Kth(-Gx`fSv&3fcT%l=CBl@#6~}@AC+X_6{3xA7KvY}JwAjbz zs#d-#+pWcFUyG)F%Pik>tCx8$7OTE2R+SsyVJHq0*HPr$l2|vZGJOB!eP1#QJxt1p z{LcGrNm6x{nx>3FZY#dBV-+P8+0_~kC8ubf#Z^ID0*ypMZqXCe7!szvmuaXva-2o? z_Hhvnu(E0QWze_Rzcb#78+$&VSpZ^!YxmxT*~d!hGnTDmW1m_Zm)x)s=LHe+jAn$N z77|v1mGe?Ks%KskPEc)E-oR_-MWG0o z*fNyM&edj~C<$>_9F>$c+IN2A{{Dp;?hkL26NIbjyR<-Y-p1+orVCGdziH_H>uC=n zGY^!tKu&oO-XTP09>|)WzYmd_2SH@!K@gdF5JYAk1d*8s+L%JV5BWWa%sdDpGY^8u z%mZp<=ih_K%!42@^B{=KJkXsI@;T)9ATsj+`R4q6h|E0Ds1@=W@_P`OdC-|m8E|Ta z$jpNvGV=h10rGvw^+9CjK@gdF(7DV!Q2zz_y>n4{;Pn}A^WRRr=jDxmaOyp;i@fmE zdp0Y-otFMT;a8r|`EMwYlPwomuoujI0fC!-1KG{X9X7#aPF_xEObXCh|AGs^00z-- zU|40te^czyKlXOM`K-Lt|2$-y#=){p3`hneoz` zN?>H%;Cf6bN87&(6aVk~LuWg{1;YjM^S^8h%*~~3%gxFOt@`wQo4EiCVATBv24>V< za@7DOvRqJY`|I%idjcI;)4zjp{K3e;%tUAt3Etp-8yT2z3@+b2uVv%}u`{z>j)3rQ z?8o!%_&1cFj6`tt?$0}%6HH&a9B&UyNaTWg)BOrC{BAxDaP{s_EP!iuSuB9I2Rsd+ zS?^*l3J$?5!kTmaYpvRE9PJnYcc#y^ycAq2s5a?1IWhT{*&DM0Ud zsQdBRQR1IA1d}-bvLTpEdf9Pt{oC5Oc*_6?r@vu=LnxPAhn&D225rrs9f>X&7g*>V zSciaEJfJkq`3K_yXx-2lS^v;5hRheF^x_-~TzYZIadB}1MaEF>WhfHH;Hu-oTpA1W|;`z_{0s%z9OJf0bHPD_W zf0r}ZA)A@QG2$)Do_s$_m) z0X;J=kHrmj9sXU}_$L-vN#n2i0w}AO#{#M!pse{bx8wpF0;f#{Y4Vp1fs(7sV*v+I zXnXIOn|J{hSTg+Q+5iM>{saq}3d*@FdI1(#H2g0tpk(0E^TiExVS=&_|E_@yS@UcF zasL+OtC(>Ug1mr?4 zJuEJu4=#1MQm2@|D|pHZiqsZKs0d*!4Z!W+Mz7NV_X5XY8I;UAew9U-upPo+w%G zBBQm_!j^tQuno@CqTs>g4K(Vn_p0-_)88Zpc≦=&fXeKYIE)!m>NVbQ%7E@w@Cf z-_G6!{wYNlr<3>2X1NrUi)Efm_qsXBSzRTYTU#+O?%oRf-Ya=ZWo>2t1LyFG(e#l{ zJvE~rs8*_`h=m<1ECR^?icH%vJdNoT{ zS#zJUh+?kkH-9n;M0f&t~@VejF(^@t; zF|GNraVs}Iy77^kqKE9eC|6bps+jWZyWA>Hq7Qqu?Ra#uQ~|t2NUf_rgq#%a>2lQu zJBZT0yuK8eH9^Udl;O2asC`#o5LXSiVQpvG49eMkk)M2*FrLqZARyj?T05Yu z{}K;A&LVNUw-dX29@`=9&9f;joky)VYmlN*@9~G>E?j*Io2-7bh!7hi0Vc;#eutKW zuu$=u*2e*4ESB%r)v*K}ng*Jui!boA2#ylDBtldTG&Zm6gkIyo@(w*H z+T%MxB!5C>Ae-~fJ4qV34)yp44Oh<79_H)QZ$p!Fmi*)2){eLh?)Vv8)crLAIHnkKQBj! zx3}+WpNpJ`idAXn-Zm-%a&@|nnMzk|xUrTB3w=^7gMdMUUiN zE2ZYg-6imN^mjaL1{mgICJg$b4jJEBd%;WMwbPN9;aCnRyuL5_L3v`N2a`m5*7%!O z>haSSeFCTY9aGKMq)Hf9X?6Bj92MV;mE*^2$UQA}onpp{aFp<{N%vtHVT09-8ivWr zRKm|dq|9LaTEKk5Nv2IO%8PQk(d4fq5s*Gc7Id4%GS{*-ktEngnuOx(r|t2b=*%t^ zdf6Mb))G2I4)7yBGKKPLnmk2yA(mt+;R>1CJnx#T>Tqnsy)2yXa!SlU*|P9?euZM!jQm#W}^Y+qy4ghPpm5;vX+T74saewbhox0 zP}a#z%2LzZyh4cUFjAv~HZzpr4kmg@`sAATdIGt9M}{3%M_=w-J^f(WK#VezQ(H;0 zaZLM!`g-%0Q;dYcYt+FJhoXtYn*Mpnf%vSo{+lj|WcULfW$fx^kL^EA= zvmYCH->B#+>|ZmE9#k_yiO?ypjBQ^MW)xbDvZ;ny9Xfpba2FU&BHGJU7c99zB(oc| zXu=6<0I_?HYfNUg53$VkJ~ZfX>GMR#Wwdo9R#K5&Eh)g4rzYF|!Yb3$Fc`{ypSTWh z>kziAbyU`B(XY)Q+(^@Dxu0|t@8NpW^=}_g#%J)AecEVCkSwj*tVe4^HR-5RW;QIV zUzDryds2%hA+)T~2(j0W_O3j%2t%2iZB1k*qkJKsz;~kHudI9UJ*RDporaE7!~y;G z43o3bO=jT*5i7K#w=o|mx8mAMx3e059PPWQ3BHJu%@81LdEqu(HxLWM%c*X;85?_B z^}CCMq{VR1DE$EJhy-suT?A=#s)f?ps#kt&=#`t8o#yvb=H$Nqof&Qa^J$cE7Hmid z=dE78$DUCc%bvL%4{(jddvDL7Bh%7!`0J6<*KcrV@P1NdG1i=BOuBoIeI2<^ohIQs zSsDK$GixrB6!S<0P~&9$5a?}VFRFU_vtVhuU0oL6rR8???Y5_nY~I#gbIikdI@Kz4 zYT(C=K0;8xcHL!|1X~KpVXPTWpSfjT|6N|7FqYYXIrEn?_4WW`P3h*^FCu!0)5vId zLT_<-*$Z3XJq(k*HWRwIC8AGoSE?oJ4oN``6V*q{(jC?t+eT_f-*{|`19w*F^n$9k zSBTP!)Q)|4i^dETm`vzGCL}`Z5{Bq9_as8)?3`15qO`@O(A(ecIhtFsE~y7!>ujv4 z*Ff|#Cvrg-D4=-qR3$@1LzOLW@TurT8+F@!^!Ob(f(4uupR38#1T+1r{ltu(cOtKM z&3_q?7Bp3p$L?SDE^w9Rc|COhh|zHBIlh@*PW5#aqa9 z5*kk^j)jxrKp>p}OUt6~IA~m^!HFn4>$5!F?TP979c&-tXF6o{g68I~ud69AbvGj+ z3leW;P0yd)yLqpxqGuj6;v+vv+U(FUg6~dGPs)a8_g ztNm(1VVvCL_1z8t{Dr zUdE~1rza|1LxUv_08xiINlctY$`Sbdyemys8Ph)xQ%>#;7PgN^Y0H#Pk}Cygil zU}=L{xHTMqVFvX~ru5uh`PU38kcT+q$3bLFK@b^Jppf?beSqF{_Blkx6m%vp2xKN9 zGNyn63gmN0X7XId6!;vH={y%L1vkDr{~ko~2FMaYUY{$<0Er;TYsl|G6mLN1iZ}n3 zxjfgv`Gd^mxp>ZnnaeY4{O08S@8_qW2z&nI>z&6*|AemxxMUnqBx=ql`?ujj{AWl5 zZAd^7+(7%%v^Fjvp9sa%JX=v0fC0I-vy%QZUy}<6>-+&2)F|KCvb_Kd$hG|j2E=v# z01R5|GSJ_JJ%5@J$hG|j1_X944F)vUgcg^DqVEarH2oVG5ZSpj7?3fC7U(;>%P%k^ z+kcD<2<`j<7_`oV=Ud4IV66W!G9b2dc`%@ZH?-9V-mm@%#tBX>0*ub{`wVDZ_$Oc> zXrYg@P4NOSaBlH0FivoC@p4I1c0hLuY7p~m=e+<7oLu|`2F@;C9*iA|f&l0@rOw|Y zRlt_>I~X{1c=Pee2ma3Q2_c>FW zg|2OT{v7=0Y;k}aynF2nU;$l<{J{3_3c=?`pMM&V9Y{cc!@$6Qa{;(Om-5R*w;^EA)aro5?O*#L z)adO0ouu>M6vlqWYJud?e~H!3s>>*oNL_n=Tv23n+*4e1+{xXE9z@#{`7-%sXgIIA zm{IC@U{Y7d_b=b7i<`%<88Tu`!UkcgOi=3S`8?`vA9+*tF&@P>sWuDcYw~*y2m5{D z>Y@G)VpEP7e+`4wg6=%#L9~pKxc4I{j|Np1MOHdH4IJzl9~pOkqM!*s(+YM*qX-#Y z${CN$mL*0CK)cCA@(`^(guB~rWne&VMgM-U9SdzrjU~+06{hP$PsVpUvm>sDiZg(< zg3A%%C1w3GvZyUbuYt9KZNmK+G~AS;g9kTa7D%(JQS&B&- ztFYytv!4C|r7Fup(S8o?UG2<`ZWBs#K`gm_;R zL-CEI%!AUaUX095)+Wx1W+C>C%Gzu;auncoAtNxMs|Xvyv!D}MiW>30=~50_Lrj%p ztJVKdkl-&cp8UE^tHRq39+dY={)}+$^e~8me>7U(P;HZ#h}qRut`37*{(HitKemY_ zI-jlAXQcFZiLXpE`cx7N0K)m9ds@Ez0j%PQ{Q--@I<55&lm{DYQyA=9OkcL$+6PgC zxOjp@^Z5{#5?nk)+xLx;(1R(ZZwe~0+L!yEGtTe&xlAk3x52M+b73<_U6v#hrHP;ejr#p3a?p?<-97;LS09}IE|jFt2egmT_yiqxjK z?NR`i3&!xwSWWCd$kds}cQ`5fpk%A)Mg5qg^b@OIUKC6G0>vDq^#@g^c*|Xohvz)vqd9 z)Jg{iOXmmECdk31)0EgA#NBScP5O=P=_8_|lZb1Ji}&jT6R0I3ZOz|osu(cpah}r8 z_8m8kFbT4H)IP|jsU0EmP6=whZi>z5hY|^p5s~HK$qjT}HVe!c$|9#^R}UJh`ZpPa5n*T$uB57+Yz> zwMMiRj1s~Cy^uOuvYMNvgRr-U63-0WEV%8C7yptC_Tcw~Jt zaKwd`3#9?E+eT=)&y&kdx0h~Xj*f5NRuA`3nRZ%G-z$&yDFPq8zf{||qK3t<$70=g zu<qg`y#^p`%|24jic(&ylcAX z^<#W&V|s4v@N*~%39yfMIScyvQ+rt0wn;jkR;PdIAj;Pq5$iZC>8nXWT+B^gZyd)QC6O{!x-anvY$a%#y^8TUkrmO1ImmEc`U>f*p z_!x5HI^n<%p;4jo?rr{@6y#x*^%Ds#1;N}kN%v{~~VUcleIzTo*kABKQJ?;zd04~MsLncNw9@r0VJrCPVgp8)xr#~y^ zF_|#TzjE>5Ek%SKe9P-=rh=%$TL>cTkGz#9k@=X|os@Z>pgl2y8eZCBmCyt;j;{=1 zoa9FGZ4!;uq&(r+BPI2M{7nfJ@8sP|l4(gNxoc{t@AE{QrH>fwVV6#eJnE&dyKrrC zrQfbRO~|lBw;bRf=zFHM;Eq+V^(5t!TuF}`GO=eFZ@1DESMu9Bbm}_lPMpKE74P)$ z$muqE{M`d-TqBF)LCjBdCXRErGG|q3Okz^YDNU`zh(K )qyid!oSmM-aL@JkbtWn`0)JAgftGSH_y1pX3qKKv$6VR0?C^ zlA;`$Z~ji*q>b%bBSoGkMLs}~iYH0Ob6Bj)_+pmSg(D+PZIo0r^ucH=Xe$){09Pwd z+_np`c6N>G%R{NE(Kmj+UlFn0OqKOR5?f$T+WM~1SYxmkd36NM)h}0Kpvc8WK?B zfduFvlFxt!;Q8n0lFz>f*v=w?ETVR{&L+0bPB%d3BG7*jU^`cjzA(V{ch%JY{Q%oP z6jg!qBL@dq2o!YAujk+d_}G^?Qp*8!XJ&R@Hh^C(YHncfY~rY5;cRWfEM@ELXlLwV z}+nrEGePDENbUy0>v-;hw>`;npn?B;_QH9!FhuNP;kDC>mmnuA%N;L zFHjrsAJ+uNW)^ldGPiIxF>-c+ej&d&8s{E1a3Sn~E*juo0|5tSVC}G9QW#B+2e=Xr zAa=;}pI0JjVr$}P;A~-Q#%y3~%q;5Sr-|>mO>fU~8R60@(pG0aSB90P<2% zB{`rV=zs3Az&Vri0Kgymr0wC)}fU&qNTu6sebB*^ZbxhpC-t(=6ou?Z#F5wA;_gLG)yi3YwcvZ`~Opn&* zWT?41Unh60Brzmbir;d6g~oI=U@iE?n(fO$Bfd1_+Zt)ylainnGF9xzxl+Ga3Kn~N z#i0)eCaw1c26TH@9}Pse41J*Yex4&AH=wh#LT1`x4GR3BQ=>=zV7{8bt}i1+mWx}- zi<{%i$F4ZHT896JxVH?8tIN7Y@!;<6?(XjH?(XjH79hC0ySqz(;O-Ct1PLy|C8v^f zpMGz5pYDFo?fcz-yQ+58noH{0bB#5}7;jdM1Q-T_ENE=c-`yPx@ihg=!aK9RF?(Pa z4L6miG!`2osrh(&kLxDrWc1m!*`-a<^===y^_eu}F_^ELP(#LM<@7HrMiVoDu08VD z2W`lz>2XImtmN9KNt?aTlh>ZH%ZM1qWYXwZrceW|ie^A5?A_j?nrD)97}l8ZdnI)B zB5_p;RoWf{?pT6GB8>e6xBNBI!b-9Lpb`Uqiwd_2%|lOg6#2FWofxd%a}82z!?!Pj zlq9Y)U%rW+VmVw*?R=JfIWm81%mG8~F}rj^d~eKiqo$v+z!MrdQi}9uJJ%7Gs1V=Sv)24U}uhsGvNHYJ*7|| z+SQcG<#^_6N|=?v$b6M;d}=7Lu31C|Rly+gjrj+5xAP+5tAI53-#5mviq_X!=Ae;ndD=u zpEAdjTA&T=LT)`xj2bz=W~ohSo9Q^`ZnFo4Qs^R#s=3;(%Z*k?>xK#>At!BD*RnYs zzQ*zJtcgL`e#q}guR$;EVOX~{39MX=D4FBDDDs1uoanIHgYf8B77yVLR?28-t|#$w z&7iX8z%{a6k$0wGBorPW{yaQF;)0Ywp|)B1sj9_dB_#OA zT$0b1Ri}@fA740$o}?jeV%a;WXO7&~S)+iJ;$iCQG9YiIKi*YRV^0PTe#&_GE?5?P z12gkgN)Y1SISO~8@g+5y#Ck0+Sc~X<+E^|1j#wRfockml0@i2YAv+&w6xvWDs9tU7 zXbp233et*?X9@_1@bs#iDKItt<0z3G16Te6x32lL!iT-27giRlc(52~{Ud__#&cXV zW@nCMPVpCOR5#XXliho$s9IKj2ss|(;e+u)jjVC(c-TQ zZQ^aHp*#yEVUJy5zbQg?xvANY-|*|CQS{T|VRVgbn;NP z)^6(syrTC5C}*AhjZE@;LvEdzO6fj%b;?C)DIEn0{vF}-ZoGhyldf(D;p%A zlNz;N>9pE4i`%q>a)sN*W*Suzo_K@_-kfUp>+*8HZ6?zm6c@>G_YMuUB^wNN1%kwj z=89JlugK_^XWg*)}6-C67vidd7^>V29BEn8)7{kbh zBAc*}@QPA&%lWayZ*V@GWZ^nD*Y9B8e66LAm4*|gLL$&SeH2V4&44033pvIv&277< z;N`R{s8*K<+H@E3VuGYV&ImI^Fux$~T;ZLF9KF&QSz9s@nEnHD_yDl*R{Y$BCG#hr z{D#D>Afg>J1tA^0j0O8?-wzQG8GhHVrk)AG^2{1=ydSpN=YfO+X=td#7r&K@$FOoe zI9qq|_M5o&>NM5*i8mNe8*4}Ios*wewDEfB4iD3lU}Z5GO6}bz+KQ@PBbJpXBxs%Z zw~-J-TgeL3ooW-cgr{b>EkqrhnKXSUDiz_ja3`(Ww?;cexGK9y)nVG|r{eoQ%BsYX zkRbstzm3s%Wmtb|c(QATDM#>wne=dOaS}P#ljeXBkiD-=shxbg zW&6z35@eI(%{7Og3L6FRN3inojH0Zw>20(=zljo6KRny0x+-trNg5ApwmA2dK1GE) zeX7HHX)E6=(lh}Hqo%hSa%`54OYK0qFuoUsC(cvkD#gv}EZF!|Os=l(hQxlH8q#a- z(5w0_p*O=Diz0V7ve<%oj=7OblsWI-IAk~B&PgE66T7@FyJGtK()B%?23;VY3wf$M zd@` zi(lpqTbnif(}yzlGr#<1#u%;WLA%hd*l)^u#qYbIi|RU! zzvC~ZY3C(pC4$D?E6HNhnSdk7Jn@w-JjWb)iP|WCq0V1ik+am}=eMUq7ALl5Qj4S{ z?6}}hHWHx5*3cWp(&ZZsX&lR~^rbRjRwu~n6m#d>>sQp^&Zk(r2{Gin_d`?Tee@sS z9h8@Bk#%Go9fi!!*O5N2gy@W$c*tx8$9l)s_M<^%sMLL^N!0==g)PZGv60uYAXzRs zR*@dT;+4O`6E8>g$D4vr%PA`?=m3wwjtOyrG;7_RCTX;H;X6)8S*c2KYy9Y>YkR>9DL zVK65)($@3KUcKC(d-Dg0x`-NOFei186@3#tJfK-J(`G3Fak? z?LGEBtkkFR+MuJ=o*JE?aHJFU-$1~a+q;Yfs!7w@#jgYNAQO-&^zjx`w36p8m?7#W zpyV~kr?BO3ws)seXj`Ts_|Gk0CumjfuOx%>n$;$xnH5|!C-BWYY1lt-bfQB_!Lp;Z z(dS|2OExOBAc;86Xa4=0nhNi7I-o_s9{Jh)#NbPq0m3Sn)O%Cp(VCQVQ{$XP5?Wq8`@SXu!$xJa=mW6Ede<9E0}%@S=Bxr_P%N9Kz&Pm=Tw%77JTQMHKW z3|2#7l{>Co^lR=ylaQSNp|D4wSzu2Mv4ORN<4wZ|Ez{2+tFcu6*_-7?}>v7Wat9wnnl-r|e#G%gw7|wyy2ExoC^&e$3i-ihFjcBk#K0 znkd>$Iz(HeFZ<;Y1H3yrg z4epT=7MXUY7M#?{V0SQW$}7qIZ1Vm5waY*gc5cpXEj7aU2>!H5n)JDb!AQn6(uFQ6XIfG9RTNm?NC23?Gr$oy9cDA!?v& ztuEh3@*SlHf-jMg()SR7#CKbevFZ79%BK9Q@HTj+6_XR*ej9i>$w7AbmH0qiZBSEGOB~B!vAJSp0K}K+%t&2dc4^;G<6iQ{_2(`II+Z!RzylCILxA+rqVoOfNvG9qJ10(x#h#0JIb)n_AU^_}C*OqvXHya?|B0 z>_j3;BD{mRQ#uRRc{u0AA?xSPPy!rR+o8h*zMm~1NhDmsKH|*a<{&|r=E>O{&JZPJ zqo_KTVL4Ijd*bo`fd>&i0S+;nssbU%DN&EzsNg)YVYpM<$Kf|D`WerbFz(S=vg|!jNAAr)3^T;_06*5pQd0wax-_?W> ztGMRl${^^3oL1Oi_p5Qdf<|7XX(5IV1E^|~^=4$E83u>AAm|!b0}-S|Vsl)Mxr~BD z)GEL6$#AZZKI&0Kj=Q_*=X(drEO;epz9&LPeemE0!u|RJGdU46115VRG}O$P!3dQu ztNT>{s&FEw0ur*M39hPqT%X;J+X&lpcajt7>UFH-)IL-^ zO~p_HW7Rk$Xa&)_PIh&}w7J9dx70I5H#-4joJU-b-EtPY0+FdGlt3&hLNg2}JYvo( zZ9&Qnw+)kS_55}?)cU=4efj4h5vWwmtznzV^YZsAANfx*_01M@j%d)-&Uy^;725Qk zGi>n$ta1j3#hj{MCi^`Zqd$n>GR>1qOM*gfo}{LH5NoQN;C!#CwleAughlV8tb|Tj zos>&IEoeNjFz4;)D-_kyW!;Y1P7y~FHe$QLz8`=Vt{O@WX3X?1Xr_pQnc>6T7T;T`s(&SC%-c7}nY_5ditl(4O|`^u7=2S}x@XK>{ZWnNI)JI@5@^PWIB%L65; z29?14&4x-h9ndRH?^UBA#Y;w}!m|WoKcRSVA!E!!D^Bz6U~+}DqJ`un%3erz&sN>x zWL`~u8%IUVYha`hEU^=h_4MS=T_3bO98tHn&8i`y;^#Kf5&gbLd=kYYIz2yi9ccJ0 zsX3aHqU!Z=qbG$hqCijIZB#@JKhTip;dvVqPJV?|N<%)O@(Uryz`&LJ_n_z?5f;6o z!d`~+i8q~AfsY``T*sMwTkqspKPKO=Qmx~;sF$AavZd7o+n$+;K#ObQD~aN`Yb<>_ z*eM{4U6=Y=@cF6CpAv_`>n0XqgiB+dNyDAeB-joCQce{^;cW?PpMb_d{yvb!qo7_% zsS9HnU2cs5SbR?%i~MvxfvfkmSJZ#tP45v;rzlrB;@X^~4AE#@<()_jW8Dqmkltru zPx~(Kds|OLzcL4Sq7K`E%$x}U4G}L)qN+L2Oo(Y^mB2KGtpuVLqCDs?Yx%{8GR3$g z`VWzfZ%|ZC(}l)7p~fc>gEc8|^JpE=-|!p0T!O95J6_aARQGIe;a80r@aRd>&FpvJ zdB+G85~TULZNF8~#e>OpoKo5t`-0q)zO(mE7=B~t8T`I}f3m!?;HTHPA=2<#gzJ6R zb94HR;wh{W@@5d`St76y3nmoiU51X|cdA?7gTs$#*2$9Xsg55qgJ;Hr zwuHjPk_JD;!JmeHZ?%ZBLlDTcOUL=^U`4p}?N*y1aRii-1RJ-tU2L0eKl zb!07?VZ>7lv6Hh~SQ&&N>z}!L;SVjR3b+(nN*hDih=qlV&J~~dzACYez_j+o8cD6w z?el_fiEwV>X(Q(>A#)AGcw(aWDMO#~;Z$MQyjxXvCgyO>)>8$SYqf|E7i02dLwVX8 z{f&pY6SuanigG(EcXHMO0@LkiVr*(0q~Y!cEl-&nHU#7tIn%{w74yuaErwjT`jbX6 zA%v}eE@BP@vnbv4dlXj-qscWyui9tV>Svzv%>)H%?C44e zSKed30L>yfoibN0tFJLg-F9~f;A~o0YHtKpx)3V-MLDqhdzUFO*k^gM%lBZC@TFoQ z5W{YjmW|I+#kfs_tlN0eDkl)45=AD4OPBKxkHLm+c@rXfr+)N?lc!2UTRAj)2g^<6 znGe}9y?U_tGZIid72>B50%Qa)u2gG|$C@%z!?#8&xly=Kvr!DrU|@n&d@xh6i>)}g zYDO5~KzARO6X*d_qXn&-@cZ;w3{sZx<$jwmLaoJUxmQ}`LNDN3DVunwiD_0(vIkGW znK?c3Wwp1ZoG%0RloB^%x5g5i9-pQ2@W7JY%`0CTi3-J2M1f=Q!bEz4U0EJxUJeo# z9a(G6f!-NJHb64vVgfs358}ebER;)7%!)2k5;lvf#*|hwm$dug(|F+v zu4mU_p4Ph3c3|qd@a)!+j%Pnl2T`eZgf9)LUUdEl&35NS%6;~RjYZpFs3nVxgi7Dzc~)Ge zRed(rihBzXq1(I+xw3H+zKvl(ZvLo)$ceUEoNNtr&LBtSU=VV=k-Js&wYS&r$N~f7 zaq=+m5y5V~&?q$%kOtKq38f!TIn$BMDgwvW2guz@x4(a;S`KOXbYh-$(-Oy1$zcCw z#O|8- znZjFlP}`ho5R?*#O{|EF#{&MWnOjR(W*L=}_V$`P)qsN^2ysQ(ZhZ$Y=eeqv%&zL> zd9dGUTpg5}G#z`MQv~`9fO6=!oObnZs#cR{WdePU4l8nPsXWHONXAb{l1J5ftFRv~metfS3fI?|)mWi?TZYL9Mc92dSwwG2y;lWrKTgywo$It{`w3+A z@bl%_yIhnpPuhAU6mn{s1*8$!QV+Mu%;vc7l*U4=AI?&f20#thKz>e!T`d-;dO~xk zJygsu(~fUVls-9ANMpW|Bbh08Y95R}h;tQj zP&OI#L@2bHdu@=yr-xd~{GitTgm4g*dRL=Tf40IvsF8)OxG4wysJyQ*PO(rJ48wUG z7hjfMtUdx;RB3Ol1iZj+Y}e*#V;&O!p!CtpQOoD48&t2AJj?t6N2X|8mR@i(5kRf) zAk}1>3ElN%UC9t|qxw)H4_Xv+9^_S3T$0%O86*Jowgz%>@Fv7-h|2)_;MkpCX@8!< zZZY`|3ld~o^%OeWfsu9BUWJbTs{i>`4g^+u@$p+qD*hl#9%c{Tbl1D?#z9$VUrHn} zV7=4JMTXwMGZ;*h`C>U(jWc)WtyJhj3IS%e`-HLWm+DkQUyjlQo!J{f9TA)DN?+6; zPYJ;`a;dT6+)xBPyB(|CexJ{SJI9VuPH^*c`d}J;lU5D;DWMHmd&_DuWlDE|*p_oq zYHRgY!X~XaGbYWEz1im0I7M#VbUJjjIjTK_9~uhfs^5?LYSC)ubq3g1Ou?QJ>IMy5 zAAB2X&B5!^Zm{ptQsEHMGvWAhYu#ZQJ$%-Q|9zWE*vpKlr5Ldcv%gFrUfE_t^j#*g z*a6_gS_R;m+esZ>`!C;RT(@+i)~WXEzwP!+*J?Wp)K&XErJ75 zgRTi-N*g02I-sU^`8x3rv`l-k&rTk#PIfcRo&;iYd%}@ZkWSZnaGj0(z!9PE8zvBLsN)EV$r9~Q<#@in-&=G>Gb3WWk296Yk?KjOVXhy=3>{k}ilUht5$1Q;r_L`)gt}m3d7gVbs}lx$Fo8WFA~0YYydzl5ZU%x~25(NG0O}q@~zC=+qs!QGnC0Wc2W0NB(s0f=mR3_`!}1DMDDx=q~3&dSz{@-M9u z0-C2{5HWI;{M`f_7Yl>tU#{uc*qMJLJU?Up*`BkNqpO26gOsb0t(A$8y@jnAAtOIO zoSD5T0BwO|{(}|g@BXs>Zt@?x`)_;7`XBTZpo>e#4yX?Rh{FK}_Rsf}gYyqP1-wx8 zay0ujLjOrm|5gL?mziZ@|MlHpT~)QRGjjn9tg?fhk^TR>$psYm{9$t00HrU0`Q{)5 zn1eF@>@lS}w3h(As-K;r)o9cN|#GaU!4wZD~fI6FB0 zTyj6(VFy@K0GtN^(b(HNxVq@DF#e*-{tL(v;1K$&vP0Ry)yNgFj2Pt1Os$Om;rEoy zTmXL)6Enb)2Q28Hr69kiNzur{>~9P2cWb`^zh5Oe|KpJ3@8JHgAjf}S+VWq52!D_G z?->6|GGS!m`nM3_Mk3BAoZq3w_q~`2+uPT~Hp$i%cQGd|88v)Uf$A}>sJnPo$=deE zxUV68fw@)fiIvK=HQLjLok5^qfMS<-&vw_QYS0}NU{Fk@iJvI_5T&h)l85UWxGbD( z(eGhUo@b^Pf*)5b803Yj6%f6P{7|6-%5MF4aw4ZEvO_iGExo_o#`E~lL7+dOn!-!p za3mEo@9*6#?XG!;>O8B{*?EPa{`9%73$zx~Epuf8|0!1%4vsCAzn(Ip~T5bQ8v zJu8>!8rk&?i;>W3e2$mnD)%+yNlNSO@jcS*JWobri*ZE>6UpL7#Vb>lf#)Z2lo3@i z2K~=?D;J?o1~9W^ZbnB5gkj*Ye28$}DeR1>%C;0to=&SP@@fRfB?%qYcZX+20moYw z()}w(jbH@6`9Ic^)ZGox&~c0#QuWOm&|n<87uTXOV_fH=wgyjV^&kTa%=$m0Cz94& zt3WZAB;vcbXn1QE)&k!&nPgB*y=F$?w_Bg4)Xbh)3Ct@s2V1XS z0WLxS#t54oOXob4mKhAji@Tym=nm&8j?RXxW@K`djOslr2N!M4ZJ;EDfpen%r(HoxMrvpm*!Kk#|sXA%f^ngsB%7VeFRh?&Lwau+*3I6IMVXHCNSKUsvk}jKr4RB!EJS>_u1g zjqEYXhG!n0e-Q5q_G^hMN^zSl*CzDqRTN1g6@16ocHGqx#zItzi&Wuc9Yie=>vVLj zFMe<@IeavTUQbsotjV|zMe>cZET&$AH*pSH!NlPaKy^b;Y-cnKz30x?`Hop(;iITa zM3RJl7R)*}37y%_l4N)%dFek7!9PEgGfuSOeLDM^n1O9~qf+_=&!;w*YO?$VNMuKe zl4$CP7$0wfihh-rBq8I2Hn*X{+++03$<3qUuDQ0HcW!7XC>?T+(8(t_fdR&_?xY9P zjHd)>NIX+tgiD2LjH18?g#M7=Nb3FvwsgviX3$9yA58U+v`KMA;X6GXOpxBNJ;C^U zoA24uRxqrvBTF%35=B%|Z?)t?5F|t6p2Nt&-m{}ePMdx_Lq~sBN;@pEKb_+ZVFvQ2 zmV>`LN~=geHVgsU<%8$q~Ig#5c_aY@4spkOf{6^XN^9O2_XU@%z~$V#@R9t zBx5pntVeRJxJ9tOZbWa*)FiEkN3Y|*wNf5>Ojl#cGe&U3aKdfO%l8GY5kW5Y__#M` zLReO-`So0LAyS)dcW2So^DA1qs1r%~$H%QZu&An!r8lPzH(Us@>_nL9n}}_qAtXL1 zPnWaxJd>UPrjbaK1!>FxD5$wL-q_njINjFS1V(UWRa>_vZk(g|4XQqa%H`L!Ha2?r zC6hH(64!$D9tST6fus$EsjvN2SR9!ww=4J_*o}ytLHAxTpatHRA2Wm@LoC$gqZSr{ z==2O@oGbD&Tkdo2xo(vXJcnz#)*ggbnHZ>~f4$fqXZSw1&W`7AGZfvG)$ z;IkZG=~mWaK&Y*bRz-IaGc+i`-NCsB9P$<@L};IBk6UW3-ggZI|l|uL|wk{lzWvkYdZ4E!2Y^jXqo15NJO{pdLrr54nYJA;-1W z)`D;o<>t^mYM5mF&`M4pOujlZc?EDrZv_qQaB`7}Zt(G+a{6AQ^wQ3WyhkdQF=;=! zVO&HJD=8uihS2t(`i_e5EayVY{GEt?7j8*Zd_F6#(KQP?=qY;!G771^CAq2?r#A6M z-%K&R8`mW&tCfbMwQ9Ac>f#4zRfo628uJp8+?Wf?yr`fPe};0zp$|cP3W4z zd5Vd1>Z9}7@Xx}P3eTp%7k`*!j~i#+i#)20BLCV5M8fCShIRibhI~~Fc;U#R&xha; zVmx?GFoICjQBJddC1%2NpltfipQKh26QV08-C&&F9(fBo7?ZpcZ`jqkJ3nCjArD|w z7D7q(PpvCh82=`y_^%F@0SeGRQ?h@V<^4YI{1aK;?~~|%n&tg$?Vn_M>;QT>3xL4@ zP{sX8mHvBnv=A>ZgPO7wrKPK@BR2zshldBfrz}M;{y!#p|NBVzzn0|vZO{3aB#()SiS^$ldFpa5xNL|$ zGj+30X@lA=z1q9tu-foY1ImVGmprx(T~m+X7261Um*YG+NzfxSiNmk8J}W!2afQ=!id;=nI64Rh z-?t7Xsg+;5=rP`Fg*k&BzxJ{y@-wYtPE>9iZwR>4T%Wx0eJ?+@BiDUU5;ERSGA*##y@e)7 zCt8=0qe4+!W2dCWv36e+vU2#*$Pal~`s(KR*x#q7x~{PrhKsghxa;VQo#RAW|awYk55>3_`RZ{ZQ+B6qR6sWJzg7!p2Z%?;dlxswx3| z#7Mofad@zrMGg`+_d;@R9P37ym4>zJg7v4nwl0L>@sQ&>2YABvQ}Wb-LCvDmCQ&?T zLsT#qC@IIn@fuaGZ-$MXN_Z4#Ml3 z2n}UwJ!9q~vC6ZQ3g$M!Gw?^w#W3FJ&f3!^NP8aDkoKrWC<}u7Tt%D9Cu##VM-c{w zW8@RXeo`W*g&m8XXZ%D~IyP&YFRzD)Icqk`0&HulqQ(nzHn)_CQdBe{lHQ2g{N)#J*8U zr;Med>*JJ8Uz5cR_{CC4Zd`2+DSYeScR6V{-jn*W2PV%-%*+;)meWKs~+E_3*qz*!q5cuZa9*MaB+D4A=z2VM9Vl4lm2`0;5OfXsgVuH!?7ZXf?CjHN64k17l=ifbh{;UZm z%m3K~^LI3Vc=oUW2tNR*jfD#!XUq)X-f{jU*sua9Ypj1S+ww0TKMan)X?89QR*pZ> zJTqqoaVc>HgTFA$9GvMbUH{xO>eq1nA<+MMDCE~f{UHKYfFT0FI1|8$0w@yyM6j3u z>Nfu{O#jcvF93pbrToPt<6>b0aQ7t5j7$O4F(!HyFMAV9X9s&LZvg)ez)k#5xNX0e z%pW2G5atM(m^gpN!wk?60@Qo`j!ut3#m(6DCk!ZSWpDF$8Nq)!q@Szz4F00xPXlO8~2;^h3#hUvdZ*ZTbz_#M}uOmY4jbS(yPE<(=V%-jD~KJ<@vo-BYO zynmMu{f)Urf)%rVN|W^=OUvgA&`;VHt>M?8R8E#j=|aG{&2-*R(pDvTiPG}4u?JIs z`C3|MF1McD;?U{vmQE2qeA5@TMY-x2=oAh1Z{wAI99?;SUFkiZW+_VVpS6mpU)ZQG zHxZ+Gw|j@Ublrc4<)&$Gv3z2A)$&lK zX$FF&8D*uQpkP+*O8LFaf6HOQtN$TMA07w&*_o+{tu(Q578k9RypH+|Lykd+E2`Kj2}J6mgG6((n?)7Qu8_}Gf_kf+`)27;7Zj&XH0 z?6Vw@{1CQJKw6?kkn$vXpA&>q?1e#ZVEyVbjC6(P6JFn=1qQ3zsL-_ApM^$93z|T& zibqr`^<=W8v@%t$gDcmuH<`MI*0j{P)+{%5&c*Tsloo@c#%`>v9InhJRolycMj;lB z^AX2t0YDxsa8B#Jy#US@PKf5BGnfzEHC8-SosEFE9+B>tyiPvaC=QEUby-x?nG}x6 zkEu{GR?yxV;UA1l&}38k8wZ1}hE+Bjh%KxTPA+fZ#+<^=aE|M%7A!4sKuWRJ<=7rv zEEzVkMP~$kF1oQ%>ufu_UGwBzryT2QGv*_BGpD56fm5QZ$%C*`E2&_lc)%lYhNV4Z z@4MaI2BDI5zN6kG$Bw|SaKalJ=M=$m?xBD)Lg2-Yp)`{WcBel`>gi;bC$x!&hIfS&+g7~uA_}Ni#T?fewvZ=zBM?b2f_xTpJYKjH1x$XDNBBuDEfCkWVAct+VMGkIk z^cBSP4t;k}t!wdC*7vLX{Hk4nRv`x`cw7kog%(~xl5tbZZq3V>L}mx7^9nhqzhpiC zAX{^q7&ej_!ii3eNI~?94~%Ok5sV_LpZZhd2XR$z8W6@BCM*@Eh-?HjL3GWF3N?R**7FqZE*E?rodaUD?yBB8a`jz4DNd% z`)1SpB5b*>KfrkBu*(?>qM{Dku%Q&_M`;>tMZ-7cGeVPxvsEq-KB!H7l?sDB-=}fz zPJN$u=$o}%3DGvhus!mUdPot*Hl)0;bXwC5 ztTkvTU#X_} z|0vEAux72p(1`vahnghwq+^UFrfB46xrp+(WRr%Qh6q^VdNK0Xj%f3Q=8QLjF= zdp@}Eg@nvU;|06$SBnH-^6J@LS!P8v63m!LMFM%e7tVya0>iY69Vt=-`FGtAwB!Xv zTmI^_E^+GvZeKcT$al0{*>0B>ZIh1N+jNp7dXLiR+*VsTQ`>c*zlsqlBp6`3M6X4t zF!0=a4ihTA-U;=N<#sohlqgO{__~ToT#c_)fyQRi`mVMi;7v|VL^m;0f$)EVnzeJB z(*;|JTh4l+KI-~#_9X7Mq3(R&TMgZ9j=yN~tk4)G@~I#tyY~kzwHXLp(c8GiKp1%`fmYczC_lz!=x1QU`I@B1&UtxB>UjU zOWQtLnuptG6mHK46x@%<3`!Kj#fGBX;|F^$2)#o|sm*T}Z+5Q|TIt{I!h_ADf~NX4l&;-g_WO9x zwW-!Xnx^h3Q%Id1v+SX+d$nx1ZkV)n?u?x=Z`AJ^7hZZnus`-ZnD1tvnxk@XoS`$4 zb6K}Dj8VIx3I|&=7MH^-$|;sTmiaNrUxeeY-=m`S=c(U}y@U3XLfBHLJd^~k2d{Km zbmD9sT?mpgoU%Cs{Q=}|YA^OUKr-OpafFqw8)u!tkeREMA?^N2%uCySkG$L%7kmIo zX_ltKqi|EP+q-Bwz5xu2ZQdjw<0D!N)3itul%)(40!TOB;Uvb;sJ?ku$hZn#3VTEq z+PL_&A=@x1Gxo7@pB0z3+uP^Q<=Jc6%s9^GC&r?#?3dVc{;Uh>u-~YPNWn27suZUt zIE9S!_R;7%ykV%;SVlClb_i+Yml@p#33DY~bkgsTYYM zxnS6c?j4xp+mg+fL0z=gyXm~mgsD;Gbg!gp~isPWaL?C~A^opRV(v*8P#eUz1{n?3ken#KRpc%>nrYbHAJbNi)Q}JJQcH*mxhnN0?yp2LIKLJ#(Q zk~P6eF|1a1r4w$;(4o4@*0g1Njv$m2OqR0lST6ee^L5}`-obUmj+yl2+=WHj*?D{IsJI<_^*U;*qt4xcF z{Ea|9{#^8K*+ZG$=P>4-!?Y(SfIgdmj!R(HtwOWFWPu*zj*3i!Tm0Ns=;sQ42~VBd zL`#UMj}eJJ!xOcPU=DjrVW&Cg`sKbbiKL|}!=voiN38XWN8)pT&Plb)=#96FqlCdk zQ(^_SVhYJd;(7B|_2?R;ymIu3`xYo7d6@+h=dWBIW#X5+b{!DLBy)zkI1c2Ry)`&zKb#23#k>~vGnVJZj4sr4?* z(s=Ti7!ey6y&!yTeBW*+BZYN#HVAwJ&LajsMuUZ@d#P6)5yDRzRj3H;*nCtHo7mr1 zk@8Aj>HOCGt=M?h5HcYg=^4mh{_T*L@kB!2O;G%jmy8T@xKB}zn%}J>(QUId`J?i| zV(NDIF=*SmbGEZ6b1FK79Fw6tQ-1cRW}2SWIFj5CFM7>NV>C2dsxN!6@x;Pj z6g71lEC!{m(75uh<4k+Xezt1UD48UpHRD~a;lv^OEnQEVV<^%Z&PvObpBYLE2;{{r zcv-`@XhIe9%DHB@l0)TvXXH_pJaoTh8IR&2@hF&W0VRkbqi5sKFXAFskUKE7_{~^? zc#)*Fc*%WP{6#h#{lT^_(v0Ncrlc zE4>}h>3862pz(nMvgG8FqFzM^m9k9&ny(wSVov-WGI<`tgkH9u)YmyR32p|X=YrvW zGp)_COQsL5h7}3}=fr(1L43y_<1zt@PX1O`eUSXDo5Sx16eAF#vzx&`1^NC%?{ff( z_7lVdfO)?a{8@fkR0CfB_CEht2TQ-*(EoGBBFk?Z^?zDE^!Hxh|36@6e=l_eK&aRN zvbX@W3?QNV(^ixXV9WOBphXuqM@I)|R|XSXGb3j+Pe*4n7Z(N(GvmL!|L2j#ztz0` z);;+A1m8mKF#mSoMUvUwFrMTua7wLzM*`?eQc zfPtl2PxSw^{a1PPgGrdVRCeR9dQW-;|l; z5k|e8n)*4OOryt>Hv>>=Da9ThtXtYW=`by-vc&eu)McOXID6L_q;d6A#k8O&)N#6N z&oQt#9*-5W_jWeL8esx9bx2i>z&@)RL=5RJ?6j@DzWUi4`m1+4td~xtVb5H=pEAn( z(ePw{HDygin~IB4S|4syrQ)c;75Px#BkibsziNIiHxfeHv~6D3=l4o7LB!ov+4a

dFDnpjsDY*5#}^f(`nT6g$- z>*NBco3~*|ryNrGmN!$ z9`*=E#O?%&QSYR)U)Fxqah)M@@MJq*Wx(aj{?NQPXjzsNMxCcUTgXU8g&)SREh${E zN({cV$SOm{!Bj&H!xiKxKp$_sPgo+14b7s*7$%&fSp#kV)zqlDr7?{8DJl$U0eVeI z4j^<~B4r8AQT1)G-Nc@%BSP3k)iS7{nXa9DH zL`!oKfI7Np3Nfv1MFA79l(G}q2-cNAbSO#LxNzZE32iNxY*aZ{dsk5Kb*#_N4X98N zd!m8e|2f+2LE5$;22yuW3aP%}aEd?Q@ezWuyW<78325T)9=0GOi% zRL;k`!Z_H3VZhzekj5>->sRx^$f)<#MpPDlKSavL{R^xh{0;*usuK0&s-k9yhq$om zkynlB6H_^JTne+C0L)RVBfKfpNhf~}aF9l=Y>aZ$FLK-tFo&}O;@~?u@IWA3TS4j- zkD`L!1Z>4&rozbavf0n|kBiDI$zAF8*&x(p2{dIH@K6w$ubfZ`^3x#+&?dwFR}k0> z?e7^QAia9tqINZceZj%+MUqnTJix^G;i6c}tDV1wjUD5%ShSAq@XgqFnK#+5Wi4!N z?W8ks*1giedLVIkh7@wR^`7xRi6~^T(-VIH>gMqGgl?wKO5Qk<(4Wi9c<55Xpz z!5{od{la9xpMR+z&Ql37;$ZfcD`4qw*HtNjBs zB1VCUrZao#yGIgLxv)73shfwslJ#G9zCi^hppP7ae(klmPbOfu8Fa=GXyW0NCF1P1 zX(b9d)|#i1=3bv@LZRme$&g4xNh69$j;_Cf@bZrKu^uGz@81ec8hQ9uO-()MJ5w0P zUk08^n@^B2?1F<#(rD8B(izvKxzMn~t~VfC&I&c$nOV%CFzbk9lMWD#wQ)|-dy5R{ z^JS@9{C0JtmKv62yV#JdR?y*tdzC=O1*`k%uPP+0?Z< z@S>b@8z2pxc^htk@+Af=pxEWd4DpHeAVdHI&8u6u;S{^BMvp)3ffkdFWP(g*FX1dJ5e{~cD}6ZL~8S(E4F`!gdK z;xL=U^P7CT+*Ah^2|++Bh@1lQp18reSa2O2M+;gve4(pTooeinU_3v*ImlqpxAgCxNBckGcNn$2*S$jdI z^9k#dJe7FGxfRMlq~Rzde~49=SV<@MZF6Ns3C5_zi<7;q-pYKo6yS&^aXAe0hLkdF z#g#*Vsza3&)>+O0$~Hgj}{fc0`#^^Rq6bHIIQ4k~KxT z3Dbleh6}Pb0XGn%qWwtZ3HL0gqid{jp%q9_pOj67t-7AyX3L zuW3BN8&yqN_$0$aY+=zKtHxkRnQGry2$6JlBB~5l{lH>x{-QyAx*W_7ulepAfzUkW z>4F{&ae3-+{?X-7XlTj8E5i0Icb}_p%lg{}_=={JkW2C>{((ccj;~dna7AKpJ`zE% zF0l$t(HJ+>{V6x;R}Q;t?AGqlqbPg#Z52Z|*;JGEB4Uvd#2wEAh^_-mv{TECLG%oY z!m0_!x|=@~P;e~V5tB>bebl<>=I`^kg_rywATB4_E#yH!0CgMm-X!Ig2ZMqqCB}fn z7(M;~Q(W2Amj97jBy@zwFNSmki8v%CW=&8e*2n%dC5BnTr;;i&?)1T>N8H;9dooj1 z9FfdLgs8>LBquMA>q-ve>y#4+c1f2AWw`_0a#CULRqSX#*G`a;iFwv{?ef0CSFM4v zjpFi;K5{?Ce#ogIW_`7TF~jtGnsrry@EF{veZ60c0`cr<@3ds)FubwUL#IA3si%6P z@IW)sBXsSKo@LS&;dx@l{PrU&mgsnw7a$#R+A?PR(^DnmKS}d{D@z0r>Ay~ujQ_1X zk@460^FKL7{u*Q$S@^#6H^Wce?7B0!|b{x2$ne>orhzmO;Xy4*h$@Jr?Zi2M0@ zPGe@GWe2Do08)Yfhd%Pplo$U8CkrzH5?=lxb@&e@1%IiU{NI`S%Jge@{MOL_nyJJ7 zcMLPD1Bky4N1KeI$yDB=raSAgW$E?AD=yJ5T_oKk7T@~9$d6>M8#&gwf%rLNHN?%z z5|g_nwPmubFz&cquPz6Q4|>U+Q5|rh^8~gMD(rRVJ1}WPzLMsl-z#IXsD_&4p~Y{Nd~rAVz8-;`WpeOicDGZiBgjyM+U<)|u$iOIb2#T1EAfd#-Djy+ zQsorBcW8PmkM0xtIf8|}b!ComQJ|T;hs%!RdYx(E79kZ9swR<8qEug@&Zw?$paJd| z4NdKz(t+4I`p7&X-+BiWwG=fX{1UvTk5&-pnxMt zrZ8|r)#}X@(N_kFCD6s%)uJf#h%IO=b3WB$CDd208>%XC^2s^5JGgm)b0^H#iyI60 z51mVr<;~%}@0dY&-YHvs{!qgjEKH$dvp|#uoO0Q6o3RMqqqO`AS-0(K`4pkl`K7vj zW?<>9N*8h5`%d^Uiz1^2B}09KmsU{|7IJ-Aua2gP0!KRA3aZM-^$@C$!)!XWL>3adff7lHV2Yd|;pSbE zZlBOx&PJfvWUZzZ#>xzsrJGj108uS04|_9pDqWP%NKoM|ZtO%glQt{EB5;s{ut5tVQ~aZN;QLSUfLh)^#RCSA=5ly0uQ^gv6`) z2^@M%-aof{M>D94AlP%$7lFAc59MMKJ5qJ+x${AIE5 z+jm&4%`r@PBsOaCgaC zj3`Hr(E-{4=kIQ5>z4N%v|wYE%&w(__v5b~tLd{JPG;zrO}8NY=7K((9|YAlw1ZKP zc(bcEK(Kt$T|KjlH_EWwXuR2v)(;$-v(IaoN}5Fwmr%m1J@b9VPqF|?hA>bX?Hf}t zS*PGXs);2Hn!o>gflpX7GABsv_pCK;i{RKW9wU`xiFS7L{`m}z(&^UD`+Kt<&5ksz zR2#TRnsf@j@tdHkcg2P0z>Cq+Ouj6XFhRbi`Wb{X(?!!4aukF zjorQ;Og~WP2fylo=(qIiPc6VuNfkx6CHmPre9u5Dz7vnJ7G*iqi2zNv!RIM4~2pl4j)zeS8a9h-Pchy^T?4}MSd7( zeZIADQv!!}$ZxX&w)eG$^5%IHaxeDqB__G@87q{?j{5eAkt0e2w>+vZ3vLM3b{VPe z;Xw^5R`SICTA2H`q=g(cqe$xcd=7>y#hL>DkUt(C+*oYhWdjeJ*6iJTMcG^L%Ex~3 z1!kMry1wXSgT{4ycr_FMT=L5AI+_B^Tk-a|JXS`d`9`g#yy9Y6sXNW4tiw}}Xs;sI z=zx@sh$G*zm&DDcJfH!KfVQOUXvdp!)>SHdi9^hygyg~F5tLh}qQplObIQ+fAj6u{ zB)~|Jr__~S<>n+E%~)%rO1=EZ+XuSMjkX$jdeV43dZ3v4AYF_SGv zGP5$0rJBvyK!CQ;dH`AphO9&9bx(__(|SJkk`nt!zOy>;~~@5D`K>O5u)PZbH4V`6;+|4KMban06ZE$Fh~G zMmg?6VMD4@UO8oUS`lt0wawvo&ST}x{0PWhzl2Q+dLn_JdsW|( zN(Em+-d@`8?Hu4x;^2ruw%Hm+=Guzy!F^q~aUvLWek8Y1J~{hQhlDF{Hzwo$;V5@) z^;8uNo$+*~mt;h+1SFI%a^`e?5?Yt$1~V%5!2-f5X? ztX;CyH0~1RpmIbAMW!2+2dCWh0_NI2=YIIr7TpX* zw(44HiFN!&^2e5~JMv6SwgCfH&zu$>N-K&9<3XCoUJj%zJZa~JG8I!@lrMO**1h{_ z9@9LhaDlMLJ*)u%&QAB#i^Pvn3$bZE3NK)8`d z6Iw%}(JIzvVl5auKldCbN%QFO-9(Cb84eQ z2JfpY-&bHh`%*Xg<+6A2A|Sfx4KQ*)QJuw?ylqmU%Aax=5%M-JgNjYsWlvF+madXb z-v{iyUN8prGsn5drv3d9uxe#!do_P5@11?tP5s8WnBJ3R7+sSX3~zkk||5V=?Uy&-+^eDz`(R zOa8tMQDkPV*h*n_mQnp?9cnLu!WPNXo6;Hu7Z2AkUc(+>#D>jz05{*V0w#tZl5d!Hg?yA4zG(w2>djv%dikk&dpVj?R@&uXDQ;C1F_^ML_b9( zGFT}fXP~(qI+KvXg!-~olxS}XRBR(2^zc51K8=iT=yOC@7+f8P3qc%aP~7qeBQH}s zv*NlcK{2UfEA;w=3+5*J(bmfL_7!*NoxUVJwt)<1JY|3jqaaEU%K`}m#B^|DWiBCJo zfR~*4x#Xj$W5c48TBnoJcm!6Y?6Aj>;U;Lt6}VJnQvSCxI+JVnA*lBJwBx1bBYh!p z^j_MbG+Rd8ZSxwc>FkzFtC763`#obM9Am|fi);-6#^UozB*%Rk89CCAwv^)26_^it ziE|k?^@xh4<|zyg1#sK?e1cYZ)~vgAN9+Z%c6RM>w7$w}@~Pj6jAe$1&_*rVYL{in zLKegmsE$BkLZHm=^dL?=^><4vULf!x3aKS|u>2xpKl?5_1ID7y7aAQ;+ALR=h-dO4 zzS8nJx_tOyq1DGM&j7({i6|Gq??L68AzcHZvsUP-(Epa2?-D2Vm zs#>Mzz$s8U35Lh4OF)W$)!Sf^99rMdygkWU^D)c0fA_jN;Sn$OY$4Xz+pPO#h1x`h zU@_Z6knEDJfeOLHO6YRjuvO3Pj808D+~H8$W!t5(3V#72MPgD8$73;9GX$@gh)_^H zAo=ipIya0|vUQ%>i>7rv?UNiWk8E}f$5BV6kc__nReDMr^t`+OLx9>%33aN;u(5u~ zh!k^@3*vRPB{Uaa00yU=Pbws_7$iWA}zUuz<1;VR|6QMzjwhBI}4CZBwoL%alq?#KaccJuC$ z9a6bc)rq}wwz~+InMTbyvqS%~AKciIeF1e9nlOj{ktIQ8{~{SEs8y3jq#zJe9Cu!A z@IK$%C1P4ZBhXB&fL$SMn_NAsx56tL3eq+Y+^-MjcAQAe2X0||#N!D)eldQUb18}8 z4XRLkhMz`28nl#X*nQlY)3GJ_D;;o6!C=QPIi+OhdFXHopg7{dw*c)35va#{%gALIQSx_yS<; z0_00E{|d_nM8&iGtN7wi>Jw!H#2It2F#Scq^KX0Rk85!Nvu;+N$OWd+5f#w_P@31 zuUhg?nDxJe9;}SN(&YfSAV7=qtKk37tNf=&{kNGvKO^q|%>>*(fNF*r5G@UGQn3PD zUQBphA8;j|$HkOKrBCU|Fy)M9c=3r(2R~`Hpr#b^0AY~6w8{o@NwaicJ z*-w$m-veWR?kaW`HV%frTI`?r>aRvH19k;qS1~XF(!Ty-xci&Qdq1BqfI;)0ZD6Do zw$w8;FfcR#JWa-?b^uX`9j&Pqt$>BKzB#QtztnG=>*v!ZrK@jiZAYtYYHM%k%>3); zzkSd0S9Sk( zIQ~DSqWblb{o7^yP82Y+{SA|$ruC`_n#bbTWYHRW%n2Kwp?*CdW>rj@=)#lYw4i+W zI-%8L`BBHuJTFl1}mS4@U+!78QZe{`vCnNangf z;Bd4pjKJZHg|$#dLi&+<`+KQllv*CZ4-DAv&AI}3j}R#`)B~osE!nAI;k_jA@bKiJ zAH-h`*DD%LjV@nEw9i?OCc`d_y|l;TdlgCI$1_lu)J%)ZsDd9jwJJV*b&FJW9eN;t z|E$3HX7#F-kC2hxxazoZ+uGi==tAMiS=SYNs*sWt!rrO=RSn)mQSM+OpvFc)o^+hL;KA)1%ky4@EazCpdnNy`xmYNkcc?|Fj6p@|tcs;W<_e2JRtrQVg77=fq+f%Bs?tgxsBRPBZgw_>mk^VAFuj&gQcNeN8(k6!U`iO5v5!iQ%IK`xTG}p_Sl# zO5&26{-m-B!n@fac>ztmir)g?J?3K@dKVQC!lXMCq)GCLX!;YcOrJKur02<2&0Z8E z*uJV^8!ox_&2xiGNO|5(10x4a4Gkcqap?G3Rl5)CZ*{Fu;J?jz*~Fr zk$XfPX-6xLtb{=1^nbiMIuIx~qPGkvb|C3#)Z@a$CPj&M!vTU4jtAjxW2lOh+%^DT zZ#R+ynMw#$^nSOHA=#x-2NxC3++1H3nPh5?Zkbcjso=`109MSAeVo~IY8150dwIXH z%r|=7_pYge6qG=tM-_XUio{ZOkJiN7L}WzJyUV){q(<7scd#X0W1qeFR5qYs##ZH6&Oe6v$Gk{pgoo9XEP4*uMQbhZb)CmBKqw? z^4e_gK2&8%5GEP&j}9^PM!HGwirw`{F%)G=916_mVMnW&@{cWKXHetF`zG?3v)eA9 zw^zqB>)`us-*keh5Ka^klE|zPlSt5fKAl2Lhz!w`*iZi=uwB|?8!i!g`voUYC{d5& zqclBU_KXc4OT7RhCLN?co;U8u5!~D-Vlt4xE9YK%20jch7z7!I&#y&SM&dfR0O~>s z%INd36&$r-<;#s^-^T(Ng8EY3M);;LCiWgd3LSG>V))QqW$i_Sq!F+oM(87fyOi_8 znyFiX2Cb)y6s3watE?GspYNl#9kVDe*_YRY`jtio=uF`;mWAwFgJ6hS3auMQMLPwV zM-4T2Y-**2I+4o?t=+x+-l1Zx=%@Lkk>yhQtmKXK6OC`??vid2bcN1HIL4h^>7zzB zcAYo{Q`uLKpIPEmCP`%(1s55#ufhdArRmCN4}v#OyC;~mdl^D#^rf>8xwT;DNo7rwD+Zio8&?trLdLT0lVw0SByvsOl#@ci#cBTnFi^XM#6!4yt z@CF)6KUcT1zqZ04iO#t7PH zbGfEt>sL)I1;I5IETv;azVPO-24E`dE0ho7Ihv&yu8=ranBfs}m+7D;{PihKWfOYl`$03`AMYX%kI(*w2 zYm&^hpI^U&{eiBa+{F=65=}HHAn$)2DBEroP;uB5ttxS%d4Iw6qb_^}1Rm?T#w$xW z5mUC9GH^jXD~n}z!qzFsg6c!8S{Gnw)0@DeK_0o!a=iXYRx_44FGwrLI$bz8vUn z_08m=sLfGG9vfe>%s@bhDqt-vabd$FRFVAizJ z0T_8-+*bp6_GGZ6*%^#jbMLkAKWQRnOw5eVysZD=_L^L!nPooaFfTWX2=K6Ob=<)w z-Y8)hw}Vy9#N!AaZFM*>cGYIug4}4f>N9Lqe;xfA9JY8d(MM_6paB66w;7<_+IFH& znzy@MZ~gi$BS2)+26^GDfXfjm8~O&Al*Xae5+Z$CVR)*!Jq+p5>WdE%0#XhgKg<0n zSSsW7M~S|Hu~BVn2K`9enl3`M3+b*3+2&O-&JKKygewZIr;@eesY@dY(tQXlc_*42AhQ*lQ(D zDM(yz{p*Ft4txZA`yTTKsQNAHi)*h6xb>=50gtZc#u=vB>H{@9DHK;s;8Gv4rNtvH zHb&Tke9w29x<=;HJ~-HMoHE%U3@a7>^`QEq$sHv*cPuOyIHba@r zveTKn1cb~u2@6(@X}Bi6u-Wq7-Vu4YZpe4!J={+CZ0^dM&W*DR0!VAgIq3=CA?&TF zqKSM3FQ7pfmXZppY8}-(rmstz%15o0R{Q4Eofu)s74hC z(lSRnu4`;KvMbneStzsbXiQFvL+rfYyuy?XBl2GeMKQ?W_>zsFw2!Z+CvF6X28xWF z$5j1PE8!n;Ie#}-tU5_-5oF6!0%nDDx&k3$)-lQ7cc0QQ`iH`t&zMjOR5F>BL^<5Gosve;M-k!ni?bN!0T;h`>n8hi_dU(7v?4Idjh7o zUhl^w+*ZPgF=?Zv48Jh4 z98%oB`!E4s+$N;%e2c5bjDGxtmyw>-gZ&sdEqOENnwowP);7uhYWLlh6wST3Xy6t0 z9ePd06MW!}LNEF?XOwnW3gc^cqL<%BXa%%HJhm_zFScs*pxvXx6&aJx_ir}Mr=*Qd zJ=QeT3V0ZucbbVUwsTG?N+Ow^Jqtdfz1?~Bo zdZ*!5uewPG$oNp6gB3Bt22X1Vs1e$Yv1NFVG8lj!`JSVcdgG80eBGqvd+O2qH~9Lb zB&{7@9Fhr%jGXWL*$<1B_Efh+TApZGBqyN`u1v2Y)Nz8*e#DMkj@KEs*>X(NmUbqK zH@pEm*6*Yez*!CzVHc`vifmEf(cbVnpY|4=qP5++4GS&Zi+w;794Riy;7(%#`g4BF z?oqWm)|LCecWKe9kLOR7ARR2w?GsnXGCO9HY7a8l3-5S1+s;60NSVoNtf*lD4sc8D zDPkHNk&=eM%!10R^uqL%UP=MUb#155sD>nrmT%SPS`Oa!#c@k0GEZu@2@GIUkf}n-^1f|&tm{0Dzdo$TG05q020|S z9MAQjeoT!kr;dNEuUx!G4cFP)rK#No{XTDlhvU8(Kk|-~q5RmRS_;L27 z*}`e_SOX#ruQEsP5n1_Iale9^omftLFkxn+1YBc|P$#{f4n6F#NW{bf$|9XJ5~UaT zT|yK*CvIIIM2I;N`nOUUj1WxHMuGam136OHO#IfgC|4u4vRTpaPNTFb#uLLv13$sT z<6T4ub`9E)Ca1wGrN;B%V_a9hYf}IOg16;%Db5wiCJy4tyRL=m=0rR^hPMqzM z*siP1W(@cu_X)M(oS?3V+P+D0JC&U@^kb6`yHAe9QyfL%;t8v-Wnyvm`(d+U72`D3o~Mb(r3&U>!Y7Y_psQ^i!`Xp{f{4El@%vD`!3TZ^#A{`j{HHV;VCL#SK-_;zqcQ(Z zlQI8JlQI8JlL2Pv{i4N~f6-+BmKOU(oc$-X*e{6hPie7V)%*c^{$HoXezoBb1^mQk ze`3fCfMf@TpM&670rLgv|0d=1@5q5)eg4BjjBJ3Rg@BoNfGLWM05*aH@QR(8mhEql zZ@z#1z7TLUlltm$6rtfzjn(X)?#5~ z{Yk7a1ISkvz??x=z=F&y0Osz$hqr&fD#pKD>E|Z;ZKeMZp#D4J_5WE=`kx%YKR{`A z2KN8P+FP#jDQ=Y&spCXt6S7c*`Rd)hk&IrF0!x~v`Z9MPhs_6x-sD))+}$s)FP`f_ z6vI1x>gwtW1%z09ozEwMNNLMqY!?YjFidBo7{WF=_F9SsGfIY++G#D7{W%#G#+>1+ z3)4O)>*$Ss=%c_wMG4TkJsVYPX3&H|a2%CZkF9Ur{cOJ}Yxt zcBqYMwJivQ^t&q8`m3??_?(2DmG|tGmfL)(P9Hyl4yDdC>Ks}Opo(x;A5(h$5yB(P zaBmoG&G){B2FBCrEQjO#afsi&hQvBW&no}H#RPr_AbUSl{_&N@Q}YMpxZ;e(HP|c4 zGvDe=ucqFW$BYDre3e8gH#avbo>tu6LFI*G|Jv5GUGtiC${9Q~J6=9HLe3_5qzE+K zs+i+zfchq@(7_4^HSQOG`;ue5t5wyL=32w@2t+$2GY@5!n$ibGhNI^jY++6j%=9L6 zxKW6lvk1PHzSBTCWPf@JLHzi46Y&GJl=;Hxz6Q3@R`)>--ev5xpU*ZmsTPA#xMnP+ zg94qRQmQsWgqAA$R?mp>&%>JcgAqK^ZY81F8w7SEKeI0*K&PQ=wt#+F-bnYev8r+i z0khBaOdw`j+EAjzmNEpDDpj-B+pnWivJ|>(sp}3s8!Kt4`_^lvboON|nqRcE&(I9Ep=fY*z4bd^bpF*8=ajShPrV+C`a-HEFy;FE2N8TiE{3(N9 zDw!RZaJm}iyL$<*!UQQse$gvs|Iv&}`9$9%hvBZ|H6lS7of6E@kvXcMX<2PjqcK!S zV~lDZWO-{okXw1cM$Fwv+NTj!Q*$}Pee?L*B$_!D6_lYGA9ms=v4~G2k7M-{9zBy{ z#W4t=T@dX<0Sz!Q`dTHi_T8|fPs&41j#A`hT|Qm!ak*OKXBv6!_=&IwTNEMD5Prn^ z_8FOwQE?#H&>ejE(mmOalfr2sVpMjNeDk5h0bA`P(I5#-xDx#A#x6F9+WZM ztSbrwq6n_YyS&InW$NaEj+C-YThyJ;b(a#tI%Otn0;Ir>ojyX*CIa%)$5@_j@F6?i z;|gkc4Ja}lnLav}y!zTd1}l`OtF-^eou2@tl}K2G80yi(Aqr%r^oA@&`281Df!5iUGg zpp6%+0w;{Cc~V=X;%>CJ?YzN?^e$R!zxglIOFi4JQmaZtKNF4yDd)bt%xzuo=(i%t ztY7&UPKf5@!yQ{8X-4IhU5Veml$&V`^0V2XfLa7k4 zf~HFf=n?OWJTID(R0M-iXeVd}>~FOdO1=F+PpWu%}43<=}clWb^Ix_at*HS~zJ_o~on7Idp<)@QR~mDY=| zH+j`(Cq9Z}#gUGOe-Gi=i#JP!Dm9ITJfWw^9V*uxsjRnZb+03)rS=^~OJe8~1l-GZv5lsbC8t>%EbySZC#Kxe+C@0p5igmY3b!@MT_&{yFO+^`yfRkce_~R(qsh# zELz?<7VEBbL%|C<(0{6Y!5gW$450B_ze<(E`=#hg_oJIX6tDpEZ z%tGr&NMb~TuhS8O%a16D2IH&&A@mKwKvn!oOpF=d?vDM#CffTVBpoEflxIFZg(&nh zDJfjJ#}fEpmRnEG>@tIF&|9LLlR33?3uV3ee0p5$Y3igi`Z|u1gFMLe@Y@o3;ipkatTAkCJ;MjwWAG^@w-|PM$$k~FA;;k2^f=V06; zeK&31z`@!CgyT{w!Kh?lP<6MCXzvDQ`VZFHgto@M|F)nZtM=XFTDDeK#d1Z{Z4)dc zoR}F2fjbK?R&k;Ph#ViJ^~cgd55rf-f#Ov#Z)!4 z(L}9GsfB4AicRk=SpZ(dJx`q2+u8d|uiy;>^j15p`dT63t}E>@vS3yZFj{f;NrpdCi$EQY=9P0h~`8IfF=P7$0xEcFiL+nxbj z`k2VR=ji8h%&>~Kyf$Kj{03i{py-L&ANKFJx^AyF_Yl|&vn$9H30>63l9OHBwDKns zuok`8zozatM0`*y$nye^c`0Dl! zNIb^Twt9?zQc}jN?S2KZYwn%Kn_6~22U>Bt$0RQ~jMfbw1zT;esF3QN^%_+lG1}-{ zj?zb5=~KSfj*!cCEeT7XG6KsEOEmbI2VCh@kU@mH=5na-`7*_NX`}Z|M5t)jT9Yf{ zRY9z%=#eXPQi?dWHA_&D5};s+W$!eHB(L*12mO0j)F_;JF6fuU@WD{Qacn*y@oIl! zX8O(*d8p!BsQsbT1ex~v}evP`SN7OCb;~Cv@F2W`9blW42OvsRwRH5~la~^mET?5Kot8B6U`W1grcG^~slIzpm@wIY6G=>B$_E}KmOBX5h zaFopReDJas#ANi~U{Og`Vu=l=54lxj3c7@?=@6tt{y`M^QP&jFF@HSFYXcLUg<*D{ zw&A*+?|aw=ODs7RvSXZtzJwFmsisa;;tUUQDFG7Com z{em5#Is{PAZ@bz?;`rUzXS)LiNelP3I!x(tc+0asSE&)lET54WMLZb7O$x zVR|9rmOFB(I98w8I+`C#WbRZAN-!rTh+)L8rJ9J1Xquvmrdx7R$J=jJ>&m!Uc+~TW zT)X+Rk$eFgrLh#PpCP|#y*`H%hq0swMGdN1F(sQ{I#XWR9axq1!Y!yjs7^KeZl_I6 zJ^MaQdxB4>xR>Hx-~_o6Lqf!!A^w9ZJ2AoGwAtu#EXfTGuA>TA;Pz@0_g3`Pc`*5P#-3A_h(&lAAGDx-Nj*Mcy6%{Il+m-f68 zzgf~5&`~A`;s}-CM40WSh|Z?ZN?l~HokI&ccdBp(M8;a`)Nh`NPvpnnXeVhaGq%sr zm9u?UluO=4<3i#FYosRBaT<~;(6?+1gs_i0&4`p9$z})lLyNsD$sRyN$+?~tltRUn zIikHuNUL{1>ss}(hp;a%HJag4QE~Q6&@Oms!8H?v+tfa&R^?4#`#v}4WNC>?r_w3j<#Fqdw_cjCj*EpEUK?@l6XQ#G($)pM#vl#@1qe ztU6d(91UHCHdKnz9p5iBYd|<4=0Ps}qQcGD;3Ju7ns#VO$30B-O28f~)wGp!Prjoa z@7FLi@l1n)oi`g({orh<1cx@C zzuJ71<75?o9`UjW!e~r^*Q7in9BJl87^l1Sv!=WE!+qL{yzxex)q2SO%pWg0V{N7$EJIT$G3@}=ntB2}o8vLL{Z zhw$8x7tbE9_%UGLRf|i=I<{ZHIvChR3(sM|R1Ql@DbJg2cy6A*un+-a!N2}1Re$4J zh7aqal!EDi;y{lBLqhVhXJ`9I-(s!gY{Ukd_BgV4hB&|<1apXXK7@6ZaqU^tfPAC@ zF-TYrnGQFt5+ZulVTU~^atonDYWD^4PxRAgVi=nc{>l@Mhv6WBZV+b2fMcQunb+gP zi#~d6tNxHfQ+Ld!1_Wt9S*+Om{wk`}iO+>5-3w|38pJ{IR4ru{xWQp?IWYt0No&EX zB=wG?U7}_bNINgeeV-!@1`@x(8ihD~?s@#>+BC$AHGa|(J(vA*0~rFY&U@yr(xXHn zTJZs?sIL)u51|a`l?}HeA#WTQSfd;fK7*pdh_+hTowx2t44w5@rbEqse)kA=_9oE< z(xlb*GjrAY=kFD^1HAel;VZCD;cGIUE>=p27|;5=T-hJ2)A$BAOt#MC#7-X$Ypi7k zxQjsj`FwXzylYZ9?WA(?a67igzdOj;dO`-JUIgIvyE7k6e+h@?r=sh_yDPR>9bx9* zdP%pWe>&75&ecG1=}@alN{W@>8K_)O80dLk4Up9bd zK)(D$``uVdH2@PnNE`)?b?nl$p$E~?IiV!>)lT6$-^n`grEF16x3Eyd=F-T5+-dkOulz^kolPJjR0>Ve*!s09;tbz) z;8z@O%~!34_19QA;7bohpfAvyvQrn60<0cEB)GOJ%bntJl>zptm%FNHm@luICR_7JTmd`8rzROmu-+7LJ`Dn$@+Rz7eD(!2}nQ??J!I zNOJ{(KkL3n5V+0G#G~gS$J#3`nt;QG z<*&i2w4Bu4HPxIX9r+F~+HU{c0QgPQW4gy_qq#4`LubTp5m$?-o2#mLvVHdrFh;}< z_loCFF>98eeu>{Py?>Ws%ksO`gXNdiw)(3H|Nq2Rf8FrkO8*D83JBf) zZ?RP=yH$3i_Mh0Qv?>g+FAzs#ACseDX-%dSS8I>~T%C|AjzYe2e)+@3#mPG{k3MnB zGppv257>P7Cqb_>X(!)8h3R71XDRK7y0tq^jIFJBDXx|#8Hpva(3^*lh*8>4OP9?8 zS=4I2=py%M2Dep@ri>3cC#t{M<9XagO*V5ULjfF$e9slce=$J>CDuY2=KG<_@n~Rqn8BuX!qmugBNX}}AF>=v% zR0jxJbC_bf#Fy5uNvNQy8qC#prj+}X3$E7^MR}gcFh9POX`qeMnCgDSA6eIKAW*Dw&4OV==Ct=JOyQcLzpG6ouD8mU%@jx^v*gZ)tY zYzSqCZV1^CYPXhZ(cCe6?OMP1p&I$=fKRf?k6O9e;kER!DU(?hmDwRC%&xhtZ{2R! zpx&)AM{<}_zJx2B%b(n#kf4*vQOeLiHG?QW*iPz8iF3kM+YwsrL`HNfLFJeU4ow=MV-0?9?$g50L0 zxWTi`#2D-+@=iqFkwjOb;lwMJ$NG`+M4T?7k@5PqtcO+o{$I$f~P`KibgYw@GE^YQ-9(!8exVqo?qNC_K09=lW0xVl;ql(>=M7d26m2nTW z93C442JF)ZQY!5lIM|Y`m(tPxDT(sii}seh1!8BY0M;SSCRO}2*WD($XLY5*L3Oj7 z&M9Q1^yjrX$jFh3+yVLGxN9|(>IP%Xpqb=!s7us?N_O#6z(n;?NhX9IOB1%h$5%JJXmuA;myqA z9ww!5%F%nupow%t>MGI;aNX-(W$mXG01arwVOPb<1}HI)6OPE5dufCW70Tn~PlG!@ zgN5y6k0MJyt@!7$qkAu2O3lKsN3f1Oy6k#thA1h)xrMtOa@HUvzcO+Jdv>lnw&tjX zDm8{N;%)CUm%yB~podjz&PTaPMmP)#hP|^0YTy~?8IdZqNX}K0YYa2$EO(uTr^g&u z2$%CP5ScG4bU*D!Z-)9L6|eWfN@$r@xPBS#L;dyMr#_^HkNB{&%&FO1k^8*}E0#W0 zG}zUK5cQ{c!`LLFCXv|jX;P0DjR^1_BL zq+u`U!3S-F#A*7MR_?m1Rve=-!<`Ux+ry64XgiJ1Ttp0eJ$9h(*}k_?TR>c^j?EVJ zREC>)2bnZsv~wzDZEW>*OQw@H>-c-jnjWc>P{1kVJE`h#!?6LV)Txv~lD{llD7eVrzcd_6*iX(|p-yKoYc1dsjd3TY z2L&F~lvJDTUQ-esT|Hqgf)lUcP6vpQE#9BaU^CSCJi$!TA*$Lnf#!pJ2=?<5QtgV; z<`=wkn1Emm!udmA^14$p4cg6x`-Dz&fd2Ov<+M4 zNi%<#V}uR~XfRJZTO%(kINBaEe&Exy^sRH8o0@H`!otO|u(PVDoxEyDkjc52oY1ri z1b8o3vT2k*r#p~UZYbnmHU2*877s>xc-8%>Dwwh2qQEH9Toc`(_QvR9Vz&*Dfa7!kFX95ygDN#=RK7%Xa*v z*$Eq3>5W48!?&9u4&#r|1~vZuZYmK)l&{ld_?>iiJ01{){1K_btY1sTmX!t zTRWXzj(Q$(`gzvYvk3_GlrklYQece+D_7Dzxg@`y&G1?eDUQ16kSCwJ*qi~ zXV=Z8vD9RR6vK2yjrf=gU4i4`IDRxW3@zwI2f|uz#feB(D`qC-Yg0btjfFzVoI@K7 z&M*gxbC|;_q1AUM<{%%$f;#ZQxyKE}oU#;sM##k2n$Z*b zGg2|(Yuq9!>&`h2PJU+N)N!46+7L~)+Vfw^*o-qs{$#P#piaeW?5w2=RZfZw)<1c@ ziW=N(&KLhlFOh_A8><%mino}!{3uXYQ89>Q-sw}Uxf#HB;&3pYoiVDe)mMqO2sd=J?E%LwKgx(lE7e@Aan}PU51_V` zh3Ix6nf6q9C&*-zSdP2R(K62uhG@M7+XXsyJ&bnb=f^z9YZ(rTkXjVZRC#9*b@002 z!Rxq^c-58QsZ(h(-v&?nSG=`9ZvK*S7NhJ>)u;8zxhH(B4JsQGp(T7zJks){-{+@e zSO2`A0mr8j$hnzrC6vfSvHfK`qGUT&l3IF>mayW8|6Ot2lHjZ?k224s&d#y+{2692 z?>nz7PyeH9)a0-(_MutK#NNh)M|kIC#JCy{#j(jq>^B{!0pmf{@*`cBY8!SUQ!mAo=DtLZS1FDR4P6o5O2&Zs5)5=g&L(G9TVb z*YV7hQqM~mVrbAr_O+{@iI>(t1r};FbfYtH)l2ugQp08T>kT$2CEe|scAPU!{N#S2 zRWH35!D%9E+$hTlD$R>;+G5*cqSTGjXvd(CyMfk~MLo-|Zb-(8K_2b9 zo@@HA#19}l)cWQ-Y}OjgiKzf{ln3#QK2RPx6eCTF6US+olyHH6fY7uX zNxW7n*%ru~I1J`>H<#ZrpIk>$va>Dn7|N|=Wf>uue<8CdzF<_pk8%vGSy)^~=ZN<@ z&PWz;oUhs0gp${tS@QxrwVhDS0M?6cw*Tuow>|z^XjJ6u6!o}Kn35esgBV3JQRMt} zBMMZ)vJ}Pzh)Xci%xn$9PF4&BU!q*~FCKb>F%(s$Zd!fs&gC18?inbgXOIG%5zJ#0 zC`pUqqIIyPr+BmVKT@6iz^f|D~X4y^dh_M{qVtn*qV#e~k79D`z2KlD{7oD<)@ z>WkP^#o?&x58z+Ae33HF#r&d1+(vyaEoT|DPt%Zc+1}I(#nzs~1UVT;Wi_xyshFzX zW{qf|Qtc?X6@Xqm=>_{?+nO*>gw=pqAmRoyGQVlm6ZOoNlw>gzKQx#J+fi?l!fXYi z-mGfU>n4SAjV|^f-3RK8z>vyy-ZN`28+>eIT-NdOHC#)g)s4Yd`m#MGH?^eHgO$ zA+RE%aW&VWC7$lwZ0n8W-1z?0j;|#1%KCqcq9a0a}xgGHi;3=bTys6iEAAK zSzpfv|I_`OxPfTa&_blrU?*n=S)doxtH_CED@D?u(B~e~@ksUwgf}0c5U&0NI;;GPhQDDK;p&@AkfTgdab1Mt;EX2fVLi=RJ zqC)c3ZD9l;_KbO=I|VD3!{=$9(!gezW#&qq>2eK(jr|zL*IX=_9>I?X2b)$3fjO!>70V-!B8irU^-x2_==D44OY{Y6WDFzn&<^(o zd&PJBX%s7XkccZ#Yl6%C2Jc z?$;jyE_-rg%ew}O#4yZZ{mITzvg;>Qr~?p6)f)=)h&}pb`h@MTRi2tp1uO9J3N>ro zF<|Bf^yf~kMEmXdo1YC4VGiE8*1EXlX~^y^nGZBo)wtT=&`CZ&YQGG7vR~+@jJwim zwRHH1`F_C{V|A$(>{BhQU6YD_B=W5`11qj1<(a7F(TyCc2xvcm1l5G3`wj)Q1UE}# zVuse%o+oBko;9STl*c}Yd$KyZO0t#W{7ponHu&k4{pB>jW?A4}0r3Y%Tj4GV?u?Qg zdv|L?LwJ=_>#kw#@X>;%%E4;k-Q7h`>olGbbvvh^N_FmyVc(c>+s~GKNd~tFo4fm6 z{Qj~$Pv{@k#R~YPD27-QzCR3PFWY%L<5wycOd7G6S5dZnNR)&W7)vH?d;OmMnjYrw zJ$95(T|m&TvG&ucEm6P7t0j*X^YsXDk_(_#L}4zZmznxcu6}Lp<&f(i#l$pd*|@wg zc)&>FF1Ge*?LQ8i+j$v7{nQG=Yx026`VKy&gd@&*AJ!)q9Vpbk##7f@>iNYD4+<14 zAH5Cj-hEKJwA2feeFIK=uYI39zp=L0kS2P{jC6PjfF$rV^nm0Im}aer7~QP}_<>;o zDSZG5{pWb+-_W;DW!u=lF}; z3!q*8`Tj3%FUMcUTtcsM%)ysw=AWYIsBY?SSt0lp(dOpJ1- zCYFZ6_U_t$e9i&jtaARr=~Om#vUhPbHU<2E_DSZS@ANGIx-bj?yA^hTHw`C1V1|u}0pNPX0nnNGmwBoH)trAOE%SS>{vq4H z1p|np02sf21!D&YdvJ67i=2k3fG6AsZkT0IdFBi}lB{{>NAVOCipGiv?gV15*57 zi}lB;^N+Fq%nLAEfb9NEi;V+d`1G$bm^lDCBL8d%|DBr89}I9dfbJ3i!v2c^&IVYD z|1zA|oNK+B902cqhqT&9RY!DmEKa2HuX8Z3k z{Ikph=sMu~?dkO9$FBEmJXqui6xxDC<>D=vbc?i|J$>O3hnXFG80&O5l&| z^?OdTG+dDmwGQ-5p3YKUHSL01JhU)KrYjX2x8LKmZ&l#*kCSC&0Q##Xk!Pfxli~6z}Qnxx>6NZ)g)IqS12NUzoDEZelJX{7(0$2Wzfm!Ka60w-p=^8s+fk z$T0*UYgFVB%=(&Y^m`}}G!su+Y#TNyZ}HCTPwF@8*7PwXc}0T-gF8`Iv@}dsG0=`+ zErr$;zuoTFx-1|s6{(Hx)+8?9ZWN1IMx|A#oF!I(cSIyp2lV7@(cIL<@nc?=_dAj{ z`j7*qk`x)?4WiD{J26s{ok)&%BDr~y;V5G`*$R^2r>y(M(q~?Fy`_|gt z?fD~k_{>M+u9S#H^;Sd-QLS3kEAl9dmX)w zxNv!$1su#t;+Lwt|CgbL8LkW*NO_Z@l?cu1Ta{#S_maMs(qSeZw4e(@Q zR`uRAz+Cmh(Ipv_o;ar%(Pp&taphboxk9(*j)GrNUE^a8(0)L81`9ueF&-iH})oBSVsC|;dY zb1W_Lp|8U6O&eF9ZLrWDx5&`XPlud$8#PG3z)x9=F!h7cF9#-b(lwYr(7+8wY(F(Z zO0j`JO`WVnXn}HDS+H)y|QQx6){> zi@b(7D-RPd;FX`Z3dk7Y_I`ZE^cR<(Mi7cPI48@c__|yG606p`!kGaz?F@1SDiQU` zI~`*zhb?M4FkFf0iHQo!n>W^Ilw@ca=vjBb6pe)V~CF&~EX$*1YE!bNU} zPF;}=ABP%8F`cts1R1n1z-;Wzc z%KL&1oUA-%^b5q7FcW$H6p(slNaw?7SADqj0tsmp)Lbe#|S&j(&(xv@HKx!ROi zx!Nv=ZXX4vOK^{f8}s0F@Fn0Rb$XBoKzKGM=x+IhD-Y~O9PwH457|WJ3{*-y87>(LAl<|e)uH5^nGVWZPW=3;DvwTXVRH)ECxm$u zHX1u{}Pv)A^_oFk$~*DtEmzsPZda;=-8ka;aW;t{`ScH)f5T5_0X~D zqCXPDeIGmrVH{)R28HO*dqzDy!$9y1IU^n-1*A)E>W5lR*+%bMf}^Z$z&%4TEq*{G z4ziAr@m{XmJ|_FuvwAa=pQ)L;E(Sf9VPD$!JayfCAT!bHPoOk1YtgUsP}@}FwKfh> z(L)!&irW!n$;GFYX!D`B>dSr>ls$Cw55z&(#-1I>6SpCu z6rDKrt1L2HPVv+GFA9*cm&PA|f6=y~AER`D$yp7dN-3?Q*j~sx>)>E(NEqiSeId>J zMs;R24(Yb;qv4F}T%3#4`Idsj{jlSoPVG5l(u4r1xQXT2q~)+bdmP~&wWt5a=J?%l zA36d98?3UnMT%)yTE4ios*f+oi?j`LwgcbI;2c_5-lB}dB-jz-i+m<%75xwkONgF9 zDLr`*7rs&`xx1w{`()rTC^x>fwA3z0L|pxgOb;=I0Qiq4tG+2~=pT?u~XYx%aC-9Htv&#YGPABy@8*anBtcd(B;M+{@yQ=2Uc}*stw%m+XAJPR+U{@VhZU z_z3a)qaa_6b`ZWMr;-=X_tkuP-Wc&?9{ZwNg}7)3nda>lH`ed3&qTo6+0DZNk9v-6 z4=;_GS(5-GQ(Eagz zBDLbI#aN~#MGmuAPKlP^@Iqwzbl-;OL?`w-8^VtI0;V(p4^G#?rBvT}6oZn#91}g{ zNB1tWNbm>U!Q1&16(v$QcrI+1ecGPqt>eS!pt;vh54~UvqlUUk38RLkUS;2c!J#nr zh#uGNLKJ=P-n2&DwDzb=ynI2zk7c}Z9#y`l4s5c~Ny)gq9`dU3k=2z$Q12OBAXn0js!mq0iyd{F~J;Zl6)rre40jg*Q^eaM!~`f0do%sig?)=UBekM57BPsi|cJkuWtn5cN#5jD{;$Kbrvd$Al?;N4DDDDEUjmj zLZ7pRL~?%xQ-Vi4cDbhgba}jIFZIiNhuO+~Lv?x$XR&Xk=Csec_iyJD-SFGD!lvzm zB7?ET*39c9;sK4`+2L;+V0Xr`GbVREpy{g79XE!NS=}{iLVJfq`c^JN7wy&uWI#_> zGaMw7aVW_gxZJlKt&i49_D@*YLC4yg#~H%quE>SdVo{C-cr;~1o^C7i6EcrGq`T>; z*?m;`vaWBopXuAJLg2CBao1XV;dwzQZ+~Q*oRePRO{(8!Uev1ijeVV}8@fQy)nFyo z`iXg?{;PaqlPu8z=rAqRRXtsGkTMvwR~FI2e7AJcObmL8cPC~%upoRMNS^GgIo@|? zaeq)d#C(qUQrdh=`kH9NGHaxkuxfrc`zQaE5FfJ^i>0R;Sue^TgAF}Mx8I+WC{&*; zN%7S!F((V@KAzMTjCj~@4zhVEx`nGdh7l>0KD(KH7FZxrs#0l0SMt#wS)U%GQ|rO_ zifT}ANrMiz@uQ(D(>1!c26wTnq&^S6n4`NvQz-p|I(IrPa3s%5=!uUmrGsN*xYlc; zgAFcGp5s$jR2CzsJL);F)<-A_sCq-j99DR2CiT$3L$Chw z(R0D@sCdePsHY8AECj(zt;0?E>SQDNpVznxnx;1~rhW>a`_p@R$>(>6xm)|aPA7fG zqSOu{+pNz)9Y7eY7NC|cGEfkc}bKuIIUcWAZ^G zgm=TeDiDEZXhZYD3bAQC-sx4?<RlIohElj}4-pcWyBKGi$O`Z0Zll&l5Ymy%a z$fnL>`srhWY~8F{imM ztH%m=tM#a^&8~!z$;0<4#6;fnj+Zminu)S#K>{v>4HF>Y2D?5%6Slcvpd!(7?j#iZ zF@iaP7FmugQQ*DOJB{Y*?b^L$zM5PZAh44{iq8`F_|%E$_^YQQOF=AEqCr`sn+dUG zOzu0q@bUyna@M}q0oB%Oi{{HumR;Ab29_k_Q+g+xWd2j=Z`lBF_>%{P)L)!@@{kc#OO%^vE#J{^whFCZs(40y>o zbjo-ZSrPW+;~Qd?*OXsA_Z50-o3Y^#%P%C<=BE1KD?df6qoYdVj}QGY?Q0x~+@0Kj zSEJ(hAtQk%=;hoG4}~7qNOU|ZmA(XyNxCvnI5>Z*J4Pg1R;9>e@Rol5A^ua}QCB@2 zF9M~?F;Ct`CvDO7a6i^F$Ki3e7$~8k z-@x)BDhr#&Q^YKI+2ckF#-qJ8<>?Nta@K|>l#@(K#ARcvzE}-*z~c)>nJC}NbHTsD zpJo@0&v(_~1HWcxXx_owtodUFY($04*9~H7%EzaWJzaW8zxGJzAByJjCN#`MnZ;p? z?imnE7@KS%gGYM@ zK`aFX2g3ZKq(eE;mxQlmZd{@7!nz}-hh+1Rj{8}etog4;a{W6eH<`LIV=?C zhN-Wm4>pH_<8=Kp5|=PCEi{Xt7nb2lj^*3hR*Q{56-A5ON?<{e4d)m}cD$;J>4q6D z;q{Xkct8SVTaN;SL0oqN0$4qzNUHZx4{(Fy;95w`jdH~tLz``ZMY!`>;PxXZ1noNq zH;QB|xN;-EvA}&)pMn@kEb~rSu@Mp;X*@c(%)oOcT@1N!xX3qon~cX`c^7kny?n%W zdU5U;n_m;bJg_q+1{r`C#?|0h&@CVodS~dV@0RSfdN1^MtkU!_YjL35`190|#g2?|K z*MA7~2htA^3If=W{!y#}I92>n3t(mC{*NBA|A|G!AMigXD<|7OD;WMB@jrw8--7a7 zL|k0IXZL?gY#mU)`ddNfZ@m{>%xwQ{?}dyL?l5xqp8ABtGI^z|*-=?RvSfS(p1N$S zcbvCIpp4PQK>)De>{!k8G9MzHB(oGbH3sY_KGscrfs)tz^#b(u0t?)NLWlgp9}b^t z^m=*{b{|?D> zwsyB^H??-!Wj3qI+cay~5vV6C-yd>rBwlZwMZx+dAX9H@1TP*cT-UQXKO5gaJ!xq7 z)?^3_S!SIz^*s_!y85aAs(-e-Kbn;0j_Xuxc2L_cT~<{0s&&V%QA-%$8>Yi%;pYi> zuk(D|*+k5TI6Hl3LJa+A6bPGcKN(OM2G%GSq0g*Fxg;Dq^9M#pciGcf4sWtaqR}d2 zxLua&Rk$o-ytD+#LHuWIgO_@1*6yHj|&w7r97{QT5^yUWyS%-2x;ZQI-L= zZ(O%u(Y0^Usl5%`JE!6J5Qbn8t^=)yggY=Q3bR99OsOb;s%7&}sTT`VarrDCKUjkm z;H)QXf4{>fW(_t7ZJn2~h}pFPrf&>OZ-Bmp@dvX;WB{%!IZrUajo$wv&N=4_E8K^p zQ&9B`lefZ_ix5<_NGyhHgp+Hgfie~@Q&>n)KmOTuu--(6lwC@U$IFo(h=x(97hX;< zT44m4B8E5uWot`}wzDe$y?W~dz3dKuq$jV` zxi4HIZ}4$-#L?Oi|Iji}rg=002F94b@c=D%|3{w-V>!6}5NhWEa#<7)3*n(lW+EIChiwv;%473u-n#*<_t?5KL{+Q2Hldwc6x-^e zr&^Tk^B9zJ1yF~skddA9&e<_>%DDc14+gqMe5n3uSt+gMbd~%W7gQ_l8&gh5zg!P~ zOkLAO_mY5=NydF#e(EG@xuy*$A4u4z>`6N$&ii(2o2Hss)>Eqti?WJ$<1TRcBt@fOo2R`Am!!q_Jn^dY@^^Esz(sc3f*O=(QoSzhf*=oB zk|8bR-0we8c8Kc)fW6*4AN^mPw3VhOf*j<+;=df9KKL7GoX#PKRZ@%vRxa>NAt$Ul-R5wE2%00|lyN5y zex~rS$|?>uu9C7BmLdf@HCjx}hS9a(KxLAC3n<>Z*iewTE_-qDTbqq(HJAbHvqeEv1_mb>%oSx{CC_Q zorsb9t3*kBICH1Vp3xr~Y9m84gIL1xp};CUwTIEwbL7$6JuyDdY(5cwddLH|zrIX_ z&qboWf-dFpAW+uz?2z>dVfoUnTTAP9cl*g_KD6v{l&tLee`gNiLTIKQP>s|i4Q-_* z-V!rG>!M0RE5mCq530_aF`fT;`4SK9w5ja>qGDWB5yBym#U-!;IifF9)Gpwg-qJDP zqW_tH!Yc{!b2xd?h}gjUce^rhjD8RcX*lv*j4li>@T!O z`))%*nZ!Nu7c@>P0jkLEwM7%M>7~0M&+(v`Gv4F^kyRnd+!Ln*s#9*qrCTXC2b9`u z0&ykr53cGPvda|4f;B#-xZbf43*TN^(ADBaz4K0&-B^9bSs586@(^&Z$QaHYoaH>H1Q&%@3Yrlz@1JvgD*|0D3v!`EZZ@k-^0U!}1GZJ5cl; zGLg)NSUYwr@BRHu`%>5^;j*vZ>lL{Ob#W3*yZ0e9g6i{j$lv1nPd9KKghy4l-1@WT zQ&E#q{PpyL$aC? zB;zE^qT&5YSr0~Ov9rJ&H=(I7mMCqFadCTP0)q^Y>M!1{K(v^KUG9|{nqr7!g>O6s zp2~@)Wuq*MCJ_Y}*{{){%ibef*#k$uo>(z$(O<6fMEgtN;Th9tl6W{n4xsC$cH9=; zTvX5!-00@&i<0W!#+s6IA>uJ^xy||A+Y>N+)g_PW`#a$TpSY%sd%Sp zLY`8zg6301__ft+ciB#+zkHgHW#0b5gnC+3Ruk@z<2JKvVORLy`QwYWe;IM0MjRxXtIb_ZC&h=%PBSDWVz2Y>75*J{j!?x4&06H-}}Y83nhXr@hb*k^`u5zWfG3B}Ml$WK{&CSFcY2COjACKW@c>YRbb_P%Wwa z+0)aVrKltvxvFR$=Tb@-b8fF-!+~i(25$--93S^akFB;G0ep7&)kx*0(Mr5=VvZxvc zzc=x3Nrf2C%S*(OqA-PvSN)jK;5+7$LbIPX6~iiv*97@wyB36|tsNVVHF&kHt)=S6 z@=AGPCz+)PNr{M-?uMc`>xh4`S(BSD*IY7e>FRB5zA=5u`Srz(CnslGYjAb4<#dKz zhsu*w7r&YeI~W!*Ojs#6QtOt9%i?Mc5hShBu{B0))Bts6gCKlv@%e0CP0-irW3oR8 zn$&%Nc@svqu8ZI#ZOCnPfnI<2ltQHE9AD1kjX0f0D*jymQBVl<8yY0!pPUHrXN-96V}+SCrOP{UZ?_NF)V^03FH- z@!NuNC{ao8ln|kX_Kd@zkvU=xW`*1-)2iGaP$O)Ee`Hy#kCJQBTz){~dL9|JlJbbY z=V{s*OJSB7$snWDQWu$6WBn<)CS_vf~B zdQ3tiHAR={F{x~3a&j#TSs2}e!l=Bggn0PuVkdvT`^FNJs(d~WIB6prO6l9SnYirx zJDT+Us3-&luAOig5){xSk!d?oPtSa!ov#ElV0Wq1Vw??Mx8k#@?s(F%qN=ii9R<<1 zraj9Q70t>s1s_CPHq^rG`ZU7qW~oKpZ0_-*K=WS@Pan#U+LVnUT*{5ukj*E}Z<5oi zRaBL*UCig)O zcoj+$i7~et1tqEWHAkFoE@fsb2_Zj#Tod37NH31<*ljE{tE}R37pczxPt^_;y5J0A?-0=6B}8a5F7db($xS6vDhdNnk-@OqaEcVTui#}d%?>n%Y*d7o;ID(m zZ(LIhCzmR_=3dV!;>+O0Wr&JMcodp;ooK zGws+`*RL0u&%Wk+>6l} zcC-xK+X<`{eP!}zQ_wvywusRQdXUWN-M9Y`q_Wc@o=~Y58($SrBD2|)2TG>LU6rTH z+(vvU@x{)+-4zy}3fXsaPtU?2=aJLm;nc~K<#lh~px5q%YPLVDh|L^qf9?`PLi4i7 zJ6dgSb9Zv+f~A4iy&txUWsLBN=;5^IAWVs+H`H!k8K>)i%b%X1H*` z!4JaVj)F|TrY3xg8L7tLYBJ7osBBWJYglX+do>?_<@2qz3EsmuaBQ#m_H{(Vo5vbm z`{&QV6OM9TiuX{th?t1kbRu4rU7($|dhno_Ngs|Le~~fl1qupODD-t+-_=l7Z&{KQ ztr%9EaG_%t9gBEA&HTzUDr5JC_whsLc{*3WU0%c$ckJ_z`4pqQK*kVTg6;vVyp773 zQP@xi-Kk`N7qe|7`0~(-0?RILJ?~XE<{QRO~g#kM2{kjsZGvX_*%XA!s8KI0y zFDoP$=Q6@Wq^0K+S`zx8+KvQL0}c$KS5YUDGMi8{0pd(U^r?De@g{{_PY zR7_jq_Mc<9e`gN=*I4dfJsq6C8z=s&UJS0^T^|1f443QA=8gZX7Xv`n{~KiYn``|4 z9>e`R1^M5|&YS>&asYz+m#7UVz~J^@rB!n>v2!r}6A<_JDE$8tSN%QVfBy5o;i{}` z|Gi%Ly4|`YiqEq89VvGh)Z|2I1hCgAJL9S$DRk$oU3jXxRdv^Ix4C-~M zxWVsV#W*@Dk9@R`2wQk8JRR|+(y^QfFsVgrw4b{xa&4<~3YRjO?5x|wf zMd;!8t8+4{>(5u6^HkciZ#kw|g0i<+1T(ZICF8sDt;BtI$JRJbtiVy1`6w1vt$4bQ zUEP1KLs6cnrhvD$2s*&$;_vvjz@?+kjxdFhNX-X4a_;M>iI>_uHz>uOd~zK{Aja*I z%&2EPh-q7P-DBNy>)Ws0yqj6}l*mxhj&$jE!I9IthhIVdtMOoXHAj$ww7!e-igFcl zOaz(47N>C zN2Ul{!RPlbDIfZ-ynOX_(TkTI_r)kK){QH8TB-eFP+@hG4#| z0i9fZ;SN4q5x9|~C6LfS!9!~zV(dPdu-|D;26;&PE}1H0zJzEZT9%u`<~>A8!)2+9 zR6nF$zhE_^7W$5%j89`9B64PE$|MvhbUKP&L8H!^)wMrNtI2XAVG@NSa+kYFEUKUG zd3AG9D|{+vFuPq=w}+ktX}s-*^qGfG4_gqomh`wp4T2H3Hx-h0#*|k|{7xwPdaU*c z>6Ry@RWKYs5vK{dbtJg>#@|?PJfe0x$gr~sTyIdd;QuD0%yuv%N&3Uj^!YA7R3GlL6 z$qlR`@zxo6ck;Cd$tl8L>I>4r$F`$Mlu_G}62w)3@>qcmof4Kg=eZ=)v_lun-#t$h z=~=|f0i608NJ5C<9WhI^pHk{cG=3ww09Z< zJk5H+#UX%es8N#;dR?hT@nMiHje^PHL#DRxbqo$;#!76Ua^&(}QbK4v)Uj1I7rdQU z^$YOY=%wW##N^v!k{A_fe@9@v5i>Rh74%n6#$Hl%rqm170XH7tmpt)vx2Iy5IYg9) zOU*%FV&f4ImLU;Zj4We;gL2YpBO@3Hy|S_u>5Q;mvZT!%6%vaDeqpw9{~uF%%+tNR zk|!lYm?xzOeTE@M&+gv~G^vSlkoU%oI2cQSFDjo@rn=FnWgBMVYw5)InmWC5I!SssE2(NqhC9y%BvPdoY{46 zh0md@XVOEcqPA=pM=~}$Z=hFn7r|Fl+qbCSiqY1p&JYb9{ZxOKd-Kk{m071>uP$MX zyf?e{P@mm9rQvbG!IqKIUQwp|ta%O>*dDCfiRRSb}m zx3Ppl|HonR{NzPIbo4R^E~)KqQ}3?U3=88RH%KpB36%~qwM|`~WWaI!lmnpfw;bkvQRlvv18Ay<;OE)zVp&+SLjaejl;=jLv(~ zy&+Tp{zW;(#X!2BEyYC^GB@6*Y(zJSfG?yxDYVZ3h&-PL33iMD%~&TvuYP3;O~S2bjgox02{H)Ty}P*!V;al< z!18$)jVr}O<@6<&T&M{Lrk0;OurgI%>dAU^5@LBFW!~!sTriFUV7$D)bCyR|Ys_sa zFg@B~0<7DaFXg=uy^r4vnl@-TL~b=)mN#ZJ7Oth}I)=@ExNI5{d%L{w@yuhDE;4$; zn8$H@iJ#%QenVz0@G)teQVATbX@91qD50I3i|1+^J0F0kj~e^9PS?1&0z5s>M^=0) zH$8K{Nl&{i(dB8p7=7<l;d^U=CK~z9n33EOPecZfU_TgV50Cg>BVRCt1SR@Me`Mv26*`BUs2t(N>>NRZ(8EC5#(ND&TkL96o&BX?9?Xd6m8)1%d~UMb$2L# z+pBw*zn$D*&3fHKL{MBQA4zOtqMs-l=dox#afm{X=*{PpZW*>K2-9NSjjsf7BU!%D zomSp>b0^4t9+_0j=s7slyIpTmT|66m{9OJ5Y~?+SH6!&{gSjdL@-6$7o5vtcjB{JeSi=s1Ac3X;L84WT|2gI+qP}H zW81bm?2c{Qwr$(C*-1KY{=L@Od!4Fv_FAWEzx#YhW~wq$^O@r}s#0~=^<4L>AG(Sv z^mR2oyE)-xck=afGo+SkuVHA>APYWSB>`@FDIVl5kNp;VCN!*~LU>4vLrcuL3uzlT z6jjuOO^g;u#Rhh8fDMpY$*dH^Qg3ze43gmya{C-`yp<4PLLCy$L|6*ml|Xop2d_Jr zbp!BmW{=u43QuK`1Oo)SKvuBql}rSb#@rr(qAjKu}IA=Z>Zpi6aA}RfG=G4&z)MoK8)FXL0L(k~B0bv;eA4YPx zXG*Q+^)Al22L%jY>8Vso+bR7e3qc4_hQgt=9k}lW!*_U0Phs;H@nR%C=o65ZDCwzS zQ(Z*85?b@e0ahr=b+tK_z<^Vc4sX4d_tOc5lgsH1zPic@eczgtYuG_~zsJLaO)+Cx zQwQk=aSXj?6}VEh5!=xiZz`&y6|ELKeH2p~Ge=^^)|QmgoO#0_Vot5OY<0CU&`1yU z{=?>YU8^g&{E$MrG$6ILs-+~vQkPJd9skuQY=)7+WBK~vN!TP}|90UWn}Dk8Rskbt z2?*D3Qcn~NxKgb`WzXNzh2MWg4iI^YAQX|;%1F=vhdZFr6*L3HpLP_^JdPM&vr`AT z+$(dcSok%G^$FetWgM(ieO7fN618JUbvrIChipCVceXA#=A45BTLFzP zl>x9naGgd1^wm_lH%~yXNNs!KAH;%~70%Az>G z``J|hCg_XllTTfBPzvA*L}lMMsAOK;_;U$z`S>mcK5ZeFL7HF!$cfq5R{ z7e;z(n|yL^mN7n^QM$PhAH!W|5Byo6hXB#XlCTDXa=N8yR^c3}F4G|_Iz4Vmzxh7> z%RX;1!Hh1UmhQkC0k?ZE+QCgu|n!^?sU-5Rz`>CD5hlNsq1>-cNv~w zoZzOoOc~9@V(L=S8uD?c$=1sQYmaX{nijq%&be-1Knru4Iw_6GdKflz$1NkDwzPrv zX2(5pHX|SSt_T<$cV6S(3ja5fqNeMsZP1){76!?%_d*t;i8>e(_ar%R5r>e~et(co zGX~7vX#;~0L<5ZZ2p*yzg5IOCvd%qUUjwnSh6_iA&Z>9}U1eazy&Mw*xx1*F9C$aw zqVXvmz2h7Lg-)mJ9;@;N`{1@@D!QhVlWq8f>TAtSQDOC*%?jdTJKMkaTLA<3b$~d3 zZaV|QUdKX<%w9)@P8O;ED3KW^?qm3v#Oi%l0K?IZADlw{IECo#?PB$XWB`a^N0a!c zDICXtY90Q|6prKXBqhhcBq=%mZ%N9(v3CEIr2O-)|Hv1zv(kOxi(lP&f6;|s-@o)7 z|Ab@|z7|_-~Y}a{z(A^ZEfwG z|3S&}=i~mv0Dmb5SQx*I9$!QJO)bsB^d&d=H>DTs3~X%wC%W;^UHBhO;poNw!#?oe z1v>sY`~N+VV_{_b7og*Wy0qIm8`{>nx<2HS2+S?f$kp6}!(`iE63UsktD9l40KH2&AiNxjtt7$GqNct ztGPM^x_Y5mLp^z0a*q6b9@=}E+f=$anb7|0qxfXI1_eW3Zpu_6N}TPU`&ZYv-CGvv zdKoW9J$-J!6bYO7*J}6TzV_r!-51IwiFKCcmC0`S=*0Zt?1TP(;W%NR)ZbkeY&J|T z8lPEouImTMuhH?5uOePCP`URD$3W`P&`vghXnM=bmZE!EXB8SIG%%$fl3lO6lU}j@ zjU5&1o_e^hUJiE3#C)!-&arPIg<=uo`=*-pLP6ndk6Rdws-;Uos%sDLHYhi@N*>8F zPJ4ZhzGY95jR3kbUWCqQYMQgy?|0O4E@a<}J6w!al9H-u#$%N??ZHC(>hp<1M#RHT z!W8qqv&QH^z;BUV#~OY}*9HFsda)imXT?_7yxq<{>h7G`7Sqpz*Dj0L09U_v;Qv5pOAiAB)5IlUFagMQ+fP*z^q34_3dl&$o30jthe9bZ0z#rK0ClKYZ;r$3PJ$Pr@a6}ww zw}UG{UJTYeA^Y#!pXLryq;8S`mnDXvqDW1eQF59%w1DwecXZ((3UPP(sXq-sm&fM@j9e01duFq|?*UjHsW`%+AIeJQ5bJW8q+#GsKA z@?Qp9+4xS5yaFe^4o^hkKOss8*UCMYVnm&L;k*nC2xT+Apo47G*Ufpa>gtT+5IDq8*>iW5sC5$bk-lWzM$qi_y%9g8XZW z!Fzgt*yZSPu^P@?gG2J>s^^-`l)KyZ@9|GIX8>V1$r=PmiJ_{^vV>9ySAHxfh8a_# zCN#8x*fL98fa_%0PdFh*3DIc~pbVuK2T{V3i7JLzIO~$m0}X2V$`zg9O0m7L^Q6iE z0+^!V(#yQ|jARs}O2JL6hJe-kUIj?+(S(hr4dd0yXj_J(*LH1^P#D!!a>In&3G^p^ zZLb1(EULlMbJbe)f7jB-68#AR43b}wB(l{I>ggAmE~f^)B>Jk7ESHU<*soCLtx$m0 z{!I}Qrh8N+Xz>li$eMBxxXCd-rZ=>4r9ql&semC)Zfg-ZmzP9Y8n{xMC1B9@b~;K; ztwACb6%FhO8P6Fbeswd#)Z@yQi=Qzg{N#M7|#)%6aBCJq-^+wDXB ztg7uO?d|=h;BoGb?}1auzj7eg*aGm}1LQR1Pu~m&AJ_!RMgrV|>8aQQqj@#q_z7Ktt2-4Oi z+T1BgXU7MOk5yM^f6-@f{QcAqIzxGQL3{3*bqc0h24dt)zsbDBSnFqg9TjFp4!>)l z0tO7B!$--?OA15FSPkav2WAOoyIT3Q==#maR;VL_eYgm*;?5hldI6)jdCfjhUmy?F$mVTNuLA*c7%6HBj|Rb2`H@EC`es(OijMj<;`Kz%;Y&1V%psgo z{NMK$bZZJ9UTA1>WBAvlw z3H!}wWC|T%c53)j38P~Mg=bRXOqM9B_|xHFDMNIY%4chrPELaD1nqcE5Gd7gUK<2f zXaN?S%a<*hQ^JLWn2rzF-5wN z<|<*eFcU%itsp~fvB(UB`10>E+qn5|Wj46|pIUgWZT*eqDR!~L9xKs}kuDw(?dh-I z*k1YvX=tkA(Sa-$_YZPT+T?$BS?nCFe5buBPU?lzx_I&Q+Nq)a<+}`HVW0Jy8V)BO zw3jHZ>*gW^tT^68gWT5&mo6Ny5c&$Vf+V#| z%u`X~h1951+Y&|uiRtwc&WzV<#*ia_dL7uw2;GpbUcWjRI-o?K`MI9QVv1uqK3uLK zEdlZ3MMK|GF(EY3efYIzr_8b$n{>sXNk|= zgH^i2*s64H0(lU5aJenF9>L&Kn|%O)zoBp95wEt8yHP06U;&Dgt`&7^`IZGic(3!U zt!5Ly>yQsUw58sB@EEjy+d20)@dc-e$Nb`nLI&u045;GK?G+p;HRhprhMLZHXP>dm zX@HtTMgQi?Bv5p*3mFXHAVMUSmK<$qfu>tyaSLTn@_Qp`BF=9Ku6@SB67fhVa9QLb zIp@rp5;b~5TmB06fCdTk9UbvIrn;8R1`z@lN|<&8zdZxoX$!-J;=E;OUph}A1V@B{ zX#aaa;@z%9^DF^isSh5d?|zo=D&??0j~~cP3U_7%4#~<`{jz0R@q2(_W|(gB`vxPs zN}n!&ebxtL9p5Uj{FZ{sUQ@@Q&&|*jSP_OkOG6+ji zSxNqSG3A=a%^n^*>6FL@%r*)w<0}unI~n`A$$_Bu99iZBUOyM9Zom?YnJ`@BOXVu% zPEALDb-To5x8DOf(<#gQ6fes=Ln~Mi3MuFrIC{xD)*`+cXL@9XtS>_4a5gYCNa5SK?9tdW!5{qypA@nR#~ZZ2XEY?83YHuk znTHQ*PMK5UUeI4Not)QYK2hdU8$!o_X#??SP2Ih zW9BQ9c`VWL;IlZIf_&ST@wxA`IzJL7GZ}Hl_u=N=E7YdC7gRr1Y8(Q<+JI=hkz*lG z8^h)4pPwd(2~z0!w%#AFoS&XKrn%$=Cn;fr2-!4{r<`Tz;n1Qlf2*9+#A4aPduG1Y z=}>L$CZuV|eyUr&>v*Di1c)y!R`vI-FSXx+&yOBnk8%!AA*#L){}x0b&D2Mxg}kIB zdo->QtU^tP4@i!OhT!Ywg3!+t@bVz^bpdLe=`1)KaA_fN zVi?jU4XeF}>V&kAkr6=~DOPszI2WmTFF4Vm?2P;k#yI>GyJwuA<`P8Jl#vqpWQH-R zu$rasOg77qnQ>M$=|=19y0wY>?e2RTLmC-4sf!tzDHnDLF#D_k5r+(dDZr~{2rFA@&aB4kzMYAlUV;gT*emuo%IZPOW zEC{~Gl+VRr)+4#J$P^PgkMjXXLnoLW$=2XtBuQ|3O%2I`Te>@jVj*&_c`KZz&wOqt z3w!L7xB)e;8|o?aBN{Ei3Wm6p-U)04kX<0Q#YG&sl&;2w@CN{DBCUo z=vyIiO^j3(=VNIO49s=Og6o>Lq~mibMMzj zM6W+vXI3SoeAg0fa#vJIDt^PZL#5nPR}k^QX^V<>&A){Qe*|B?hA+H9YI#p@8(3+L z{_bl*UeW7$uuH5*U0RP=M(5ntTenDBZ#a&!j`qzCVrD?nSZz9(Bw)N*HVV_h*9P$Y zg#)L|)XPiz@MM-XI3P1!PFgDTKyykXYB}AjlQ&Ow0^E`u0~x%D<0F%h!;=~s){wWG zgA2~9fRIBUBYI&oWv44jiIYu<44m;J@C^$mbxkOJ&QiT2uo?J+85Yr>1t!c1qhJjP zMj0*)StA_}QUZy)v6Gl2hg*owK+yD1-@bOcfG>tfH=DIoi}l6`$g&5?JYDrrtw{f@ z!GT)P?zSwo%?S~*WQ^wW(ni7aQJ%fE*Y7FwDn6K=2MIxRl_0VxS+oWXEiHe@tF2Mu zwBeJt4$^eekDb$Y9X}DJ@4fZv40-w{hMeV$G_AYbN=7zjXC~MdjCAk`+tqp{h955B4Yb*&(;1M!~dSE zF>)~ei(IWjecg6L49O?E`efL2$6$q>?UY@-4%Q@|!YUe>2B$yAl)?fhA|Hk`?&I03 ztjz;c+A(lY$iGPQqH4TUc~O+K3T?aFw|qdgdB#HvrH?ziP20G1PSf-Gt zeGR_4G9Px>uABeVvG$6D}erb+_VXsnCQ_+w^-L=ym8uTBzZRQ1xBI1oPmv zbF3*>$Gbt}%kM-qsR}xD(r*-Ba4ttzo2D^GMj<9#)lwvB<<7{N@2zf^l(yx8W}S+I zhn#dQ&Hcjoa*VqsIoRh{ZuZE%Rfq1zcBoFKiAA~P^61Oqu!Fk}`?)Y0x)}YJ$y1Ev zrWga*W*)~re9_`Yiqnw+x@vQKo19Z2{`AK0Ct@d4BR(yJOdreyy-tHT3!p1Pj zB6K&KKt;7={H7L9!ib|`_=a4cOHMtgMw8)MO7-)mRj#sm&vaKMb&V&~ifYoKDWE_? z_UH)7n4WsUz04!0n2^g#Mc6{~NaDW6tO$J9>b^$}Z<T=T#o~Wt7fC=_FZ# z9T{Y5GiW)Od}X@y3|a~m4_T^0lf53Ur2e?)+>eVOET_?iGmVn`ciVvt!jsc zRE?xDcj#_|2*_;##Wa(5=N$P4zaV?YQ+BqXtG&}~`Hc*OycSac2-~jb@<`+%3fRjH zD=lD6C<>?MK6+6h9}`EvqGYhp&HQELjQcWjQhgaYEdYdnx>j(~e;GN^F*Ma<0kD?0 z4-D>mj!kE2fB)?Mghhk~!XpfnQW70qLq&2&J{v(MO8AX{Z7V3OdnAy6Sc^#)=Y3Tu z*k+$uLo)CrFb)5yUfoCsv=%`r&lgCK_mhQM=ApKR^Lln@n4@I0e&8rSI!yQQ1T83f zp&pkai;%b;&E{SO{Z~m&IJY>`1Tkn{c4I*GgMD$`NK+cumyuKA%gAX|6avSqJ{w%F zzON*_iBKXykLzgE259IcD3zHq`|`1V(tRDvDLT70DMni z5(Q3~c+4Vjn8ig)8aJHxV>s{mZkhcU1CUj0gcOznU+?$(`5Av;al-zqc=Cp>Q?%An zKa4Sryy0=^@244LO0ayeW{gSC{Mr3dG=sZ=hjYGMTS_A zH~Q(pGtYHWIk0XNof-p-LkY4*GC0G{r?N_{+DrGfNs~nv7a`>BF8LTuO}l{)mrXF2 z@to-5p^a!KBUA83y6CN^ zTo6@iS1-j+7k@izt~X1yK^?}Byu3+VtG4f~KbjFs&I0?*EjuFVN65+BztSQ}qXjb` z?Vcc6cX@#eui)t;^=;95Q=Hg)jyYs5UOz5vBQ?`YHOCuCx?9wM5@aP@;by{z3?cC; zErESf?x}VRaNWi1WfuiDyd528mLpCK@r#rdfS}mjx1$J-a}$Ar(r|*{P&I>>Ct@7J zNpq7ZKKO?o9A~MeUq=u7r;!6jJMrZpsMQCN(d5V1zM6~xdmp3s7yZprf!cM$q=>%` z=3NBw71*8fZf9RApNp#4_8QKp1;9cwFW_uGd3MCV6VUCbQ^KQk8rIn~otIT}PiahH z62~!%5t=pdn_ePrtukTxrc9nyt+9brwSc(ne-m3Cv8=bLK2niaEN;}e#$bDy0DTcL zH4`llx-A!OtX|7HjRl7n;JoRsydHUOzX`-t7$bcH6570a>cpVFAH~2Yer3-ysi8F1 zt&znq_wV-w8wTP&YvRV)N1DpncoUa8nfX4L6=!^)5hm|kH%Z4*A=l8Zi?b%%*=_Cm z%se6-j(nr?ZR61P?Xn7WgA;F3fQ<+ddtKB=XEWEk2?V5!Iz%?Kbwrq5-xQXEz%gpg zX#qg=!Zs*_C|*Q|{>@lYRCKE*Z`0Ff1^DQ79Q={rqyl4SWPBv{qltJ*ae|?vwTyC; zVmm(j_u~&NYkqA6980z`CE_{{#7Mj=YGD&WMtHyr>JYk$s7YndpdtC9688{C$TtrFN&5OLxDbLAvGW7MV?l26Nxqe)5QLF(U^0 zW}}SEZG?92R3_fsC(0|CEna&77;0*#e6sKj~jbp*B{{!{j&iS-||X70j(Lg#_!#zkCNg} zm4O9MI93(pAa(MK>9;$6o#Grry}q$K2GbY$@uAJz{y69d$r-*XP?ec$w{LA8&>&^( zB*Y+{eUpp|BpE`z`~CHg8gf?1wrLj@Ih)<7^wDsqnf7PuOJ%W^YQHmdCf9}<*M3vj zxdpy2wde3NiL74G1AP*Zx$4jd?$Tfh*YKZTCu& zvW31K!FeiHQ)@5HgYvSbuO17eSPKV9Q-siY1BLwp!>0=$lNwQCQDj#5)DcoQPY00O zI5ct{y1HMiK$6)VJEp^npiY79-%TO|)_r1Lh}b8aws8F{?9%eYZVtHd@dJ%Us=1R| z;BFRO8a;}mW$R)U*hw_`Rzi%Olu7W}bw3%CfJ1E&fkxq3N2(<3$Z^kWJuzZhX&P|~ z!6NNn1P`o%dqPSXK#mza(R(j2KF=~)B3ga83;yW9xTPwLX-9*PJ*5F-W(afKf59y} zra+#`-ucEiXYK$|r7Vd7k0rY^*>16Y!kk9qvn0&L-Nu0ZYkK9Eygd$%IZ+!yu>QVb z${2nbsxl7?Zr*3uqzS&+4tV*Xi4=s*Ss0~ z8^lCPwvyxm>)-?Az{C8?HX-N_LK2y4s=oC zF|~%WNMLy8XXPy;`oCb5GyKs$llsLrv2yL5_j!srC}L}-8KOWwdgMby;4f*>uCY2LP9)wd;D!;Y{G&rN#3qh>mL+#-e5d)A>7`0pjzoZ_jQC6drg=XPo(wQ}1EK^R zMWBn#KcGWosochk0WY~V@;zifC*Y1>vGY7F>@57&iw-MUPONQp_-Lik zzvET`+V=WviKjVqa(tZ=V{4FqtS<;6wc5>XKHE1iHnmq>9oWp`6T1RYE|~4+fuQTo z)l)VK3b_8XlV3^>pOiS9D-aYWrF*{gePcf;H{Hzl0Lw0+&spv=ogf>Zh*8#8Vb1O_ zum5yp@4;@xFWivo)D@G};k@v9WC5o22R9L4b{!og)6!mGz(eBEod5L&$sCsC!dB9w zsTAE=Ip&E>&c`kgbpf3eF*7%p58xH_0H6PcT7}{$b&7ds5tf@n4RDp@%0R9qbTxRq zGXxp-tNe@u}%nc-i{|kxswAss)^C7ls_PQx^L*xDy$zacyH7USRULwLV!!s$* z9WXC#`tI3dQ^m@$b-bu>$ot5Rz*_7=#gpaMGl2cf&B^V$byZdzr)Lg`y1pi7jM)AW zmImnHiNwOfJh<~2Nu3(ch;w+TtN>4$tuKBm*lrWXZ*q8L9Eph$Eso`8VLiqc5DBLHBjfWWG- zfD{5i3o3*_HZsVFzjA%yhz^V^lF6m?GyOnF**E46L$`20^sxqS<8H-Fk0Z~7Yq{|A z3_&?AT*#oogS7-Xd9TOtDvm2xbH^pTU90JY$64v|NU<$!TE7V3TSz!#VH^nS2Su@8 zc0E0;iJQV$?L|^8iVYV5ZG-TTRsrCGdubunap_>NRv|fkXM-TnLvW+H;f0$mY%Om; zXLk|u@7!n5K7|9`52@5uGRM^`u}riM5ibcm7CoBGG&$ZBLBfRzPCkf?@7Of5>WWzC zhy|#K>Dw8gEi}WBvXU+Ix^6Wee^(qs0~4Vf74g2vog;nFfw~8Di)@}%Y2I$AVc2z@ zE+92#M}~on>uhR&oVas=d)vZUxy;j@WzyRw?awjGGnU^@j88TRRm{ERE3@ep;s~S1 zON0hFomd0ZK5rc+5zkgOCXi|EXwXX_W)~3U5O}22ywmq}xM>yks)s!j{EWbFGOL$V=LnH_OiZL4@Y~vw#;_G$sikcrMuTTe;5%( z83iplsC?LXT><1P2^;cWONQ~P7xP>~!=(Ke>8>|mO$=|5r{<8FmvbSKb$eJhuCh2g zt|D$Rg}S0z`N5Q*e?H(V38dQvwKz68b6W7FfKSJiOx-!|#Ki2qY)9Ua+Dgxfe4%&E zb#ZQk?uy-oG4}M1JR8)3wFN`-a$=*w~r>Pj}!ywS9k{4VJIl`o&AKa& z|5_zxW%^g-;#b1zxITjDbF4nGuWtouaOJ;Ev#R8QT#X!_&NK2R5D*j0DnUhJY|%~p z*2}rc3?R5FRT!60CZbQbSv;L_Ws#9_*;(?OHrXv`43o zSvQ?;TBqKGbB_XL!*me3hL%>am2`?bZuMfshEJp>qcIOgpbzade}*3trp$Px>HI~~ zhbwv4+D4e(6Ku_hPLU(=;Gj{vCB_U!-lQ;t*o11;BSs=ykWV_!yJK$P_)%6xO?LFS zjt_mqPa}RPoq^&!93VcALxs#y2c=#vsIn1ib=AcKg-wo7;K5P3qLjQCiabiwaJ-6u zYbB5sHedaSTXhsjaL_x>gnBPH{ns9TpMHMs+-(xWIm{OAM81Liqf5_*1J$~QNg>@a z9b!J8$v|sYy>A%Gb)yNf^1$$tg}rJh&HFP6#0xkmgx{xFPqh!LN<^qlb0<`L@T#36 zw;ySCdci;-1oh3>p*d>q%n1%a8^NU6fgB*JdR?*ssj}1SX!EJ7{{@@}F;|AGtMuNDt_xzyUv* zlFJ31TLsZl6<`L3THXiXY$B~tnaO&Z2h9FPB5u#7z9j=DR-rml>9&oD!C9#QUPgI- zV~C4ciD1f#i=mD~TzC_sLu#T1m11Bw@lNuvT7J!k5T4fA} zdnU=g<}de?7-I!nCIzNPOm%>k4dHT2WHMHu|zpRgjMDuR4pMD*UTWuXew zoFA1btw%v%f*+yu`M zWW+RqhXewG1WmzZ0uc}2agM2TI7T;(<4e&Q^v@Q>3+RsSiF_O)kYZA@1h-FtZ7O`=XnS43GD6(vro z-KgLoAZ`qV>RMQG^aB{G3QGREMN*Lu?z)BOXNg%T;23x?%f)b})*ccj?tt4G0N#W% z=#_La8Cb~kY(E2o00s;ULbOrIm!$EYME`-c5R@8JRYPgG;$`Qkc2Qr*MlrQkRVuzSm}hTam)Q@A9dAQazuYuk zz1)Vd#ChH;y}TY=b?w};9nfs9WF|>X)>9HT?pk)BJSZaGvR2ueA&6hDs}LjA9Uo*$ zPj2BnkYyVdEiw+(>$jQ1DGmUWDh74RVqmH<8dCwWO$lBIwqR!|YI$01(=ef16M~Fw zwgHoHoWHpJCN;awP6TTrT18q9kctSoa$LAFY>^j)|73`*9!83_xuAiJ0~*`fhhI}- z*^`GfE<?-efo6Sf9uEuwxDiQ5rX%Skil+tWDz|b2&YGj*iMDVmS!yj6M1@ zRbiFhjtj1WFz7PtceNJYE0&+yB-PNO?Jru{Yp<4LLYyE#h>X;D>b!BukS3p#{VkgV zfQG?m*!?+x-OkhjTrrnjtnd~A>QPI4lgIp?W`C0P8_5FK)PQE&l;hLsI*+RK&@87F&JOj!Kq>m8wK!6g}QfB0|4C8~~a2+4O6;J!7u50?rE+1HUK z$!e{cIce)Tii_hNOd4$uhyqP%TK7P!`S0J^h!Ohn6o8Vn_@3MVk}hK^E?EB}6^)K2 zpufk!F(&h`f*FD}GusrIQ!joAQM&8ja-8VkpgnsRoqr{jvGPH?(Yfx`_ZnAwbpZD8$mD%Ka zdTMT_thAY$%c>^PkXRMV@`<7@X#OA(eIDI(GbqpW>ls|<+o#mhCL)Abc?XNZ5>Sc) z7`&IND^T?t$DynswappkTk{dK9c|s8UM42Q-D9WZpZ;{bRol3!&H2*Q(r5c!F#N87cYV*6O&WsyQd$p}qy>bA9GSuK{NlBkm{-_b9##wF|2?xo9?+hKfo zAqqS{V=Ri?6P->HysJ&{RIq-elHfg(K|;-nC@B4IOt+RpMY9ZdLlL5V=>sSvU2I9= zOEBUIATBDW-5MXA6(N|_;nX&y(=jxAHXb%$Pec!;DFDe(o__-GE2RLwPSc1|I#V=F zY{drlNHrlp-fc``QINJ9F5dwFxADCYWBzp|01UCZX)NU=cu*y%Ow1Im^1@#Fyf}+E zCSJ0U3!b*YFnVQDFO!L$D%d?Ko`{8I%LSY^wa}6K5miW0MBXUUoB(Sev$B#hZ2JSo z(JCCr7SG+NF7kP$RMf5`?#*qb>>#;Qf{C-*5CdyFtu19B_v3&{?6}@Uy2X+$2NDi1 zeew7rC4%z@$k~7dsp!n`yBEo!1l0`sIM0=jy`8IT zQTp}Lf+QwjE)%luqN;{NT0&!bSmqsQVhpsoYgSU?@vs>Yz{2QrE+8Q{%{jFXzL2n& zGgl4`wN|MCbRI4)%Tw8N0Lk?GDN)%%6{qf4057Z0b zFwg)&mWZDsE=2BUy*7vegmX2eI_cDyTL>Zb>nZqGyZRUm7#1={Rh$&X3jWX; zQRl1sIArJ!6h&yAfV1rdR|#NlEP~?AqogQ_&3EWVDGzTXn$7Y9)--Q%bW78cITVgK zfJvAwl02vZ$UE_&i_gx8B$sy6G%0JrMl*Qk(==?aU#&BPB;R&Yil}@UV}OI9@1wCT zny_zKX=!Y%h)0(zd5k*tEyBse0C{XhTSyJZai+r`3d$QN&KUCJ!PpgB00dR}Xdmj* zcI!N44;5c6)!o7AGs`XSh~vvnjl1~DXg+5nG#KPhjkXc8z4BLwUUkWjxP1^FZYpJG zh5`g8%Wxc=`y9$-+Lcfs*J5O6%{^=b89|sD>Cso!wJ(16Yy_nT$d%`n_4p8xjd#j z$-t>LB1iy6iuwvgwmLpPjKe`Tkf<3wXYovPv6dxFP=(6Ee*miw?WGo#B>eo{EXnm- z_Ne)nWuRiP zd}JK)8!YcQYQOA29~6lm5+aH(5q*KbZSK`_2LmO%F|q>2g0k+@cLP%+_{g51fK1aH zOdgw{H;*&@$S!^wu-hLleYXQc5&MqYZs#9sB1o|PQWs)HVu$8uJQTqEK2H&XTz*}z zZ9EKeP`T%$_ZNahAJha*$UTb1R2!QcByR#r2n)T&#mB!iRw& z0<8@Kw{cX)u<(mDuKUzARI*_Yo_%kE6LF5jjUx)GbPZXgY=J6YvJED{A()Y=mB6yW z&sw*?%&6r3jjWe*0F-HFg?tmbQbQb}#T-Dw^|JCJxhzK`^GH3BMi9 z>3*l9?L=G%JUE8t27^Y<*bLQTXUrYj&nLNr3D%nPDCY8EwpR$3e$~QjzD_?sn932_ zW5VZdA=D(rXh&S`5byYM=%b6wz#?!pLtt!s>MOfK`2iYVzUUE@;H9$?z>ab63#-Jj zc#Ae>Yx*ntm=L_FOhK2$DVR}$1h305t5tW~$SZW60f+hbzP2iIaP;}fE?GVT%p6}0 z&hg?&(>U)b%hqp>+|c(qhkjmK&R!7l3D%YSpDwlMs_l~yYJ^S#TydD|D<=ROI=1gZ zDrv8P4Oud%e6tZVp}J-#7BsZ&xZb(u+Q50+C6(9wj!d2z(_{9yrB_GK>x;7Dj+bmIU#L+S@@7LE1?AxRXuXePHyx zclJPsZxvC9x@6NDkTFu674dkVB5)mkc_iP=#uNRb47X?JfPv)&`tm7EL@coO0a(>=K-zTFg8*UqkTsNuxh2!| z27qQs6yugk=%L3n@KY9gN(nuBNLU4}dJLaFHh%UMD zcgBn0?!)(ZnyWxSclOT5FL?Nr2IRmBHT$?wLM}Kv#fPi7-n;OwG3_|uEp6Ui{q_EN z9PL4yGqJ?Kse1rgAYK*!S#-_9@E6_qw_#+nlFCu9chCiY9zpox-`3exf zQtp2iN&oHL{vqJ}pH>h4ZMMJP7CUP@M`e2hBa^R{YGEff0!B{OzgZTAoxW;P*#3O% zukB$mJ6q?kE5sPTE@1f+;M0pS{q^(TqIxmruWSG0{q$n&U+pS?zv90;#rxYa{B838 zmEtk7{Oh6>E2nuWq>n2-Mtt$+nwbvLu0t>(2XqK%(^A?TieWXJZR+*jxZA_+>r*^l z8l=9ZCABicQr7wT==ox=1Pxg{e!t>@vMlrLqgDvIf~iOvRjzRu-$u#^p^Nd+N0HF0 zdY1ZfksEdTCS)_w8ai6XT_$Q2-W$)6%2w(S+K18N@6#xL^B!rZ zFSpOD2e;qRq$aA!L9-Pg{>u59QjJ%>AW61AKEU3XRfju8T@pIhJ5eUp%Y9Pb2;;MP zf^1O?=3A%BX;* zQt_673yTIRP9}!PBHZZV0b)z04=yarA4sWizu=zr`(TnNcv(kDCT@2zPszpx*Rx{;W<2&=~x8n%w2^0IhMeptw z#5F!7f9b7|+^qs05$tv>)5cxIL)?>%~}6l1!pl+^pmtdVFlIG7i^y7vZ_`)OzGKw~%8{FP!<% zsrtX2moocTj=qsPJ7SlT0Bs3;0u!^N3roKbK<2k@0*ec^dJd+CTF<`!ZW3cv@Q?GZ6_sW9YcA>U1WSH zji74M{aF;!m#-kCzpb<{itNQfnd_v_nfZtxEn+V0CsxK$k z?>g!PG!r&6aB8R)9lEMk&u|f0jgj#XO>s2rFGx}V$^02zCKy>Zj7&?1!1mhSko_-6 zawsU_E(?qTKg^9+qbC zw89E&hAR-2sMu)+E5n6V66K4eW>_EgyY?1|BDTdkDHO5lSE?>v#PDQ%hWIgTt!PDO z={QcwvtSU`()?YtZ0J_I#l&&cignUFUGc(l+Usa?NY{zH5nA~WOiyN0;itYw zBeo*oC-Y97Di0Ff3FNtLeZzc3#TkWS0}_^zCuCUng6;96A{hY?C4C_4P^XCCurhtT zAtK7XG+53Y3c7+DuRN61EHV~>_^M`yRc}n#><={Yi7l0&sj;Ff=?LKv%=} zMA>)5`=@f^@}=_2s{6^cn*OeDZz@EPdp$B8_E5C0kqUIz(DoJ}I2_l{D1- zB2S4Dw!j2n;Kh;T#B;JfBi?H~1VL>jH3!w?bCp}-AI=WWY!Cn@s7v^#%VOU8eyDCq zL}_S?A`k<{)^6*k6wXD=j8@l5<8`+7C??keZwcBg=XRuKj>EQ25)@b#UZfEFX-r9; z9XBk4_9g>f;e4&AI$Lb#xUEHpuDXquYjYWSs~nd>DR9pT6A${<<>#lGNC_FFI|S6z zh92IW-QJ&`WPDltqxvO@*?ziBRSM+IiND1xMt9=(8g*{5WUTHe>j2HC2VbvhY_h^u zVTlEG8>y`XQR~9W$J)L}Z8T-Gt2_5UIJ$i(tim>b+YUS$c_FbD<5|wL&J+YV&ls=;Y|W}Je)w0V(z z&h$3JzGNNGJRBN#!%DeT=FU+vV9KYRKbk0`?35=@Of>T278fBu8j)0$G2H zBBHVR5Tqk!K$xa?hiei3~e8Kl5WRA~BUG z&6(|S;a&nk(+4`&>&Z4}SLA0bL{R!k_W7)dy%P`yac(r1H6S5R5NqRY18g+h=wsc~9Dr;Y-7kplVTUi%uFC*l( zNC0b6C)VvR0$CNY7NV*|sBuwI*0QHHv(!H<9*X4cnMiM{;pB?0c!4>MDRQ>NqlGwa zehu&;Q2V5C9|U5tYaPg!k3Pnq>x5^0et2`<8THD&qFNVK!QJeQa8Xi1~q{Q(-`{EeN!5bB_1uXCXS6=$sa@W&K_!9 z?azYMZP;tUGn923x%PTAh@1L8ZF~>f@pavco$~{NG29iX!BO?B&E)el1v9VX#I%bv zmYyfy5ePPz>c{eS6Lw6aCiXxO(1M=TYbB&Od^$Etbu3VDj8MdnGlGr#lxry>8)Ww(xVoV{gl1 z^ETNwQ)6=2F#9)TGs~{SjR@edab9@JWilNoxK;bIaSA}UG*6o%wOu!G!%z9VwZbi} zJb6GRtDO^`un^^PL*Kea{b~}$cfia^g#Fv3F|C@*$5-lp@rBeER9Ro)UnC3*gsRNe z{%9WHw@xL^1(kp=3GHZdqT*8C-WBva>*5n8{}d`+T7x7G;$1qi=1E3MgOJ26rf;YGgt_ zjldA?<~p<4S)fR-MVm3{M`-ml$R>tEUr09?KBHU(QskS6dAta}viX9jtgcg0gdCu< z&FFbs)OoQ~Md)YzZj`T97EbqSJ;`th)UWV{l0aJVR5DhlmL1!=ed28|y;1escJKXi z&!bqzxP;pqSGgSraxJnFrR|^#S$M~e%L2!R$sR$=0c~Kaz8P#L%vkSgebXb2GD)`c z&$sPvNVj!0{2xO2HWWSf#B%M)k7!3#Et5GM-iWoH?Oj>gBV!xD^ZW1z4ijC)d_~!c z2A%!eKBo|{w7CVHz3wJzv^_FGK^UeOC`#nTpt?dwb;iI1YZ(^TPnYZSgOIS*f) zDB90RjKFh4MIqGlgE;P3J^y}iD5yk%&N_w_!7w*K(6cMpEHd1Dc%luXM0kp6?%2a{ zTz&kcDc+q3Ro=ls>FsrWXFAdPE4t>)z*3V07&ilfFiLJbps$#(-O~p`l^opE@j8q5h$vL1auR9WDgjbj63&q9tV>WWDN%a)p=#Yvr@V0kJQJ{ zd+sed(=t-)j^K8V*nV@zr z4t1q~mh$HE4df)5`-tJPPFG0v_2GoMkxKjY`x}X@z;+MSFtMY(R6-SSsH*2ZtBBWj zddQ30Cp5#Dzst?=rg$mk<1h_mC=ur37;)KAU^o>j1dXcEQGNK!-d|i0bN#q!d9@kSbZUSdR!bX)sG(j~Nb}SI)u%y5) zI(C7_JTnZhO5XftV&!(>M~NRKO)*&ZA>nI ztp~qW^w-L-42HWqym>$k+O_|}&V_DkA1TA;7G*RdrnKC(@>LXi-)NOW`Ow-HJmyO@ zA?D*Yh?(YkN-n^M?Xj3JTcRX^{He6i@8xe6ZPqf(Ub#snWmRW}3T6C8;92NUAb$7( zYD6|^rk6p>%fi+ytX8+A{y_@KV4fkz-xy6J*YPk|<@R!Q3%i?yw1vDN&kigh*F#jm zre>p=H6!fcXSYq9#AAYiwC8qi3{`XokrLC3+61!AHQyf~{)KF?{}7fibU~S_=L|rxuz=ujMo3f}xWT$8nnsuTx(0(RJQ)?Lq z<^?OWwqof=H+n2TN?c`Cj!6tn=nmx<7i*BwcVaP9)gVR5Za%y6l-WL&P?SqR&}VHr zdGN-y(hPcUWraOtnP@#KMu@Gp|Gm;NJrJmD%}htlvPlhI&o%h zLHae=Kq{bmRa#cpBkPT*WGW#*eUB(7IiCgTNLR{l>ZpB_1 z%7Ke;%-XRvxW0sQAj37!3w{mLQqZZhWtx3JS|mZiW(!GH`K)qeN~nB^B%1Be$?UcZ z543AFFt{IL^K$g3Pby!j0%||0UMIfiM&P#kkuxueN=5|~p>See8tmcD^rAoay--yT z_PFBv@HX#rRg_;QjhAm}FTj%S=cnO1t3K=NcdMt*szg(>X+MXdA_#P84h!V6`M5ZL z)xgU-SR#M@td1~-!8F`z5x_z!vQawTDH)v|0V-0;^Rw`gX*&}=!=rv-dE%VEC zZq}_o?BzV0pKn`FKnK{cS()nqxP2RItOF71=`IShLe#=DQ6DQvGG`#abw5ow%UP}5e zJq^sYEEokg(oee{TGM=!Y(M}OJGk%FjMdQ+$VzYk7CR!~!w5o(?oVIgKX1}p!)w#; z+FxvaNjgf8@zCc!QNl4r2DUI!dJ{}}1Dp>a0Fu35)r{difUMx?fqK#Wm`OcEViArR zgkWMO$lSyb*<#v#$_(KzALWpfkGRur<@&2WRGa&$ud4_oK(v880vR;YA)_9{J*@=# zKVSRZVdAV^hA4rH?y1Ndn$PRFS*0nw_(uquSKOmts{G7dmOKqs2pKCQm@3Kbg*S!Y zC3_1gQc^@9zO6Zt$B@m1k zo6jKE;dOu^d@vsvE}>%1#G%Sbz zEKhVKXaY$=a`?(w5!>u2Vp$Vd!Xi_I-;KRG-q9X2ss@#EZRXUFhi{k}YVXX+0^sqoj!q#qU2wFngjTNC>8dS)lfcX?-EYn<2i zZRbU=)#_nRO`*}OnTN>_YZBd{lr}!NeDagu^n5=}=@o5P4zT3hX+DEZhZwz%nLPv| zDhHQ5L(jP(?QQfn<0UVa8+A1MZEcs?RAqNdkPf^}z&hO5>-7{YjFvCwX?{JNZd*t#Sz-3L<~WBObv_H$8?aZ89lbscfX8|N?Mhze6p58C+p@R6Da^CT5~K(IjHi@gBywD|0d5jYn-2dUCJHN@!u5?7V>N-j_vguqh31;e#rZM}>uSWOqCT77^C zd`cL;KgPT@K%wr0e3lZgtg>$1IUu;rlxbbHb5Y|en~W8fJg6O$WBip!)zvw0KSnfG zHYH46v6V?u)Io%K!Uak>5Pz3MT_|}s!kR*p>e_ZYNnq3IOswKRpxeQiY1$oMe=~_&G7;rfV zxTx1!tvukl|VR~#qpSGzbnJ~Gby&%;N3Ff$7Q(Hfd>oYC- z942e`yrY$6t7Fj`B;q(@kE@h*v3bU_=1bhXnq^C23&NgF?^}MfXmY%F=tz?|?2zY+9`qL+MdG1qwyzK)8t)6z z&GI>Fj`5#SSXuj4Us@6jX<3}#l?2_LR@?3q`dLy|poikL1kR4hYUB>KE^O}0jhpNU zA=e)$U5S7t$F{zSZNOGp9@MHYwSv;?ks%u+#R~Hm)Gc+%-WdiJoGE|WO$#@UGP8=cSVH1&$Ja2W;3F|$x3oTLYm;#cf7KFxFM$&X2fvp$0I^!#G2b> zV5pFv?F`LPVqa5u^L^-cWwfXkaKq{1P;192#h5e~!kgnGUSt1-LptwMKC7YY7 z(XhRB~TSq{5-y z6rAPMi8nucSzXTQTUQU`#wT9ezBE7dTwNNfp%?9cib6CsScj zMgq_rm`KT6)6cO)1yYonZ=DLJ=uEx}U?)Wr-wzCUMcUDkYoJ4=JFMWHhUnlrFOEU7 zvpH>K<`TeFJ0-mvW_5cyV>%p2R0}R5^)8jz(io^42$MRIZEwF5a3SXtPVUsB;j`JS z;YkafoGcc76vKbI+7N1C!ah}|htne+Z*Y&*W!x^(wI0K!^=6>7gIfAkg{Zs1d8fN57LCyF#g@h%c5LP=(jh*~t~& zIxD==zbCe=CeOlNIs+V3rBL<-Wnsw7r~o%wNcuHMHnW!LM+O;(q7;FqJfU2rg4gbC z3EI!=A5gwPC~KLdv@9D{S+qr24$%-+q#G`L@W}-bBJ99}hehdWjLpb|3N7>W?&cPE zL6mRap{^FL9 z3N`}nrPxHZzy_w}IFL6{!Ks5BTu=J+2jb+P?^3r+DKAvMJv+6Y=ei}Kwb~9)-a7(? z15JahSP@2PVq+de>2ACdB(Zk2qMfF5xb?&%FA8;`9P~)=>WbFI9dj8R)76iKyIS4h zOd8SRYPhu(DHd#H9}g1e#69xe+N~N^@eO)j(zgdO8QHCged)Z`t(@gruT>OcmGmj{9elN?agIu#JJID zu5x~ByJrKsO~NR++OiB)Qyil}?k*6n&Ncn-FGORcxNJyYjje!&)r|4teL z-2W^BW}^R{IP_m3Vf4STvj0Iw75$(2MSqEe{k^#F|Cb`)KZoHTQo0xcwWExHvfkgd zw9L$avQjoc#V^~xyTL67Xcz!?{bA(4wT$%7S@I8NF$1JF05#Ff zEbM;>Z~Se%nAre7vi+M4`(xVvgJFMrkIc*f5fXr5^o)Q~;J=yqZ#L{75a|EAQucnY z^FN35Z%``(JrnDHPi<(wBLe@2hOZ$b^X_d&x|^oEWwK)8SXAO^9}xmpXk((>Yz0x( z+t;?Q?GR=K%DZd7k)yt1=FD|uK z4s@ojO-Y^da>_!_Rb9{`@KYfme$V$rh4v2KbKcJknN)Q`)?v>*^1Z`%xz~cFx+Pa~ zl1uAKRU(h))fqRvsEV96@Zv3B7Nq9-ruXE*sHj#!5g2&0S5ZI;l*&8BGjh_asKvX^BrnR8G$|#tF&PDC3_rkVsGtumtVDx4lKtpfX2}|zj(5$ z>5opH$VGsf1AU3Etn5bmdf0Wp8!DK`PzOhk?W{!o(_lZc%F#*~w#a@abYlFZ)gB)x zt@6{)#+ZUp3U5HZp3-bz+5NbTq$5j7(65^D_&uG2e)vY4)6}ZzALhEt!z%o|XRgvh zD%G>WMD@LzO^P=Bn(5$Z_L*gegTiNIm`!>;csE7;=@eu^jA}f|w@D+s3_IiG{gA(; z@drms=||J$1RJSBD% zm`+70E34bcXwEbiwHnOq ztn7|1Wqp{|?lv|xCJjFf2tV7qJb_r8bB1_}+SEFsPW6Uf3>0r9sL_8gS#={@E+#{W z!?MKmq*kIv0y{YBnwY)Cj`j~l4Yfn#{g|q!SGFQWUMl4W(=ld;(<@=lq7LS`=V0p| zZaat6vwe+(TwxqPJEeQ!e1C5WVMDYOraem1mnL;>z){jX?4=1b@%0Y zUMM|U$6pXGP&7B7UIhK>P))|J=ch6e!_C#o*Qaa3FYRpoomr^F)-sY3vW&5|Wy0G` z&0kthNL`DYU68Y>Zg>^Yaw8)yfYVXOmdSDmfR20kgvj-1u(ftwGyw9wqOe#sm2pw| zfm9ML5!Yr1wV@Bs3?67ceXT1nA>lN%69)Uo)a zjd$m+#!#pou0ujeHEv(mC%?Z;vuqo9qN=`l`tI-TMHLYcK5Q7sX#7YH2gHkna|$7I zJPN8*Xri_smqN-V)0Drtk-M4+aKg?r4*T>1hXY6Fu6Uu8VJE6i*f&|+b3KMBJ6I+S znFL;yCmGaeL%|x^OGjBeF$@m?BjhH7xbS0}nO*QFsa>^9*XQRS!d}3@!Rzyuu)+R{ zuzi;n*@Y0|A^c^vwUyjEH$6gQ#yxN;MlW@_v$YS_m_fx2w%eNH`kJt{8Zsq&l0+=3 z$N&0MQ>C|ET`sEMqy`kPyR`pHT<}rdNX(?@6Z|gQ=M$Qzk4~R>L#R1b(8*nD4GGMx zU*ThGLQ&O~E)hG|HR|uaMqMum9iHl3O!2M(HFiS6nf}iOlTA?86tx8Aq42Au%_>Z$ zE>O5?VLC%%yjrW1RXoTj)G>4@T1k&{+ob>kub`GO9AwlL$ zd+8Q85F*dW?j?QA!#7d5?}zpajlf@e5qT@UTc5B!G&<=dszVnv-luRXjDMOsleD0D zB#+eRAznb^7+h;tLa+!F3UxK}kAhVu$9&bzjWBIe+qM$tx2pBui!y^5(=rzcy93@= zgUG&&#>Qj_aok$HgAk72o4%`sa(G!y}qniY@Q zZP}>24PLZr)&!=FN*fuP^5byak*KxO!NWGnAKYYDhNNaR_X!Pi0~dzl^rt4`>?rB` zhTMW{%X34DNLxV-P#@|H8h6nr1My)%n305*$n$6>WD0wd%$0;-TV2VwBk;?6WI}?FQHS?O=l|`Lj zA^+7kXxv5W@j?{z_j%!9e2R=MktM7W2+j&RCF{M&dpDKs=Ls0WFuGsVLasM?S`z9# zWY2DW*VUfjykv9&DvwowvyYISQte-!edzxooc&*&edvG3@c+xR&7V~f|9X_4{+|*U zG5v1sA6(LZdzAmTZGRf@zZK>GKP4jnOS*JS^nX79{>{mxr>AH8@0?5(X&Y=-#Ey%q zO*`dzreIycdyFO)EIc?3%EO-F9=U|Uo>A+<`O&EhZ2RPO<%~Qtm@h)`?2I<+9=21o z@bXshqlbFr)eMhcSRU4%7MM#n;I%JDIWyy-2PxwQyjD6cY)M4r56nt3mJ1?(1k?Up zdnyDJFW zUrSCYtsx z8#>DuA_jvvpwi^_(oXVC6AA@0vwdMs#}Ar!&vpZE&L6H2hVwS6yU#oQeQJfLb^Tu? z%uqp{pd@1QCV$McAL-S$Dt;lAhRrl<82YF*q~apvLj`3Dj*6|WN33QkNfb9`YoPB& z=d_adB!D(>Y`-!Bp-Q`qNY109x(n|K2v_!%=aqv&n!!9ByhL6qT(v%JB?>1^%!R_5 z+^uJwg7RCK3E45Zh3PRujly&~IG9#Dwgjifo*zdEY$HJ&;^~nPIa_8!7do54!D%l# zH1P{!uDhq_A~ee|8TaKf{i5T6n2)Ky-;%SYMv!kDz}>N7zn`qEZdJ^zWt%06 z#lX5bNHGU_}2SyS323@h8YQ86sjgOQqnF(x{_#USghvI}I-%Uz7J4 zjxE*|>ctR{vNV+FcfyBjV4Vq4*`@hxjq^^g;tV{v&aQ+w8ji9+XAvOHXNS_$E<>0g zoM@ppdnRl)J7Ad@>CV!F#p(D{V5Ja~=`G*QyM)R0JQj%%R3fC*;;Pt{Fip-jWC^as zmH5A>_pC5;y%To>B{lL!|Ko%j+L+jx07Pm3JwhYOM#I3u$i~X{*937)zeoNb`ue*i z7Un;&Gj=)}fOaesD;t0+?q6((g`ST6pEdM%c;`2O`MV(iLm&WjVq~ZPgN=>}0M^m{ z3oza9F0rt%{}UP7KPS(B?h+&8AA`pTXb>=VOaS(~e{Jj->FAhQ=>J)l{_aivy-W10 zfU#o$(Ch(lV!-P9AB-I%>pva4zvuG(y`et@ z0FM99KsY5OdO%g|pUd)Jsi9>Cl+*sX1Vvcz*jRrr`2Q_m>G#Lr&l&I^z7i|@f9ET; zt7=AL4&`@Tlbzqb5#ZyhEg8q+`8qkq5a8}hwYP!Xv$?ig_EsH~pQm0KBS3Ko z4aXJJE-342w`tV09X~BZ8n_7VQQZ)@m8Qfe9g!87v?)7jdbU(#=~@&mT{lWdZ6~LU zp_13`6~*Zh1ornBYf_u-e$wPosd_R#3)ot`6Nv0XXEM6$4eze1Mt&?~dAv|02(>Z%CKnG73AFey=#rPTu&GN->9aEbw;afdTQg0%SmuV z8A?|l#8}J>q|0JvP_eLGYO%t&zg)z}_| z{IW+D4-P_&6{>~FMET0MWFchLkWLF40$TF2#PQIE;?vN7-t+>yqe>io)a2+(2S529 z#RrCxi#G}s6I#lQIapR}WJENxkK<#6A?gOQNk1DALq_944}+(UUyZ`5mJ;30J(;N% zw$qJ#nJAQ-@zH@IlvN=KL&+$>Hegh+OzL-(2bG4+yM!Es>y=WI4ymBS-v*tX(5!XC z;rH()iig9f8xMs@sELI=%)FF{gb~^To*MEX0+ogV_L2-N#U>XiRY~pj`{WhSz%wKs z_L`*FOC$kqG+-bT{^2qB{gj|bA?9ZigOGW4`&hiQVuh_82<57lM>Ny(=dmuiPVoC- zs+XvaclBCUA{G+R(yQKF6XTm^$W0NL%*0}gf)OqmBF%~&`!bT<4Dw+{m_UIeZFy=5 zR+`7WHix|cz|p&gE}_y=vnoui90ml#0=$7ow52lW7~=I^7p1#ph~-j;Ot;xxsY-e; zRsj<+jAOtI$V=0YZpiYIZ2AaNhVL$dP%pm2eQ;|`HcaI}FTjaWBj-l3LDA?O5pUH5 zI?>U$m>jAmCwyQ>3`uVHHY8f&2ZQ5TLH=wR8BED{nWvyC`=J}P?VXqzQ!e|C84)sf zB^}eeR5)m`)Jv^#Ex9SRiYaShf8_)^29hA)A(68Q)m=yGo3BUq{o41@ zvr@I?gu3b{G9HCMKRL62%dXKYH9W5xFwLO(teAXn7jDCwkx%Qh5G?g^x&cp5Elnn+SvwAfvLgnE7FZxSj@x)`wEnVnN@Yi*oyC28y;`E3 z15ZwwBI+n5e0>aYJ0|he!d!%Z=b&1?dgJ`|Wo4skX~0?4KQXgSgOMdEzMo4D667GB z?!NirXZ)FxenKjEJ0r{pYVeF#zCa$;$>KVE%v4(thgEUu{$1(99-jKXQ*Ox%h^ zH^E{T5B>`cE$HF!ZRQH$cE&?@2T0dy$xBk;SMYaVlRHP3wxf44?N^Gv*7hRx?PS^| zvu_-zPoHHGZPyo?>6y^;TZX~{Ex3NQMQrK^W0{tqyo5wAY(4oyPS9sq)uc(;Hhf!O zcd@FYkxe_nJ#Vr4rR;|A+R!yak@dvM*!eY&5@I9U*(?E>hla>p-d7FO`-y zFQkxH@Yu#%C#9^9Luz&hvc}&W`z0TlOw~5ii)>Wclb6Dqi0#M*IxX(uz^=y5tfzEX z+DsHf?)U1jdBC;2p*P>_*E{#Y4C*H3yJ^OnTClT#6Awa)LnLtxL#ALKpc$oO$5Qw6o6=JkzzEFX$#zm90!1fyx->0_RDnn>>qD zibLR4B4VZ_q)<`upa%4YeIM5=ng4yd+|TAB1dC=h!tq19YSAH>4}@#TN!qyKL0ADq1Zz>NNVKKgIt{zf)2YSRk4I*KYf>O1~Hc_OO#o6+RAO@KdsQ=0tE+v4GY zGqyGY^Z}0P_q+MCH~%Mw$-?;G!ApOT2Lt5U0OY}hF$|)N{w;d1X2w74%QBQy9MAkI z8^Gja0zirCL5&AqumMrbVs{|KoINLswgCtOEJjau&(>l)yJh#9v%t7XGxUJos*Q71 zbk#+T)dxz`Kx!kZKt&a^?`-qsZD{K=l(hM(X&b2u6!AKp%M~>1Sh)lH)@bU6s8KGP zk0wnDZ0#xv>b1YR+?`u->sZf*<+t9tnsN#daUT(NA-g}Qq` zbb8j-#2boJR#*OA_iLG<3u1N!Rex}25bZMlV(oDMf}(?FouFcfN{}3?!H+_EMWrH) zyOo~qV9GCAo%aQSXYEz+D-imYaUIAag`~<+z`7p9rIj(mO4U(*3Jk3nJ5;6C--81P z>grTst{i-mKQkj|B>qBY?AiSWJNx~? zPp_9L?@K@Xakar0@<>R=NwB%7!eUh1u!;f#$+Z!%QfhT!<>UlF_O1jRu#B4he4_tB zjyPU`SuiE0U~uEC3`h4|Z!9(&b{HKBpQzGN2pnh|E%JdF`O*ld1a`bS@8PB0bvd_7 z0>Kl6Dg>THVNI6Bj?G3W_qsxH^?CtM-UwoK?!g&NuB{s!Y9+0=5cwO>Rn;N2FzmLv z+LeySt8nNFS`Ib$CYf9>@k0EKxZWoF3$Q3s2z?HOzwd(?8GkZ>I2fC$yR8=q4bc|? z26&Ue@lcFE#vQ>?tvFi0yZ3uzDf?8xr+J(Xi>WnB)wg5Q*LNIn6?7O_J!)i-94$h1 z&g-h_0Df}8FrbBq#^6uDJ^`OvWoj;mAjGwboS=`i2GT#u+xn?{RZ$AJw0&N%tm>)a z9Qt+JS%t+q1LgJJ4skLshvyG*8Z@OJB6CyJz~blp@kzsPVU_ulUD8FTdDatUBxAG3d-Arz zwuO$u_gLH?b~}Cp!2a?`B{koyo+xlZv5FFknXMJ;qvw7}8X327K$YMk&bsmp*Y*=L zV2XX?0Ky4-f?rLRnL>>?8R;d%(8fTEprJ8bdY}1zBcvC>S_noF#!*p}3r{6j^>&dN zVrh|?QBU%z>6;qPf%Yzkamd*<%ARa42=?gZqDc8%cb_1%0Ri%*?W6U>($BW<-u>0H zXLAXf?MxEpO6j!vBT){hQ=BF^`O_<|*UQrpJ9XL%r(l{n@?;16VMDU*Crv4w@=@w> zi|#YG+oiJQnrVr2(zu*<=|nCQoih3HQ*>;+&hE{W_Y0Mu7v5F4aP1ZO)E}S(Eu-DW z^id19B(84DY#9+8u;5HV0F=UWjce~wqV@Z@-Yo7MbntoZTr5V8EZ&aa7L$mAi%rk#IG|d(iA!&k5&hDXJMTb0MLoonzphIy?v~U!~ zY$$nVPM@4({5&VuMFAZey23v&zpFGq$>Qre&`(+ymKtaZfPlB}7a1Xa%Py;LN6=$~}-Nkh4;1TQ@aBEAcL-#jNfh7B)X~-GE8Pt;C#$DQ3g$bEZYW-`!MwVc!1iq8yR$eSlM0VG}H9cW7NSZGu|lwRTty7nc#!rg0;;mP3ZY_SU!u zC{2H3fVE%@S^G8anMHT*Y)OvdQ_n$Nu@lYyg~t?{335bfXm7-m*_y1&wyr4=xDbdWQ)7qqdmwXp`E$arl3 z;IRFjB~I1c$k7asotcSN%-G!2%n^^3j{Q&4yT7@8JUkAL_Qv{FaL{6*r^)nYD^l1) zoL)Pe&W}Ox+6*R4?SgQaej{?Qn8?F=g5cN;pgZ^&2qLa@1cKd|pU&mvlJFQnXL57k zft|=o06#I94d>+g2gBpmKE7qk@?;jMHBznA#2;+Q&P=&X9mxc)SABR4cYb)^XCo}T zDER;t4Io7@p*SmVyaI&T*D|?PQK18CgIWsY$P$Q!3&&B}jxD{y zuQS}p#(U%MzO6OWN7w{Ct<_sopA;K^)ShiPKU4yOdmISxqfTq<*|=pNP0?6fZjBk- z^W=ah3FHEvgD%s9&}2MZ=3IKD`ki1AZSwWq5EmikbmD8{Wwl7w%M3JEzWrBiBlt$G zlz>8vd82XE`c+V-?MtyoJizBp9EBX}@&FRn&LpvmwMBoc-uQu0gqA^rQ#9(SwBr09Wr3 zl8bum#*4gW=e);^^kHiXxc+`4S31R1Pm*G3ZI)c3AlrI|u0$e2Qqd@qgH9NQ>3+qi z__*7evYQ`!RS|_O-GP(Ro^k4pgcf%I;QHpTiT0rp@DnePu(Dem``73p)zZ<0#mdn!`h&pIYk~6DEfnBg+0gp z41q+&v>Qzo<2|?%d&GmHQK*SjrPP?17+bU&EpzcQSax4sv`>vVPHU&dR0M4_AA9PH z#*MENkfpV1_ywb<4?ikaaSg}(51_punfIpBIVpaGf6vW=isqpT0nd(1g%l&3J0tWU&k^2G&vHG`$!w>$FJg(pt~^unp+BPP$h{ zzX_Kf>%6#ouRYUG^IY_g?uq=dQOOo!uWyHWk8o}38nb0#YiQFWi49|d(BMPyl}b91 zaK9Z@d2(DmF+Sy{wfq{?K}T9SOK-8Kr^ zp+9DOIMczM(w>@wP6|alI|?!~GD(l@p8ebq>`iMfE3{@*bLGvZROu*L{YsZ*OY(ub z?0y;5cejC++UEhKu3yK*FpJpBNdru)Gi+G!3m;>2x)wH;lno9=Icx682*#oe5iq|_ ze#tYee|)L0C>UMUu2H-X6A0#{UX^OEbRIo_JJnfP8ENcY+@)?2l&;tF)ZfSGm3p-S z0}rIW%JuTD2Hr_Tu%8|ohFFe;SD5aXYTRtBrLTQcmj9O)8aqQX1&n6atW$p0c=+zbpmmB*c-l%m=j575UKZBT2mt%MIg$vHT`RZ!`guV-O9-4Umg=Yx&^2)4sN7plwDvfdkvP9bZRrTEkgmHuWi;^CJi^7 zUb3i3IYQbYXr73<6%Tjy;_^XyGj1dW?mY*$btqQ9MyGdwhb^;f#s+*hS+)V+O)nJ^ zJqP+`lxRWGObF}#9cNHk!)oN{L?bh>OR3%;Olny^2f!60kLGr2fE7$c6e0SQ{Y@)v zTtsAld;zN#%W18IVyKzMGIh*ZEK&YEpR-1LiD8@(bxPX>ZMZA%~~VN2;THNIp!0JjYiF{kwiy~3VZ!>U8$Oi`-#w2jt8|Tr&{XhZ?P^ZyeyHL zEEAnBi4Ju?89X$3U@Kq=6A=_FF|PRq+lJz_12kX9@ z@3gpIT&GeEReV9X_l$<)Zf+^#!I~YYJu9y?OQ_RLlvaM&^C&0jl8mx-?-XpNcVCxh z;VqBhGZ_5j;6)X>{SA(G*#Fb=A2TO@$(+!7$;d~P5+aDW902HEXL^DKm z>gQ{SsU-hO%5sJ|?M1Y*aZC2?*6KYB<Lpso@`Fymnol;BnO9H%8nEI~pNT^l z?c%vp!gIc&31j!6i^+znxDysd-k`m633Rwp1=lh-66aYOH_5%c9)?o^@-5WD3jUAl zoduUTUqWe3aMif|rUFG3=g!c6jTlYKGnrLL-Jx)nE`zjN2CD&miGu0i%kXR{vhg z^Q$r)M`@TXH%A@zDBfQrEiU4|3E{U>skf4z{Q)o< z8QSXvf3=l(A|&=AcP$`ml>aIQ(vZEL`Y_?1n}M}ENVd~KpvZq?Dc#6I=(%xx>|eh-mnLNY3s5x>5Ah|d&S3M$x0JTMu$v-^O)s= zcWGz??a%cS8yYLkQ`Cb)I~}Yt}4z`$El;9>3{Bcf3RK&zIvCfzh9o= zCQVraHDBCuJ#N~;R=$GUVgI?KodbDC4+Z&fM`Ppr&4d{Q{>!#!gR{RIxK5-Vx0jd` zvm#oK``;BZ6h@C@@jdN&pY??DEbxv~ORuzauXM;>V)pDX zsrNR2n(Jf$rCra2#tPoX9DK*T^IpqLM?uOj!>zdw-dDvt8#i^O%KxN&d5gv>>5JR% zxWHnQ>&F|M_FM|w51a=z4-;xR>cj$pNeXBv_l%Kke{d9dqkwvF{#*l#B~rH1wo;y8 zKw2$6#xQbV6gW5=UlXWc=8MjN#_oqCsz@=a>N2=F}M1Gl^IWX2}`sdY`Vn)?TVfz;uRH(teN_fZf0Q*0w&O|cAKf(4p|~m@L55lfY^-hQ zg9RPO!JUC3o^J=&%-=Ry2#xt~&GRJ>Vr!Z5h{htPwXOO^p``GOR7v?D&3E@*8>g#pq#sSFh9+*>lHFM~3k;hA7K7>{x3>s1Zrhg!eXv z8Nzf*>ak5+a-}`|)|xt{5_DQ#$t(7toB(LRwb^qVqIXFmQ0w0kSj#rJ@jO4Sujkxv z6D95{J+mI3{pE>KnMwItFsDlbQ@Ya0(%fpn>y&qHmXpqwrc2J{+|YsoPQdhc7a zZ1qpaPj6P-Hr<{*k#5Qz3YFD}|0Rn>i{;2#w`6;knXEbDUl%2xzZKD&{&ARolXF(D zbn;yTm*4Z8or&I4LoMJ~=OYV&8%CM=HPP|7-qz6Q2e#XprbJ_R6qKKmU!c2jO38TE zTWqZquUR~1BXt=y5D9Uzll}-Z;EbG8Sz$(U<5ZlFc+TZ{(Xx~8n3rv+G9ezR7;=}- zo0?a=pbC>od-6fgQQUH<3zf&uZZ*nP|A9BeP6{(gFb6S(pev}@>qR6z>2djTXfbGi6T~O^NS03QYFHuZCTEoexh<4zo7G3NpWSVz zeI(TTIZ#I&zD)l8jOVXi5+b#!QE1wJZo0=V&MiziT<2O0O1 z(6-S?FLJfX#*?OcH>4E4PR`mBfEje-@?1V`OrVEQe!Pu!O1fw!%dz*8Eewf>I-4_R zgvQ0>HEQPy^~ld0;K=E6QiS)`?X5T_@7vJ^j?dR)b_a2Q71AYUwGfH?Z;lH z{+rR^Os#pFwff3d2u;Q>+{m{#yfPm&7v60vpvV4v-q0t53iXxXN}0#7=U7^D{5hD9 zB_ME}@maB_jVuHf=t0hF{(Y49*8CAw=^YWEcryB%w zL<2tnt(GeHc(ml&f@xV@Nasv>%_9#qz3Nv@4b^;G70F3jRc9SchF$*gSzFKEkF2^c zp&`^L>$8ajly$Po(5s6p*K{RbiKb1_WL;TFk9Wch8Pq-jh0r?RX!XohFClZX7=8L@Q^c9|nOz0cf^Xc}Jq-VX#U`Bw<Foa+ixq>zKwdE2$`pGbt&Ns~5_) zS?cIuXp(!+tG9}h0wR%H8!rot6R)Oh0918acJr7FM=4THSKFOx*dEN6zuu!9CWZ|| zcc2`#vKb}9yE@pV6E^SWni{KkuRsTi(9=>H?H$BsEfy_W{^T_*^h+k*qP^uQIKQpi zA7JTIy|%??09fABSuk)%Ih;+d0vATj&dzLE8L=cC5#;X0HPPM40#j|}?{!*_3q{tr z@cdrqRXghBAF!9A_cdE9)>l0V964hx+MZBZEehGXm@w8+p!({xq?nM*%Ru^7g(!pH zd(c&(;Dm9yikkyLVMMKf)tkcNVZq}b{3Ypt_ZZtq2zFLP?on1sTG(ZF&x@k(E_&z2 zF_*!W!Dax!r#JC%vo#=Q(Mh@WK0q?2aT%mJO-YV{x@ZjYIEou9tpu9UJVUJ?CS-VNB5+97|xA zHedSnV{fHe4_-b-KB2*}IVqW7njgkVIf}#kMbU^=EscTxiuT3?XX0~R&k+fkvPKT< z)sG$$GBjrAcEcxReEKgtL>>d5RlL_X(8tCkBVsnLi0Tjt`VmDU0s?ou!g(i{NYbf+ z5&Ozcxp|cgxL_FiPB+>x#qHs!F&1uaxbS*(6yZ;6@367pFf!CWFzRu{r24j{g;wn# zu&)t^&u;EpXlDLXZ(kok(31$7&O0C>#uKc)S?LY|eE*1VFUCBE8~JQ`L*E>C#rEJD z1wuAME_DvQ=B47qyJ7``A_(e++Pd`im*0;Y+TJH)_&&?1|2o_c4(t)a{e~LBQd(+0 zI+urfNA8S8IB+GI8wR3)@TlneJQ%C7ubn<1CyK7fmj$Ly6?DM?`~h=;JV2X9K?eUh zi!Q%70V?5#pMWPk`(Z|FU-L=#^-V?6i#MisCCDN|yiQ1NxmcUoP}&iFU4$?s$PMqw@*K=xyU;5@Cx^E`N*LPhbb(yni@kx zh~{l^O{s@^*9M2WC2orR`yy>MO3nrxAGC@9)T{DLM42k9ooABQ1x$#0yAKh0& zZeydEqAVctA!X$ykB9MaGpNrwi$t9yl18&HK%2%Rik>Da2L&C)+?S$?wc@x|yGH#+ zUk1FQmix`r*!Iiyu!f{ceioJ)wrl+?>|(6K+tG2m|AFDFd&o|G+mGfVXwy;N0S;{m zU~K%kaJ}5%S^$LrxD0}l$rXt9Gk8}68t||8n4c|)h-rj4St-_5<0#|h$`HjGzQ*DaFR{=?fFI8bSsl&q&T$Ct!JMCheD0%VwhNY zzbk1nbZfyB&x)I!g-4Dd?7uRo$bW?JL$hkU=Nnv>g>$XkbSv)R;;SlWFxjJj;XeSH2uXg#+DB$}dh?{t>YDypH?GmDdxbZ7nQn!|Ftu4q} zbLXmM$iAQ(BkHEYL|kczoj5v3eVrzd6jWR|<(Sm}@teCV~$mDIC z3=&$wS(g>D0e7o+cuj~cFh=p)JH|0kbDm|X7VKSj#x^o_L7Z}^6J`_n0^`-lYshYG z%dQr;h(k)l;ZA8_ZEKN{9@;a>fP2kA0E5u$*pmVCWv@gP`N^Yw0Un}UnrbV>8mn3NEHn}KK3<}%}b?&Qv8N7|^7Sqplh1mqF&dpRwp5tbp4 zXU>tR!p(fEBRoi)d&+ydWoU=mKX^cFkYx+y z6LOUIi$*!-4&J{5MCNgSa9(PX$^pyhE-N=?*}O~Vn#hFf7}AN?2>p2%4Q%i2F?|!s zzDg#i0h+!{tf#xMhpiqfU8!0dJvN)I?lQ&~t#Ma!0!EhhTEo2(f7?L~(w_ApcS-Cd z4O~1Xk?98=i5&4CrCqW{x8~t@&syCTmwSHoXZ>+&7Qx{P6c=IQGE&jXvN-;p)0+nTM>yFKzFs* z%>Ov;9I`FnX(yDt+Icclyx(jvnjl`x+HN2_A6oZo+1`5<_0@`*%|SpmmQU_`V$>rp zLayATIkxtxVOzv>k6pLahb~X1U6S2^JG0^0@}18?ukZXrw>oV)EO^;I-4o!zs%{RS zKQih*d?<}w^j-AKizO`MUIpi?zH)bjhckOO6X8E#>xWbC(wRAORwoDd;cvPxfc0)z z`oWm1<*2c!qAT!Vol@1xNS-%s$@%LQ9rtlkAkFQs_JWuPPCi?e_nHY+S_0)FF|@Pf z27y2J9vd>6k(M~@G`#N;vf0Xf4bbv+bzXI~>`|3#H3|)2Xf$+uUea~T*Kq-Q7$Oej zyip;it};RDa$5x0Dn0T2`Ks((4Vn z<=QJzSVIZaQQw|~oDEa{84u@?L_??Z%en8X>vbmt9!|nP=4G}J)-*cEPTbsxMR#RS z$9`rS|D*$rbS;DYk(4&Mzhht+4%TP~m3=kT*SXg6y&k;Vb?2;0>RJ^C2JCmn)|$ux z$9tK1g8}3hA)DxGVYRd8nI-#az_yZX;3?98n$1>S7t!qZ$&)%`arexDLL%{|TM$Kc zs^o!XHw}Y)o*@JO(&B@8D0^2O@l>V3Q?WP8UQOyv?AcDEmyu}BuOy96R%`i(wf4Hf ztpNrO**UyO^P)Y+`ZHs917y$?r7NE=n1gaHIqKXMfZwInvuBtfZNw z-dn=`m#PBnq*-;RlOcZ^KUWlxrrzYw3*GrxzVljYh`aUZ=A*3Dls2({S>;@SZE5*3 zett-xMWvzO)5q-cC{@>5?ffr=yY4gBrUquPBeSG#uv>!Y_z_pkuSYLN=d#Fh4KHvW zo|;1`cPhAiM*bitOwjF-QxEhfnzb73-~I+L!ZiR}J+pA<38yyE{m#e-FdMRug&ike z8T9Ag#Wa_nesNFKBL25$Te#-@@dJ$2XNvB zcqLAd6ZAqH_1%nJ&#Ny~Z+c#7qgIQ5dPnwqwcRGn&cHwPY11Srx_xC76U6Ro4;c%x z|F7PQ=K>!5(Hzzol$V+j zfWN7)96}Jqp9}5y|J!FbLS_++m;MAX>-ta_8}c6!j3aEw-*g@U#s4O>NO90rGC@p^ z2DtWE9SfNk?9~_pvtqm)_`I`0_6Mfj0kaL1N$)WV#vjNp@G4Bcfxi7Aq5Ba8CknU1 z1O??AcRTn|6|#wfLVylq`^1TCqM)pz0MYuJaf7=d)RoyS|$??^tE8}0GUtOoTKY-4d8eM7{kJqZ|^6qk5egn`T zvKU8bK>4SC=nDctugyjg_UG)t?SkWTp*s4Za|aIuQ%G1@*L_DASK>$c6Vb_1%~HV5 z7oZi`4YT-o$EQtRCGVrU4CTh@F2~S}k)2=xNX=38ELB}kEj*PVCh9-fM_V`4D8(>( zSj}Zn2ks&_s%|YXM}<`xOASs5G-}RST5I0V@NFekQF*)aq!!3pXrDxW%(l%otmIw> zmzN=5ky!H*)ngi#j&w&@(C;@85(&co@aIvLFb8m-`pi*nV|GN$>msw5+;?)No}r^Z z+{kv0mjl;P?Ib^sU(8wEM|t_K2I#7xXmT&?eX|DPQ3@gk)9Ppq(95-^nyx z`elFG*4#*TAI`bXN(PQ5d27$6!F9d16#-7dZ^#hHtR{=lyJBMHwOYhXA9!*)*`W+e zOQ}B|ZD{O(GpYQyY_WEPWp6TqS@>~D_>wjd`8ka#Qx3``(Tz&&6b6_-^M}7!6aP5l zf)28}f`d$84A{>9OM!GA#9T!vq?2r4~@>;#rkjnF5tOR3{A%&D3#= zSc`klYoAov$l0G-=a3>!+|IJmeC`hvlx=NV%G$7!tI25cTC_NYIGhU3cw2dw^a_Y| zUYY4M%+ph$0t~W>lay|*=Nml=lKP|MG&d|qvj|JwApBpwrhe|LTq#OKSzkO=IIU3e@dxFDxHiXT>-~`yuh{;i;f4+`h)^BF4F$07 zKKXhwR=I9IY47F)r1@iALC&r4+R<9mR%lo;O+jzyis>xl=dv}Mo|I8Pv59T&-B7e0 zH5RGkvs-v=#=K>Auiwe{waU6DQhd3|d9od?DfAtF;1`pVv4A=g>yjyrSe$3kQ3&)u z>SUkQ4t~it4r=g#oy}#2%1Tfd-8Tu2?oW*~`7di8m5Mq?HnNZ6!t)(xIoOlaZUiM_ z%peDW6((lipPP70I$JjgEpe-)t4T*nUrXs;=-7T|!cF{3&wxG6poNv!e$rvKauAL0)qht=95h=x11En;tNu308 zoAMg(A+2X?V8XCNND1bSAL7}~2~P^12$%xIL#huAehdug7ppdTDHJE-YGwQ;f^2{9 zBEntwghQfe(EAyXW@l2YWdw=6Ra=Wu{@vEV*6``bb;MD+T=)&Sb%V!P?Mw->{y+S7 zO|v0nr|i|xKhthVoec&?f1uz7l-slws*flXo!+d=*CY%kf0s27Sr32RMCrL#iHO=G z^>s&2D&qnx%m7vJPQy{!SV2-{62TpR5Gt~bH2YE{qFZZ z2FXTTZH2E~KanpW|1pS1Ngqm()DJ=O=5yd{etYq|HCvyBk&Tlwp#<85AKO3PUD#$! zzek1^Zwnsx=y!hmj`2|5f6nwkP4`UpMT;aU={}hA>K^PSzMiEDy1T{mzX78RUlNp0T{4A6t9Sp>n-ln*8(Sq&Z2yx%G*kzzC#KvYw0Z(8@-hoa9)nH6Kl8K zjA4Aaf#6!xLZ6F;_g?OMbuJUt8GL)w3RhB^0_b(>&-*gHZRD68I>A4vmISPJ?!fG- z6!+N^`>aiDORHUmiT^QW#uWgjM(#PtreZ;6*UD&_1)TxXnAM>UsfuA9B7h)o+VVOgl`Xzs7}^ve414}n?Zp+Y3JwMq8_3(*Y%B%$I06I!9B zxl5+b;{8ScigusT3<;oAbi= zfG&eOIf1(U{^k&v5&H&pxAo1(7O%rKtl{3KTuUD%vd57q!%H}pxC|+S2<-Kc zYuFw^Qr}jX1j$Z-C`_v|0T&O8<*fxH#@tlfKbQ?5GbIb|W%qey$v4-TeF-b1fNEk9 zHKPAfJtS)S!oWBF#?1fN$)^yU=)XY!jz&|lR5|L)Xn0G(06!pC0EUrf_+ssCo0 z}k75=!#^~+BK0oxmFY{ZX%iWgYF@mUwoTQ|?Z}Nc3s^ou!sQ47P&&-xSSDOO4(8^?>IG zY-Ha&)@R7n4}c0zGj+0aheIppeslbz!q#>lVQE-W19)>)7c0MtJ4S`yMqsRJuz1Mt zCNs00lU!9cV5sS;|6Zo3TU+ZvJbkq}={o;RAZ)6oiuF(DEfe2|QQPxD(8nS2loA`t06QN{u4VhwN{ z#_lJS(R8~7R!i*m=)u@x(AVkg1Lo+A`4i=H`NRMpD*F-M$RvfVe0L5lG=h<5 zR)rwlPgx^P_$6@0k2ib`EyBnG8jNq!dBhkko%<@h09$FE|kTpDxyXdr+c|+VA`f1HN`#x`S37Cuo}Q*S6at8VjYD5NA?Cc@<)IKv-AQC+;R{-K-$qAgUQ&>K6ZYjd&i^P z<}|nMy>(3pMB_eqp%e_^zx@bG=Qy*iWV^h%FTdD#A;%5+zFk2^K7l`p#K~dKegsiP zg5r!N{RZNdR32x!TXT=-&>4&E%c-zzZ!q?Ss zyJXq`kL!X^wH8EB+3ZDA1uE>SyI zi!g&a!7db)h*Eq%q}3P^0ggyDXxpSg?B58Z{nlJ7Fo!mE*{B8mNHB$7hR+=lz49lh z(lLkr@Q28O&6(jW;;n+~m{XsWN6v*erwj%T$%O6R);umlYfgMs6mK`0Oh4L+z*-uT#07Uf9x$vlJFjX7zam7 zsw_NP;7zGE8LHD};adKPKKrC*@qop@;Yw1ObkBKI+6#rpy1`}UWWSj{vH|JZY63sx zYm_;`kG0MdqHu+gY+)S+E19}!uq;mXjeg8|ni-~Q-DULTzCo46x(?yyGwgG!A7uvq z7qv}V70pN-Z@fch&D>XT`&4~@5Kmc(!*3K7BW~ASklj9?Ep+sJY&ocYtE4hfd2gH- zloX{CfSfG4$pACm0!1$tFQ@G;{VuOOC}a^#OjGmO2FZ9_`0=KJe@&NOm*PTGN?YOz z@S+LGSMXD*R4v-hdu%;#8U6Bf<49v;}YQT&POe2*n8cq8GU> z^nZ*~pxczHvEzNR7GBW*vW}@^nJo$d?UL0g20a64xrDXL_R_(g&P5S-`yKh1i)!5> z*I@p%v9$^0>bg#8)RH|rt;c+W^xr#Fe9-&Q9u>L-ovuAsC*Nu(L6wGgTPb#%c5v*P^}Iw7Mdv+^pHy1D z%M5n6ZO1JsvmvzCrV_%R20xA;2LHrm?s1n_M<=lm$i%cP$Ga-uo5fuR79Ccm0yU?-1#w}zTLtmz7p^)RTP z=in3^dc!{7t*Py%^A#^G6#Amt+`#SZOJC(HM$?}iIir&g<6JBv{owG$W^{$Rr#&m~ znYZ2Cphai364zhPW8n4X6U%HJ-M`-*sD@H#{nnpd;@q~~hJ6HO^nd|C+KU(SEUef0 zvR0{0Bg%mZc?CrFc@+r$9_EX@qy!FTf#Mt0+v+-bV2f?bc|IrQrAF~Ib3E0B@{6@d z`P6?*!@sfiH*8`5Qw;fy72{@2s_1BTYWrv5bkE2$!Wg!@1HZk*kf9YoOFNprZJ2E5 zKjPwO7VG$&!4L_!spi5U7^Ff*4(1ySu;bky^d#hW;Cd6^e**@%TI(sY#%#!UqzI^^fIQm+5q;S@l82^#bC z&Y~MpTm8^6yZC3*|9CCh8>ihl&bjul3x6I?IE)X+ghc}=dBviB;ZW#`1{&JD{f=k0 zY4;uPqa(LSz$@N(yq*QS6+HAUJALHt0B>K33e(`-`m_ikDrtqH>7ntlCu!HXv|*>8 z-I?Eo+zoe4AD?aPm{u3+&yeQ|Qgpif+;D?rIJ8Y}Kpm&Pbp1Iz#*w_&&=gJrYpX_Qtr5`UQtw?23pX$0#Pg`{7 ziLCFn7K7&%<|xMxL>`)9DHa#3jqTPUUsH_OYe6>kWmaFgOt<`#U*DnLfJyGYgwO=* zSh_(iWULxGx2#VTt&^)W!3R4AkUp?(g3jAHW#KXf6oG-QZBUtzYH3-9*?9Owc5&fp zO_)&RozZfHRY1p%@O}o7on8S#D+{ay@0zaKw362wyAGKGx=QLkmB79{k>p&FzaIG?WONw{_bAVB#Ea2ddQKWFn9KL)psfO@WzFxb z%3}WF?>F$9cfb0C0kcH4VS@$8VutpAI-sGni~nz4PS_UQ3sC>5c^<*vv|w55=(LUX z08_Z~-ce%mBwv@Wn%e{0L{m6k%=$N_<^#E>jyV-T`zx+Q6i2hJUhf;E7P@L1VKobq zZJI7Vni<_Av3&I|$W^E)ES3!_mz=u-JGrx$ZY4DPsZKRi3Pz(RHDe&oz4Y;f0yo%D zTKA&$M^~82%bmB?jYfdcH2pkqou@fhnAju?^~BPSlUAX(p|7!6oVryj4WQ1s$~FH=|e;ND@#hqs!n|Y!nz=8&7!1a+Uj5J=*)LGrrS!5|IZ*f)}Ex0$oVbmo5TCto`yLMM2RYC)gmBS?4C}@1^>6Wkov2)^7?_Q!vEd_JU+7CQ09o@y*r$#P>{}!sX)O*-9 z#&>+(cDnJ#1_+q0g2xT$kDl0@!4#ov*Br-@cZ*hV3B1~7glLn&>*QXf$kGcD171_d z%b{-e|K+BwsCtg~v%3#$#T^!Q_qPkoW>!~k+rUos?l4LpdE3yGzPp4wYAZPM!QL%} zfz!o~KRC>lvK;>nm(>2RBrV8Ie&EnCl4Nl|4@qQ|Z6ynA6|5y;^3N=|^6r_uT%E;M zcO|_)$rlD>vsog`XXLfoGE;1f*~p@sof5QG?EmQH%mtA5(T@0zQt{U@g5?WzTQm=$ z;tSfPoykj&$O$v=YWTvlwzDC!ZxNyT&{P-K5l3NI^`SBTxZ&?w>B-f3}!D` z44Li+?eA+|sp}^cny5$so_s1?8WkW;>aZY4MYUk(qP!!&yGxHB$?rtxuGJ3F8d0QS zO011qAOoG!SCMm%k{mEId?ROPj%1_Q9f@kNaL%As=0WZZ^_MZ3aa&(*oP6#!YOP0P zJsXQn-40DNXV(o*R%b(pY#LG|e8T1w<}9LUIchSehm^V^MGyL$T%8QEbc&_1t;63$ z8|wO{Z=C6!53d+@_F3;0W_K1xX|ad{%m6o>_mssR!}e9O<`?#kNf7^o1uWc=eOYtc z@oTxJJ{9NXAOFZN?#tMAHk;@3Ia{~*>fnvxT96u_8TI6R$n$*1ntf)Utu3wpreqYWa1D1|Yxi_9N#VKT-s{km6a}9{Y_R(Dj22*f>&Wsm5{C z*NySv)q0(ephu*J<&{u~7TP!K%^LyF=jVE-ehl9W)nfBR&BRL3^)e5uLPX7Ekh>GV=o&g}N)MRbH5L$jv5YpfzPw*cY9>w_r1$0AGM z3z?k@Ny#ge>-9md)uvQk9+CxPNkRc?baB{im;Ss<~@lk3cza|T*MW))=Q}*vH8^8tsD#Jb0 zTdNK|eC4&`ShDQi!^G?x)iN-!6x=u!W@f2boL1eFcc@eEHn}<3586(5>S3Oj9xX*| z%5dlfG#2TaO?%A9C~PS)CDHTF$i}2!N$D@U9MqjIhiNS--F|FcJNFrA$^M6s`De{E zm#yXcWB~2f297mGTH>LlX!2HE_SzCeN6L5Il)z!6w@9<@${VN6K97aC(VdlE^Ekxm z$hkD#DMgt(U4Gr9z1b2B9A28#M1c^arrCBpA!t5S_WXl8%_& zw840P{@2p+M+KId@Du!(`1U85@_r+l8y;K+2mb^*fB22G*?*&hf8EZX_~-xAWyPZC z3-x%GFwZAPx<``DW%z zKQ#Og#zDxOJH@Mh0D}AJRku4cSOiktuk5m<$0;$l>8rkI9EK zuMDA)t5-5rj7?v&nuoC+F2?UB7Nss?VD^`M+N~rwe(Uq5Ci#Y%V4rnl;z3O60#-T` z_iSxhz|qemcV;n#MS6AiZahAw=-b!4L`|veoU`6eA45q?l#3H?tU5ek4^?{N7+40= zn&&|*NpsF#3|U)=qRN4@kzg=lD+}D&&B1IlafpJLyh>Geb>=+|KH{rTJ_LmdrIeb? zS8>0;FK^Rha7Lx{VBDc;P&9dM=d?3#-y;Z8_8n!o2jd?4XWh@T4#Zc3!!X9^bDFtP!R_DAkX^T|IVg{C}jEPG~HflVm z(^@agLaqgIQw@q>2{qrTP}RKtg}c?FZjT*ltylA_ z=7+Ur{v>ZFy}8ClQFdBG&>cmP$ZBi z1V<-e|H%TX#h60#Y31W+y%moj{_^iIqi?gqMh*1d#ASSBzpg7qoL`_7L;DhJV z)r|0~XHP48r9S+HE{i4lM_BPW@T$vF6U}>uWxk^*h|Au9<0?1aMED(=uiCH{1hFC* z1u`oCX$g1U(UD=HpR?DJtp7cgHYnfYanK-H=Gb_)<-YZI3M7#PAPIfRBvoxPb~mot z%6npP0K=9nl6@#+6*imgz*gp{_nGgbhn2u7yQTc$?nX|n&J!S>Qo89*yD@%qk;F$t z9q<2#{C^S5H)cz358+c!GjF`>h!Xo>a3>Iv{lDG1`YyulB>9W`ylnAmMibSH@FBLX zaUVxE8a0=&1G4uq&@8WxrE+uuJn3x8jW?ZZp(Z8Px%_hkE!*xrj;RyFXAE;m@^*VV zqM@kVjLnz_+}AIU5D|`k9bN>_!{iwvDh?&G0K~|&>zf+HtxBMl2U7E^vj_dL~4pNPY?n_^5?s*V3s0vxuUm=)Cz{efFZw!$EC}w z({Q8yeRm!?q~r-i?rD%f6MN^IwmzOyj>m}bMO0Unp}8;IEm_lWI62=5hir_29f;;L zVl7_xTJc)@hT{3@lZ2oc)rpp>8rLft_Bf6Q)jOs?DruJf3o!lJoV|A@f&8KpH{LF} zGIMzPS~HR<B&iZh!L#=hBg*Py?h8$OJWgg_z?m52?+q5i%;%*Aw!4-^fJhO7 zdpzVmUP46?HQLDu86#W+jgYViQ#rY?JoCR0m;E5A8TEiRB?wOWx6M_vgSmT29~N<$ zp-_SM^~#fm+m$}+y(`Ss8++F(@M=O=j%jH{CqHxirLMQhcVW zWOt^lP0#4@gCjqT0clxExxkLUXqq~_qPV9%9yp$<9H>y?DhV|y>NZ#f1co6tM=n$69do7fpVU_2xZ^o?wnyQ*yFdFnb1LZ z>&ChcS`ZvB8OYAO`bIaGS*8L&c(&o^d_w*GeiO)SrA6%7WoRz~< ziqrreU>LDq*r|(Hgn$&%dgD)096!t*~vY`#i#PizW0jeLcld&~7 zXDUN!!Vmd`qCLu(;@WLq%@W2$-4=+_s={->n@{1Mk{{<`%6m{hNXL=ieYrO%Um!Mj z=n2xXjDe*Lr1hbSj|a|eZ`M{~-)e9Hn}%%BG;(w2cpjK3;Nk6r zPd99LQxQdk#B7Tts>Z#*3gX4vpDHeQf{9SZ5(~UFzmOy^{iRno)3P;)8Jdan?rZ7? zd7;!Stln>r3i>(hBelisSjUYPRb48Vqp}Gwjh*Ney*y^Y&;4O3lW(U#@YMU4Xfk~* z8ZY0z>(_x7azwC`Jg|}0OBS03W452Ot93WCO0AI@`xg|4zI;g>^jO1Jp9JJ(CP-WZ zC=T}0V7}8yy36^k*~Bly8u^W1a}Fl{ly8|gxGTb%bIg5Kx|pSEh2M*8hl$w1FA5}3c~&8O0Fc@ws@6~0bS0?rA+bh;dqvP`>mK5OMQbos>&X@lRa zM^kx#1Z3*;o$M8eg29M`?{S>kp2|wnHb;2@>gx7siOP%cC698q%!x~NC!aHM!|3>W zH<1pdG#$wa?#ELWY&eIF$_$r1xq^M-zwH`_`#4XyZc`v7;^GqNoFmV^6uVR_5>lD% z`OI;jz3O88#W=y1O8mLerP$J`&BestZq4xWSwp&nsu$%zxJ(LM8F0~BrL03JFxV^w z=#LD0RF?{QH68=lX=EEMc$?7Ot?zeS>&sc!%ASEa2mZi*tgRnk2{+FA-7yOL&NORt zHX+3ScvathAV<UxFz08HN@?v8} zUVw6a*8KZO8p?{*he+Qs5D5BJw zWJvJI8@b!DowXlCy?FGygYUdswx(zR_Io9QCN#s%GbenqS#Gng25dk+QJ}X@FcD;ENS`!+G{%(3gs4p#4Uc`N)yNHg4LnP!=2@`GdZcYU?w7Zcoo%$ zLf$&f@OL$10!XpFk(54x52F9!M^VWl=^>kxu>Xqp(Sl*cEYiV|PMf@|?V&j>hr z2zkViEfe&0X=azvG@O?K7|yOXPI_R@jO&WTNc>VMsg4}#lJZ9UI9QgkwTj}6`1{Rv zzszy0GQBV=Lf(-*8TOoumA>qAjWLv$8afz=;;r!%R^_(eW?utXQ(k@8MLMJBGUUCg z^@`Dk*yM~~3hH~T?2t>wF|@UXLtcDA6%N7B7K>WN(nkIDDu{&jWsi70Mt=`>t9ZNR zP}VEvZRe)Drv00+2oAov$Z<=6rrzlB3sXsUvymYlzLV!q%k*wK2aXvlD~wkrU;x~f zE6f!-q7qU^bX3!Zv>X!|7lCNSe8fPE8z});-i!Jn+=sgGEr#|i&#l;vrH|k{o_su; zpp*)s%Ma}>Pkeqo(a!eLKnh;#4d5W+GgQTZ8U?VUtm+JUsloHu5Ptz}H4CM+GbuEi zJPZwzFBM=E0*y_hlly@m7=ZG&fJ6w_c%~6)%IhlOX;;ZHEN>!*GXy_Os$daG6tfSbmk=WM`vXo zx$lbmww09>b5{o}Q!I<__RVT5kE2tDR{|P4(ycba*9xnLi6XDw@u$&f@iqx~N4AIo) z_4;t3=iG9K$inX{K4sVUUQkh}rgyI?<7Z5kU&uD924vNHuJC869s_>V^R^%iqw7Cp0XzvG_^1BhpROvGOg$w7le9)NoE?J&9A zgzR{!9t`3#5sm+cxVMaotLfH7V^|sjP|zrD}7Xs{&jz@knYv9s^*+e&6>|s;I$xCi{93(G8plVW#8xy0he8v zX&D-AwjwYD48fFT$KZIa7;AS`u8GH48K3=eSFe{;uGkffA9o^UuI_Aauey$BAEu$v z0(f<0qPHrp>I1@WNwCCWnd?P?fc=wcqve({tDKw|K!a9e;nh#ABIR`yLCR(2iWy)I z&)}F}v+Yz6VLNm1+Ng8kAE8+I6_Gr*xM5z>!;rn8(u}PhSE-qGlB(D3EB^zAmr8Opl`1ED zyOF)VL#)K3t_|T0qNZFOj4rdoNJn}yqTFDnn zbF~yEM#yB`a=C{~WfQz7Brw%_{9K2aorfwmS&T12y^{Q>21ZyNz}B#Sw06 zDwX4oZAn93^Muya@$Aj;7mb}6n{@y2N>e;r8Y@+@h)5{0DB6xEE3pP6GDN3xO_l9XfQN2%|yYsk8MD(k2nm01~5{^MGEFZ%Vhs(LcEtR^C5s6zSphVYDPu( zk|NSVyjC!q#Z_`Y)Qruhn@(#cq~oF4ztS~~45Z*AqL%$(2hW>eW#$^so?ws2WbeVW zaxb`PR3h&*PuJ)rp|vLGfP>WRQ28TDxLTk%42s7aqz>{}>81s7P~E+=OQaUErb&+{ zVFP2^tHema=s@3$hbdQ7$>yk3J3 zHt>aJ%^*P?=s{JW+I{)MCxtE7gD9;ikb%QxeIPuxTI&7gukkSvwd(#4&TsTf^eI(7 zeDrCRd%<53Le9~ds#<}n81hCyO_QsmES|*cTh4hio{nDoDoU*Gxj34`9yVT5rKB8| zh#U|3XgNf*J_rx#tgFo^s$DRj1#v)M!b@)8Ms|kKr&67yPa9PYDrt#H3YGlaL`;v0 zvG$&u0;B+Zc3e83(libO-7s4V?L}prWmO?d7176o48ambHDy$+iL#Lsg-P13T<)!h zj-ftpdQXiX`%W>Rkf?OSp)>*MAB++H`Ta@lGRlxfa$2vgiQD~af!9Vu#-5TqGFG?K zhEBY4gEseZ@GuROvXIpJt5<<;MU|#`r2o7>#2?8A$IMr8qW5%?@bKFkhBE#~gc!$ZzY2s?5BucUsjyfRQ%IIYC{B_!Z zi$X|Q7%kTrm2!pVKW6n|8C{bAtLHTkU7m(GTO1}6bvXwf?pUh-N^0cPU6~*e0r;N? z@W(hZ5OL;H&iQ}+=s$}%69SA^0E>tkpLjSlul>J%_K83M5W=vH;())VOFlVvCM3V~ zX%)#~K?43=$yLaeC?;xPEp*^Jc6$Ev9kUD|WYU-*0~%J{NF#OjKE^)7y+rQ)(0!)q z2bPWSPMh(ynNK){!Paib2N~do-1RfR5L{z^Gt$n?@pyF7L@c8YOT zenwqdVPLQdLrl2!qQ#IYE0A5afEIhl?#KxKF;AznSaR+1<`5jFMyI_uE!fqos zL$ie%-6MXQ(SPii#5Pj3Ice$2Fp+Qg?kDGWm=gSF0e$^piZ86>IJ@i|qE6eenUDbq z;;enYcBV}O8j`)%1#8NonSiyxePrGx4r4ZA3};6}Lc4CrimM?DmXVc(V%}ly(O^Io%8R4dxH6G7HEKiA73yGUNh^t z^Lg`GtKD?#9Pu2`q{&E^Yb%}fP~-l?KcpPAbsNKDZ?``A?F7&JU6){0$?Oi1)xyG=<=GP1sP&Gzt-wXt z3>|9tuTZTlEj0NsT}rAwP=&sz*P6WWM}b&K{*O7p9Xi+F*69u<_z{Txkh8xh8OKQR zv5UZ?w^*TB6*zEJ5j&d#?nhaVI94&l6V8|Cb=fv9F|Im|4_GKcz&gSocr7pAM4yN$T;Fy7Uc`FZjO`=h3_fei_#-#&ZK`{UAr@f+VBF0J3KI85MX zhxGN%+Ix`{R?Q|Of2n?j^#+#;DMbr2-5UNoF3H*cZ70r6Xd!8s29+X7+h_82P*On% z{=I#yF}8mJb#ymiT9=pO$EA-ydCT^E?$`Ez%)alOerNQ9gv_ix7@`p|^uC*UUtDY2 z?8%OtVNT z0`pZl=zBk8t}E$+!XwZNq+C|M&ZLl6&b&QliEP6X2fw9C*ZIn~M?~w_AOORUJvX5R z!MF;JWeP6x;Z1V2V#U#)mpW}FtmA^_=GH11Im;q8X9XwGkDYZ>D^4qJcDdaZaPZ#D zJLzv6lLkUvw4DE(2|ep#TVjti|3rzI8%&Eg`5@Jz&fu5O&ut@YTH3>GS<-0FXSYJ{ zwzC!TOg9s6{)XiAWDU8O$AqD$1{0!h(S^49zGStp&ZSY@=fMXPZ5imU5_WS)O+gwti zR{$+Q7U;I&#uD;<{lpC**pij>)nV~LVayh4!(-t54QD{pC4{lK_Q&%f__pNio{0D2 zxXfKdZmmbIdBZC_WYB3ae9*?0@5Ue^vsV0FUMPt?H6^jUev5ElIfS3Ae>b6+2xg_7vhf&-sFIlI_ZPcSN3tT1&roL%Pnm+v)Dn znqfgDQCkSr*o+)=pSt*ls(ceU&%R?)so5=bv5Gx=a5~;i>{(6d!U*%4FnNx!NLB$t z=*p6b<^!!1Nkpe^=&uRFKhB$8|FLOGq~Aldk*R*^^td}SpBwiH@*xF2*K1~noQ{S# zAo*VK!xZ!p*_~8Lx@`S;0GEI_3T=*#XnR@byDF6Z^X)MFi4;Y*yrw_MASgu-woN{T z5m7(9F3x`21FtW(!oSmCQ8BhruyJG@>xOcF`d}M9dIMvi;BOk@HH>hj%9UuW_x9#j ztB<&S8?P+M8yq zq`$mUVZ!-8Y>n<(4x#$_D7-6dwRv!3r(G-C2x3fd9O38}5|suwPpyvj2n!k7y`YdH zzVJ8v%*L@P7e60|CNkhI7i9=@KVl#DHI28K{j?~lW}!Bn&1|}tGnhxdMDBiMHp*u{ z<*9XR1|Bk%t4^eJj{xO_s*8#5ym0bSn6URib0=_}F|(y$!Q2_)vKsz+!R+lG3*nb` zPwiIAMSniG!p;(jC1@zke4cdq{{DSsaA6=-|J7kx<&OIvyXHs1^YvR~i?3#|XT85t z2>B7f(96>U zo2PRV=QF#;lezz$2Kq*jYkh0x^0f`a$%R%LkrW~TE~Wt5^ay6H*W(@%`RaPS(dEf1 z*S`pYsp``Hb4u|4`%`n|bieK9;+j2et&M}~6%4L^@N5$cj{(CLlKMN|XYrFd=76qC z=)Ns$1u{95KNY0`TxcBKa>hFmTFj9#=vexaUA`m!2#v;2vCU_T*=Tgkgntd6sPSWK041{`Dl8VQ_ zuJ+>MG9F=IBsAPfX;F=g>3=gs$g8Q~KLZZco=C^u~GljvE$#-*C+Yy;gigPP-7hBHWt8F#Uqe||J zngl0g~We=fDVnCFdPUzHx#dT9DEip~ta%D0}K58aN<(co%@HV`)$3x1>d znTOKkA!rt`^^6O`j^j)AzPzQO%svC>wn#eW&!FU=I+Q(0cSzPCgjY1!z_sIsvPw|F(yHL z4K>B%VZeLXz(7^w~|{*OX%SS6`$Bw~un*-1b0!YG`Wc97QJFHerhY9EQ>X)Qh!jda$(o zI+nJ*0YiN2jNrPZV55(sj~0VzDfZoLw^`yBxXK-m{olK#&$&_vO;bzZu+$if+B!*G zlA^9M4l}w6;8D?^^DMZD0X5e=WYc>fE@J68PETSCS0V-xenEG;zu>#9r(W386)%Hi z^;O}&@GZ9Ix6km=bMTj@Bb0O_TPWrmd*Sdt5l!&~0V&j0@YfgiyNk#MX!LCEV3C%IyM9BAm#VT%uDIQ;86qR%}BO?RzVEb4EmWZudlMnUIZb>H2 zVs7T8&W{q-F^a0MFy=%|yZ)~c-n6GO1udno@yuv`cjEo**P&@thxX#K7Kp5iVLrg}z%iGt^^N+JrW`8y%stIpYp^##X zI$2DVVU3U%xGqG6sh(;cP<(_wU+ z5Mts!43Gxw(rTB7X^z^u6peI<#Tj8)XD7pdW|#Z<)LDinfD=e!T2i< z#%oOA7XtWTl8p4N#++81@h{e_X3ja_Zn~Kmh zk3})pH;eo3!e5Ds3q*I}?>TaqncMwl33}1BB&MbZ?EJ+NCRr zV>6@B5j_poHYg=)xJJ(_z3i5oO5ql9(pcKQ0iSH$>JYUe4$v!sopz1RKQ12muYSXx z>$ZlGgyEUtaZrBjo?0C?yl+k6A?9w@hN-}9^*9^#j(u2w@*Iw1hKV4g9&ZSehobz= zh!W;sDk1!i1cpNF16)~1>{@%JrFLF9^`(fv;8(4(QB$4F=?SJQWBzJKM66+C|VAP}ihARv-Enc^>wT zRm!o7$i&J{0uWg7gPX7E-6E2avQH0@o6iTs6;jLw%e*wU8T+6W9Wv$XXZ^qup}G4N zs$q8^{l-1;p+`7oH#NJHw4h4}9fCwqY+2S#Qsg3Z|>6-Rov ztqk}4Zw1B6{`DKc<;_z22Fohami-&K-cfsp0hIf{Av$=P#a*gAQ3Sk*-N<9HONJ>Z z{_EclbyY$ZBG>7k$arA@8eM$V#P2d$oK?+ha^?0R>D-x@Me_1WmzW&E;|&pO9!+rN zI{iC>?c=UyexwaC3lCf~#@PI0LqpHb5l0o?u9i!?7XIUjps{NP=@c|@P`3gmaW%HL zM)t8qsXsCOX42K4`j)&o!`N%s(#W+Tc=F|6T&BrVx!!KoQoEmF&!oh@vfwnJuMkDm z3vDVh_;Rj0yeJMHRH@ux@WyNp^0i(Cqg@UXRbJkg>n z4tp69Zg*I})`0xMSpx%K0Y0!?q; zVTu5eMpqSTu+=@2Q!z5|L9!I$hyl;xkgx&@MMx%Z$@;oi4V-MAFLymqgw5qBUN7Q! zppuJnYcTisc%c*fYFYRi2i<2L)BNsdju`r+jd)=TEgdn+j?saQB4+<(i&DIqwfIYoClU%gyhq7odDAX_{It5^3pAxq^DUTSx7acf*Pj!cO-ya%|Ge$?^DPB z{0Rdry1H$qN;Saw5n#EN%l*5h)SWHAzFk)JX_V*)GwHfWWnHCQCFDB)W`|#NTx ziOI{F1w0S=e;V!X${76=Cl-qHxEGdghYR+{Z*cCTuBs-AG)ppC?_Bt`swHSJPCEW| zebKpc`*G?D$bK(>u+(KCFPsJLITxS3@03vGt=8@EGsMr^F~-nt&7h95nHl8 z{1Mn=%fS5`H2cceDU_r!^gRms&Md3N`4iDC(k%5~l(yZN+Jq49^*u{mcenaucjfP} z951zTlS*^+al{Oq2 zrA}Y79N(L%{VSMu5lB~w(yYH==VGGJe5tbO*j3x7y^DeSII3>5xL9xh_x)+JGp+#| zNgn}kIAH`C?6<$oF;JsG&Fzi5>`La zmroF0{YyS(B*?A#XdLeMZ?8RT$Kfy!gV573l) z@sCL|vaF`}hyVaV#Dy z8cua08(gBmF53_IR+$c{3$e5DMZurh(j(&gobojQyULR$E%BhI`CE{?yV`wDCOA^L z3`8#IDC+Q(=AL-p62ElZQ*rdQns5d`*mpdC9OMqsV?x@nb8nH~JfUSWzyK>VrswT+ z;49sxMh60wh#9+*;6FH@DZg+cD-SNf|cqJY`SoY=DS< z*H)>Je!%>6u3VKp7ULa66&m1_p)>i7g91Iz01rD3F0kQ3uHm>|`*1F1iGf@!lFY9F zjhqyys{Bp!QXS9{M|?19q>ob(EppM4+LdoS@5y=h)EM#YaS1>7Z%2yH6j=Lb*GbRLmb6iBo3p_r44c=wr4M4w2HZn8jiJQ>l_L zuLLFVst(ewNs-;LRgW?P<<1%vmr2o_QhMZys0W!|`{zQFbOJr>*ydR|!hZ{7e>c1T z7pNIg^b#`WH1+dSYn;;UrSvuphR(k+2qic8I^r0=X*?yYRHn&%LFJZ#xY8Js0bVo45>~46gwt3EjUugc^iLaw7xGr0AG_|q zSuc(BtR5Sl>8@8nU?omWt0Vm!x&s`etWSCvUx98_HeXQ2U({v|Y&uPV8cvjG4dkAu z2Ir~DC=N;ksG&fYV{kcyviC0n@B?-^P+(k)qCmSJMVy~#2;SpwXRU$%89DkFVW7YN zA3xz%2fcWDUk@ozlcNNh5QN<37KI@P_xhokwsGZxHb~ejTHRROHgWJyOmSHO zm+%Z!sQvFoN2tw~S3%s5NFI!W`R|*fG_!^;Vn>u>OKm}}$aIr}POL)-j0^+n&SaIdt;_SbS? zjtXg+&GHKE!Ob@J;#M}RjGnc#S??MqJEylGuNcL|!3;qoQ^qjM)x|?zC*40yUZ(=1 zP=XuT#ZqO8jUjevk+av7Olf>e~8!nKPjnKB#P`spH+B)rNvS~ZBcfRSSDeOvu zBw3X*^TkLUZjZ@m?rj=b1ti4o=a@H2R2F(Z@iLwsuj|g>(9ALwOk5R7d<6lhWMaJlI(_ zm2!KW)d`iEwXRbNgPXO+hufJ&(e^2IIU~*U5ivnekXUch&2Ncpa z)fp7^#wyu!X~T*!JZO$+Y$`f}JeM)NUo7I^1PWD!pRdT5vYf?#P3@~(S1)Xmo#8X4 zTbqR1GhegIVOw!l7kX@7sGUkgWcT5<2YFG~x6TAPJCsQ@5fhn~Vz@b%xLoC7JD(YO z+jYEjJ#znnW3?-S96hi(aJ*jYm=JUYWLNap{y#W6g$#--Da;T(4lb82=E2l%Z35=Z076HUQV-Z{%8VN zC6=n+&=~b3wbvr23y!zRTse1sB5rx?=q1e>wyvF)UGyT#BdhmlL$%oJ`rHY6 z7C$zyFWRb%D&%(O1u~RMk*3 zx{OC|5!s_^BdHT+6>f<GRynCEB!$+oC~0K^@lw)xED;YsKXr>X$srFO6yJ$1dXCJ%8GaP2LHm{cf&QSX8@O0`f15v~|}gS)Q4*?^ESa6BbpbkPIV{#8Fln}~@p zBHI!{&?f)GZekqf3o@vo!CeXqBV+xBG3v{-TlEug`GW$6<{}QfH{M2A$wKjTe3%q|bq9O#QUC#toQXRc68gpBiFge=6D%qZ+S&ZK4e9y2r zbpm(up<12EJ)T;xUtL6;KyZ?=>2i>lmnZt$@zuGzW3a&+no4-V0P~#A%`kmfzNYi- zL=40?l~L3wN|7R=RR`vwYKmtTFkSxfVbYW<2-V>kf<(nhmcn(BCZ-j>SygG3(4465 z9q8XQ8*glO?J?3VyN&`*o|isK_d5|dJ*vdh3P~8qX8C+gCBo~!lrMLmF>T#;Wt1Go zYx9oO3{eS9$rtyT8_72RL6Zr}EZ5Fw!GIy{Gbit>*PrHod)KSG*8WI@>3XVkFn$X= z0#So#LdptNoIglCYG@I)St1n#k)vTV&q4q28y(je!LE?S)i$REUIuwu>>-cmR;)dY zJ~k~mk3=M(0!icSX)=Lw-d_L!lrC_2-$aq_ZX}MtSzG|KRfslb&SwGml{-3rN)xf> zpi$3`<%lgH$Fi z*1h#O$RJv>8d!jsE8!T3kZ1#hJqvu`WWW^ zp+;0N9)8Slb5C%e#tS8Y?6GCP?RaVk@+^er9=jVl`L73_bSV6C*d!ZdA;s*~m6F?~ zh|G&+ztP<%`A7DwLZO;)>Q#11p?KZ~K|A}Yezb-ro*{Fw-Qd{a*X@a>&bO@fw4a0r zPjk3rEH<1@JG0_UI@E36>HVy}OP$N|>U@iwxt%)!J^v`8`Z^!DUv?(`6P`^^v+;Yk ztYc@M9w5Q}1=Xl=O%)J+e^=e(B%Xr3lP_y2%|`E?bH)7vI<#I{RehziFS?Bwfgx&! zgjL^#HBNYtHDrEPn-Z6Sci8AX6Po>8BoZ7R_R~JUoKi;ZXue=_}_*zKC~Yg;r9OleJ%O!uvcWp%ik)%Ldk@ zwg}F$A{W-)$jVOngboT3$tSlakCQg)RiKD0`c{--;O9JyDqmeVwYDhnwC0MuAK$i^Z;7}) zP#qnebTwjwip3w(5p@_2JgB)?47lqr?Ee9W#7dDu1cx zrg5);4bu3PDIU&WXwL|w1k$H}nXWeVC@B{ z%$_9hfCd`;DhN+R=A>vcg-(ylB=urkiMx7H)$;@5>I&`TJgraP3A%$vi7DAM-IdHe z%w?!6!2T3Ycjm@nfM(sSoK!cM5G6pIM`d+ z38~tYaD#MBARwmzBlI#jVU9rk^6}tzGd?kXpc>vRi?5YkQ&{n$lf@Mi7P_DIWav&? zgV!9uG@D+w^QSD1-up6?D6z3At_1%fh;}Dsp+w`(d&uM`pow^@YXb?1gZ$)F13U0+ z_Z)M7-|+CT;Kb)!N|s>xcC_imk0v{c$7L@PROGQ(^Hpcmz6~mHSdtu9?>A%K#=SD} zJE_*mi2v|35}@q@QixP}GxeZHRM#WdR1m^yDQ61J!d;`>3~Ur?MbhrE_x!f7Y~ zLCyh84T_a_#i5G~zU*)F2-dS7kF=_E&>oX(C5S*CNxGMWzs``0DHLt+F^qz(H)cqb2e-36Z+bV2t!`Wfs5C zKH|0LLMNVa&@rj}bp!>$YMdy`S4tF?UcSQSy!OQ$8;&lN*s5Bl$j}MqjlF%pE5eHY z^z*!ErmE$;46SJzZ2Sc63Ky#U?%}$fq=W#fm@({&77aNffKRGiY;>c;)DWPD>~*ns%g2`-|wn^;}<_?V0#~w z=By%uUhV77{PrX9vFpgUAmX+M5n|R=1su5j>4aC1W&T_Sbqy^6&bgF1=c!HUgN|qp zpImjo^7S|-iBp}o*?DQUgWTn0(`vEac{v#aXajkd@|H)N0ug}aFI-Sy@f07;1};Z9 zQl<9ezK0&$_^_{2mya=vcLEM!n_2SG(&bLr(t+qU*276>t@6HcNX8yGuna^ri_7dX zfYtVdgO=_R@oNO^38jkNU$kC}KYeZN=-rjI7sFJrLRLdDnW%XP}h)E(uRsUz)6ixxf)%?2*!334FHwSUU z$6^D~rcC1^x6f+!aOnJ*ZNF;CACY;rj7rZ~-xdb9QOs-bOuH{`QnUUW^mOOS@0-de z8KzI#t%>ysgizH%CD^q1>S_!#q-F^i#6L*wdDQl!0RZ)Y@_x!S2DYc;}c)~oTUmAPk>I4dqKSR?#8FJ~ZolCfp7 zT{~0Zed$v7!@=D4)j_qi8mHvyWmlhG#wUeUGMBXK!L z5URHf-I0CviPG4RDZvQ;CN$G)Ae7|v$&{@RPNAY&RemCTW2LvcU9`R{c7D^q{Y9tP zk}$CJ7w8*WfuXS_$B)ITV){m|<-l`1zQl58S?TEqT`vh}sgIK)+qRruoTHnghZ&(e*zD<3Fjq@C)Dg0>s zE|7iqH@Os6(=6k@4#_<2qz_oVm#SZ?PpdFCciWHWJ<6{4cV!I+(o8!cE3Ey_#9e(> zNaI%tPSC4_3a8QehV9=6*Kq!`+eFe$s*AQphv3(8Bm;Fu>;SOdgu5-2 zrXZJdPjMV5rvh<25`8`~ut(3TNwGihx8Ocz8?Fy^e>3ME+15Mermw?O<+Ik7-`ngD zHoFTfC``&kz{$=sFF)$dC1&)MRIcY4;BXprdN43Dd<;=CaW!0a^?S22PwcD^Y%zjl zh_W)Q=H`I}YRPlgofW`a3ZPfMJ0K!wliJ@;E4fgeOR8<2WP0c-+eS_4y6uwZJ~a6K zqmlVspfvlH`AWXc(W ztz9(pwg4fXh!LG@Yz-GyFE-4LSXFHOlcCw8FbYiES!7YqZ|oB%Qu}yXp>j4p4wSd= z^|&8bKNlPoDuCf*>0H;GfextTNbXO+I~<#fVIehuE6scntz2Ib085K!I*CrRT(Ad? zZw+)98?ZE`1VVXK4|F0bcU94YLkaVInj3!`z5&}qC{7P;ZOS!(9ujbhR8<^$*?JJq z08dy->ggV5>EywsC3p}g`U~7?%egkXyeSZU)&RZe>KTR78s<+5mfhw|{wC!8KQ%z% zlsQS?eyNc+%={@)WgaV`?ybc(QwF1=_8+J*d)^N(I^gJ0zGIKXfh%}!75OD(N#heRYUl?rJYrJ;8F-D!~9mnZ_TRG6HGcN@B zz1rN5wU9}hfJW9tuF2s1My`QK@|=^y$*u!45~)`Eetc;{$SURNBIf*)UIN_4CoM)A z?=`HyQHGi{&EO{Z<_}R$a&F-dlg*JfAI6YnH7Jh~n2781?0h|Fbwy-`MIsnrTyG|Z zk^Kde>(LY#tHY^V1+4Z~r2dny4?dY=Iq!7m&If^P1-fz&xVq)rCA896+KThlSQ9(#k+28-Q%Di~UYp&PrhC!sL?{<&ejPxPe#& zYehkHItTHw*xuk^VoV$>Ps>D-bH8tftX(VxNZE^laoaJd>(~%Q%EBNts>;ge?ql`tB#Q{ zehHI}U`|~Omk$7qlicMy(!BZtJbx@hJP6@g8>bhf62rqV^g(xYw3B>f@bziYi0I`&i* z_2^*?D6*RUaIfTfd{SY3>z1&jZ`gZ!qoEWwO^1~WL}Oj-9c6io7awXh z_-1w=)pPuC_q|eHqFAKVXfUX4f>fkGJtzX3jbRDyMw9pN-$OQPtLd3GyRIW>9`6du zom2`<&wiRrYcb$BDSK<;RKS^m?;$rq){nKV)x?jRDe@kij&CI;Lt2hbSh(i2{9 z|1v2sx+Z0M&DkdfTa^$#MECNbhp%WYFp1(tb8lc491{c^AtiP%UF6MpkDRHxUKdoOSm;{`pZg6A5* z>X$9&0$RZw!FuEPMtIulOX?N7i&L6M=wZXC?S(1hV=@R1FOPE4+X#>;8KO+745Ud< zn=qqEZM)Dnh7P(J%-bU8(@q*kUFZ7yt;|$Y-%nzLsE6);m-}7w(o4NWjv7vPJxE*c zfA^f9_}Xp>|l4E@7h_8s72z7|tBU|C5-*q#_;IU6^0imMy_ zCMIBghaFrt^X;pX(afz>O_Gk9zPKhU=u!Iq$Q@lxy2fh-MZW&t3RYSp4G^zTSw>#6 z=ayWmCfye26jfm3b{&$js`4A4J`|8t*o+*zw83|WJ*;CT8Z(*e;^ zXFBaoiz`Wd-OnF-9=We?G}A)n7z`*G_N`lADtseW;V;@<60STmoTmpBFi9I@O;23X zz4Cap>6VUk8@(8|uGc_N^X=tgup?+mar>ShIP)!Y;(InuLqjj&QnnGEr&ecwi=dZx z(aUe*FuBHi4%D*U%yq~Py9U<={){mVBS?mxu3=qAZ4!JEq;?{;A_(DU+N}%H$S@FS83a$MP6v-Le}MH z=50w|3G8VD1#-qO_>nt|;>tqx%IC`=TQ)@Rl^|VbOPA5hnlUC(E8Hy3Acg4cK~h9q zSpjUIkKZ35Gz@iitYG+h*eI#yYO)X1Gj)!IlIlOwq;Nv9`$rNkF6*slzk=Tcj?%C$ zo33vE54FIrAFoy3iws)vUfHM{U<3LRiFxaB9{N*f=>%Eg>j8ZGmu5ubl;e++V#m4l z+;PyFpS7+g)3#=Brj$=MA;6Jb0j<$T-A&LQiyKLk>s7`}_d4dsjt8VP{V>7X^diJZ zn@gDnOsC3$%0edHw_1$iFvK4)J{EsAGbUId?0u6wy0==Qft*It}yMQg<*iND0v5H=T9Rb~c}Kg?RanL=ma zeBS{ClXQ&OS1tbDc)rWtM@YwX4|RLG`Y5^I+d?!cwqCLz>8Hd^aZL3qh6fvWMKY(w z(8YY}t>?s~$lHSX<|*4Ta95Ij07(jBts0(6u?FzJ4te0~i(KWd_#CXQdYTC}c*vVp z4=j#J*O}UUcQ!&Shm_}>U`9MHx|R+3oo#30SRD}_;~|2Mw4j6PSifj%dr zCHymf2UDv^k_J+u;+u{HL8aS{Gq|uZngfz^x)>ODB335EqMTtcb2-64sx;D15mSpl ziZL^fA*-0bjAo|!N}+yG4e;-(=)#IM zHH6v0$m$CBIEKY+9Z}aY>9QHGc;s@Yr$#P6C!#HV=BsmEn38*oa z`=U)D0TDKcrowf*nR%GUu%E9AdeE@U&#^Mruzd^816+G-#Qo4{9)_U zGKl<)oby&C_qsYx1K9NmLEg9ub}?gvUVr&YDZP{etOBObYzhz8n>rN?^#ZV)>*6u% z`o)vsaubu<>Ii;DT@h|#ZmO^Rbf=%EyXV^%md&5t*0%1m53_XssOkYXrSoU=rb2EM zCD~?=E^*{heg6mwJ*DsUnBnr=Qg#toI6lfY@_!YriT*9v^P}@Jpj^ynAE>gFV}BLBwQ|Xt?|X zC=DW~jR!U4&%>SQ}HTcEFh5?Uc+8&~0`03TZi&;ya02G0`_| z<0G4A|BJb|jH{~o`iGC8fV3h=BZ45pp;Hj0yZewL-QA6Vl2V86ZmC0;lyKH{@e*47d@eR&CduGuqI11^KvnGO)ne(5@B<%-bSBa(!l{;> zIJjXT3oP)0QcK7|hOCw>UyHXupkC<*N+^|J=Xg-C5Qyz7#qZzWvacG01D0mlYqS>o zz)Jh(II@&$PxuvXtr=o!jvnQDX2Hg{AzPV(H3qTZmP&0^27J(4*B;$Co7x01WUJ`3gOk6NG2}%B*qlRiJl` z{^4cb!jUIe)p)2f1%4zo!d`(us&@J~YwB8~T%gQ+Pl6*vJ!Ab8yr1(GDiTnQRn;i4 z9Qy`e<3@d8VfZk4O zR`-FOd^AhW;e2VC%q5oadlo$^hSg_R8wvS+Z_F8uMn}QG1)De@F5zmv# z_dV1$gc+JG+YYvcW}&`Bu|jE%dS7TP?JRfpwZtODmpwZQoi5PIw(RW-vu8!SJYXMfL51%yMsKJxgr($}lf{Q>0^Mc%@qk89nt$@vd#zU| zkdCT8{c?2%Xdy6{?_B>dcrO3Q1yqBJDakY@^IHObf%>skuy`qql$Z9iDJ3QD^J=|U z<@JBGyV7;cIhFQtynd+Tif2(x@8=k9)&YTa)_a|9hM_c?veSjklkIlHiaZ5j%BI*> zTpdDrb>hNL{M*=nlY^x~OcOdEx>cDKfasC|kuaj%z2<>x0zU)z&{q!-i zHXa3%yGNM)4D&_b4WS8j@xmQ8q-$zJ6fRdVJJ;FIMC%@gzh6*&@$|Vds-WH%(zcs5 z)pS;T_3UdfIM zB{h3t4FppoX^k;dkk$OLwb{#v*Ft?cai4&FNEy4f;(8&RK2Ohx76{mI{Vu?k4grV3&GP2?$ zmMXE|od``~?f-hm5UL;zFCLjefdq0C^wNHP5XzvIG=Sf^hN`A2QgGqlsJ(;zeQF;| zRfEs=niKslWxNi3cat5=yvOt!?=lze$0D*Wsj6OF-jvdd-?yEIf7pWDj+=2jCgMX0 z%nL#-$(s1DW4ImM5>Gqcwu3v3#q~_*34g@cYDxT$whe)qS zY=Po;q+UcT1bj@q?7AEc6!mpHRty+b?K6c4YN~GAPkr~xz8@Vax#oriJ`uzse>dDv z@lP;kxg-Y9)-Mxd26FsTe#Lp?E=CR+Y96Vw$Po1o?-*ftE|9Whf+tEjTPFNp0szAN z7J=FV?=R6?R;9Xg@1@K6<1BXq^f~Ww-(>cD}XPvS8{YsVuP; zB)D`fz1z;zeiibbIH`Yqb1-rzf=LB%zP#`qbh);iO+#NpBS&^P z*%>qAn9HPH7n31X$RN7NmO*R9Kl}2;;>Rp3C!9narQgMsk3CwiBcY|19L0{p#^Et1JHs5=K z*5OfwzCG&h1r9%_)-Sa`I1Z2BWPapVN>^pJY)m)Y4@3Q$G$#ve{G3j7<8+f!)Z1`W z9yWfW5)jdwg~%Xtw3n=89YgQUGM-hTw0sjrdTz_VOur(wdZ8bhkij7svX0@TY$KR9 zeEuvjy0o+mBy}t2d8tg5#*F^G*rM)*#6(xZD+ya>md}L>{>P6>&?GUUacQ|{JQu^F zg#=a&v&6%b`j9vR4Z%@~Rk^pDkCl_5mK+JY7RAQS%uz&DYO_=QoH-67J&Grc=-X1d z)G*ycL1yrN)&pKtk5)09i+Q-_leG#le?mG{;8kF`EBKHcQ1t|L^wWGrTVLiZ`_I$A z1Dle8D`0~MM>Q~MD_O}BXh4KJVm^;qW#4`^J~k=0jA4o{&cCY|M(L&Hb33F?-7Nzgzj68Hw}gX6)6c^>2j$N~QJkD1c+c0SP!5wUv&!OmBK^uE)L3 z-IP~vzmt8~dLc=gb0jqL0^`U~uqv(40aRJMgcLl=B7cKjl0qghqZrOs1}i6NDv9dJ zIruYN@bjX|BJb@p+e|w3rop~DntydpRi%n_)^|Fv*Y*(vKxVK>9n5<~EPiQ3!5D8NTE+#1}5brmcLPlC6#Oj?vBd zgIh2Tw_owCLK5B#Lkzp0wp^&&P`T>Q2}|M(-|jnN-rsr7GwnuyO2g(1ER*SGw|w-n zJ!M+eE)?UQf>WZyn9Y%$lNR)_QjH>CLv+ z=JTJ=z%}6zW{$cPkadTS#eNH83n%YbcoR($?=R#vpXN_mgxt-9liQ9@zl;zsKQ7JS zfl!kf@X8aJJSvd=o{pQb^hc=e-6s$lvS~n)J=^1eJjSD!njE!ZQH!cdD8{AJk}?` zZXM^R{|65Osz_;dktKe=*-Om{R1PBi?gkgePEIvzr_nqkH zaweHNhNQy-h6ItFv~d`5C-LW_JVRGPMLih*xF4jDuOW1kg13y_GSDyp9CWRJ22Bl> zQesiEGm0)oO>=XDR3F7xem)tzvH@FHS|C>_#?jr91^YksDG|4eo{50{^C=uKa`B4?lUCN#zP4G z1<##r%7>xd zmruNvX#b$Pn>(ISZWM|zdu!Up*8Kh*5n-;Ev4Djn^L*u9b5{0xjYK$vZ+yhA@q*^} zV!Z{yi{Ycdl)ZXTaKBoAZ@aog`ouC98+ad@*iWT4&d^-W0EA$xkCcLXClqEB2 z%WPwpuj|xj?hcvOHVFF~+RA%~3AlUHI|(aSc4ifn)p@PF8+tN&Bsq%E(XSrXEqyzy zUabkk4qLFCAE`*73wY6wL6ZztiDRw+8IgcPd=vcCt}T$kYH$0HN9~?gheM)gwe?|p zL8UF>WmeTlwC>i8z3qDCXF8fP@N=(t`JDCNE+urK`kCL}kTVna9qU^Nhl`HQ&euS z^Y{W8K#y*}P!Rlt05l|;;U=+a5f;|#m06=oGJs^?tQquzz+4Pi%!Y;~QPqBjng!bET9Ear4mXV8N&_yJc)@3L)qxg`Rbr zr&d#l3A-5VEUI++7;qr)uW-E%08)fSw=> z&6tFg5@MXcH~k{nyP*^w^m`$03+~RE5BGL0{|TjJfNH_h(ytc(0;eHIoQGtC1WVvP zCQLF2)~Ira^XA+~<)5?_)VzA|Y;?He zzY;e$g`ovfx@p(AN;ic%b0j*8Z_(4SmG?p@ThsNVVl6jB=={%+bj1s!erOSbY*V-9 z->&Lle7(V!Dtjf*J+MRZtvGeAvxM;VysDWHbk0E`NaxGV#^;}n$hX@Ql>em}wlc^YAe+D&&TcWEr}OV% zNt9;ri;pB5@t=WeJWiiEtOD!O)GaVjAZl?%4TNizT*h@*GSETe)_eRnv!!6<8M_ak zv3u?HS%m9NkIlmjRRB)_@cyU!dPniUH&Chj%MHuQPr^*Mke`)nJT+1dHfI4_PH#i| zTgFk0?EBie&soE|muT8Pt-hkYi6#f z!If5I|HERhbI2KFTB|(h=ib?gaKsN6A~RV|%cz&KY830gFf?VI9(m1R5<}5MSZL4q z!UQs9>i^tuwqI@ZQ(tvN>xWS&*G%b>@49`BG((^Z*LSdZ+0SDMja}K;@fJV%|JB)L zTg^&y{zj8?jp2j2l?wg6cK-Tu!SX*w+x&kT?c8H>7`OT4vZ^IdLr?u+L&cM_5Y?hL znnRD~{o0?YpU1^6$9d~=!a%S`QBCCY8x5HWb5e=p`jvV{YHU^+(ayB)5oahH$orSq zBDvG~Jo^Znnn>-ipViEqy(~vvRQ=2DHHOcDYrbZcDw=yuf3R9GUsB|)Hw|A`Z-BXO z_=Ibg4w^1oDshZU4QVZT?8eU)&ko_+ZB%pYJ2F9wQi=4PG(Q4Y3H->j4hSN@Z5ZvT zpodi>><0ILKBbGC+owS_XT5-%kLFNW^No=H2V{)gVaZ_?{{G14wge3e;|EMAn1T&04&moBW4GqfS*#~DDr zFRU^80sCOaaN3qM(iWaGV*h&go3t+ur2{^xn~RnZglqzaVX#l zx{R|QYYu%hw^l|)m>b(rzwB>T-jCi*>l&MEwd^(od^(RS4MX=FYIE?a3gT}cZ1<-P z@%2CU)pW3=XnYsp#cTG#Dg%e0;^QM+zWR(Ont%Md`Qi;|td{jzDP|KRJYC}>?C*sK zn526n`jlNhfgp)fZ#_C->7Al0Mi`=mTJspQA2}ignSYv1aLVjT7in~a?4H~f^jKM! z&0A%)7;{@Wl7@MN@A$+iHzujMZ@K5l6p@uejU+#tc+;3~?C@+4-h%<@wO z>{XVkwlC0_BuG|?E54J2yJaz0$e^_66C5n9rqA^u1k4?anhwS7)4}_|&27b`-D%dn ztU~g~mPBevO&NT}U}G+#JsNs8J8lbttb>6^F0Eo*=zd{I*k!qQN&Hr8fBND_wQM$! zFXHDPRcU!Qb)BNJIglN+qzuK+QU@t45xK@j*Fda>t38Jv6@GVmj49NL*zTHfpXOg3 z5Ye+Ty~amL`Wmy8vHeW8 zKPUZ(YX1yB>L7c(NcL1Z*OdJW{Ca`t;y*4JPt+pPBKhLPYxH(=c49e8U_p`WlLUbT zX1&RI-pQFpJ8P=MyJcW%yQ>Y;>_DZnIxUg!)H#{2Jvn=kDs#r7q=@R``#okOZcaNF zU9x$Gpw56paY6r?1(90U_(wIJFGJ8_@MhHn^w8#PlIZ=?h;6%VbsYewg;KP;o%RH2RHo1QWv3plxozw(HEWXlZ$#s*QwTC(ZXF}@w zK1mG9lhtOwQtBDIn@}yqL#wtKscCvkh$Rk{X(<>JJQl+f`R%)X6b?VOUZZNL<$-KO z5l3?EAT~HECOG(H`YpzZM8TVDz8lsPPBz7w8IlNgV0MxP?vGbbr-GB*jbmKH1T zYBnh5@bv5AS@&uCcH8-4%miq2eWo8gVVA@B?gJ#W{>JXR=JOg#qvngM+PN_5k6ck6 zHa0$#jF?=@y=$r1BG>A4mL+$U^(#q9E+I2zHwHrS4mzYxsZKwzkL+%XOpR6e!1GwJ=RMlf0R>>#b`05SpE!NZ2Nix6u(H z+4v~ji`P%$Z1MEaCt}x$SF!#3HG`OGWVUk5gmERq`fLRZ+Gy;$2bnkfKhY(W1a~rX zk`RU{o7~h&5ztYwq5QUYnDm90k78q-1U-yxUY)u#+op!iihUKrHLV}p^kL5Y8>%(u z%dU9HwWhU&pNHH`gTTKRuFSfIv5YO=@EnoX0V?@}f=|bD5QZldeyO7Y>ebU!E_mwrUxyc8UawpHb{nwD3be z&&@;ImXF)pUk0H)jiV{u@~1^Dy$6(jyqwJzqrrf(XnsTc)o6KCghM*%roT!b@3Q7I zz(nmfG*Ca3HS197)&O#B8#kO53!tn#h3xXf%eDX;R3Btacr+nX8f>Kl!2-+S|#!}A^9GPZAVTLN)lst zS-z-8{{#d2ELn}1p6k~k{Pa7~hADD4sKJ5upRhA@($jxawe1l66H_$cpAfx3n#hL` zz5kz<6tB~?NzxA9DHMWHaeUp^dhIT_PGmo{Gn=_{D6d2oPr{$ANLlY{{u$o9yBwuS znuvp5E-2Sz@g9OFE*CVOcvxvbRf=u1jTy|SZ3YyV9f4pZ=@A85VMX+d(z&-14Cg;#+x0xd_%ahbe=x~{*9+irZAG&)6_}uGExUxDCNU!+(bpkCm z^Xr={FcQGfHPcHIUn-`E10G~4O-XCml>nP;CGKf!(+Sxgu3ey?CBlAEJ? znOJYO`)8)4;qW(PN6}rP#;{gnzwjmB<4;pFDmaX^-2ucOxMepqQH??b?{jg6Q~Jl7 zF3Wk6X8D!n1M7kqS%vpOPv#2QmG|Wh^J%*LSUXhAj<5WqdfJu!uR*$aoAWNl#VFTE znxcU&7^oZ_>efnzshq8tnqBCxQ8?NgC~4$BzJ1<6_4Nmt9}o#!p*8D8%vwr1pJpH( z);%Uw>|KC$GaDrY7ozh0r*Mg&{#_2!j%|-cy<4`022b4!SzBHljP48ugQ=sXNs!z# zI_gVl@rofMB@?!!Brav@&${a?n_0Y;k&z(zkhzSe?Zd2;=iT}|NbTjcL=v0LC) z&?vDQta!hwZ8zO^#HY62QOz;iFQ+Zo+R9`j`IETIPdST7>#m5vz`aNjvqbX+r zuOQkqCE$ehvI^0wlnQR=Tvqr)P`H!g61_!D{+;=8_xAD3kpUmk4dmiopVH=)5VL!s zjqO}}K;nv{iodb~UbxU)V8mxFBn6@^vgTdgiz2#u$<(PTfgT;@yLt;TAilw?081WA zpJ($byI)jKS%)lkLPu%Z2U^QnXPHz078D#wAbPq+_5*@*ht0?$6-K4u^0 zdlBp(8(J{TOD(>{;QN%WL#?F^C1n^m4@(|W<-J9PD=jklq+I%4pC43F=4R-~wMLpkBuWU5Yau#jj zLixw3FC~3eV9qMZ=T$nu`pg0A`&Wtn&OM@=$Gdf4IvGO%xa7t}iEW>pvJY3u{zjx+!X6O{ojo zo6U}cseC#YoAj_=i98=plBNw%PzYu(WF&ND#W`fI?@MQmx0& z{bosfh>{5Tk*NC0_N)bbx6G0*x);iJBJrdQdFGJmc8OoTe5~_Zcy2&O=yVt6m--(h zclC}hIr4Q+Sn-Xyzba9j8ZfNY0a(wxRiqd(-se2nU>&J*rFzKZ!ACrYE$U2F%Vku3 z5WE42q&08STG0aI3O-1LQaBF)xEpoo8~9JA6TeEq1<~x6pCxk~VAIf3>a=P^BbKOW z6Y-rAnGA;iXY}Eeu6GdxXF$T|_T+ZHQw1C^tPWxs+Qa5g$4W{w7SmgX7Wl_aPd}>) zeYz`a#!J=Ro>bQt{0)5woxd4l3{TllnTS(uu1f=Ls1`e`Sn zZdXSlP<2%|TJp0bNzez*!Nh=bN=gH%2hSA;!1VI=I>v`Dm(qFFzYdX)&x}`VIjP8r%cSNGZkub~#)$M#KetyNDs0QX&!Fq#BQjfyJSSLKI-v>tioPfW98e(dE zl~HTTTKskW1GnPMU(-QMv+~jIv2io%p&clSXB7&oCvq9hR{XT3d$)@Fq=5dSVbh#1 zG73sq%tgaMWDt=l)$jJ~hqr)RKD}*{=Q}2YW#GI}jUA@%!Pd9IxgqoSwZps1cGnP+#OF@9aeS zB(?`%Nvt|4gvZLM0_=$t?J7K9@-P!<8ch$R+-Rn`9UfY*Z;qIvYd7@Z<#NirpP}lJ z3ee|UcH?iO*42uNHvLJ#H?@VBe@COMl6uT*22Gcko8cYQ=^o=sa~84EN{Onz*+1Fr zkMzMG<+BPf=|_nm1=BO?2QdJ;@Lk?T7v;ItwMNsiCp`f0i$|v^(?S=JWy? zx1+YXuYKjU!9`t;`d3BnQ+&639u!FGcw?-+2To1AEzZnqm-q3?YVf<#G7m|e`cn6c zD|y%SQk%4TG3#&wci!EL!J8fO@Oyq$-zt9CiJrnsj(gL0QYX%4`lh^lEU^;T_Pp2; z8e-#VliN&xdI`zIgGPPPX=tTu-%UBZI?a&nib;~Fn2?Z?<4N)93NhE9u-sBRY^^56 zqPopRJ42EgQaDkgkf9px_f9H+5DXr#Sj#P*Mfj?oDEc3&R4!bA^5UF}Ox`q$zC7I8 zLNcUK%7xy3@U|s8_wRgj!DPl$*WtwDF4r^$_N=+aZB0yvDxg%~Y~+_hNvui2&coJn z$gu&ciq5igO9|z=LK5~#!koi$cuhUcwyc!Dd^^$%E1xP!##igP*mQf*j6Ob< zSe7n{WU;l@S=axo`ZkAbaC)JTVr-nvD0*eCQGvFskSX7gOm_Rqs3L8}*IXm!b1=L8 z`V~pHF->1ZburJ?PW5zV>;*X5^m#IllbdTz@Lk!kw7uK1K>mgFz7t`BF4yt)g}yD> zeKZ8dRIUvN+|e%(o%;9A4LFaApPCUFcHGMuLZkM_Km4dZlKaXxK5lpK-m*5xIC#wN ziqL&R&Z`8c|A+;OAgc~#l@uLN^#5FSbS&mNK3;L*?sdNc#Z;w5y>9DmLA3zgq6&kN zYd->w%EP_GCCxPoNSiT3HcWn%h+xG$(-yrsLbCA&^kK-;ZsR<>tegI$cZ`}TSkCy7 z{oqp&h>zNg$fx8F1O>EYn*mi2Julv_-`m{C_g-)|mO3}DS#x*%h#9C*@fxCWNh?Th zMg#(p07NxvTRU)!NZz_?PbyCrM@H?3#q>r`_aZzxJJ=@hsxd)6VGvQGJGPHH3DUP8 z7DHVY_QxtT>XOUk;oG4=XRV^(EKKW3674XD8ukT_+)8-KLnE^;tYo?oVjsm0e}9GO zn2)i7K%BtH9ON3pS8Gd2v_(@!!>`A7Q_dxu)y~_O#A4VhRoN>ZSooh;fo}h4JoIeC zX;-4(dwo05HmyD!N|v|g-aYwc9%b0@MHyyRn@xHP{k`ySAuuvXFAJ&=h^|pL`(b;+ zta_76lgnzgN7-4#gH2lXUPJ!B$Q?XZq(@+Rjh`-Yv60XV{9ChTJ4dxQ3rZ0uY0gt0 z>bD_a_Vc{Nm_h-M-EwQT1_}o9C`}jU$++N7q>iy~?r;P8xn*#;uu=j7tpObWtIk!> z_PEdI#pV&4w#)UUo*jFWrMY0whTLjd$S`w7f{fc3a96FV+<9Tt?b=>bg0vYOZlTR} z74N^iD<=zTZ3oOE@b0>_A8H^X)pP!b{5J#;R_Y%!4MV`Q3yb{DF+WYOfXWQwP`6eS zn_G|*w>P(VtQ0nXJu&K0nYL209SA}3sTKqy|5QT1|cc4D_T zcQ3ljEJel-O_B+AI{w1Ohjz+(snt}O8b0eX$=o7wD(aa--va29k{MB}44~RA8?#Ti zo5_o4-51@Oj&=TgOJPdUWBR@KnZ7cgnWJ=U%#G7CY^4OY$vV<^76*Gj;ekU;SBlX4 zNT`K*_0sb`q3qPpb~u1Zo9%#4(TK|2d{uJi)51 z5CFw$+UmP=ucM=b)8*kLsuBZpjlbY6W1do#`MHW24tK?oLpSF!F6tk%OFoIdKy12Y z$Id~TgNwLbI|{;175!^M?|b!Rm{-^Br(39i$xEGnjI}T~n`QS|P`|~4DJVHs7tf2f zFgBr2*phN&*p=JQu*}Ebgi1asYzo?&v~rqLE=^ex{YkOe5nPjt;;4V>UthWU*xgr_ z!JV}SzWJ`+HY&P+M{=Q-fs88+?xm~<2mMsdH&g~c&8~$S(~lj!FDiwaSI;cz-+eOi zG_y2>t_S5#7n=Sfu#o#cHN*^6lXVj}udx`zLL!h7hl4-OhCpseCaKUcrL_@i{4AAk zrAj~XqqWu!CTGL=QVgI9r+|5y*^d6hBzABj-8JCTm^1Cv#4Cva2UM-smKN!8`uBS$8p&f7 zN2N_3F|*>6S0CAr{+9PHZ%t z@^FyF|3We3p9*jgXbR{PK*0y##RPEkFJL`-c=-2y;Nb(xzJ6DNK( z=Bwd4CGdSjz{5YothnoY94Rnz(y|p$0~D+PZ#7B>s^lY8V_Kj9&HKEQ|G)VN$h-00 z$x?F`nR}1YKM0ffy~*z-;Il4~*(R<)AliFA$)J#ob}Wbd8k7Yf1z<>z%~N3QDQ^?# z!^&p^1-|zR!yJx}(w!UNBv-VJ-pdPV#cRruRBFKv4l%1`7kbG+GJB!ZXQ$PXq3Du0~Cr|+SV2`ot0R<2UsM`t@ zK>u$v^i~({{ZeDiiZSBQ-O|l~rNKt02lFq9@)F>U8wN)%E^^95^3PvOHdKan3I5V` zI$3duHhd@!CNVg4RWU*NJGA^3=tk~wyTw$x9V}Jtfm#`PZ!k#&E?LA_g#baCT7Z$y z-{E;yH+rn9Iv>*re=GPYfUx*b29+x;Cd_@{hL{q#hCs@UxjE^7R4kxsIydIXd-z>K z3|wOsH&$Dp#6>CS5QJs+W!qKdBu?akfkKGtIZ1|s)76H$|E4bX{YWvz%Ll6YV<(UJ zY~_HtpurXIwXpM4IwwGf7P)X;nW*$2 zuyn0VwVCc`lUxwcQ&kv`Q zM{^Ed@mM6v0~5!9If2rjzAG@Sli8qlwqJdgxmrF%{g-0_MoaMz{P91ko_kl@M}kWk z`;j2!F|_yP>c%HTgoyE9?gbrHTioDcM)7~A@TK`{4y4suqI0wi4E-9R_isUd z3*P073<7}?<>A083;2&y78L&QHfTo_9QUvi08NEK82y3%KtD;UwNQW|fb_Uzs`>bd zib5^swd>^?qDOm)Q;;;!s^Aw2JH=fghM z0@g}D_D4+Z$=E)q;~Lc*SPjLHH!ExS1_l*t2_x-}bZmUNuw$2dCct0Rq<*8Vd#Bt0 zpd<+Nso;Omnq9_Th^}mS3neaX}9&nJlq~E<=y);b^e|xuC3*A>f&#Y>PGZVMO(@e&- z@jM8`r{PZl;;{Shj|{-7;o-MDte1S>SI+b~Bd9J#Ui6f17mi06y5|M&PaH*9dNn<@ zu-(5%eh_J^HdcXQ!HRN0pxS44JARci@-)(#S<7jO>S?~}Wa2mm9Hy6=&9!8@#Weo* z4*&yl=E#PM?7I%m+abb6fYjkjyDllRVofui6ONoIciYXxJsR)|4Wg$5$kT%RB66Op ze2gm_@Y$Y!G)U9lOoPAaUTV>nRHLP7`b_s~*)U&+?9Tn|YMVy7sNKZTrpXIS`z*w+ z+j3kIRxh6Sq%{+P_n_M9gYAK$*vIVul^Z5wY2Y3QC zD8O-O3Z(z4eu*@A&^pA{vYH^|km(ulV`5CP;V@*s^MVTvD0%iyK9HqH|CcqtfmJ3@ z()ioTD~yMJKn8z_^kMi*{*S-3fhrz|DK43SFm~mUMM(8P?vg|Xe_0cO9N0W_${c&@ zOMcgGX%{(aD8O@_OC}6OjN%unA#urdD$UR^-MtWKFunXO1!Te_R|bcUxec}0zeXeHeisM<}NIMy^o4@URqDPU*c=kD{_ zt_dZ}bbD_F#bwO+7KZ2aO`?ME&He*Of0#<>>~dmB#D<-*7O>Y=KE5Xgw>TW!y{fme zu3F3Vygha66s=PA)#{1vqUipEt!QGm))c!VJpeg#Y7*3h)|{uFM^V`guAOiSYEbqV!>-O9lFn9qFR+(O&c_hJnmmSMkuFwB=#|8 z$wgua=8d{e<)ZICETF#e#!XbV&K_oeLHsJ+#%p1;Dr34u)jC|$p}MW(yR4!&xZYKgvQN?+_mv3dPF7^QNs|2@syV5(c0x^P2}UsoBC?6jF0OZ3gbr}3h{Qn zD&8m?zB}KO*#l?C`lZAy`fongOCn~+3&|E_n|8n!- z&$=%4rE|e`@%5?=fo_e*P?2|&nKkT<*qf5owD`W`rQKILN~LK4ddvt~H`MU471%4j zRyDjl9Jbvs72{-FlW5GnfoAFcwgfFU$V#4A52rG@yYH(}O)i^Ylt6b%g8#VVfX(@; zrEo5;Kb-N+Sp(;bS$LI2!ka;20)5!r^-yICgSW69GvUeI=Cd&WI8jZH3A@K!X-XuN8GJjC ze(^c88M|`Zb8@+OS-gKUUS-IC78@HvnY({2#~3(EgG?0BY~QE3xj&PLx7xIS-d7~y za5k=P^KE${x@o6Fs$ZfaxO1-JO_^Tkx3#^RhR2-aK7Q{hEkb_ZW1_qItOu|xI}vvg z`2`MM&)PSr+VDHKzttoV?0dqB)3yD4HHnIVKMH@EFpmCrLU#96(|gxq$Enwqmvi`1 zZW_Us2|<(l6Rv1Bt-6b27DpDhb1QuP_93hqR|62IOYFL4Fdord#OXHWuxOpKAr5dWpl%GN8y{RQKrf?}`1=QA4Xk_wv`Vg~?!? z7No1+k96y!7Z$_Yds-I{sG}F_d)_$T&9jia&1~!V`E=D-%1pM)B0;B--($C>fcb@2 zJ;7KH5rRwX_s3O1vcRLvM@%>#n7e1{llmKY(-pY-k>Vw%45IOJ&j%Bra~{v7QU+z* zG|(+BFLrY_G%FAW&m~gN^1Iqm%GPr*m?} zyyVo>3bS|@D9pOp{E0Rcj6q2dWMOu?w%#|vRKl#GQ7X04!+hUkt10_|!hVT~Hd_3s zYJ7sJ7nYhhw9cA9ma%PMdgXGpL3b5=lFK)3^XGbl&cS>Cy>zH9-^c6i(7@q$f|Amk z&6S8_d)XOZCB!n`4x=m#TT^r|q*s0cN;GG?5jxGv%tf4p^)bD3)CZq4rgbV_C-}y? zyJ2xp(Q^N~shoI=m&05$+><{8?A~&8gsW;pF|*#)`;z`~^mJ61w990N^gOixcy|`F zV6lkzl8K;F`qKzSvo=_@0DXovz1Dqkx1_X`Gff*U536Pu+k=zpx(>&(*8Nv0ivi73 zYg#1IpCQ6Ym4kx=J-cGFznxh7zI3|Rth|3Ibie)<-`mM`uWxyqn$_`(HvMLWg$7zV zcL(%ZeW2=1l!fVr##~7B=RGM5z!f7&5|%9ex~Fp9bP{SNW*xye??tFRKvPLIA^G}K zx(TSe+{_5xg!92W(B@fYTb}Q6kgT7PeJEdqMct}JLUd2hCU}#jW$&HrUHSgJDN^t3 z(PR6r*$PdrI5WW5%92r%4Ze3Ls@HmAhFB(SQa+`p-(!4jpTf;h48|k4kIjhNTfI7c zTi^#qrb(VL8-95WD#Ha>QQR!YK+0TDDqmnW!+PC}@CF}`e@*DXIq$l~f)SFkVGVt$ zoHK}Lo2EMetCQBIv`m)-e=xh>;(zq`oyEQTthGYF-F)b7?ZV;fmkXcSZo2Z)WzpPU z&o~{dv^F6&2*#(LWM4&TrPi01b`EUpbsE40zt!Pt?qS%iU(GuzzQI?=n{3u(`lpx3RJHB%}SL7d#hpXnc_- z-0&t1Yh-Kc*W&4g7d!S^(7y|k}=ip z<;A{?rk77oxm6*$&aF}?k0W}u4>baaB$?1QdX=CXCw z#FiVvn7K(ZV5W078K#;^YwuX#Sl{js>f(lj{I-;}HDR6ts{&NcFwz!YD?STc6{9E5 z4rWnX%Wt*68X63^;_YWLjEhft4I%;hW=o|uwe_R-+r|u89JA|7+NZJtDY!_#)GWre z+Q~UL)e4yk4#OP5PW%=lkK1X#;wok9)kPqrD>6$wlTG<8brLWuU(B-3_-KG}Fp7}9 zJ(cV&6B_$j-xs#HMpoI^<&u5=X`E*)&O}dxwQB7WEA93D<>0&@FZX`hsmAtqHd@^# z4@BqG{DQ5HfDGeum=z2E2R2QZv0YS<-qI>(^27KV+Zsu5YQYH>(hSTiY8d z`5NQ3?}~3eRa@PFUVyE-x~5Z`YlSrhF{NEovj>NUo~Dx}uLI3aV1ugW<*!|5)_7i&7b^nsCnp793}5rn2`jkNm3`mX?a90w1QJa~XP;+G?w_;F z?i?%S+?QJ`${>zg<`dfT9@XFk1{}vTJBr3$d)_$#DG;5`f(++Gp+GtkgS}O|@227v z`(x6Ub%K4rq4eF27Ips@b8i7vSCgy_6WrY$g6qL0NP;G~ySp6RouI*kySux)1&81c z0fJkw;P4-K-?=k)?#!L}zW?5}zP(uVIs5dkes)!LRdwy&-Pp_yQJ(_8f-F{v(4&sm z;UQxJO4=fk;8Kc5>@b@#J$ExVRm4D&r0mG@xf&+JU08GI*my!IZt%h^+@@S}vK$pM z*>t@z3)YLeme^h%qQz)wmM}6ia}jecoh~`pD7cxl1f&m)j9u5YG1>sFN4>NPB2QswLMvd6rf~E&BLt}g zncFtFFpD+7W+_4;g@(4X%!Ift__H9+@2sdR|OxHZNvB`lf23jfDYF0Yx#N$<45IPo?c3>=BQ|t@*nBGTtjPJxQL~WWw(dT1RiafotI(iD zY%QoL|CdAk$ew7m90>u2R8!?I=WZW{Asc}1;nbCaz>3vnq;xlirDsco*BhuFbiHSc z+CRJVr+U!!eFJukIfn?31aE9@9PMwkK>h|HnW_*y|J|;DGLwV>j`FI8*4|<2?tVkj@K5L z6>J>!9gRr=%+kh2=KB8yzk;!Yjg!5h@yi9T(3q9nY>h!=O^pQv{>p_HG=FeG!PwAI zla-5uiG!Pzm4k_kos^A@lZl;`l#PoCz^%=!=w#sdLP5&h+LHMLh;7OWpJ>b+9c_7; znO$65m|V?)=GLxEhBj8T%!)5R3J5R@+1MKy+rKgvp#2AcSHAu&f)_sgEdtQo3|3N3 zPIe|1c2ZVuRwhnPQce&m9?rjm@Skam7&|!9h=VTWP+hXNm;^79# zoSTW0l!KLviHDt(lMMj6>3`jpH0V+$HZ}l&hv}oSzLB}LDJzq(jg^&+HIp#V#?X>U z?30)*lZ&~d8I#cKcLk8y*0(ld5-|s9qPc;Sqp=Ya=-fYp`l1g16)Fci4--g3LE6T| z!A{D-2>?OZ@1KjiB0hhYD2WD4p_{~0S67ZWEpDH}V0iIbI- z1H?Wy4pt`if0uLrn@C@b*WYlJ1%!`{71T>uNm;l+%;fwN^#21qeKD_pgOrtvn~9s1 zlof;$08&RTo>y}QG9x_yCRQ#^9*+O$(*IEY|BhDv)w%p(RzWHbk}eD93kTUi29*`W zK@dOx9a;Y?qxR}h{)PkW04|W~vvG5R{)0?E69*|956H6p8~Xn*Tlzaw{h}4@9Dg_r z0LWF4vU76%JN^D&w)6L9`qd?{vT?kK^iP+-%Fg-ki1c4J^^eUg$cenTpTD>S9+0j7 zH{8$vvP*c44E{#Ytgme0;9&- z{){Jt9k@xkIbXvZkgFF1#V4Q|Vl1Evf5bM-Vjye5^G966EXD?^`x**?>dM*M7%Cb& zYBI}-h%qY}yEK6wdsA+LtGg!dWtp8kkG0qxsm%TqF9n%NeBE_q)keTfniKxZl~|IYwb}#hMo4 zD6BYQY!9ObGd7BDvoWD7cm>6qHE%DLLjlcLdKtoOE^KoL> zr@%2~b^Wbvpu%?y#AeT=whY-%P6)nx9geWyz>g^Ri zL9H))m>FL-)=}g0p))OoDt)}51V@oa9sKG7F6sXvPMTD(6CFDMX>kz=IWv-P^HS>Y z;e2=UpeKcOMk7opK_a36niETwR|GVXU!sP^Xf1Sp`szr9#(@GX@oUd_O+QRA!@bXX zdQQbesX{7AI@Jdbj?U*|X^ba3V>v_8#jJfo39QRfw^q{?30@+&l(y@sEs!E*xw_{2 zq>&%7K9|ET)YK_6YOqufC)CXKyi>-v=`%1e*2dq}OJ*SYJx&IfUvbm`IaKOL=sbpU zISMxh-!Dgypgy%yxi|%J8ZW>-lFxs^Pb5$Oof_%%>?6Fh22McPuLQn&{GwTXH6iif zsZ_gZ&$w_VGq8{Rbk!w}SgCAg0&$lq`<{v#xed~n?^i2AI@o7%xBF?bx1{xEeT%D? z(X0GfzPz)gOU-~-l@U_dI}^B9cJ zzgVe0)t;G4gR$Q@a_trO=&e6=8JzEDR)?oab0{H-UiN18p=XxXG<+)_+>Mc`P02J3 z!~MF20QqzC#$#s11@#%xVyYeorRU51=4w=YlflC$`}eVn1yC|y)RNp;AmaCw*20?=a>wZ_5D!{VHbvT zf_nAm>COEqxdB*L`j@O2qayWI5pbO9NIubkDH1PXj2WYRVG$nk0wEH+WfhA&gw+7b z$wml%W*^nkczBkj7&2K-aAsl=4XAV=;!uxH83$=e3}#D#ZMd0y~;$}oEqX=u>&{V)nGb@!kxaELBVPE zt+S90JR)^v@aauhFg2*qYbr8QG#1ZK|wW0ULk$OFR`bhVz_OLEF zPuy9y@IBB=BdbC`)8dNclh?$vqrUl+wMv}?OKm|S&0Zq5!enb82!25r|BVdpM_<`D z>LD6vqY&MDyn@Y*J#FVU4F(lSJ3iepdu3yi{`;ewN*}jO5`9~^WLKWS3Eegs;TUh= z$CxB^ZN~1Ok8mI51cwa>NyOBX%kA+a<~B=)HsDS2oX5{kU{7&XT4`)V_)hgG`s*sW zOK>k;VF`BlT!(faEPui4Gyys8X=HBp8N@|uV!0+nPihjkRJo}OM(ATYEZ#Mk#NxbH zZm@Qn48E7{&8$ABDMUM0b#F~w`?X6IoQXRuO-K=fl2mldI+?ntN!uJJVdu8&><3@+ z-jA;U0$-P&g2C!*1xl94JJW%ser-a%-J~0|9MRepDf1T0(sy@U=@WusCr>BV{mvg# z!rGT>KXVKh*|zWZIklc}_V-$(OKL^e(El!K3aap{cm1h4uj%4zF$(+$@oOicXPb2o zOqsl&u+4*Y&Xnt8)7TU|7z6WO0Fx>v*Duz#&wVCiKw$zc&V1X0BVlG7D^E2ltVeV6 z*1cbN%w_?L3`FS(I+O4}ZsK&Le@V!AYV|E=h|N(A$UajNdr|eK!o0xn zgP(#M@O{J>JzNzx->}x3HlL&E%Lc4=xMUD;8jr8U(zv*Od@*^%g!+yfR@(KP0#3JV zipW*U5C7f^1J;vDW?W`-nn1{ZA_({Bjweoq+2b~1aWZ#+OynY zZQ%y8%hIM{AX!C33yR7Tegy9`!(Lwsmr0YOOMed9$-fb|9-2-FJe0mD=6<_wS%RCG z{&0K=HT|>3&1}vW#fEOvmQ_47jp*Ou^wM2j|LUumbF^Fv{vq$j z%v|tsBpHl@qBs7M0QEL+gPz}2oGn!|)bdAE%mm}jlDa+4j&D&!huKe(I|cEoXaX}n zAw26-0#Ka$IZGv2;F7B*r5Zxyh<`Q&g-`+hm`F8;!zM82AL(~nOVWT#Pxeo|eJ#D@x;h!^$vv?Lm!(%=OEh8^j-R;j= zEL%>-r5+9~h$}TkvHX>_cjd<1NKO+B`+MHM2!$n2?qmf6aUJ7JTabrPHUQ52nB)|8 zQf68gM-9#dAHY+Q%+)AGb{Zn`C1%$SAA-Pc{k@@hBJL1xc}h7=x2vERf><(T{24*P z1$Pql<{dgW)?P8ZNh;~Jm_rB4%8Fvljd!d@S&b4FX^>y!eS6Y}cc^QfJk0*--#(Lm zS-TA-96%5GwUAL=39GVnZ*eNmS@soH4Du-erJ%IM^k~6O?>cTF;V3zyVqWLk+-*8*Y%B( zV`Xspkn9S5J0eh17j=Ce7rv?dw;dX_adY(OT(}YHg+_}r82q}0lY*_fd)~Cgk2;FE zPq0m6^LYpwk0;TMdGv>guY&?AdtqLmk1Q?cst^hGgJap%-2RsJ zz}8o-@*tJdp$_g!_I(C8LkFe0X^(64ZvnuhK)bPKbi8XcpXmo!Le#t@*&Y?gWUEoEaK?MJrP=u zOb3xwy-P;ggwduF$^&LoGY^L1yJjA93LDL5Wi+zSHI$!^u_SBM zIVpRH`Xl7BfhHaXv0UboA+jq_R*)awboug4X`%2Pl}iPEi+-<6F1IP0-5fNQV`UT( zO$0@70RH>Sj@Qnom&YatWv`!cv3a4dx=`7Zpj1bs}d?B-++ zLucI09wN42TDg@PlSqpc9;WQQr}^1JFbFUe$^FBBnE2-SYi{SiO?-nAXRirsj@O~r zf18kgO=bU|B&1(+;Qufo{o3l^4s!oYNWY{P{+f^G`1{fL|6D%$^>+Uj0BDrW4I1@w zaxj4&1+arg+w7nm7%0W^_bKszmQVx@(AobZ!3kQK)7;*{Q5BRIXA;u~0+r0{ZJbQa z{>UjRfbz&pvbM(d`i|x{*8e2o$??~Wy_&3n1t@6`8Zm#eddbARK1l!#um8t~?95_Z zq}<%EQvOGycTn@7rwYRVSA+P!rj-61#Itg80sh4xzD#w*epw8A^@84gF^QGzl3N zlQZbZP#Ud9kEFHr>PW_H;$u9|`Tk)vabcW<8Z_VOiQuHz^)zQ5!6%esit`%3^;Y(* z#@_KZ*VEH;ly4^SHnG5}VR1(Pky6(=^=STfBDQman)gQ$=F)CA!q)`soh5XJj*80J z(7s1?(|$Tb{g1~{_P8Ck0mRIeJ=m?KwpJR6$PIteZI$gh)!BQRA_#!5^Ap)st8 z{a6f2?8{+F>-KM#DSjlUP^61-BOd3G(P7#T{Bvh6 z%%do9sXw6;OQ(YOtakdN(b3MM)%^&FL2E-XK}6SV zzujKsRKVS+pj}Zu36)?sKp)nES~=rwyjhh3$L8#k>4UOvhz0A6H-#aimb|Awb|7Xn zf{L|-BqQQ6NTHG8`!U$lP8!Huw|kwe57*;LMjCEA=BB2qmpDp~##Vw)!xG+q;PS`%lEE?9o-y0^epYZySVD65XP+k+ z?J2lZ>21Vd{410P%UzfW5tCGCU}kZhK2}F2sQ_y6Nf0r2Q)1jc*2Kgxs8HkB8-Jp! zX`z@G`k+lm_#=o?R6ChnJPA_h3yszgE^l1C^N-Km9Fa3!t9m_qV0;1;gImyTTOuGjHi?CupS4@>QED|5aJ_~R&Nfd5Gg{C}DdjVoIvvK$L^0+x1J^tNY zE|_sy5)0!Al*r%5x#6MIe)KNB`9bcu7fLqR4#PV-txBe^&p)DcWNPsF`%%3`X6d2~ za;(qhX=iU2okP%@JY~!`dKEv)koHY6_2qWRG<<<#i^6_j?}gqd52WpH3CmPV-J4B0 zU{^j@9w-3OIzol;m!OI&<-{a0;&X5MU95y=7%ySwu7@X)P-L%$<(rjfbm=(gDwX3c zeG=?wBKmK@TH6q3X{s|Fvei_e$Z~_=-+fOzlfQ%dR9ukZU}kvnmMg0Q-H*E*)wu+g z22RCp78bL1fmb3!$Tw|(3SV(Jvf3cZG?(??f8Z}i3RE|U-2#UqxaYEB$srTi0)VuR%+fh z9d7V?;avGpbWqA_qQXQui1oiK>CuLi=;+LypjNC&t213T4)@3sM(EFVKf{*|_39Fo z2Tgu8#nhMzKWEB)qcDf36npO!G@NJLxX#cpqM>yfDc#8brQey-TZ0g1Q`-dLF5|O! zNVi?66#X`f@I)ecwT6gFn6y_Ji$)H@urPl5sN`0%5cECz(y}+_7Tz#&Ne{1zllMBI zt39t?2E0Aqwh`Uerz@4Csh0bdGFIxtk8P!F_>I?xYegqUm_C@|DTd6rT#=yrtnJ_i&w?Y4nR*AVr3>{+Cxt~XVsc4?|WBcB{8r|ld z)b7L64P9spfppk1_8xG#5C|V(9+w!UCK2AJ z0j_%lS}wg@(;NNNXS4u&p$!YnNOV_&Gugz&&=3t<;v{t$#-PG1hRTyYt~y^}Y|O;A zPHftgNRpKVL=WI&jokK` z!oL3o5NWXCY{eAjP#VAu804Lzzx?{$kIB(ak0uRqY{a$_u3d(Xa_QV5OG+~zMhYVj*EEYe0bSVmqV z+Zj>1_f>bV6+EgiSZ`P|36YpQ=x0QajM zk$jj+F+7xANSF6^g?Gt&Xn0bt6Wb960gdwk*nC}Hdnp7Kf%NQfB6WPZ+tGx5M_AF8 zw>H(9E3*M<(?CKeN-6a-{Y##b-vE#W4&p`RZmB{bdv}$sEo;?~skIRV&FIQ}|jQ)NR%rC*TF;OL*sm z=Wz>pj@(@b<8K!~?f3>1@K=f4nP7G4cz zSlE+XB($76SIkSgN=B~?aT*QfWz`|-v#&PhY~(1}k2Px;xMPaxTUiQoidnJJ!-^(; z7Y)9l-m<4JV@EXx=DLO1>?J0jIeOSJpi z?r51|spw5a`CNF|z^Bh0i#B%|66zzs77i5eQtMi?hH1*upPPU(HyRE*xxSC}?HE=r z^9=Xr#5snAH0E=gP@#NTyWn}X7_(nz1>(ch8Jwk$&|N5=-smh1tbMW5hG&Z)coas@ z$wg%+-Tl^p^bN}-=}tOYf*I*Ch0b0)*ZOPjP^N_K@IE{tID;?qW}CH&y= zAJx(`z1|Tncsf#UG|iIo@OU>Zu*%9kP$K0ebODZAg5auHStlu`714f@0#&h4!|g4YO``OgrRgM)+pAH}fDLgtPRa>n+cX(F~Z)-SVr zxc??r|LcP_RdXZI(<~ki4(5->=B8$jq+9@=KL$&GM*RQjiB=+++1nA4fy{>y>P)^f zDP!XwAqYwVa(0k(8bu$o$UldolS_Pol@w8iN`&v$nQ%j4D-nD(?|Vci7X}#QR-s;CP{r&*0M|+5LPG(yql4j@4nO z!fpYVPX#R|yfK7>Xto>;eW*k-z3t2`Xv5XRGeHt~pXb!whSAJJt`<8>TwSD#RP$B! zIh2tn^X1N?HLuF+?+(O7?DJ0do%d$`f)I5ZwbY}FZ_|Z9lrZ4~h1|9=A8qx6$}Ch< zyvwQWwX?^bA2fe7gx{{kOwtiIB<$*I1(>d^P~)ik0&oiTd7wG)*hCoxp(42X5`Ni! zUP$+&Mn7>upzo2vwM0M3@9`gMLgI_~z=13%Iw|EF!Obuh**c5~WP))xQ>zT9;-I$f z-;#k`W>Je6uwbnNcct1GU{_1_+kI0uawt1t>&ha!WhekfsT$&)g2WtF(U4YT-&j!mZaEPan&n? zwl$a3+QZkQHCL|7)Psgoal!8Uuw|;JA*4BOox?aVcwxC{&+8_mKSH~G%fDQ6jtu1a zf>661a4yv#^#U(at+;_wGbpXAGW3mpWQ+B0L+ohnL_xPnCw~1WivXZHCfp*cM@MWt zeIM+_uY73Hfb{dH-xZ5PZpR&LI2I>n5g34E2q36cb6Bl|xW;Yk-+$;iky?!|5=IGChO-WqED z4&>Qu(Q@P$P5>rTgJyUpjoFyYBy5w{FkXCte_Vfb)mLGTxJzd8vrHTTR49o^^}f-U z{w3Dn46PZ&`XQ07?f74Eawu3v5WJ*m?W8B0P;0C}|b4e&dWkjzxCL1jRC?~Ob zyv0~M4egS@Mcuhfd$L_=dy8{*B5lLa3$Z;Fxx~sl*B%DeM^!H_J9j5!CJwc|L&+*_Py;=O1ORXSmN^ zf9`DvHvjEKA_2|c;N84QI-K9{wIDcnI{86tHfunqo1OrwR$QYi0Y%kh1kFt+gwYfm zIP&SI+q9KiC|_kEqipo9bltY{(1pf|Kmh0<@PDWLtP#LOY|-X#E{BS9wquz49GDQ?sj`KElO`QThn*zpx z;fpC?^3UY2U%vw2yvvj{1Wc0VBiiI)9r~Qo#ZARD#kP8Y&vo0|e9R@RjmFh!jUdes zOg+HSY&X~ldW%q-6l95C*xL;4gNr?!UY@4VsfWGfVS6j*eAL^N+pgRy@$DW(Xhfo6 z^a|^paV3p&^PJE`-{FDj(ZR%tOI!L+Or2QfArf-~xB~>90aTMsAMB5q4Sm7T{u|hN zsse-hTyIH?RCzzjW3Z`rr`2#^KRH!zJD#WYBq$DFcr+Mq6D`=I;+TxUZ6^a?Zo-zR zTER-P0g;D?vxyNwKV#AcqJDU9i++ZkhdL0sn2wu z5#qh!$On1MV6oy6Jn{A||8M${9= zDSS1az`iW}U=MkA3_Uhv$=WSyWB7&y|JF0^sTt89DttTSuGs#wu4Poh^uD|3Cwbk& zm~F6n+TWr^deK3hm`tB<*r&qIoRt7?gst%qN1MxHgr#C6Fqz(EFky0q+QkBjZ1n4d z9G};!;*VJJ#T*sxGY(}bfBu{a>39#Ss;L^j3Bs{U1y1-^B zo((zRRaL)rssYGqke^oB8sNJCQyzcN;h3~r-Sl{379rBcqbOM5pMMbY@cp$Vvgvyx z0z=-TEb}>V5gzpSAu^TTGHx$(b z)=F=0W?qTKDlZr4_$ydHd*y>}d(Gr2f0HNG2%KhQz1?xT0D!@sGqhzA4Q@<8j%2M?f@dRm0~|BT{r6MWxHin@$S zLLI)X+LNoK7CKN6wFs4q6bzFBCuYtb0_ zG=^p@iDXlLHNC%f+0qz!+gr~5puORgpF(+>H&xY-e!~c#BDktx3&;7R2^e|SKHt1= zXD(jakVJOz=vc|PodXkxY|#36_Q4tJXbfk^5JG_(IZ*eIJ{#HN@{Aix#pIhOZaq?$ zJr`bsYQQXNMmb~@y1r?&E0aD8QpwP(*ic?fIh-zB*k?=dj9%Wi)jxX(owOGd+)|B3 z%ur4E^hb7HEO~wbUMT90e-N2qWu2!q)^t*LhC4Syf`<$fl$^%KHH-q{7U{#xQFIWUncb$uaXx}SO!b8A?E(%+U+yMFuq5|Iru zrSCbgulkBPVJN3bvoz9eOnI2aE+bkXu zCfesj8T`=SZt%ud9;)5F$FlIPeaqe3h9cV!@`)2hL;4*l{6}9Vfz_??1Q9!NqaDM< z4G@(GWE~EALmBwoAD2`8caMfD{19*m-jnpRxZ9T4L~ttNHeQ_;iPAEM z5s=>tYnSHJC0z>ZxjR}&3&IHik7x`I4UgE)mU#i01eQv5SO*H_WcFLMl2}Yn;Cpw; za7-3@&>cQBA=V`X4;z&gbhGu;_kmtceU%ZMsvp^--bPQ_lv6SwYG+jY18nVGeq)&y z8hQoRQb1S_@=Nz4+few9fUz?JG9q{ryA{6Q0@YicUL!|P02y}$hqDt`fuMhq=AsaU zaPeFupDPxD&tWlBB(GTrEiQf90$Zn^#5ptHQu`m*TobBQe>J*D{nR7%XAGJ>NTy%# zM>v`dT5#nK%4+L*i`$!=++ed$M5I3?gjk9lFxex5fbmu;uB#6m14)TNj-^M|6Ap_~ zDO`@_qbM1g|4jH>141pW*7}a~g%Nzb+Qoeei z#S_55lEAuOUh)U~KPT`D2eNklY)1g*PP=AUB~)YI&ol4Ey0PcA@JB#hci~abzio*@8t3vlX(UvOhs?^^r zna6~af(kOFHYc%fe0_%fplf~9(eLr*^y|i3GGd?wFI=N)ql}#@Xp1jOy`g=5rum`S zX3qy{QE)~t(Z;P!sAajYId|)xC$;iaG5CXO^l4D zN_o@!#O?{>SkZdY^abY#MF!QR{)UiF66nmWPy0SaZ=S-JEkta-6QN4r;4|n$4ARgY zV-~;W0ps*gF2=*48=xX_@N#j)Hr~a%ga?gZsZgED@xAqB-g(>d@@e*TIyNKrburxM zX6$puGrcDY{nBx(vvkKuk*Dy~%Fb7z+#hfu7#dtP=OQg?5wA?i8C#QyLOfeJFn4DT z2o4F(4Dqi9&O@FIK|KJ#9L1N^9!b5lqFwANHs)a&mKy`4+Afw}rcHHQMr+0EupBlN z=2SfYZbZU*PO%`ldyXMXs6O*;L(X%#p`J7&x4R$5gjzCE<>yr?#L}pA!V1nTU~r$S zNg^sZ_e~dgvoJt>KrwzY^OAqYT5Z^4`zB;gmHt}5l#eZDh;(;vUW@ZW(@kQ9*3^=t z{N`q{aWOSgOH(@xd8B#fVVKvMY9ny81oblw+saEZL9btfiY5ad(CbdfRdr%@3V zqCAOKqeD<`oUAmZlxTTbxG;2+o;@@|l{$R@OY_U0@*ON0=oRD5AxS-;vBg*z5^y)4}%PZm?YJfx@AB&CUSXa z4+RLy0?K>)lRjd8fTw!70fCj)BtCvH-zE<@lRI`O-8R#2;bTWmpgDZH4d&XjtYN?5 z$JHB2CN`5srVUU>}r@yPJVxSGdPHHs%(zSjYMB>D~?Xy-R~@Ju)8%8v6`c zOSCM6T8#%}3!8`#y_E<&^LkGwDHEf%+D}=|jMZ1r9OW?;F*DzIC}R#-%Z)->QiL=@LR*?jjPH~Q z4ul3BSDWiFeFO=ZGROH6rir+_G!1xXr0rhos zO+Z{SLUKRVu#9(>oLsA)t=~8G2-YjGx%#2OEMBR61K>`d8_EJ)IMGo3%}D2 zSBWJ(|B%-!$DE&g$I5>xo`~DA!be^?u>f6FU#c^a>d<}jOX)>W%ghW<@t53~o1bTj z-#moURcVv@vuP)A4_y@iYmSSOBa@O!BcAioy14h<6~XNb4p!wKX$7viz`<$>B);cP zLZ*8T$93~5#UF!~W_qwL={Vejz$KD$;;Olx(_=bK93Zy!8Ul4-_fA6_w{MbWaK{B6 zjhfE;oeIuumI7Q`6J%Nih&!$AVde#(PMyM^q@IWu^dU2C#e|7rP0ujcSjTv31hjyF z7}nUkwkjgeTl#yfyN~#i&|Z&wp+|9(Vq3`{#NmgJ@=GrAC}jI9v;9u4m>HgX4Ee=0 zX)LjDhGm~KA6cQz^A3A#;lpSd6oAn^2Ji?F*zfeJ--HoWebpm-1NsKdfCwb(7V-;3 z#Aa24H6)`5L`|hs3n1(7$wU1nl!W;?b(7w@x}UKjX_Hw2$oBkB$Ay(ZIz08}wmIz= zDVNJQ|L!Pa8XvT`pAYR9uSnvaM*=ih2<&53$!;Q)fJk4`?;c|*?<{#QsLqAs`#B6@ z8umhOw14q0e~J_BjphFmiOMzF*{^$|%Qk6X^Hc!qhnv~t*d7Bw3G*QYLm|}G(45M^ zl!3cr2I7#%)S{^1!LbE66m>p54KbL0+kZY|lx+{lYtjrTL-vdziJ5el()VtV6LdS$ z=JN<8g(Wwz*N3*>#1sG4D?`81y$~R%I!?D(&%lm#zY`q-K-9qt8bX;ClX|R)e0&fi zPW293mRL+@%UP5=@f`KG7WLz)@xA%ID0x9DC(tO=Kc?H&Zeu$X5Byljt7#mqj2X{ zr-PktqSfqb09lC+-=`*@%`cWaYXnVfrmhYN+}F0w0i_s_XG|aa1S%;;58CjLHBIN< zb8dbAQeh#vv^SMKIYx1J2G|gvqaLa-@j+-^uNyBn!+68&C9(y3F(Vm(B6lpv;OGJQ0o9HQXJ<(i34)p@H7-Mai8=7?;e)Cv2f5^H`Bwlv$=S1)>@N*u2rt)(} z^<=)rcVBVUKN>WlmdNQQ<~lu|Bb)kyhC|%xMea-b<@zJ}Z#PQ)ahsjo$4epJil(G| zK>MDBUE>Y#>Oo9UGs%{PAMp8b-pNZnYCxnX+&~ z%6zHaXBAGVe3P#`cow3N4UQR;PGA(ZQ1D${>)-yE@{WyiD1`jbhO=pKE77C%tZ_=e z{)osfD-|xiH7KWCpD8VWRPYFN3SYk|rZIJ9MEEiBxT-7qRv-FHNQI@OMmfHWO{;!l zM_kTLRcz4X(Iax}_I?6vDa|7tXkYdMiF$$Hj^_O zaEm$|Hvc+|2(IraGt`B~4Gwxz4+c;RQpDB{nXR{zsK`v8o$&Es<&)K3wUo!TyJ1)p zGECiWh?QJL(Ne?TalKl9hj92j@M)`W*_`Z4P%4SPG~i{yh*S)ZzMH>G z;-SXe$-*fr39a}}(2>J57nttX1}{FFz`oV>9+!&IMBAu*+n<%(>tvp}_igiR+6qo$ zMJcZJ)Gr$na?#WaVJ^od>%F?}iBPj8d!p_rzRr}h()hJ_*cSe?ID#lr_5);(i)%^L zzPc}#Q-^T1^!!#k=KQ_vOe6`qGn_%T&K&PXuK^tcD zAG}C1r^YmyGai=WK5NsIb@p>IucWASw?Z)-Hs@*U-I!IY<&3@G4`jE7xyLo&j#(cb zc?+~4v^yX}3hsUIyMm|W7Y;Am2UH&n zJ9T$ueQ4aa+p#uYl1T1-lO&tKW53m^=d#-+WhA)hyi8jG?FCwHX>sVmb-y?I&Zj<9 zq!CHaolZ51RjIO$tU@^AE9Z3*B2i4O3H_(4n@{U+%Buaneuj^mB(R;`yfKVIxR6|j zf@Aye5U&@b2g}D(O+O3&n`Pjq>O~(R=K={^Hb7EXW}GU!E~v44+KE%>(4?{w*@m>L=Q zyO@fXViaVhe25t5`8dgr`&V=9jJO$hk2T3*H`hgV=W0tA_bhi5p<@{4cZ!oG^1rd@ zx9T6#xBQkTsND@9&K4<+3ThhErQeMjD#~LvVpVLk%Sr6f%*jlD^8Tn{ zn&4y|fo<}I9z0Gx|EXTUWD+hA4>>$Euw1APVr0x_JNx<|4Zb&VZDg6RCA5Cp)^@n{ ztl;MItNO}2w)F~+l75*qE}!hzCQ$q0%$sesw-&luF7qMpOWwxRWln3=>S z9${EEwN%Jj*A9U$H<+;)f3)+A_9OYuln7zL-mNn{(8+=_c4$d?tfOIL>X#IQUc;H< zjYYcpCIvz|`4<>__ z5Y(H*@B@O^x1REX_M07ul+}d8FyN{Q%nc6AtM+yu2 z+q{z7ote?1Ys~QQ9O7-vN|{t0q?^&GP%Kt*=FoiB7#OeGl4Z>XTrdR}ZQ18vrH zv`vM%!y@@U2E+w7%l*JhKr7V?0+4fg#((w$NYd?M9gf=LBOg|Aby~ju@HFB5*xFFe zWQ#lhy;~UOWlN1;-?M`d(O!1efFhD;>Ls%cGASkNWmzHng4l1=l!V-G#0}b2!^I(i zoDa0Cou17T*LdgDy|RwDyp`lwbS}5oMVlw=R4GXUk*GKLk4R#Mmjs&cI@)W#EbcX( z=8OKCZIknR&811arrO@ay=LNQKD_4HC}DRvco3oeyY&FL;p=XwqmXQ`pAn&Rjb5vF z@xCVNvLOEz*$@u@TNwXtSE*jRvmcij&tpfiNI*PXPf*vj|`War|&@JGH8$PJ%hY~ zKp~~%l23sZky8biU+q?&zH@#qPf=bk$*=cEUy3^(RwYbB0j{d^H6E6Np$A*ntxmNQJrRo5bPDCGG+Z190D%Fh6pS%(AHkmK_jE(5G zs4|X0K=9mURU-qyv2yZ8Hre++N>P>Pv(&feNVZ8CpVCPmkFn#;#4^XO$k-Jfm^?nr zsOZqxE%3NrKPGvwv%Pr&coJA}AecBjP4+*$$%iVpsM&iEoo_x{#{tW-hO8~0viU8W zOYNuEp^Jhs`dI7zo37g$1Us(mtWnMD(8?_(oO$zBe)?mo|J#+uJ&Z+p|O zto&g4Tu;T`(&sv`R5fkePyK;N*U_G3B!z+Jc^D*SwJ5y?tnTn(VydKI-Jr!%3e`_H zoIrN`akhc=tN3A)4u=^#ZPnI#o%*;|_?aCQ#fxJUE2ygE)AWjtC59x?ca}-po&f5^ zPfdv(6=FA3GbPE)ygrjo;GmVK0n4F!V#ZD{ovf1?#3x8Ly0;($GWa&hN)(XG3bGNt zeE+YV_VCr48&8ht)CB_@MjLG)Pm)(J?*@`D4n>>;=PrK!n1BE=l7DsA`i4{L+t6dj z`eeUGquk`i_|&fkzS`pF;!Glin$*3qlV{U)r^@w?LyOzBbpfy+iLfS`Xro1C9eU#m zx8l}DZ1gB_>jChC-HW8e1HBj7om*U~(zTz&X;tQZId`Ap z)>nepSERGDKFjmrJ6ujSU|rK9f3g_nA}T*y@HxjY-83?CSS5CZ;`nFfzT);7Of9<0 zHW@;p%2D&fFI+ghQUhf5?cZ!J~on295y@oD1&A8+r*eQ!+f#T?>}D`6q? z-L;;+4qM8+ATmLxEZC2I(pPcUz`&YNa}E2ed+k}d4XgUhpFYeE3CcPi?U8Fobmm*( zodlkVmW=~_%(ybRYP=k?oTnZ3e@zIpRjw%8ltwsZ8O-LLGEd}spJX`K%T8_}q~%9z zKL`OTSERi%p0Jo73$v2r$&kQ`P`ZKiet7ZxC3>7nRg-p@ZOC<A1C_8^+}?0bOeSXy}n;?7T2`N$nprT>q&w+xE&dD=!vAh=5i!2$#iu((5T*WkX$65QQ_ zhhPB$EbbCCxVyt*!QFzpJDfYeztr=*pU$Z|^+MHF-PSYH(|vVMPtQynA4BP&9%!`e zT$OjpbLy<_5jYZL`|}m@?-7U)#>n}?Dope)YMY){Kq>BV^f8{i=Wm^v$og*Q+a3`{ zCY0V0(f%(!B?oxaPQo^8KA>)R|CDu%&t*59NcsR(kG`#i5grU0T?w%8McJ0`IY0Rx zyQgP&5tb0a){k%4QkWHv?ugrD;8tZQpoBX^#kZ9|*K9mOkFkbLbH@8?0q~p~xm)JY z1yE;{wt(Hw>q&oF$kL0u%j4=i@yRT^T%bkSs)@iaoHK{rw7hbpXFHL5xdNCp2&Xi^ zMcheuPT{69l)W`f(w-()^Sl|_dJteq39RbaXb?LpoHlye_WUsEr~+`}Ubzj8Ab;J% zT?pL(>5j>?tz<26?I6E+;vhADl=3ic`FVx5D!^!(cEx>ptfzZJODZqp`SO8u^po?= zSxYqpwC|}mGlh{u>U?_lQ%Q_H*$>M90?r5NqRb=+tq&KY?aSp~@yMCw& zd%#&KD?jE6E{mpw_xGJ_Z8^m(NDz)M~SYYi^`-63e7ykfpVDo`d=y3KJ&j*cG^PI>B zB{_JL#IY!9?s=}F{e5p#ox&wXb#>+hKrY?FXbhY1`~ktGHq{g&0mxd>bWKTvcD zQ&b}6e6bGFzdN!T1e_=bg`!>AcRcsrmtQ;%_Qfcf%BYy~D^5yz)BQd@9gp7G61sg1 z@JM5Nd}WVGa!)#)>FZq_SA}riyYiiB&Jsl2R&r=-iwgH;5qayY)f{_TJb9w|OrZ8N zSJc}yr8MnYBUdFo_PMdHByALq1w~Mb%{J-1a==_v*A(G9cclDNmH|nCJh!Rb(O*x| z{Uh=ga+6cD+~|AR_fVI>_{VQYjB+4NtfIKn_l~d4S5Es{=T;;^DaS|6Cgif9f;PAj zf0MSZ<$g53Zeo-zm*wwF6abxHI53={k))BgQjsHlBi7W=yyuqks76-ks9)-g;nbnh zrTvB7x&FlCx@Ez&8%yf17!p+eZ8x+nPIApbw9E{(LyuvOQeW>-7A$^0mrlSzZ$To2 zf5aeY8xiyptNdHlzeVgJ#Q);DRND8Eo8kyZgIGMy0Yxm3&o7NG_1}34Jt?(aW#^;g z9sg!0FU=5h{eumw^VHjA`QmlJ2U&3A%ivr4^HkRgcz~mA84LTS=v(~0Vr-QEBEG+% z)@#ibioA8d;oC|<|JVDqMmWEd%~Rbdtk|N|5!tssDf-_}om6mO{gHr=-7X0t1Pu1# zzdriEc-uQ?miv?4vC)o)(>#{B_UsMu-t?s?lwXxj>&rte?XmCm??G2-ZCpJ1B)ynNiyDD*;%DhKdFJQK zgBo+?LFB>0QH#mPDVwyLo6)w(E9;HTv$RQ$pXf(Bn#tCpN}n2A z8}mJCQ#_UDmQHu!Rxtr=F9IjL2|S8>LAHK zW3fV$GH%O`ZtT;y+hNjwMAq@Ee#r3c9g-`mUtSUP!7rP;ogD{XYICNplJG?4NVd`@ z;lgCN<6R#II!d;UrpGHg4)vId6B#y_>w=6Bl?4!-R32?RN@lD{hordE>iW#EK{Q;j z{n8&85A4WJe1cHI^HS_eq(GOg@IIi?wSl)YgZa-7p8~WMnqrih=IBhC#OWH9d39m~_% zj;}DczPMwC2eoQ z^4!MBHNNjIYiGZJwnLtYxci*$tNA0fx}QGFaNS*TBWBmouhC_IB_5nRJW(UQ3r5o( zY4B2s4D%=jr9`kJLT)WrJC^#I;!x~{vsvN@jy9h{6hOmV(&8UwuiZTgWD4NFgoYb2 zGX(I4PbIQTPw#pQ(?dg3khh*+?{@G}cwH+yt)^br_-8{z`psiVGx0_fsKWo6=e3nZrkFqr%ZWJXs!ZLCRcW4wP zND3#Q2XrJ3jQg7p`{h+p9L8ZNdt%^*%)ty*2gwLlD_dlWaQR;zH`#S83LYW8@Gv_i z9KJM~UWbfvnA`JYY(@K2#o@vp?RB(T6Er=d^dHq#T*zsq@fB8q$ zuvBNHfzZqR8L8^gEC0F1?`BW=P{&JyUywgio(qTAI=bx0fp4O9MD?ed{KvgSsN{%W zYN9N4RlrrbAaJr|CL)#jjPJ9TaB9MjaC&Y6y@#Q$y@?`b1GgA_7^@p=B0g*gmkd*5 zM!umV*C-06xoxP+b>s|pVvqAzxv1)xUY&nvNQ$%caJ@H5kDz_D3pZ8w-lIDHouXe} zuU@kq&NnsF6$40(WdnBSjr*S|$-I=TtFC0={sKEIE%9ZaQe_HC-8>PU5_Y6#@r zMWpH>E-Np1E3lANS3gnpDS+ss|G!HsMLd7r(#=iaeghM^5kzfWf4*2^vBh@DkpN{p z^C&g`8tIFoQOnRsq{;}u*=|RX`Z1P`7dB^T&^tA^K)#UIN4=DOr=VIeOUWS-!gr#!IS;6 zysap4OZU-5KXhp5*GQSfpwb{so08IchUuUJ{nBvH{(eh&%b;)1oEyaLFSZo6k-kp6 zDDm{HZKkTJmhsfj^*X?X!J$U{)f%D(8%}7X;dJf!y@b%WKcFIly*qaBb|M0tuIRrE z0$^lS;A*yOdR4^QPU43G}jUfhN4PPSv^D1y$(Er!lANK6_jw-CKhLi@^eDhStm*|;N;b~WS05k8k-pgE> z%2iNcig>?F3o1X~qajl? z-ZKugglXe$mfyj{1w;%QmdQtim|9Dr& zBs}{_PP4rK<>`}>-)oeuHJX6iwjREUKz=D8vSvgDW&f=}PjR<$xAp5Pd)j-3<9^)8 z7ndA%*BHygQIAX(uHRoOtZRi>MG8<#NaS+02VI~8=zyu%8#h}n6~LQH?rrv#+i!;& z_( z@E3s-hFN&zaL5AsPXbzYkMAB^3#vxVcbyYPRSpUbPdlUwq};Ako!!XsfPJ33F@rr; zGC_FebTDB5XK5T|=rsl^yF*Cl-_yqNU;N*En2!uDD6u~qj`0ruB>6iAzUrvW_E|x4d zGjZi#4pEttkAR{n6`)MN3j??hVW}(U>FQy#LL0T zh8{xtTGi>8Z0c;yY;WmOOVtV`=>=`EJ`Z^^;zQQu$2%j+TkaclOEn6NeM_5#9#wa< z@gbw<_Nu%X zw$9ff!}^eKO-@gw#1h<$W;vjo_;+GZ3EeVfXc+q|6sPs?X9D^awqWF#R*DsAlVQ3rtOn;d;% zWT}cILuiBdgg(`jhQ(Ubu8;mWqW$D@13^TdB+E)`6)Mf;;PMz$QtDi{*TpWL9Irdh z=Z`vft&_c7S#2rVa>%ybaC(}yM+6f(AH*H-AOdFM%LF0b%U!9lAE~Trbhb?jkii;* z49~o8120Dq=7SWFt5`ow_?uV28*bxmNq{tnmu9nu>&3yQxLY14*~&D90TW?z;MaB( zM;`9vdvc$q8L5J>V-YJGA2D^=z>=sSt*=J{O$#4UY$!=Lt|IkO?k68qiM(0RQ1g}q!lDj@8{-YkMtDKHOn1=2Z<>nt>uJ_n`8_& z#6$~(;>@p(?uQxQx`mbSVn~;L{Ag!}jtzx<(5555d+pC~9OTktO8AVWocU7d%?cqF znSlF=G&w#*PEy*mb@LH(k_L(ksoE5+&4a+JW2LJHf8P_g>3IJmc;P}j?Gw;t3&>8> z`hg%nc4T(rGvum@Cn-G0YTLyN;Jg6$1G~2on`U{V&r$I%1;Ip{o>}ttM5(ggp2F&7 zm`;)u$zDN9U$I4H5rZ2_{@wk@&4i1HxLSIp8dhyKa`4?uCBz#k4jU3(%&;88oRT~8 zPtX|A8lB5%Z-h*O2hlHpf++;%fw-gI?p*Caf^e{1TXwz-YEsi(5_cGttuwrfuYa=) zA0y#TxK0kF?4txHVT*EwbT$jlhH2Di95u_~1SeUGh;){ar*GG+R99%MYFs-JUeV>9 zp6yk}GQplIqMbNQOP*PSiP{BX0uFe69c&#`64J|MvE6iO znOKB$%5@od7*CfI)2#`gl~-Z>&yC0wf9Qef#DA0zr>2duQ{xEwFWd#m9f$L=V1Y z?LZyCf?|tak)S$hXZ-`R(K09eCx&>Gv9?t?L68smkca)(+sKH4*VqhA8iZdO|EVxrX z6(}2^!uL;cBkbb6op)gUb@F?QvEV77qzQ>Dvq)Z@;YLt_xmuHg9z{yg8k{p;Zb z4~$l`~VK2X{FLp#57O5du9GXX@YCx1_EgD?5g-VPt7q|PU#u7xEsZ0&E zeVMq&9I$Er?(V8&{;r8YQM~nv+U!vJh=kMXg2gZAXLa3AU|W&!z<=1!D@Csodu4FY zb4J{&sDEFaKp+V=Xo4CkVcEiu@gHaTdSL!C7GGd;o3)A{_SDv9gxwi+dY?&eAS$F7 zOaxXNdq=CL2CVouA3RO;Pzxx(n#9^a#xQe1VT3;q#Dj}SV2=+*LC zG=;0F1IcuSMzOgBSpHaURO`%{UK&bpr%~93=?3;qZVF^4Mmg`|)}K`-X6y?IID6{R zt9vJRSZDJi=o-9ktJ4U=%c8uJTw6Y2Z9({CK!2yBN%DxBJ$pOraWej^{yX*X#nMDw z!LMOb%$J?XvHQQpyXFnU-&=1_R!s|@^%!Qt6AsebRTk+v-)!1l z%Q=|KI3+APJFJd`3Wi_v$DJrf9T+9!x_7jNBm}+|ta^o=t#W3VzRTQnM)_47sJNgFQvQzOJHa2^c_0X>cvQm&RrMINfb{ zi+Ci;;V*TvQsmZJ;qUO0nRS!)a#tRj%g}4^No!q7X1&kTGcp#BmGOXm8eb{+}NuCh!8IMwjFQ< z5KCbobn#(-kqW9KQTt`L&OAT$NWqGHH6n!uPKbYps9;3+rDA6~7wfA)X!gAnu)LqA zBaix(Hm_$unp$0239vIAyQO3$puXaoHQ6S+VSBZT+a^+5LiM;TXtV{#iVJ>qJ*7&d z)~9<82babi#)vcUH6rE|J`2XiuQh+b+o~yqJ-*88BcU{X_%NZM`&-`4oi9aJe{SGi z|F5-CiZgZ9$hKm@aw~$#p5Cl8ncS_?3#24`6X^UP)X-xd)6gah7JT4mH5O zz*^=34_j#-MT@ILIhUNrsAEP-rI9dr%e@lZYbrPO>K8S9>qy@Nc~<{m_<=06;&RC8 z!&|nGw(>Xeo4*uxzwMe|n&An?Y->l0fjkYp_8dNJxPoh)#roT$vnm#fGG^Zy@$huy zuLvJYRcsN}l?tRqzwV5Pe|=xWanh|Tcigu2eiF@0Qw16yusA7h4skF)67w+jRv6HD z9TxtK9Ik$&6&yp4j@w2p{<5rgc~k!RAe-@J(t& zt%aY+E^Fumu$t-2&BjE#>lZ2^gI-~MFe;&L{jYWj1m+L+I3lQ2iVm!~Usv&Izf%T$ zLWo(X)Jwug+E@?JL-;{y#0gOWza~J+wS6u>j}o%rm|r4_)QH)v@*NJ;j)b({LR@_X z2K5q>^cO;s454o9D5s*(HTSy$jNDf_=Y)_KzQMQt=b0q2TV>Xi#Jm+BYOWij6Je1? zy4$s1YT-L5V71Cm;o>j(YEvNT%h^!|g|{Pux;{RYw zvE0QXE~{8IaXOFH%k`ggk4Ya>T^RX!7U3fxG*6LJKscsDsT)98~$iR!W>b0(Rh zR24a82BDtQ%rM&${m3NP>V^&fU|x*9QGdzp^g&`gOFRS)BabSS@mt+HJa?o0%6Ouc z%K%j;Tit}+GSV)2T7l~>aDBPVcZV!YgXvY@FAi%j$OVIm;N`7f&vkBn^$y}~`6CdW zcp>?#)JgZ_g8wS^mcl5ru4$j^#QQyIV8PXPy7?pLy>Wu;NSyF7#yVhK3zeZVwgyq4 zjWRVx4}m1jl-|8cC=L94(A+eMTZZ1nIjr1{EqJcE(#FEnfMlGGjm23&uca*(>Bq(h z0a$u8Lg}nA`63(3?|e^wg-Vr|wTrGA>hF9>PpD6$%G11t|Yr8xWA|F4ebtPdrKqxAz`0U%I0Cv-PUgI>N;9UOCOP+ynUno zFtgnqK0(+;oo1VU2jrR)rQ%c!PSWRcrT8k;^<4jx3mDlcPj&ZUj#bx1$VK@Li)$o3 zuKNaG-K=l!XVZ|lp)>k3UIRAn0GqUhm-Cnip`ZoPTNYfDomtzrL{W-ZEUeoN+nOue ztAieA+btpjSwH{@m3?4%2nRC8W5qE-OleYY&tC4E0$ND+d)P3!{S^_^rSjEubu@p+ zwawMx>l$B(M2P~``TAFLKBN+w0mm_Lg5#8ldW=tkf zXQ<2AlR&EyPZ}5a6Qxl4@!AYC!|OX@l#UyblqRm|_FsG|9cQ<`qGn{+r5GXnk$xVX zp^-p6+5ZVT3{FNd!T6}G{@8@RDs%FwT2@D(Ub^iuBh zYccwDW7oD+B(j7BiW9+x>faz&eQ3C?)H~>_>66=%v@dbeZHN6=!7|`#4+B5B|~eZsS*lm@!9kaDw5Bu*V&z=3MRo6F}}DWXQH)120$J*I^znXKPbXwmx+e$W>q0 z)J-+im9?4}mgF9uTC!pBjs9>19DfFUNNkEekl@)Rpzju088A1cEG_>2Djku6KhJ%i zB(_B2Z5yz<-b-os6)<-A`!+G^Idgl**REflYKPuO2R{8x$paaS5xF<`c4;#!le&pa zsVZZT%LiSnWBQhlbTA3PQFlC_58@>WuBUQc8d|-FtD5JrTMb&g|L(>a7d^@vM?CuQ z2se>FV5&Fk)*&-X%Hot}C!GCTtl@50J%&qc^`1(sqk-w1_-nO-rGj@@JFCPyAl*e4O0Ee}UJmR#s7wOXWRg#4D^O4eG8u0>=EI zci9s{C?$^x{}2>l$KQ(lB7xB7Pl^1l9ooVJ?wqK1)Y6`~;*oyixL-MSyBPMVQ*Zvr z?wIU8wKKx37Q=HStJS!63{qm+(j158`{o;MaWcD;WY~~S0Rx+HGT5dAZ>6Z8{XHw{ zIg5B{D)=c(2XW50_F008I_SG5TCfL|JU3=2*dG5q8o5~g#eD5sR#TYPW#P9lS!5?6 zaTkLWkX@CtrVwVAeTe59i6ocYqvrrYwESYgYty&TgUtBtAtK#Sk4n6liu;=$_#YY7*3 zp{r>5eJanGj$eEBZig3=HM@;O$?IlGypZZA%NBV#j_Pm{J~bXZ-Gh)1V)P=B!j=#y zM(#o)F$0kAt64KP=a^q5agIL3Ezc~U7l?qLW?oS1f(7O@Y4~v=4vIeS+AkUnn`T+^ zXV!GR#lZr)U0;8uq>xkNKMnN_xKqZkH+_8!@;LFyL_@lUXU}l2Eg$Y5gRmOt7Q5kpm$5BP|bRX8sF?q$upUtfXApJo&2T>!Z!vG!io&g{wgCW(Q(ZhQFVqX;%I7$+yGo(vdXnk$(F&^Kt98X;ge1N~TBU6(cL3?gj;PBiU{hXxV z-6jqt?{aQPDqy0{SWUNK57rL(;A+zwQ57`jR7(#8ykoy~>Z#Ge-g5)&Q1<(T#DkYv zd0E8=Lh~1pGYbU;_G;%iEPt67G1E;f-BXzwe>IQUiuL=}ngLcb@m3a57!mLz`IP>4 zg;y;QDU3kw>OMa-ti!gR6vwW)eolWcSt_;1z5tO*8%=}#qylvL)xWV8klAq_%T}9& zp-ljo9mc<=Q>x$KbF!#WJe`!{_wEO22YYg3yn`Q)|9tarSV{iB z-~GS1Iua2?7aKMekinN!iYaAk)!};Z%7)Kxf(k2{R6&k{F4==Ua&`y3ch7ol7%AQ= zB`|`U^-%C~1W2?sr75j%-q5K29xXGgB7Oq5J%}vy*YX@t0z(#N)q3eH${tiz@n67C z$-5rPS}k9c4Hj@v>hNzk*hVKTspCV<`yF{+faItr@#qs|+n22)na7iz zca{CMfeHXidw#rha#dDVE@@K?vS{&kiDR9L%6l3a(_{bu=e4_9TX<|I^&PTcK<#LU z;=Er-3=~D@&qBt$@2e&IATOOlB>qDo=5H0K>I!I0dhfL1&}oqnBQu|DzsO*sn}1gt z`-~a77&3|qW6$N8iq%0G9`_zkkbP5S0xNv}F@@B;lv-l_`u!`&)VFZs`{vx}5ZTKH zIe%XM!k7hQBhYW`SLXE9AJ}p^c6vi*Q9@0KMWEfsA#R-(q#8!)Ty1g0dAK*dM39MF zTT9G?knAO;0+i`&HJT48tV4&g{@OL`vAB+FO(s~Hv zxS0&l2pxEO&}jLp4=7vGX_q+|6~>r9^#L~nAF9sC{I{1}`c#b!H0eRbkc5|2mOXjx zC8|Gg)o&g{SIm#bLqwteJBxhTA<}}J(tc^-Q<=d- zBMxFj4<)gOz4LJA>*-%_B^XZ4)1R~$R@4ha zONUGM&i7!h@sHV~67pV9S%Y!eRf-8~jA9EQLf(WuVJ zs~pZG!&O=1MBZsX?sc79GPkEz_&bA820w(EhAGOqx&5>@G8n^SP1G4T-|?T^PoAojstW}-^*aF*=fCcDgKN8+I45y<1nd-n9U}{&s%SZU!!`{Z%FAOsg=Xl3lp_!pxca*};moa+$ zT$v%T7+BSg@Ea8@FtcpU!TVzk4-Mw7{#2V9UUnA8R##i#Ku_3kk=q@_46360{@uHW zy36^Q&(jG(|KO=Q1;_EygG6VoIDn#%jmkD>NTw$aG}; zffuEB{IMM4rxQVCt=G`p^ih{xP@L6Wf!NpnXw z{a#we_*hHzsL`l>ekA7_brt<;xdsXF?Lm$DMv5(e44cia$Bz75lln{<=4C#{c=T$F zGLPp7M`>IMe#d~d(spXz5?L_$>Wk;svdq8V?>#fJsGr`7Vz5WFhxos&d1@AJFF5(x+Q5E`F*}{tqqXZM_dH zzW=HFRI7JW)XwXBHvNZ{+f(Ef$xdo+9xZhv0Xcz1KdYsHb?{Y7hZl`Ub3ndmh)KJi zw_f*xJsj*cuknRVk2uaKuaUkfoKW`SZ|=Oa3APc?B4PgOk@B`pQ#Po8#k3>K^s|uB z$Sj|>VruoHi79C$n%xV{-y-nF=A&yBrh4wSQZg~1gw1ZHw|_X6M_+(uM)$gnuSHso zt?_FTIk97g9onpnHOpHzs}=vMK<8K9xOltb{zMHTM)eqdvN_vP6qstA_nf3}5tb)b%|~-Pa*G3}k&!Huq59lHpT! zSDU>B_x0#V5|IgUf2CWcK(}uGrf5m=9Z27r-KBBmvES|^y(J9g*CfTf=;98I3)+1$ zQ>Ha0Fzg5LUsa44eyeK*b90&-i@knmZmmQ6dxYHE5WD9h;-f=Xg;1643V4%K^By>8 zQrnq+-d!`Q-Nc*N6f_ul$S3W(yy4Uv6VUPTniNJvs9;X&G&*!5<13xQz#w@sWs1hF zK(&RSyN|tzhiQ`_E$DpBBKBVBJ51HY8x1;DP{YFS!w@g+KSvm4!$CZp^;kW^)F$bd zCLQP=$9^)|x0H%GQ2ItkC(EvgXc3bDTCm8h^7sK6ad+#Do_MZJVu>0ApL+G3$>&p%x8aKgo;#89hd=@IMk6>~)Pf3=3s#;}JGB*lYzURRSnlS-62lbmlA;n4v%RN{XJ~d*z8bh1b6Qhxn4uv>WUCk!ifz zw%2|7D1e@Ib_Il4tv0`c==FeA+i{na^a)ehz9fB=#z?zwX-#7<%bP)RKQnt-Wd`%;8%rgXX4$(K?XR)POj8I zys55lf#g2edoYp z<7%2b()adTIix$l6lG!Zer0lBB@mAR49^s9KupPgti!g75ZSjtJBAETcxwj*MW>ix~LH3UZTrip4BAGxX`X0 zFgcY>lrR5S=6r#zaHEk9T6XI0qm>Cl_M7GKvJ-&=Z<6^ep$vGEeHhKe?+ld>92c~F z7urKt9Y{^p71528t)H9FTypk%K~hhSASyvqT8^u}O>8&D_AuhQ5&e-%0U|<4I_hT- zOE`^S=q4N&32~U&*o3>wQr~$+DosUbT8tm>ySp4hnQqME)5X){Kh$?gFP$uZ);A>@ z+@@Dg8%)n~)0?z@sy((-x-h-ymf9rv!|Y8schy z|2dS$KT5zezAdC%6Gu^7>eemEJY)x!X~w`>Lo*L0wofzB_*eN9jZvz9C{mU0PlQ;%$koYKT*4 zgtY2`32}lfW@p05AVcA4-(T~!OS?J-JU^H+=J}HGm~zBIJcq;x=5w4hfK}%FcXIxn z%_>?p#W#iZdo98FlskD#vHOjILn5YC8#F&YNSc)^-O3O}kDln}R`cU;A(vpicD1we zVvHM5OIKffVZ2-Ba~qTkM7Sp%A3FO@e-$Pae4Mb!ui)o0(0j_u5?kv$Soux5QW=r- z2}(H69EtE&u^8_igLi4%cZBvs2+3wb60quehXoDwJb(Oomb}s7WdlC*Q_DvLioYuw z<*SY?W*!?wF}kY0JZ+?&=1O zaHtHO0P;iL0||aysl~cCy7&1wqGj;Gyrsa5lJS3HzW@JTnRba(E-~MH#&%o)!V16~ zjSM=Fp2HKHUAGxpPE3v=Y6~>Hx)Mk=k@;I$TJ3LTXh5P1{g=*EAnD~jD?X%h?Qe<< zH~K%-G{;R&axGZkt3YTnxsNa48nXd9IabeeEYkmIy5zt$fXTsYXD3Tc*Jk0$Q@Jj+ zI-+vwu#~}r4rbc*Hxb764XTq)7HCxL9WO*BM(uqj(i@9@_0^Jc_ z4s@cClDFVkJCI5@T0Q)=?VGq?toq`)}A+%j;O)X^`L?r;%SBU2+(qHWYxeHR5 zf(%B==uDeO{n?rbe;W&=@$_Q=aTZ2E&Z&mW0u?vfx%S>}4y5OJZV5cGplf`5bfzA3 zCwUn^wzOMe9AfLiTzc2HQX7fMinnVjQ6dd3W+|HEpF4dSt-gBYw?xkEZZN#*m^HA- zm-P4+<<)}LGLyM0+YUm`@ndrV7ELTy_@yh`y>l(+<5#<*9SeE;YL=h^$w)qZKK6z+}$eOxU z)@asrUj|vf1iI=h2gvA)WILZYn{<9yNvADt%+pLSL>W2^8&tt!6%ugzyu<3ebjBPH zC~@h>4YMjr@N4b2dYFGIuPQ@^esBC<4L6KyOAH+|zz9ss{QbChHF_`WAp9Y-e%92x zgHegmwXgN&`eh=v<7bcOl4JK#WCoTIme)IDcw0AS*&^o|TbtW*7_WIqFf|FwiE|!u zy)R~B_8Ui}p%uVbH4DI|AnjV@SH(8^zHi$WsF94hurbuW2wiQMv!!K+&o3$9vcMp< zMg%+t;0*JlcFSE4+TnuDq&cz`vMkv4Io;|DapzyZP5<3mQE+cTvh~(O1*L&Q`V@@N5Nq zSmsxm^XfC0jYgalf1YH1iROU>3-v@+92Vgz#cI;RkYE2n!sF7m=(mL`hl-jJlg?@)VT`uB3KyBgfwnBU zx-kpNU^1-9ij7c7lL%(B&EGa&o?CLJ5}gO`h)vIq*nziRUBwWl=pXs zDD19H54owQzMD&DH-*1XL;Bst4id()=wV3D{9h>Kr8MxROC0YEw;Hn(l)co4mQ{{a z>J63e{`823aGhCt6HP^P;u@-s&shQPzgCAgVAh2C<0UN$4V8-@>I*TwYZ|45f7cqI~S=Y^K} zWhp%RL!&6k_?zgdj$Tkp^*=5pBLi92Ulfb2&DzGz?+$6FaxPX^o27$ z+j3B*FBW3648rPTHXkd*2j02Q?{It$Bd!6NeE8z&jOmZ?n*dcc+Tuq>f|!8}W)4f+%Di)8(M&-{Eq&j%*oud$-`B-}Fp~X87~{H2 z6rr0bUuQ%wJqT8<3Sqn{E^UoBV`2qhg{Z`qU%_j26x~`6bNCSaOjOxDA;ZS9aJdEv zAM??O3dv4%CFHaSWcFpvz2$SEkicJaHf`oaFG=q%Nr_1ZO*5G-LueE3>NAk|315j~ zy=9u(YBXKkrEX8$^P6@EFIk!i`3#PlL%qb^aS1)bzJ!+UNbNM*vnVnSZ_r$!(h_!y zDl%_1vhfr3Ia#;J_f@@Jq;m{^*(e&C4-(6Um9~$_^UNj%t~t6n-4Je3#fTE;A}|xm zjxR96{4*-ooy_|CvBl>ueZOYXe`k%6n4DBhzm8re8-`*3n9@pIGmkSvsY1_X_ZYvc z35~|~|F@wl#)A}WTie*JpaCG~c9Y4- zoxh6&lZc*l8`h(RIGPhoqu-uQW@%Y-dcXx-i#-E`uTYUOCSS2}wJ^iG>}gCv0ui;N5_S!4{u$)Gr$Xw3)7?HNl@w(~$Jr!9rhrppDrgOCAh0!%lA0ok!# zn&bqz-~sJBGn`#ob^KE9v7v9`>7V_vsOy*CF3@laFWPXKJznxw zA#{SR-h~joUh81q)Yc{2g^B&xfU||`gfjz#b*Gcy2+xCNzmhj7?04k?#ghh8s|$1y zHN*H@N*iSt)p-#@tmK>SS1KP(CQa~vux86kZiQV!T-p3_(i(z!Em452_;B%h>w$TUD*DDT zm1d)?AHnypm}pgWaf;NV+(c1I&{!-dbCl5Qu8ozDI&3Uj81`n`y!Rr}SQG6b0A^F{fw>qIRdIVDi;S{<9i)lSMZj|M4NdR#C4GQ2jyOZy zIx_uBM~6&&tP+|J46y8Vqov(%fqD+#HJd4W7*+Mw)=>#99(;3RBy;o+Wwo_yo1a3W z&0H@slAezuT-z>7>BXQf6gqETWn`o^vGx(~Zu+w|Q~=u?{i=YA76NyQ6k?R8{WN2v ze7v3mNkE4Omr2V@T%|8vUDhzi8Fp3@6I@lH1SQM^o;uCBn#A%1O^X^&PSx<%FOi*8 zM4%MhRs@h1RL=Uvsn)yDIBws4i~R%Z#aJ4cXHpS!&RCNHWyQ0R;h@eu!vNBsy0WHd zPJ|ZkDHT;({h8(~rUOV!g*5G8&hLlX+uaS?lm&Ox_|nRVyA3~K-qISr1`*PpnG}ixA@xG`;xZYzt znyV|Z_J6WtYpuT$Mz!uYF;i_iE5xA}uK4Iyp-7PPZE~bVGIIXW#Z!pEaTQvxSa81) z=vxEc&KPdqdy^vJqaV@hES7XNM{iwZdorOCHvBmxjTSbrpX`Uyh7q5u%Gu#JLf5$1 zTRTMSf~V&-UwTq-&aPyT_%@GjYC)afg3T!`)q2>FQyB2TZuOFyE?rnzx5v{e+AJGJ z&BG`44`W+CW8bELlbAdef<2CGPwg_>)=~M1`Vr_@_Z#k^?@%+ z@mVJ)Q0A87Nt9L!I`OM%(_77%wn`HZE&&P1Dne%eg9r{m&o$K)66!>UOGN({#mE{Q zQlEs~V1o9|FCh4K>Ode1D2T{$1FgzRJD*SkE-{d6q0dVF#tKf)4SS38bf-XSDy@jV zt~iaGbU}+b(F9yZeGvN1`|`Y4o#wIy2W$YD=+z1EeS?{OTHZocP9{!EV?ZFqam&eD z5{?orHbaIf%Dyphqqfusj&px>hy^>1|C-?NjilL-n(#ncvtKrAY-I3#x^mPLQiSTm zb)pmZ+;V=<^qqh+BEa5q8S|XCJmAX4qk7-bl&Shtt5^naE0g@jpaoTt6laV2y3~(h z`v-fm@kKr1=fj6zNvm8AJ>Z8@f1Bc=*)H zz>?Ou)1Un)%~Z&eRPik8_>ridVBWMm2|Ur&e8@qd&mSBml06;?GK6~hGlTCW0RFI-fy=7QcUH31H(jhI~-E3N<1f&t9L2?tD?(Xgqsf{2aQqo8_Y@}q9N=bLObe)CX z&;5VSdEe_gUk+b%tu^EG+E!^{-pLwlO`5J~z zxBHH*IN?sO@zwcJya}i3$@^_C%WHNYZVpZ8MAO)cv_2vQ2gD6pW>r#Lo2OJxZWg8k zVYv7oXdbE!=+VG;a!zZ;8zx8mUmG%2``e0;L4DMrhDz7o`i9ycfBiZ>Tt|0pn@OF` zdLuCX`PxgEtZ`>{@$>vm{9dGjPyEOo*;X}+y}IXn%5^l~t zXqZY&sm>>?ZveYJ5RL-SFDN(UpZy;;?ad2_34#!5SYr_lJpF|i#Skc}e1%XU3v|sn z@LLMVF3oxSuapB+5&y?#G=>A2y*a>d!jS+4K(UIy?g~^d`}7*aP&=@T4xWhu!)okj zexT!9K%A{<;BPabppuY>%~6W|_XfE!^p+lIQ2O|vYi9URG9EyUpm9m4(31!G44Zar zJT3*=l#8I96a<5$9UiC^N-kz-G`z{l7mlg_UAFth4g@KW+WMXNe`Rj|a5B zcoa@}|7bjfD^=%vG*1Y(K=mesco7h0DS$|1L||#pz#iFtw6Iy92@Id$O)8Ib>Rlj= zXGaIqm-3WI;>G&#u~NNrg&J4-XUX3(^zaYPr%98Y>GRY8Q{VjC#hJb~#gEOSVLl%~ zuLW2XeyyBL$EcQ20<>vbI%bVu2B{9UH|4{&o5T$B{_z4Z%IWlQImba5a%)H2{c?^^ zGhlwvwd~9r4YY&a?C!dneYLE5<9m4AF_C$mxu#~lFX+DAQX11+%E?~5d$ERrDzh39AxD?vcDm#Mn?%dKrnK%Bdx-P?( zziqwscn}4_>GO-fJa83;QG9qgu@>SP%=S*VzncF5*rw+G<&$c|qAz$&O{;6NYn7F` zH}A$$g}$?ukxw2>?09bbP@rqMZ8ymq=NG((eRS?ms!sLQ1Dg zghKL7mBPGDJE@Jyex`qUlU=o$0hu;*a4T9kHGf9&4C66E(A(1Ty9k+o*8NroIt*aA z(wf0If;G)XFg4Q!h4uw(j3>7}x8vtz)uDW*e($Pv&GYz0a6k8t)mR8I0K@smTrn}hi#fRA*6mE; zuJ_ls-&JH%E2+12Y(1q_2_v(C6`Bv5R?U4tFUi#qz}6vJNA6dZHR81^2SxT4IPypJ zBxhrZL{d^(?yGvh&6SZGD9ne}L)Q$Q7=5u27JY*MHFSXnQ|$Usz^9Vr#+@^6y2d$+ z4`~y`C{C+N$c8d2tQd9e&KuLuD0NQrROzkTloc%iVS-QV_M5(iO%DOPX}Z5TDIF`5 z9iDsiO4@L>=XeG%-Mf*p95ZoHQpW{eYx&BV;Tc)zH5wm6hbitlpkt&RvOprLZMfj< zv@-QQm9mq9l>q;nA1ix%_P6TSO-!+AyIP*bHWh&yp4-_(1euJlp!rdQa}aOM_ve|< z-3@OnQzTa2(HAljk$Y!U@`JHa!6*93Ee;=eQv#T)U&Th}kNS*#wbo>NDtwaaD2Nbb z`;P+=PXKAR$S@*41_5A~Q~du-=0SBJQ2$YMZ^^>|_0{pO#{j4iz#hhazn|s~91%?8 z#BO$~3XkOke0$&@G=rCmbdQPkoWNnRH1bDS^-(n8$Xp@v;4t=NIy7S96gnE>M_+hi zF{+C|yF@r=Pl>aZLyV7N^k?a0Fw(gklF!KpZTR2cejc}B6fUXui<+M1vxHd6jtMcq zU)sn+AK*l#BEp0#-hv3esD+Ut<3oTurXOg*L+lSN%)x0Ag>`h`2lP-EbaYoES}>D3 zeVCpXko5{6%vKGF3V_o>WrU$%8x_k%H(#O^+jL@3anWi30}lDKPDP0g&H?KulETmM zg$u{)#U`uEBabGDzJEtcm5Wm4RnyRsOi_Rq;{U=(?Ma|r#Ek!brC|6?(-?@og*}@B zIn9VV9&-~qvK(t-v#+yj)Nr=47-p%a50BG@>6I&t#e`)5n5KP<-cQ#@`nLKF?*;h- z&v&ML!(}2jD8$3DT<2iS1ZJ$MbMW(GIGb9LC$)2$T}paN{YW; zTHx%Tlh2Gsw@{i1l2-K3TXkVXsnCRl-(ZznE=)Bbi*oR8y!OX~;2@KK{2s(?_}mwa zvcm*-H8LGi6ZF7R*^-IYkxFc2{tO??z^taWBe~*h^`1zXRKYv=HS@lFC;mKM#xIsH zGEBJ3+8`3MeZJ;5lH_WpJHa|@zv03g=@U!^B5;zhoNAdkft^(yUDxp*UDz}^5a=T2 zkpau{*p;^3Z~MelUqMeKpPzm(hFZu&qkp1-iL#XeD*Sho zOI*lxPqxWosfYH_ckPAjFX+q3%9<{Jo2S{ntD&>SP+4J<@z5iF5Q|1;YM3(8gQQf` zfXmyyY!ACR&$OCi>iFuDqqdY2VT7AVhq?RmQ8Ood62GSw_;k&7X(A83bn8zquwHMo zUYIKRHN$&R0`tnv29UVf2_BJWlIOR+bGJt}d#>|g*#Ykn8hblOWDi5Xozw3)@=!W0{f1{Bk&)vsw^;VlD)n(A)*`To>k#FHCx5uN`e=4jNt1Bs%p3Bp zeVcwlH+MO<6eTg(bB)quWnAYxD-H7Ki`j^$nIOSmZ;^s2=RqW4amFZl_Sr(0oJgNt zG$rH3DnnJJGZdg3yIf}AyN!+8kLS2ldY`DNs22NAe_R>gU+?Ub^I7Wt_-Y{(%Wed9 zc1Z#XOg^E&)o$*}w0z|yV!*#$h=|HkH}YA6I{-5^6`&pE8K3XYp?<)N*e!l0=GDdk zbsWLbk6TU<9tf6K?TIYz4%R<94&WS0_eM7meN2AI7C^DcNxZ?~ef@RNu5Q?l2zhoH z59I-k6@^0(`xQY&gJ z*T!bs9i1WLh>GN~nB#fB@d|?ZZY1V0=zQ=vfVBQ1JedBd=1a3QRUg(Y2}UFU8|7i)OGJ=cp@R^Kv*T#P*a!W#=p7 zkfZ@xRl=^?0xTw~|E0fmMVPQ<@(o0^zbGsvmkJfPv{W~zXmI3mESOJ@^K~3(phI(% z8{1gzkyn@~3By9U!fO}UNvsG5`huaCh~ULA<*ezOso=+_Gbl#P;D8VsUO?;pv1Li& zdUsjBY_<~>u00D_&N?8=KHt8jekmQ&B)SVyq+;4>B4&c0#Sb3OPKhF1by6V**u3+M ztO2d|Tt`@88YbdHbg8*N_d;8Rw4lyQlP+d%V1$MHyEcyg!Q&WW$QtbCBo)@|S<$2` z;@$L;z4QZbzu#!LRMbUSCat*;6E4IK-Crp(KlVoQknZ)`m;-tyTmQLIfAo6y99_cG zgq89$4!oZDAjU8ERbFIFIq@?#$6e=PtH#!)K34(?gF?OB)I(I|P%a+n1t5fXZC z{QP}^q2F&`wJ>HDI4);OZNCzW_WOMw4q8H)np6v2Jc!zQ*>a&8k&-IjdSC!qgqpub zI5Q$4jat#oTDcdv=O$LafqEX#anNxleeZa{<;WYaPH&!DRrx~4{auyKvE7Lns0)Gy ze0(5b6RoQ>#Jzs`$X8(>89epoNK9pLEB>baq_N90=h^GSP=xKmHeyNK*r0#N4$OLz z!8&!d7pd*rI8cbt2JTNFaMrOdpb?&-6yArx11aAb8a1rI{Nm8!7-zh3V>$}ky^Wj` zzwuhEXIVVkPi$ticJR;v>aYw}GkxT59wt(IR_G&zj#lk%|HuKO>6CtV%MoUR(hl*esx;QrB8tE-gq;4~xEo^D7OZCCx_lXXPkM zo%%1-7QGHFrx#wflVY6A)r-t7%e`C3?FhTn9|~`DpFT!zu)I_?Ji`eYH*bGapFj*0 zt$+Q@d0tZ5i(dQnPe5)<$Ul zc4;ab)qM4(A031fOowt?_EAXqcY!-UOJ8k zeVo`xq(!3(6lDd=n%7%8X9b7)_+1HnmeXE9$^GQMC&z|FZmM}K|59&X^LL5nm9V3p ziY?lAMJh&S^1OkF-sK9;lvF&nMBI<&xdr7REXkl@enZ|flLjIO0|uLK)b;ItUH+!A z@srhWEn8?2Gnw6DBd~cJo__Wj-X?zu%R-j~5AD?QgSlE+w6NJP3QX>+kJT7wZsZ-} z+bmAL;UXnTWWNVp3zQeL5E!wsq7n>Q13r+d8~?VD8+@+9>>zcVkzwPIRRd3aNZ&on zW1$Z9vz)(Dzwn0C;bZg@|7Nf>k2r$?hAO$H>Bh z;-$GU%;hKHmh=bv`9$mC_cTDx?SeTAJjr@Cug_@Q!{Q;^FLSvGolo0|)`U1%C55&{ z#Mu;!q5RWyJ1ZQ58;XM@^O4ZZ-I(HWBS+ovm)1pIQrZCNv#&;A6d8hbEf;hdI zZqPvI7jFqd4J{p&xp9u5sN-0+?1rIG0bI83zGhS|qc!Tha6Mn%aACv;u$QU`z{f_v z<&NX9BWXO?>BUWS(s3Oo9bOY`8Xl;AOPW9UmY^LgGjazPQp5QkRH5D!{Do9SO@yAg z?q)S}^LRjB=%szw0BgC|n5N6>bYC;GXYEf3dCY3Sw^G1xH765d{i;)|2E@}$NQ1F2 z#}o`UnBPQ>p;x|i^?qG~D?bUOb|YXE`0E*7CUT!!J*h5NnNEfG zzEPI!fL|qHGda84>^7gqROg(t!je$kAkpkEG>)lhBuIps zlDcpoQXL~lm5`PHe({PwS`jDwGIHfAh39XYX_YiofdohuSAGGl`U8}s4zRrr_zs!D z6Fz-oI2H52`ELN|&7XUtK=R|CRMNb@*HC*P-ir5g_;g$z08#>EKbbNTLiB;CCHQOT z$t#Gn$s=4TyKtBtfC>c6$aTM;0~X==3^NGV6u6_$ss>d6BAObRPhe;9z!+8J7}XDP zSCEH$uE|`@urtOvreS%YV_mH9>4)GaKA@@wz<_RZhcO?WDfPCrhqSewPoNnfh|9c( z5OU%PX1`K5;1#lNWw(3SK0x~6f6cnZ^#6QfByVm(=XD&w(opE|tY~HEO9}nZm(RXV z@fS|33^*OT+Nd8APqa5BRl-pP+eg<}4eaKfFTi(bN}v8LI`xJZcps}3PzO9-0J2y#4D_1IApm6>+1<~?pEGyuvwAarLR|WK3p1a`33IUJ$Nv=@k@t_%3tJN zRz^!|J7@xNPm|6q;biCJ4J3uz=i6QxNKG&T3*RIB<4`GZ-BS!#GFZ28V%)}c+dzSH zR8eDyiE)-NZf`Ch1v2sbux2IDNHx*=VF&D%<-^@-gxps`TYLx!P1E@2Ux96j(hXs4 zIX)#ZwIZ{Xw@UirbZNE71`FP!q{|x1w@!x;1a7H3&z}QnL?`^aPxQ+3$xcX_VB z>NWFMu()2y`Z*m#;nIb&uGMl7#z1sr)lXRoCH)7*|ox$ zgP-S^8t-U_E1!9%FY``){Wg3r*1NPJA+h7(JAA$)W#5vbrF}r1s{T&CYBH#UZhvgkXZiZSx$rtbP%u8hC$yy1p=`oOjjUB@TXQ|c# zv6B|FnndHER#jvPYmVa|S?^gSHwg1KQwBf{3-(1$&39vkZNb<$@RnLx;j?Cf-oQci zQU$2wp2w5*MZ-53J<&2Zi>cc!fj@Py`CRgRoTD`MjDOANGniQPV&^HLgiEJBxrq8*Dmx08-aniP ztKWwoyAgS4)eUNz%@2}iM6!^l-gCM$di;JF8QzE%9_jd&|LRxZkMp&Yx#$27N49ZZ zITHBYiGPb9Ljqp0CdKgAUn1K}6J9Sc_*e-a^u>FSrHW>bIQ_slaTZzWn~NSJnkdR| zb5-s3xga?t?rXJnjo1lTR@+DZz$T;#X1K5Fd9fCwQfn90Hw2Ng-m>-3g6F4pILn14 z#EK*SEN2Z=zJK6B2dYikfBdrzX@MrRG)@NmclZK?-USWvw?deac(Al zqb5cmD*q^?TyPG%RB7ac@?7W7(;UpvY?tUPrd28q@-OwAcN5@QLE~a<$|hP*Ld+YE z$K>-k#)s(Tdud#s`MI8=sI(y(=%vTL5sgZ471M$Se(r2gCKVjM*^`&4X` z-KrO@;}+$qTO9!#s1-Dfy%J6u^H|4v)-mhemn-S)haf-etd^1fVl9RBfDs0ms6Dj? z5#G>O4G$R-tzZ+{-cGqNbqQ+!mmw-Q~0Z^NQuCpj+Y* z!;+IW7LM0qCdno~CTgXA+tg<+yIr(VKU>{C>{MhyLK;LhARZzjaiHm_#Utu3rXGno zvb`f=Y%w*J;g?ye3bp8P9){AueTUB!@1D@hQAEe@I(-5m_A$GtHyf5Ld;#GI^uLKTZNK)D)!gwbt(y~6vT^dAn$K7TS@)-JumujF#a)IZ=kiz z8%*#(uhV9}*3R_s1bz>4nz>d~pHl2^~eL7GRyw5Y# z;wT(%VSY!qxqhGHg8N7B9Ipo>Uro#Pyh+qgj#iBT>*bH2#OsxSm_^M(K=d1Xh4%g| zV^K%+Rk=y{y?`hV#1cc`1r&=ap1a9Q z0MnfM_aqjKZLq>_a{ichzp@Utt(BjK=af2+bF9;1MsQJG|ou+3$* zUB3zQX6rxob#D=rxWCjJ;5)NaKQ~t8yA)K|-@ZaSSsbL{sOs}LQTvhkhP^`%j+add z{X)dk!(gfx3^9AoFy7$Bly6phWkNMhevnMgl^sGz#nrLGk@Y19B>j%M-ice2%;)v7 z-&FEEL+jM2ybnPW-8YASJOO}K18-W+?NsH==Nu)hcjU9`I|_bx(7cUDeZp~+UxvR7 zNFCq2)B&5bX~`z?PnA_I%@qF9e7+GDM$=j(mwg7+$WobyBmkJcS4t zh$6F!Cf$egJ*_h!e20bd<5Oz$^;dGP#yd5MM)n7<@sHz_QHyaRAlJfpZoXdrRi}E5 z4$knTk(i2>!T6sQv3>=u_{)GN$ipwc_q86P(O&+s{(wW56z+Wi)@|1x7R$)2nSUyX zagv(kyM!lo{EttT5d<eZt#!}uHsw1jg>?DKBik$^~Z^6myc?hMVtDIr&4pv9Xq6?29WP3<#r;A_k z^vIhY-7UR~%lqMTYA6n!p3Ycs^f=oXw~Xfd3n|I00h_h+#+XigZRLw+Rbt>XPYS+G z;6@2^P82YCleX#;H}g`Yi0pk-$1O#h+fJ1)Y4=6>-kgNFso7h>Es7Ut5}pRsi=f3N;a~ zTPW&CJpCyAi3P=Plx4Jba?BKFCw~$Df*5jpFst{67L5!VFszEV^1^swhVqyy;XN&w znp^@dvQEk=WTnHkC|Q{@Wxev0a+TIANTZtgk#fcDV03pL^T1@fZD)+u` z8nj5TBiYNR+2CHp=%e-m`ER8qX?vtT(Sy@;z=f|ZvT}bMdPqZ487f%d4FG6?0bA;C zCCk7M(f8A!w}qz?J2PcA4}h}(DD24trfjt}IKsX-J9&e-dqzeWuOcDzBaRbB_`Gk; zMs2I}Dls?vIol?Z)lcJbi~FPMl`+asA5s<9Ruhx+K%v{A+oRR=EKNVnSe!2%^jLmh z&rjPf6?w@m760C@fp(kXJ-P9}+|F;oY?=7>4gWJUpMZm41_uNZzYnrDBKY z(0*1~*G)FE(BCl4(DQezL;$Z+fo+iykKwvvk63|Lu@-!_^E`|o&Fj`sc{Z>GC+DjU zbp>{SaM64P%&`>{r}`}Lm)yqUz~b+2>o1xiE?suuN?_4Xt`JF>mUY7~H|y!Ykzz*x z%Ljq6p52@tZaJ#b_5+#joiU@K98h*san*QM)F>e>^T}Fj8%bu80Ltu}jXbyJpZDg0 z{+wL!TxNVPZ<>OIvwB^`9+BzFwVln8gCyX%-!Jq(yCYtD>HrlC!_{0e{CM59RC)f1 z8CBXM6l7KRzH9SE;H{RS{O-B!R7Kx~AqhuB{51h)&}}X?cWxJRB*IF#aJr(?+^;S& zHy?g7cV=+|w!)qi#GkO61c@s_*!NGjE@A`wd8}|SaX{PsO55Za0$HkWD(A$D;}3SV zYg`XfL;fG0s(~X&j8(C9+2NHw?HG9W*|8hCS>h%GZ`I`w=2yh9O2mpj(K2e6f_96~ zQRexy!97qIXAdHm?@u1TWSvCL1;MU-@V&Uvlsa3LgS#`X~t|i@q_Sn}Kn3hD_Uh^IKqxEVB z2ib(0XrPx@+bgM5y-h*Cx_&JsOB;0W6y1NRIHxJtO~MwXhp0m>eC=U=^KUchReNE6 z*_`0C+X>%1z+fCd2^X$-K%u_R15FxFraJA5{SvuY>#+5n5BEGgkz8{?-jAt+jvvt$ zd1aWy;qE$q{%k3iuZ|wDQ`>q~^4vh^mBE9k;xmxy_#T9>QQhnR)}+?dN_1OX+32WrPo=F(-Y93auj!u2alo{!o>jL-4Io zP5DTPUjff@3#qu*_Sy&cMmClZJV_xOO|NYg8?A!Jw!2(!baz!kgRz3&!~Ipw^<#*Q z1~mN_mu;cVFekHo4w-csYO6-Xn1~GN)WnKyceD+Kj>^nAb8K*#wLQ0dN(MQwTA_Kt z6sKh>oR1Vlj>MSt7$8}+Z7Y7M_QNR=#!n6BCGkQ}pbTWVbIB4ac|Vpli5(F$mVbA% z^6T%B`+y944s5WqhzQ!^1$~+hgc#wKzgv5KyEk7T7TS8hcX+VwF98Q4D~i;&V4uoo zjC2Q2qo9zvSK2VIME+2t{9blx2?;I5=*>jrXhN+SG>i(21k;8 zDB<2;_TJw*ZKNd&eMxS_PoOz=^Vl|bj_& z>e$fndtJDDQBrm-;SOo7z$UcS5A(6mfu1?ir?@LaQ1G=@4Y z{)T>Dl5hDUe!9~6rbuAloan)ih*BvCZ(Ku8(jtp4wI48erST(dx~tO3XMdIO*2QPc zjF=EYdvjFDS~6o8O4}jJSv_k5nF+7Qb|XniPOmxCtNQHN9UdYBVF&#-l?| z>Z4LlX)3qWu^pL_g+IFv-;l!#8;5Gw>48z2nE+d+^^5rJk=47klu`^|F=gU14(3)3 z?O#~#^`&wFBjKi6Xq)x7tCRTvBHiBqtSoGLGfqGt&VqN)9)4P5>iI;fc?p5L>Am1+ zEN*$Xr)qF6=*EhsgQT5O?8kGJU_i(G?l(tSq#g-V2PATn+HR z*A6MZivMktv~XdMARvA?)>?MVmXf;TIhX0Hr^|TpogA%!c!i3P$T4|x;?aUsa#-k3 z+1xx(vl!Ym;40J_UR9Gz)H;1nwoE6;=8j>mrHZ?<7TEhz=o`13Vr+E9`}512u_gdC z&Xc(sfr^o+odzJN{CnGTOpvg;Ie(r>+bxKuaJ$xoL_%@AaF&K_u8tec+~Ex2}bqpi9MT% z9MW%*BhwVBl1>}%T(`pZKJ74A%iIU}<8VeC!2U5Tm@7i-+sJodul#k0A(Vfx)?0c$ z>>j{7|2Sj9xRCp?kGTYP{yNSBMl;4X7!Oh#3%pqdkliP{PZKEh7n}gs6&Gk|`rwfK z3&;M)SNQ8H|Hp{`O~J+fM{E6c)g%AfxPQ^#|M)I{4-o+R`^I1N_m;EUSxQ~Ru(t+M zf1MkwaBqU^2M2GZ^pTW27tk>Ead<`p@Dvs>|B?lMZ~1_yCExaJpDsL>@DC!^atxaK z%f)3NhXcsjh@oj%CT^gMAmlM{jw0;Ly8x?G^gJ9iQkGxX0LKmvHtakH4Ww1NMM74C zJf}Zr7i+(T>3R{7_Y&;Z7Ba_I(cGpU{MYmF%nA>QF4L-GHk9?2nBa_5JuLXn>){d> z6bMmlstylmLkkOD3O6+|iu5s(;Q>|ambTcG1vSncI!jmFG?ChuT+nSG{fHbLBbI8*Wlk5@$o5H&8 zH--1}s_0gf1(ze+q$P%&X#oe!uCcA#13`T=Cx|nTEy$m|(R3p%SIfjfJXr%~*lk)> z-ZX&$wFeXY)cm3~n2AsHY}?cSsUgLqLi4IZ@@JM(l5an}MOkJu`b zVK&i6m40P~XO$5O4s)}=eA}3>)jn?DvZ1UL9((AC3*}Yj+AiA@*_H*79yq8US5QPr zaB$Ivr8chir7Siifpl9Z$((G`!nl|;nDOj7;+k)q9$yF+*)?`x@qjGNAUi~bFWeO+ z41f7s#i^2}Wl!!ZQ>-CClJa*5*lzqx99r!^_zTm6^TTJ5TaqHFBTou`=uk?<3O^Ds zJETRxdaYW=0kiJ4pBBIMdoG$G?pmGg&6oy2D#&&xn85-(F}`rY!njNvTC5g>6rPJd z=ODyL-TS^=qVfomQFU6N6=)!ba||)oEVQrN`0?Uqumq?0R~S$I@}4PB+wZVK`q|#% z>7gS5`eC5%i54ujRXAq1u3xqZm%h)mMt~R2glLjR!Gj0>Pa?{MjOak9O9bv~6uw$P-rGclyVt*c?Zs<+dIaoXf}yMG zptGEVR~{<2g$(T;Ep1(0O2`mp@?8umqUWUh?X$g@fTK5Cyhk`WCFxTPTEV@(GlfL+6U9H|JAmFX{!8*>nm2MVeO=?$W>FiyBzFn|yw zq87pJ)OUf8o^BFb9ZgE&YLGrcq(K@`{SsGd*%r-62_@$y({0dQbaYqNKkMo;B^K;5 zoWdI~P>&7my2s(C(Bd}ifl>n4?UFa1x+A{Y^|qw_zEroa8|PF{!|1x?3(lReGPkH>7o5k|&P`2m8?b!$I_>QiNz^CS%sP%=xlWJEE zBxeW`NS5D{%{GmrB(VtYL&)kNBZCpq52{5 zkBw}f$N4J0y~Bp*H|I0o`-Y&1=J0ZUkNxO`t`ubx8Hvmjlu&|gk`Py=@ziMBr&-mL z@wO?5bmnDG^8Dw^x96ZINWJk;1kf`^WN#df_(w$&N!8)T|f@m zD|#$g$*;Ju;l(6Sy^LB-lL_&V097P(0ycz~a-T;J8FDpDY`hNb5@9%3TlUx;J=br{ zZ)m5UcIDoA2W12Z@Qo=u4P0E4xYFCeDrrz4!czyAqtFDo>i1O-N`=s*fH&l+ni1Ny zN~Pks3U*>IRiz;G5wzR;&`_U>bDXX@X! z-##gP5dAukoPq*JxU+&wxJn>w)KU}0p3saKsx+KcY6lt!NmuC#Yl{bEWOqa_Z_E^j zG5Q(?mg_&5vOzAC_55vSU5D^;BQ}s3C-ITxZ+p#FV`QYqlF?5DnI#ag7FmooQ$Jut zq#mS=(twEgD3FdDC8t1`APqVM5N%dQ(kAln*q_U3l1O83QQ%e@=DE)%kBL#&OQbkh zJ6f*YbT-EI^*4bsZjDxal+f;9iSJX5nd6dXZ4n_)jnx!>R_k&oDp{#?6f~%#ZTkOE zE?9k`O#o%ZOVBBgGn&jqd+LM3h=FC2W?v>=Eq{VFX#58ACh>Dvsrr_~7pG>Y!z-G` z+alH>GRoldP3F8p0+!fRvo%DhUcnz`*_mh`oi3*K2~Z`DCiYnI$CzA2qJ=x+mYPI( za^CRYi8I-BAB0Oi3Ua>M(v0WB1Wi(p2P=?7GpCA~O>sM1()19hWCe<$N@R8@?;!^Z z3w?X{7_JLTUiiqMgdL415|u$9tu8@!y09Hg4qdYjabPHY!t}+R$b`r}ppiNpqjfUf zax8d?#;;ku!}l4?imI;3Z~*P#6E-X97A`Y0HRv z45PkZ28ZxGu53^A{J8g+>=B>QWLGm4*2?4ci5Vg)MYLGiTjvjlSW)}%si&muB2V2O z|5Wl+T-)QNBPdz99(!2bGSD_N4ZZ4x93gf5aVu|_aTOkm0D}-$RA<9cZtyE4h?z){ z8wmy87R}q99F4BH4(0jJ9v00ZXj5`7kHKZ^$Sw8Wt^_m1KzxyhvVMco5!Jf_3#_?> zS8`2#zUSYIlPzX2;3Spjeoar@t~*^4clyHbnds2i+r;y%YW(=~YFsl&W4gbW{MUjD z(s}35*m`2gS-v`(A#yz8XDpq&HgnvWNJEGgVa(B~(tT-m0Y-CR(C*B~n19o=>S~5~ zu|$1MBI{ZSVNiyBdpSl(5q6z!75z zyvH#eHzoF9V+r99P-MnB^uvjyr|S=$RN!%zjk=OX3=(!;MTek3*tl!EDX3n$zOeD4 z5kqF;7V|#*eit~}64}4v#~fG-VK{hHEi*QftofvjhRa0u6ND#pixe8e6iS)Y*Lv@= zAet_a_qliSNaJN`bhjlWMA|QC zpFb*Z@TUlPQMO^69AHxB{+KwK8gEI1_YohxWULnp?IMmp)5@ss&F{-NIs#DaGv+Rt zAYtlxHT45o(|&y&aMLPXj$R2buK zttNF*l_TpL!Bf8L+mOb?))@Z~_V!;ngJ+;TWqohKSviL$FKn~Wt$S%@zZQaZVt;IO zAoa%}9|LlmPn7xSd&D8_&x%}uy=ZuiybWl_5`<9;v(v*KFe3(==|Gudz_M;R{qEXYz&Oa6P zvnr=E68Q5p6Ry_-M>s#lg-NL+27hRa`)nk|lKDPI*G8=uKa5WFTs|fA{ks$k>~)Jb zySVTs=!|yK1x5-Z`Jt{ zGJUgUOawE-dP%>)eD-o*LC9!$&IZ5$uQqh@PCHuWP|FwXQ9dNWk3g9-Hk+($1G2vg z2&(N&5-RT3on=H0U!3phA!|4Rj#FL>Bxoy~r1!^e3Hh zu!j<~*uf@T{kU|>m&>)1ezyMBY|K92A zYUR@^QdVNPjva7_NfTftfrjHd?wmy*e@*tOl_Mck694D7D$lm+cHK7I?zY2lF28#i zIuWzH)3+?UD{Pzp8sKA@Ez5tGTmk%m`+t65oozCYc@WNAz4dFc@%+D^?v8Ype%KFe zj+b3o?N`{SP`TG=KWh2Zc~$LmAgxLOi+tT~6xcEmwSGYy@C;+GYMotXflsHR!ba5= zA_cw;_V-P^!;i&8>};^H}@MaHSIgAl%z<*i5AHRNTjZf+s|3C$G)XG5YNWNKF0V!sjS#Y}B}JUmyhGoWY6 ztDnuCEC1H=>h@mBk_RVLHsMb9@eAa??5{NKEd7k1p<~u5dLwACA85F{(+q>7%YAyX zVs*nrHo3UV1AWD{U6=tPRo%VWi!G!oA&MXTC_MdzTgWgDTd!ShC!@)WI589=dCi5d zYHp9Uz9kBkz^VH)CX(y#=~thfZx6b5^8!Z@Ufaiv&)viD|7^U6fql8Y(xx{+3z1y@mx;nLVZynr8oZJ^+2>5OX{Eg3}trtJY2v=vz*0^7% zA9ZPB3I5*AA#Ggt;gNaO9Y34GE&Qujj~PLz-sGZ3siA9H$`{C2N`B0y1Jm1=T)+-n zhiRh>x9-(j)w7QdRvoCVl}dit-%Mmgpup=i&;n$FT>(@-^oES|fpcXnlsX&N8*PD0 zB}e?1+oxJ9-t;TWq>1s!Cxaw{B}5h9VzB^1mL&6y4}b7XUst3opg0bM1lo1LZHPsBAG@DNf^ zJL6hBF8MyJfjdSi#h{_r9llGHx8f!Pm zlRiYVoLhRV^Ed_O);wq;10+7)ugJA+)v5j{6P~Z79yAqK2&wl|dDd8!J4ReUK{cR3 zR%JjsR1dY8V@+g@kX+Xu^w$5&+w;L8dIWWJjgJr*UxMhlLmH2y=| zNmY-HmiFfM3eNM7c5y7PPOUziSu~&36g+62jfx?=Z3F4;5`-WZprSc(r-3)>lXdFM z`V^D>wjC7D;F6B(UuGc3CMr(#l5v0?p%sXG=kw>9-2gv1Djp*!{An3mXeYmOL-HiCz=JHl=d^dHyHVUgE>uHIad@= zcHPm&f58j7_GyA!?6Au#0K_$Q>_w{fM-z~1f1eNcy1=!OlNdxQTO3;O5sPKWEV&Qtd66XTOe4*Eif0f1Lsns+fziis*ww?2lMy*EmNm+Mn2ssaOz{7~Oep+^4 zB{72wKR)|dZ=-fw%(fr6U*Zc$GbE({XEHN0+c+@KLeNgwO66^FS?M^^T8-kf$f5drAn9|Smf6S% z4TS(s#9}buRvH}bk69Ds+WXIm@GSj(L`TI!vIgq4} z5QGWCil%|LP*6LZ+;^6m?Yr*AQ%A2_J=S2`Ja5=dA?4`xU7WSZN=@c-Obx^CA*Rok}Pa{ z$)eX+aWqH|&>V{ZUI5*+w%~K}FC(+o+Zo@pw34ShSoE{u7!vEqE5nu9|ISA}&|nxd z+1kI^!RG5OFU;1`7%91b25Qkl+&BAwY0r|Be5}!)_B7e_I!#R*Qkq`J2RX zHwXU45g5^7)&<*bFMCxWi^@eQ*9~8@(-)TFFPD&FeOp)RY?w=UfCzI8xGbBzk4cn$34_2b^kstIZ01rm`HYf06d zs8)gBFI3P~v~kkL>0qtVfRS_&ab-ehyy$h^h}5)U8JP;5`c^;h9tW@c`Lt`tp}w(- zzl^nkap*B5x&?o81Y+*N?;>N<^3b)fSoUXlQUr!eqU!JKNj@Jed@8vnp^7E(A=+K8l;n@<{+DiSjq>mQ?`%?2# zEm%iCGRS4Kg86;$Tq=-`T&miA_(O1)cDcT1EQwN2G2d^e7_88f-`WMuk{O=Iz3&&y z&vev4yY;q$mW8MA!F+Lk8Q@URghx6cya1ubTuU*AMb@Vt)y?hH-c-*2t}$V(Lq2FAMA(aTo`D>7mF6kU`xOM1KCEzaNN~8Om#Vw%$ap~q~_xqHR_+@feyI!*54jYk^ zA{m@1jn=KP(a(w+Mg4AQ0_8OXzPhNcd8%VYDch@(Ufl2{^~h%1pFP)k+&NKe%H&^g z@$n0XD``IebhVq+7sMJV=I1p#_MLIRZEnI~QCOi_H*8$rW@GmiPV@AcCe#fGz2c(F zd-CmE4%3VyBla=FJ2L-qMDpYZF0BkCuRo!W$ugL)ni&{)wGYT-2=AT&1lzY;vtt5O z#Z`ni_0VauPvYI;l<*$mN4Mq88ngB&KQaq*=DUFfZN6Ikg^tsru=($<6W_mW~I;RMd8Zd)&GFN-uf7Sf-H7{!TNAs~CDrG7}{zrIknNm^3mu zmUj7gs8`00s??sbJ*}DjYn5LE3hBgc5F}{d!q$3IP=ejzFnf8J0me6^eWRfRIce5V z$db0spZ4a$hn9N!4$(!AX`x>HTz^keZxQ&TRb?6Nj}B{ zUeZv8sJ5^Ai~E2NGfWT=spm-%t}Y`v+rh@R8itny%%xvggGe)@4Tv0DTx}W6AzU|d z@O8}o>4{TeO%-$Lwwd!e!NBT`Jf-S%xpGi1Yjr6}$IXZ|JgF8if~mAcn?Nuid-fp773o-u8;p*=F4vmrSBjsgpXh zr|*x?HlQD>R^V|lOOt%F6lRp<$Bp*XXFQzE{#p5flEiQGaolqyeNU|WNpfd+y#~2B z8ijTLW_sS>OcNNqAOfthdDUc+`Y%*fWlqNN zjA-X(?TAUXoZemz3{-?J{h(TE_MgMKhvGkIBqb`)I`^V2%_AnY zEni~)BN|wQN`$KI(xZf}3-L`h1n-l_OGD=Gd9d7$0`n@#L>^EehVYM^metwbvs@)= z)M#%3madpUFR54huH&72Gqx4e^CdtLC3p@cT_I#Dj4nTuZYVerbu^?rA7mmxlr`ph zr4IA!(33wqAStFPl3BS(AP1}Dr?^u9)CwMxIZ=j!l_(F^ixv#VeoGHg2} zYIZHtj7?@1JMko4RlbMbHaS==DJ^-7hNteSS7RzAp@<2&{$j*(t4#uIwCi!#hTx>MMCO& z#ou0A)y|iJ4vF1zKY(=G^ZUD)`BW4h^*SCJ?O5`Tr*FwFfe9!4T}Z*$fVYB3*3IB$ z4L{dtwU?3CNrr@POF01pBC=C`yk{3Navk!0$L&eR(yNf0lOBHth+Ae(nk?k=!}s+c zkMl-#I;gYUoL!~MI1aya2^F7r2^ut7XJoD*I7b^KUk8s`@bz&*rcE#AW@qiTVP8mf zG*?*dYemcXmXzBa6wzh_vgj&Hcp>g$m1&X%rW0QI0A~7{a5PByS7@c-bs-=hfE<*A z0qq!5x!@5P_#lEqn7nVs^&zQU##uC#89*GEh>^^6{Z($Bpn5;s#K8`LN=GH;mN8{y z>Cw8Zx=t_#6}~mf6x`uxRJdVXi}#De_H9jLKGT!5q#bp&M$7Tm-Rl5fLW9Hrb=I4j zVy@$qh2ov?Nt*6dTDaI1z2!-fm659Fgc9w{o%MA2wVoKsCM}7%C!)-uXDSn48Xhs^ zw3eSL)gZ*{ljCdK$q#ad?-kRuYO4z~g}8`dPbDUm%h7 z985msfbeT?+*I?LjrCw4oj(>iAP&YLCgL2#VJ^j?Z{w- zn;aR|BKgIE*P|YvB0Z|MIV6FRX;lsY0N4VH&zeY;^4HINs79=55zlm;<+@&4e{46; zLj|I(JQ+{fdHD6`6kky(_3VV~{i`1yzxX1!ygEry7a3xpzkhwzVW`G5ZIROE7Swk|3+s{8Lj1Rzl#qrML;J|>W0 zJop7eK+{?`kXD=tcn|2tebb2874P7Ph>zfe`KcursY?RmaNq8+yk*5}|HQ1WCZUw1 zg55796v`zaaCRt=lWq>g#gqPH^HT#hDEarCr|^5^KqOBXX?CAD@S|LoGChv$59kF6 z9t0jS1UP&K*zUgq!O!8I{~^HhAOiANe*<6v#_!iZK^m`NfTDmPJO#o+VR31JwM_K^|K`%I|cGr>x8yIBxm$gmUdyI-nuB z17j;W;~WX2=e@OcO9S$sWqorFUC-}iRHB?xo$W`adS4h1Ol?dBsOjUhiFn83$A3LB zF1jlf9l^HLo1~vg)Zi*?D|TIR&)E|n!Jp;CCy*{tw6+IA!3Q!SJZy@5$0utk|V^^ z<8zyOhToW#Qe>S^1&1)vp3gCq-2AY0XVxPe%S@<3QYX7)k0{oE;)EX)W|a7ehMJh0 zak1x*yK?H9=sQ=qW_(F-`(w{4K6c1>y1*oR;G6YMiri=0+Ht9o3OtyXCTva=y@ufF zdPn$wmRXu=*DRp`mcgHBoTUwfB`QsEZX>u{IovC-$!(j+FkC!b?_P(P)MUwKVJ~#A z_IA&gcpTYr65fyA=LPZAj9S$dUlxx&-~5(CyFTIQ?z`2mpY#bd6VW$H2Cf_8Dh$uV#o5lI6H;^17~EX$Wk6xYco^8sY8E3_bZEDj_Ut*1d=2Jr9dz)|4(wiU7 z*f)1MWM6$@BAv*uTT*Q^gf6)ocvleeG^ALS+D*M~@DX^Vwfx*U`^HuNMUGx%=E8{D z_jU@C!Fij$ZF#!!qiIb!tzgCT2_P@TjuWl*lqYcvYMriuo2tC1{4t@frkUBww@)~m zLurMo?~DALgjHY-oZ}dg$^F9(mYVaKWfYh9ClebjE|#)n*L%uEKI>qWBlK&B0x|wv z3@)x7H`cQbxwfiZ_|5_!-v$wMGCc`XDdkWR;G-HMdQF?3$)A!CBTohIWgsmOxI`cd~r`xGF=m)qk1g=s+e=w26!QwqsHA`i^aNU96H(N`P$brETfu~&ew!8 zUT3pOHaFX74fJDEKAA?u9t80lknm<2nn6db*?Yr2lZCmtoZ04)qS)KNT&uo6GaLXY z0YgB;1OQ{DxLd;Q&S#o4_M-_-Osk{=Z{2u)Z9(8*^D(8YOw+r;HsoW&L(OfONH6?W z@Z6MnTxEWmM~vuOb?Ro!XuvlF1RWJ>GOUpM#7-4icAfQ3Z9PA3W3RNWwX$&=jpLjySA{*IUE42Og^nvhPnR2vK z0O9pR%_LLwhIw<#Ht+I=E;eBy@W()KPmGrmNHwo~2$ITwQSdi4w>G!AkQ@#2kAT*^ zN`$7_eq{nObo=RJjo3gO+do7XN8{u4)xDVN|Jw50IopdMUtPAM{Wqcp>(K!EwQzll z_;MODUuTk>b8Y)9hq@|TkvUIhI{ z!Ykv{`|(&2LY9Ys1VdWtQRu^r_5xAm-PGEgR&r z&4O+VTc$KkC!=%$p*K*s%nWxvfBu5}Y!A#wl_KnF*Y2L3I*M90y5QKMh$ccJe9bDE z^sE&K_6jHV9*7_@@5a@V=DO@sn>sjLJ80d)a}i30PV>?#Ki#05lFrhGQ+?(3M@d_A z85ya0sCqIJvT%V?l=VX6nqxm?(eJ3f?Of8%a`)E%B9=5G`?h@Hz9uy``&Ztt1=V|Z zxAj$Z&T{CzaDNh~(s+FH?oj{tq3vvTL0&?jM~>1wWSHgu=rB)DJ^3Uc zD+zDtR+U-rk|v{71+J4Tutu&n6F5}S{VOs_!meX;()sHC;53$n^|a$(o$1aA{_Q#b zxX4o-7q(wgwbOdjLX}!aNp}!;Tjty_`bjcp^(OB5JJr8as3At%-nn0i{Uo{w$tI_@ z9T(jeL{@Ik)%x+E{gk{TYV>i|Xv;2>NEHg4qXWZ`BmJ3f&jO^S;|ebo^;p|l&9-7k z3=7UJe8Kl@@i7{P>cH%}rLeWj&WfJre!KXi80A6^_2<=#Kht=VgXz1xUYJo-O_EN+ zC({29CjKuNkO%kVY*Yg&XM1TW*`{FEyqAAxe|p&@eBu$i?L6-f1ypgOg?mYuK4>hOQ9i8#t3l{t9T@`cx{LCxh)&)&Iy!GVC5#C(V`H?fdB z3|Qiy{k1U+gFS)4B)Z}Ekk+w#9*n))oMNO7A_J%k19P{kl*GhCqhZN?J}&gF7ZU>d z{BA~LSBB$J6Z22=*h*dcO-w~zEm;+Tq1SFi9_*q9T7wTYf3dj6*p$uAoliw=`wtZ3 zy;Ns1QJy@M$D!zuk5~&{#mjcvdp{}Km*6uZm1m}^ADRCmmJ!6)yfCL0Zzjh2A86-g zaGu%Y0<$$>6P*AVtA{r;imRTG|6t$no{nnLHJ)LJkKnIS^iv~gi;c)@hjeZI12|E- zbI+>iU-Xnn%Be=p)o*y;h2(1R2io3i;80$=*PGg`#6t?8v!1CYB`k-d-FlX|HhY* z)`;#P-K|cyt+`cpX?$)En9bHFPR`%*^QG1rHUMiavPz*(E^L@iE5T%N^aJUfTuo+8 zAELbfLu%?hEkB+-Ik-4gY^3Qxj&Xp zvfXXw?S+>?ei=7mcDssJ-VFgUdbR+B)Q4cwimT4@vDa^|rM{}vQ9Bp~7o?u6yecXY z&Rp0R5P_&J{)sXP=gp@zLLi3&;GBnBUHj{omw|kW=qzqD=6SN#(1SIE=c#xLXs<9JK~g_Aqx5TO}r69rXyU2-ioms;&Q9jo{mP7E;^` zshukK1C3>Mz!iKy<+*`_hH`=Kx)7t1G*zbCT3$f9Xfm@rSc~FJdO(Nr2hmQu8bElS zO^QsT1P7Fll5JWaS>3m^-`8D~<+&Xtn(MJ6JKstz9G#JC6=~l1lk!i>l<5veM*}fg zZKa;{3=DbSX^`h?ZF_^PR9>AF^Pi;$n92p4V6e3@q5MhV?A^S+_qV@mA22P$nus-5 zz}{8OWp&Q3|GKz6`#%^3f=2<>4S=p(ARlu66X6_YpBwQk?T#ub$rd8O$frCw#l>Vs^UqE%n8G!q3=~S^N*8FmAvJqo)GoFaCF~#+m&G z8&#K;-EM3h;K#wLw7^~h7~{E8fr*N9X+|b1qpKg9Ub%>8&7t+^*h{35gO(euvT$=`rXT(XAsGx znr{?{U9HJa#9}h`mz}NcYBiaap&0tgOMNd(oDHOwc%p74>}5d^6n}FG9)i|Gv7@zy z@#4RMSQqWK`oB^Wy4tlUYz<@?b;Y$2y!X)+Wc=8pv@vX(ZlNqMR}rsx;e>7=)fk*0kghz-yvRI9sTWPUAZId=POE+9vi#7(Vm>Xmr;2~hAiO|3lv8EO zcr;gGI5S~fYl}ykrd(X=Unr7lQ?TSj@q5PX(tCS(=*Pb>4N@(U@k+#JGvpURC%CAZ zPO7Lr-xrHg=qes_GIKsm+!v+Di-n>%gOdVRU$e0PF5=f|dt$gEujv&f;k3TE{gzI6 zR`T08aqD#F+kxye_8KRewS{%LYUB-fL!Aiak$*!@1Zg-7kf6~nKI__-L)e2 zitcxJYoxlHZ3cUA^GOq={Ao*MPRzkqmX@)fLG^ip(<#4yN1u%k#_;3wvuW)*UXsN~ zA$)A34SIAsug@RrDgUTiwIT*87}Krzn69hpZ6VE3N)FQr8-}}i7Ezm4WF0p#sV&G@ zKcdU+PD9geyfxgBlC#>aW$<(6gI(kKHv7iv-F2ac^$kBV^(eq#$8X~|Lt%x8Q4bL) z4UF++0 zv`TDr1Gl$lF2VdT&rbbQ@ z;wv<%pDtQ9xg{&v;Txhcdc`}t-Q zhkA-6hppJ9Sa{4h1Wk=VEuiQFA5@BCN=fof8F+6)6h7~AE+oYui7UkND)QwVj@{V< z7S3j_t@5M#RiYEGlOaE21%q`l9yY|l%#g>dQ_*U!iQA- zCBO1ADoxGHX%A)f|2-}E^HC-)R#IQ zl6m!NNw|0>O#^ge=3nnpG6m%u!=)rpAAa43WwcC;7VwX0-NIv1GY+Biv>hY|$FA^K z5I5{Irb-0!Z4gG_N%RO;k(Kjb;$idMT|&ZQ^Ap&gCS>kV3#+xGf^?I zkV2(Aor3-A=Vg*gv-kU5HdS4pXkIsjS z^%x5eS?C+#&^+E$bDRyB;hRO7&kLCZ=~(dBSq&pQ-*77jqk0*Sr-X3JuSYx{{N=FQ+*kBSWdnzeiXyLW$`#> ztMjO=H9Zx^u6;wKf5W9z;=h2x@_zZ^F5z&P9&$yizycir1j+_Y23Lf^JcY5y#&TX` zWdfju9>JG8D=;y2RPQ2BoJ~}I53Q1Gyg=W@p%=FQ5p6=v9GpyzrtQEVD@;WU&^yT4 zvOcO{g%;F&#of$ZvjN5*$VG>EDpm)PK1{=3$LRXPq654?S@C( z;wguaTd1>?j~_Q&vAZ*9(1@6ZkRz5}vCI35+#N>Mzex%<@tKucG5>((&;8t+M)?guT-L`@+iwo9dhPo@RsG%1j^rXu9zh}Yl2mq^$l{A! zmfRP$9cB4m#VoyM8Zinrq;%1cfELgFh3y3kGKn`TBXkn=qXh!Dx9eiZnS(kvI>JKu zd_4sMR8a@#gGImN?6>&kd0_LJP0-Wg3bz z+TUSvE+}3+sY5Bj9f0q(|G2dDLw_+>mo!O1d6At?g?DnsR&;%I1kP;fyM=G@lK-jcWjFAxxXHC~8@bL2?+rYB_ooSIt%9nsO8;<*N4^A)+cB$$-&RJk%LX~-^SzB%9)X= zHuE=B@~FJfEEYcl=@EY+KzB|_jFJUBBL1ILSX zS-z%O#`=ba(45s@W-&lrZgUhl^r67OHz6ng*y!O36l002Q481etC66O23cJ{=(t>?r~9DN0tuBk z_L>aZ@vo2c;n`2$;-&ZudxL?CiBlV6#R87IyuZ&2-DcPwwJe(&9yR?hv=N7JBvgR! ztl4WKhMkk|Qh+94Y&T&Y_=%$3(R#CMeNvN^^lkG0frZM7 z9WP~ad({E+90p14{1-ARGStr0*~JM8u|);$?B80Ua&qyJgUNwAK|xkACwm7`dk;M@ z@PwO4yvAZ2?e7iW+p6yoCQ1ZDW|pz|~u|Ar15H#<2e7uypuY&>ir zKJI@|`@e_K6I=WnLhNi{5C=IMFE@z$4|&-5c!5FsUqpuk%*)OBAGDFMeG4@;g_?p? zq2`v(E>I_Dkfj|+)W+V#8l?J00R*u#1xd3_Z&dkKLX7v$w|)3^RH^kPWC2h zP!~N`WpPPXb*P7n0jq?EiZ|?0r?1uGzB7lahs5FdOI-$DscXcheQtHD)JFXA>HFcXnnnSrY^q>sLhGpZ zhVwwaZ$K5dz0XJ4GhZP??~YJUBc8v$r6ippVRU37;H*L^Q|S#CcF?kgQ6aIv8pnRC zTJV{U24ki`-p>0OvBP~6w#pv`pEM|D?uO%Z?0&6Z;kOj9Mvd>TS!tah#ha`l(cd9ytiRmu$IVl@1`!nmH2xQ)TKKBjsgdK{+jcc zyXJk?r)Ddam$X%DnQGRvsl2b2l_G{{w2G>9q;Cq^$jwM>~2l+6%wlPqFP<0Q1Y(peX;k+FBO~))%?W-ZvYvsg$w9Ms=zNF=#(eh z?zl81L!eCbVUJVdKl9AvuRH58Z>}yzq;(Ef3r(jhqv)jIN10s^Q#IqhD!_7}WxZ%F z@y{(Npl0v;I#4wfy9pf*BjsJ1l`;=*Eb#v#R@+51f06;K->FC@o9EmrA=f5RJ?ms3 zDwU|STCZ?VJ11X>`2KhHw^Zg|FU_a!w5u)h&kQ!x`GU3I?Qdbc`QmeS3W@!3Qf@lc zhqk3eLoYk>^++0lWWUJtxq=VlK_Yc(BFWD^4x|yM7nYLeZ=%%t>oBsns`O1&&V(!VyEhX{ z7?;uDs}{>E^t*d|Qb+Qi$x@$WnoG4?Bw^5Fq+WOib+OiGUFnJv{8YL8FgVuU#ULBu zkQG)XZsVQ1b^FLf0Z#IA}d7Sr}VpxudEdg{)XcA!|r5GPf4Jv&F5h8p|j~A(4 zBSfD;MM+09A3PMd$($7?V2&mKhNe`6;W_%bL!Q%z`enxR>R<9v^;3x-bs5i#!VL+9 zn>AmreP*I4Q;Yl$4UQJ5LzFNBv@{^X9CoK%mj8P*ju6l*$&@V)`)g)9tH9 z$G4qdSM(=&&%WOfy;eWkp{8R*{LMHAby!iZk@R41LQ=p*Zgt9_&~$vY&S-c4B{O zFVAgWmJC9%m_w3YKJ9wL*!1A+WFDA`0KAkWK0Na(@?}V_*|%fW0rGV$LKEL?P=!r4N0B5oBnrBGqhI)L*z%R@z0z?lYw2=W^@cpv z+~rZD+-y_m62m9qQs!i_?)?_fhRq2P1PC#+{9l=Oc2mmx&z#C(hzashyN&`o4$Wh& z;h)=PIRwiez3eG9UK4*wR%9aNW0gsN8lXf-%C(nKC(5^ih4Ts5XY{pncP(mNOl|j zKCzw!UfGSkdDTo6H%{^D1YZmB3qnTa<}b$YRdnbGn;`nbH^*U4*|N>4%!bNozX&Hv zb1^N$n2T;cwF)mr_BN3wDLOA=pT&Zll61M*8{=QT0pCS+-FSWcMkQRdIm^~<&R#3~ zD-W_e)ux=A0lBC)#KYimb&}8`Yu-v@6sN2k%9ow}g-!ix9B(GG+0xE2(3WA{fU+qs zR&C>X>xs1exg+P%`{-aheHWV{kpUXyQB-5)@<{h3n(rh-5gkg`0+^Ap6%??rN1bbp zB{ZRN{VsAV7*$X>>UWyh9uhN+m-=0cHjJ$Dr6$p$Ak<*72twPYK1k^}R7V|v4JnUnC~2S5X&-$X;Uh zhNH;Yv!yxad*%vmD~;^aOv~?6*ed19CZHw^<=fZqaTQlV)L2|{bwoLBJrRO}F8BUb zm<`;q5mD%Ekpxa0mAR*I&y5f_=(qcajll8nk#(+nb?Vy=Z!KTQaoLc|eGWHeo+4pS z7-y%}g?q16aIJ_>Fzx*X)0_%L~w^xjx563dqqE)M^I3U%7Ce z{Oc8K*2k1B{or<-Y4VUdL_z{CJr^FxbF+~Ok3L+Q!{`#yj`}+33UkX~tn@{d&s3yG zmXSL**ROpOL-DBD8HsS&JzHfHnd=vSHOZ|?j8D-Be*Ur73CHMZKQZXUxgsO`Jfv{7 z_?G&uT`6vLpHtr}mU z-xh`tBPp95bUp0a&eO|2&HJ8^V9)HGg*0AqdnwA5d~Z=Qj=d73-B%I$B#nUhDbX~q zpdAjp{JmKkp*X}%HQST%5aEF(hUkv){ooA-vKFSok!MH2yW>!aQCGN5t~QwLFiiE@ zSbR`Q;z|PUj>gxwD)>rR`r+^5R8I-WHAMCCJ&59kBw$$}LGLin9wZ*$I`nv8+`6~^ zC`ZxMk_lk`p28kDSj+ZG>71KjyDs56c-a4e6YX~A?Ag@SESLUZh62x|+OiWR9$pP?AK4Vgh-U`XFl1W_@RSBGbi$Zq~vNK1`u!j5X-U z{96vhxEYR{=K9E`G?q{f*3$$CxCF<)y5{2U&W@AsUf&C)HsPQsE=Q00dhG6r+kI#_$(}?GdjZ-<^zelK%9xk1NF{oitn8FEZdDm`37IC}K?A zz5hC0ZF&4^S>H%R@@s5KbB@0h9o1J@9sVEd8+^F;sFC>S-o{#<&m*j&Eho9-I_#9z z$&b0S0*7$#?rl$?9Gv+spbkj~uQ7}$rF=`9lb7%s7{-KG^R$CSkIzhNy`k4VLcKcR z(1dQY1}$nBB(8DH&bLV!RS&inEP=n5e4a_wy$zC?4PV4ZCXT3otapoId>C56A_8mF zd448`(bgu;qHoAvTd9ibdyg^uW}bVg29M1IqSzTGl$RTzB6=

l_FX9dDIq$BdG*Z9)vuRv7@us|EJiGqy5J}6eIYeB zqcd|TS~?*orFVK)r8iMYPKso9H*DaWDSdy5UlNZmmWPLWR#)|heoKFyU# zznco8hw*PaSt#9KlPb`O?n`v{RDV`Cx4V}9!o01|8wFQ!>oe#eFcw*^+xy!< zsH`H`yV8qtZ9Z@uWpY@3(Z`5yJ>#G)U8!kjyMf5kVj} zONuH7CEILCX7O1mx!vFTbYE)7GUVjE3KAfNdA~7H>XTSrtCUDkVs{2>^GGZRQxK4F zo>wE+n1KBj?9g6Z9zSJJx!^Ix8vd5PZb<21(JgQWEqyMAxoFCFKktuy6 ztN&SurZ7Wag(^9D^-iePc_AX!AVy!y*B$Yu&Z-&t=AhQ=LicOa2lLM+R^Awixl(Zx zE@J11n9@WvU+GO9J^5`@t_nXbd?k5R^2rkky+eY}6{B{8(C-jeS?hIXFx7bEw=VbJ zq7wN!PTXwrU0tufGhDoKs>ff(pH2H9Gj`N`hHGUYDpG8-$!vgk1#MR3p9rvzE|j7R ziwTh|--LShpKa7N9Ea7}f#t4D-PmFu6$5GS^x!LnP*g#CXKX z8$2%sHZ|Mr6~5gHs%MDn_1@`1G+p9hmFkp{3Nq3NJML6EX-Fc`H(K?irzVSgJF|rH z#P$xnhi)vL^=KKd@HK_+CQ7IE(GX{9d%G+1ruyIV5Pfk{r7lQFdHErK(tt7WZL#bN z(Uuy`XE4fdW07|IgM;#p3BQFrhJ1I&k-_i0L$ho8U^<3QB-Xl}!MXSR!YRvb--G^q z6!Y1>QrjxXN7p8tP->gP$v7MKLV0=Epied%|7DsJ&s2bk-skTsb*g$QyJ?Oty-xIW zs!oKYvrRNF;0FfbS^7l_hsd(8%vObh2fn<$qCI4XP~u&GKE>2(p3jg~zD_XUxOfl` zK)K-|hznT_^ol?pOHHvTrRF@JV1QS8%yu&IDX3GPWFm3-OQZ25{H>A8_mNkn{5Xar^+_{~Nas z&^+L875m@u?)({=|H`|=&cVj>Kk@DiX~{WLHDI=%Y5n{l!;7)e&qZjyh0r^VFpXT2dr|uRsFD=V zJaHywi41QVoFnmas6IqScfaL(=!;8>!LV)JHzjS@=Q$VH zeVzpgluanpyr!pHP0!ZwS%CG-;@wN(BBcDYT~|>!DK&!y!v={jQ}j8zyvycPk@ILP;>u4I zRF2p4&g*sfV`9$lD(5T6lGu|kLyEX7=9Ni=Z$(wh@cQC-64I=_W?`YPSK$YhH9CRB0U3>Rxby?XHE-I zO`dB0O_~&mZX`_>rdKN~=7%5~+OFGRY9B))XzR8Z`UEt8G|?w?h)AeDo2H-WUCJbeo0W>vHJj z?fbUdBB(n`5I1j-*z>OU$EF6f^x7Hw@3I`k8RDdn;V;LOTVEavd1_L3^4k{S{Cs~# z2Nx8PEykQ8dPtldYudam#~dlg4;|nLdu^#%a+7Fw8PUDQdVO!s23F1d1~X5o0TU!g zZ7p|cDZ_@?Wsd&NtX2pH?pZGVm%VLl?=*b%!Si$jFNU?$$D$^6Jscw$8q__(p+t+E zH|FbwepMBk2mH4MmL5>DdvaYpk!m1eHdzS-OCv zN-C1L@jFvW6>D+EXLvDYjV6-iJ@bvHM6?p0>z~e{d6nE8QjntHzQQ?r7vDpmFZ_tw zsO!cT8Yd_d9oOin@|`sB^X24h9_J1t2o6c3EXRH^--4{yp6*lp#hNs^U}xUIkpyC+ zIPHtysjn~)ghbxojt?ZiyAiT146+i%RaOwZfZQLg4XZpeX+?rpu`KGACEQhpZr61z zg-I90%}M7_uDPK`xh_kTJBP$9*Jj_RhDymwzZ461%BOmV-?IbyBM#l;=)P zdX{{Y=0Q&ttC{5FBb|OFzKV9#{LF;fXzbU9$I4MgY94GG>0PaNc2)dHx;aX!h`B)u$F)o=B!}AzzBi(%Z&EQmKR?H4o9Gxmy2HY%hu! z)b;MeA5{hF{>s9O6O>Mu4LvcP3OPZ)ZmVt^bN6NKQWL@n?5lRf-_EKvo$Ms__kteM zL|8lWAN6+|ib!dQhtt;Clbf0A$4km2NK3v$WRpR&Qp$jF^jV?ZYCFv9X$NSA>j9p$n72C>y~4T zsVs(c1bCy>VgXzk^`@;`_BF%_En#bF&)=ZG{>3#=z5mIp>qyOx#5eN=Mz?py^W^!k zEwRU)#s(t(`lT$fELB2Tt?~$H)o+PPXko(OX{8UX;bQL{S`|30OzU~ef%NH_AIHa~ z<91J^OV*#CdxG6ULUvFW@@3&LR`>ea7lc1%Vl^Wzc06MxaOh2W2jj>iR&k zP0u^H#BrqJvda`!`RSDZu05_WD;IH$%ZJnIZ2gm@=hb;bl?hg)$nIh zE+cU4#{1##pzP&^ziITjT+&S)Xfz7Lmar+pv=JqDK6-0M;gW(H34{wTN_WENIe#Nl ze05LdLK;fTeKs6Wi~VdH=2Wxk_33g#HU{66tZ1j*c5J1R4G+#?(T~_m9k@PwOD1U* zDLz;X1~J&rbXN|~Ri8Ou%Db>FJiCU z7{~a18v++lM%llWQgE5S(;S2xDrMQWA5H)LmLoX>M6d8dbm>=y*QDCSsgS`eJuY*y z;U@aMhDvrdR?xs(wA7fK=$eFN$+8eHp6c(>R9bpcpjfGLb)=-0agmUUb0v63eFk+_ z&hR{mjNaoQrSj<9wKL zgX~nRUbIxK%#WAKMaR1M+}ypBA>b%IMy60HIExIrQ`k}jxhFN)m92Ke z&^x(z&tQ%ac6k0%!}*UL_}8ZT*K+nB1C8}x>u20NT-^VOiNz{v>Ef&mbrQ3;b+EU4 zT9)ViH&gOI)&{jKO@UQ7J}xd+X{e>Sg$p?knD_5G^FIdZzpupYCsJ5Y4U+X{-VM@a z3ZBSAp+Cb=)Ps~A;TUxbrN2!#&0AOd;x))jYoK zUWe*dK97Gn0}e9uxifHBg`O!~Hkrv`^7kEH)ZU2*8M6Ol>Q?U9b>4yT3m|;(Tm&2vEFv$Wcm)%V z9p2BT2hd?1x}z|4DZaACI?U?|>~BOBjC#X`CL%E=|1OG`dH7??0FDg^!TChHBB+v! z&hGoVBHRL-c2utwdo8R7?P@Qlc5=Yhv(mxqmD5UbY2d>vum)l}@fKkm(n?`%Ml!&k zcp&5g4V}%M!Uplhv+N<+@&(0i{I0Y4?EtMO{=5}G>tyz|K21D>XDOke@62od6vuN} zT+c9Gu;TlH7yz)5tx@fwLgIQgt4BaT>B8DqSP!pD(1&!F=Vq}!G66WcWj;8^RkvYz z>cdX3lFKr)+{{uTnUQEVI2gAzT)Uy|X_&$iD~OGSg+=={I$m~A$^)&YeN8(_t)={; zTNHDBI;o{guuFfs%m~zliC=!k`R;Dr+*nssZ^SN}rMK_Qdd`X8QxP)Au<=`Hq52ff zhVKJP%|_6ve7*b=dLOlm>S^>s(mE^tAKudLV|?gZV3$T z?g{P$2rzi?;0f+zAou{m9fA!qXePLwChz-w=iFQ8$30cIP8UVdJ=1%yz4ltqT6@vG zpXdkX@hy(0@5mC3)1-aIJS5F;ZA0z#NwDVl{5q0TIDTLvkBZP0LbHG0o>oQM#1Gl) z-`ML=&Fj2AP9+bSGO4lD%e`H42_kStoz984x&7X8*qO?;?lsfCqndMl>fqz)V^Yf1 znJQ5|n5s-|J+!kihs`j@$H>UIdiCOBRFGR0{PdmSX_)ACi;=seT&jIKi^Y&EWY`Hj znY#I;h6@>qeY0}oZLY%;cbU!|Y?n3!s?w5I>3(3Ydi0{f6WuVJPbT3Tq2acX{$O*S zNyeq{g3qoS!PYDAP|t+}Z&OquwDi&}Eu!j!ISrs!LYHg^Y+hp+Eb0lE zmF_mp*vBM_%Zki?=+%bLgo97CM0E+5TH=;!-KO>c z(qK_46ga3u#I^4XLb>T|+d^#AXZ9skoZ)HqbL4RPRHN0+C&c!Y4rQQP2j$T)Q0-8i zjW4=inK?5je$s%-`B-J0ds}GKpLF-@L@qhSi?Wd9gVQQ8I!h_e<%gBDuS$!S47R`I za!C!6cX{(d>GXw{Yb3|dw}~zo><8KnZLNPEUN)lw1bb}?=}HLlVS;{Vy?bHwc3507j`s~3hZ*1PQQ>NYnec*^)1Ex%WZGyBR%* zf^0CmGE1Y=jBMV|`QnY|UUySLyLPKm?-haUkWvHegjC$Jn$@#;T6XjYV%KVKcl5xk zEqjB+B$;P`-sUaVE{?KLVj|lXTRkA`|seW_er}P%OVlUN+`Sqb$HG*Wf z9^W?Ie`j^2Y7Qd(8Z0+yXI%-&!9!S^>Gt=7aHjpH;h@}rD=I3~#D!y?Gv-lH2BRj1 zOFz0wqb>Wsz}XPHnFC5Yc@v%(ZphJl>7xx`yZdFBK*_^h|T!%m21~o zEU_C>Lt2kT#wQhi=}5qzc$0-pc~>yYalGFmIw*t=lC)u(8TTd(brS(j%xEq#7*yqA z!$(ay#NUV@Hx{-X;;kAAOXu+FPaRui@v=o@gnb-+BN4Eq`78O!T)vLTv7oj}h-Ch# zYKkB8*YO&OQJUdD8ba75%XJ!+nLLP(dYj|Gl;&5QPDSmC>FQs2)PU3so*aI4eoN(L zpKbH!n-}|F=`L*}w@)#Uljug~5-QyN873gakx))DEifBSGri0n`-lB|Y zd(GIPWRpMh5SC$l{LN1*xdg|K;)Doy^#->kVw_EyNelg{0O^&|p~Ix7e{v29ePNfa zgQqN{bp4?|g;0!l5q*T^{0ixSeSrA<$crJAClf( zbsxPX%<)^Q?1xmmjCE)Eh~be4SM&I9mSfCwd{pFM*0-#(kZ-HsKNgl^2x`tgy^K7a zdN5B=bvfr6#4lMXAUMlrsE3SsPWlxmcCEx^%eFE8cKETSGM-cA$;8g`pO(h>i|$H+ zYvWaqqKs#Uh2vj)h|amNGo+U^oUw#{HQC1>x6d^1xY#I`H$WJXH}2J3oB0@@@ZYxH z9Lso;?hTW}-#yY`!4ESyAa74yOFVNSQ$({CGHG#Z2X3YqwxwA;Mo=idCqtIFQ~z5a3gqsm}#ZT+a+m%zN@ z+7N)aCm$nzvF=CI3)5F!ngum{_Nu+}ncq)?kL1iDE$ z$ooDzzdW~Py$b+)c_l|ZA)pa#2WF|au9*YVymyKckL2-(wAWP8r#{%04t~1O?tL}v z)*lPd&3E#f*Xn7b76axT8IpUEWA@)#Q>f$MpI{w>bPbQHaTq(?%m#9(L|!grhHUK( zR0ZRZQ$MHg;q`ScbBYtvq-Z7|0gXvJAKvT%?c+E zeHhmm5gi-1nfLl$NC-+MGolVi^@101RD!mXU(^jt&=pk= zTQKYNAwrWgEw%r>=Zr@0+eZsz=BqB1e{;~hen#`+f6~#s>)e}5G>7%Ob&k?v`}8f%4335x-nW4Q z{`MKlInrc}KRp#D(^xz;SElsg_wo#8|+u~Wd(yMQM8(VF^J)Z5aPNe^W zM#-pqrEjCHqat+MCEYDDW7MidoHulttSJJ!dec znlhL3w9{=FoZs!kV!da!LDyL={_s5p>F5wFQzeiiD0y3s+=0!X^+!a$Y#JXty$c$_Y^TTp)x9blnwOWxq-KFjQAVJ<}YxxFS`#6oG@CM8ll z_1fSl6RlG_rMtc&uy9jdJoxF=RmxLVU`qx@S1Nwy|;sS(u2@2F1ten;KD13Uo4lOO?Uk7t=* zG%odiG3;j-Q;=lOyjiNcz?SK=@VJ$-!*1}MoRH@4pBD6dznNy0w|*0=(dbY1uDa(yO-cz71rNSIY?cEl_GzY!<^GYJbkrO4 z+dPk&*5x>pvXbCW8erJko-qqnxV7;ebYZUD0wmyZPofN>|B-6s}pu$yD7fOcz z5|OS;IZsC)T`X{F9D7~8+PK^!F!2ZlQ!>X4ToSwMZmpblT>05`t~KyX;|F_Zp+z*D zO?simvCK{NW^%Hq_?qL%K;z7H6>;5xpJ7(C^Ow(lrdmrSq+{kGT!yKctVl`WHtrRd zJ^83gp&wYus?57N75v|}B6A>Db`RgL{O${GZqme6KD?CO(Y~w}7%oCCTx@gRlpZuV zNsNm%e0eRmd6VbZ*f~cM@y;qA;d}CRciF$QC!q&nrS2JTam1PS`BTxmF6n|N!)+sr ze?HpewU51-Kt&#Q#2A)fpb+{nL1AJrJ-)04;*~Wn-7l#<9m*%v(vTW`nwCHgQj(g& zm$xT=F4`ls*$!5vA8bOue7|6G%hg&;QRr3I`iOnwwpqr* z>!N?^!LMWL-74YqYn?#Ol1(G&XSm=p9kIk8)SQb%r026EH2O-djlyoe&uD3L{nA>8 zxEhUj7qBKizm09&{Q0znkGs8|#aSMvGZSo3l@O&p_PEA`y3#UTYg{YM?oY|=z=Mf{Vz(eR6i|WT0-I6AJH#d1joEG3ZLDWc zqh?vp)v%G!(3Rz-ed^gD01z*fnb-BDQmYVS#{&Jd2aR8iDPSATl%aMD4m83-)52@~ zSx1q4)%=smJ=yuU?jXvRM87uquS^0hb9>-7g~!*$INDO$+dE@HFM>h0^>6y57F!__ zk|^(+{II~60%)dfR=u&qdmh0O#ik85##4MTr`RL(9ae)nTv8$n-?HQr1M1k4+8vbZ^t>yf=Uk2~(aW}6(Vi%JM(+%)7x|PyTgzK( zVJ}W7_Vb-=Y}rWni?Fi;8|u5~`}WGmZh*{4?0M2j1!MeV2FB43vs zABC|IU>y9qnocX?KrFRssvF8(vM;}|!~4HT4iFR^NzUYMxB1PO-5z9&!kmq7KYMb0 zJ3$w$OsYzn>=k{Mw98j%5!I3*YY-LP(o|-7sZG5r+uw4u(D9oV+r~#8x_I{|Du-}I z!l`+8*HT#fvERsS897E(LCS^VRXh^oeV>KBxrr$l(2@n7@1cQRil_3}a{W~Oj+I}8 zS+Uc}F9ROMYVC87NcMD}$tNn0?bPIgMv1Fu#W3}&Oxo%dwvY?Q!!`VgNAH8C_n<0? zZQnX%=xN;MVjEce^|=M7riGooUPn-?hkcWO&Quq-bemLDKogv1YHIY_={Nq$iJUlmg+c0a|vSQyv;q z)*AAkNi?Onf>uSe&$>BOzGRa+6Ml$NORe;?U<@_efAAAjLMvr|Y>f5Vv8)5J{fL5A z#Y4E}Y}$!++evO8nJDnPy{B}~p>!XZD#kla+_86OD zz^OANA^K^Q;WD{di(qcfWwUn?mL`_tLpGP*FGz>Q+rHBJUvONJUcRJKMi02zj^6t; z_F_Fn%3UBQkNbZx3QgzEPm9Kxr1H=C9fyH5H%38%5z9(A``s#S)zip?nq_ zrrShZ0^9N?MZj(r`!mY;vSB;Dcl2&_-AV@`*9KCxpIm}&^vty0;%R!2K3c=pq}56E z&^sJQjw%rT3C`X5r6|;NGS+Mw1|s*v({`8ZJn3|EF->!rS_)+>Gm&`J6tos;2VbUc z;7e%X-)iqCqRB36FI3IO7Lc1`uq7EX6MDech|Mm;ahh86^GRen8zwJyASsxo(_XYSzi znecZvSoAFTu2kmpMH=0LSBMZ!U~#bL$Q^UAC!s&j8I|v%xA|T_m_;ccN+*1{Kg~EE z`IwxpGvLX4hRCy*PfmTFnU6TZ3vT|%id8j1ia_n#wl0n5_9xdbqzxg?ypo^~h#8Ln z{e(XGu2|PZr+3Tv{S7Ym&t0Cmq|@ZZBslr(KI_gxopMOmbzuCLNZ{6HU#VlvNVFx+1 z6)V+i%yR$8-31oO#Fzm z(oD&<1J*0;^g6F6jt?Y6NsAb3Pg*KJwfhh|((o{iNP5!5_x-YJ_A2_e9!M8Be6BOr zseX-9GIJbanA5;IWk!Yyv+3jjrm_F;)Dpvo7E+ckth!lJE>$7N;zY(>$JaV^1p5gq zJ=>?4!QP#ERyu2AKT@^IQh@Eac!}dRV-qiDE+L{UB=FDVU&^AZeo}Yjb5Dn52e)A> zPNfR0e}7KF%mp^KsN4+UdlGOk!_)n=c`9p>Jgusn!g2h_iT;T~CQ?q=eco}q4muib zJ?}~jO_1o!I0m-EPr+=FJpM$Tz#y=T?}t27Cj3zsX*Z6*s^yS$fZIrR3vkn?nobIZ zJ9`R>!_GkR99yJ~CqHeCAWK)~`0X^)Q7!`8`%m3nX1Ub9g~G^qNJfcQqV9-xI4+<2 zSI&1{j{jqL%WM>dt{Rdq^_y=$!lzx2v|(f_>itNF4GRf)+Hz1uOpp9r-_v(~htx)pSPMClr#udd|k))Nup{F2Qr&>4@?pXobh@?~f+6dLDy?)&# zK22|3yEpYM-d5jhhKGT>r&Xe5N91DsGK4|IF8aRO=I9h{7sG90^zkA)V2D%Le(=)Q zyNZi>Z9J^R!dz!5<5@NlRYmmv6<}{&&%+>QhB(Mwr$>$E*Gx5cK3Q_b#ou*G@(J9n zsXd3fYqu*8D!zpTY{ZU$Q~8h2AG}MzK`O7HVe!jcrU8 znx*H@11ZbB9%0VwIN*_+mPU;0a#)di|L(q&u&?>5{XEvg);!yAIE=ERx#%W|#0G0^ z?%R54YI%nL0vVo~d(XCzUc^OX@ZtPzDGR>+X(_W96AVD9AX=^&2_eS)o~3*j3lXlZ zeZwc1Yby$-)3xPu+jekG^d!z1cxk#)Q7^A~t2u(Ba4&L%KVFp( z=o)Zoao9Ueb&^KXs@3*4O53c{uP0swzBI5(^>B?$ET|eRMJlJ|%eE#R7{-QYlN|j$(&+9DVpuE3b5dQdN z)9h@#KKs4pPZ8=>%GKPIy`yAAPi+_OdKkN1Oh!XtoW8rPIrjnC7|{<3yXt+Y!IEL4 zr@CCXwRmUxXqCl@rQ~8lHoHB!b9DV2NiJQPUks^JEi=B+nOm6GIY~0{KmFW2{*``d zMT;}*>eCq;=3)CRd0<|9xT9fp{78k3Gb}WCY1~uFnpw=5kStRcrL-!u$Q{`VoxiO44Ry#KHCt>szvDMQ^jck-D$`)f{?C z47L$@5UE0<4riSEFU>`^UNxw_T%zObZ@Y`H6)^U9I$3WZF|7)p!U0;!79ZQLk1`S{ zT@}^aAN{NM2WYPb@mt$#Om`^r*D^a5gBdV^pS6=WTa%MU?2S2o{sUr-pEJgE``ITA zsx(WrJOvH!4EjdCuB-9ofsou0U)G&Ax*vVdt@q(l>N%jeCI3k#IBo89`vvQ7Q$PrE zDZ%8Z($4)j^}xKBYJ_tJH2&u;mH1%ALqku45y&Pp37l8?c@m%nAG@8fKcH6TdkKKx zaz}L+3{qy_B1OPlsqhhBg*)y3esDV)NL$-bDd+A0ZJoidabpBZUEtOrz{w=LBVEjGX_*++dPxH6z_A%sdQO)&l&Fwk< z-;!Lv45+%a%M3(;iyi_!Psf0&fcXFZZ3c2h|Jy{x|NgG~oeD(%A6@D3Lpu2>VjZYb zlq}a**nw&q7+3?QLCx&@wr?60fx_O=Hr+Fba3Cp4C?LpZTvUEDynEt8K0?@g!TY8L zf$HD%y~M1KptySX{r>;_rMRSEQJS!QU57rGy5`%dZ(zE*5IS$cw!5nhb82pX8Evxg zRs_5P1I0`M5H5}{Sd;=idc(J1`EGK?EBN+=DhDi(d-vU)DYs1$t5dS#+u@1?2jnKc znmDr=-*x&C%GW2slwV&^LicFBiI%uk=zK2-R4|YQhGekai>aA^KkqHTa06l2t@f;t zyCE~i{Li^aX#b4(jkuN6Xxh*TcB_9uo3hmgK4DWR^`5?*j?wYpGw9=55}@uK{e6+& zpgZva(K7Gst3dB}yz#m%1wV<7n+7%{G?~7=A-HqU64<9^((71KjvP}p{(J{rIXA>b znZ*PI13IpKqC>r#Y3^vk&s?Yq^21mLwl@Fe`evmXFDb) zn>bG?A2*Evohb`v+O3urF$#30vk9*fu#^EE%x(BeVLRN~LWpAKf(o-7?vBjKg7KJ? zm7NRgq|JF}e}0p;7E9=!*R@Fap?ssd?@bM!>M09LDlF41-ZXkZYE>0t4?(s(Kxv46 z>v3bMcdzYgYf#WARSO3N+Ri6cBmZVlr5zdUpe1g?{m6sR60H`FBx9M9Y*R`t9mrH1 zz6@Nl^B!J@w*zbC$Q-~XNjuWScN9h*2L+tM5HL$a?Z9u} zj>#995va%-zxGFJB#p@Ah#dT2gb2i{@~TrrkaS#K2V^HDY18H1;LQjbzGRvihS%cJ z(*biLp!*m%WCzDpDuA+2c-`XLps0x(t^KtzI!Z;nSwl+Tr@k%SPR!s7mz2k_!Iyn5 zZQ&(k+Jn2MQ#D`}lZ?p<;oZv?3M2g8tCOZG7J|v^UkCU>z(mIz3O9TBXe)kJ|~X_mk*WCn>gs~i637c8P496Q)Aq-4!+ODg4%Nc ze4s#&`M~nWo+GIq@CBFgIA)ofc>0xAP4(b1u3_Wlypq;BpHJA}r3EoZZI*(Q{1na+ zT(GEL5I9GdZTW7|%WG-gk&B%QCQ4lvrz&k%ys*Q}^6PFV^P~zQSSYmL(dMI)DYpTOr?H@GUy%@s0H-7 zjNFPS!-a`pVsRKwDF6kY5EHPQqB7xMnP$n*Ygdj_2F1K@;2sXusjw2m$-7^zRpnJu zK7RSA9$v<(Vx!pyeO3B2fjEXd22%spw2kf)FfZ|?^AE{A^YZ)#pEKu`wj{imhc0zI zvIED)g=Yxh%Y8Xj23t?XUQQ#3G89!+ci)~69Y>&`JY)PjGhexI;l2e`xYJO;nzOTa z$l|EanfJ2$M)OaUbOOk;+eFoGGJ2LjYzazKlGAP%Glw9aBS@#B)!f1}(hnqH=Lcn+ zxNZ*-sBZA^0bjT9mKHM#)Pi4smTXSumPl!Pb@R5UjPPyise&+3-Vps`0SgUgLOtHc z7qY4x@#_%p_&|I0dUrn2b3V!GcDO;8I>gb8zxq{e^9WZ<+*ZmWoPh;^b^1mGZEaw- z*~lVDzuIXM@TcQp?}Mc=oE;nkR{`@4QZAERn2hnAX9k)EVwgCG4(8JC3BzfyIO659Sv3V8JRjyklpY*v|3T* z22(P}xKFQ@IBqe|p--Y09?DnjV4wIv7h6BUxO3sl{XfDj29?Zbp3g$x44~W1^4xaF&Yz4pX!YL}|%( z+;=>uzqW9>Z%Qr^IJ6_O9iQ z((Z#4T?;nXPyP(Gr3rsolwZ1XpwV=}0f#JBtHaUp%XcXuN9-LBnRRz@;0|)UBsZc@x@_c z`ecxvK!KadHH;InP%AwGliJm5cS#_H9giFydM;O=NuQmtmF@RdN3k#cLEg<(znf|J zh7!L{C+5BVnK2|Bmx^!lXI?nIpu31%#9J{Exd{HnpWpEW7o8!v3hvmH(ao#hX|YF~ z&3I(IS)X)&kfhmOX$mSj)66Mo>yNG+^xV_zSd&XM$$6$9=+QA2d0PR1oS8BvoTSx_ zq?cJeN#;gsKlda>ZNR+lBX5l8r1$d6K*2uRt23?6rLLii$UuUO>>ipjlBN6zau~vX zi2S|nut_)7WM89*s2a%0Kg@pMH27jgd*MY#JRQD*YmKYc(#VHeq~XK&8c!gaj+UdG zu5{vK`)1Rfl{1wG5ssgDAUA3q>Gs>J@;VO{7O=pz`)%*;c%b%ut7{J^&8>J>=h!EPejnHw_dNEA4B9{q17&b_S@bK z*u;X*t2_9Lsv7r*|LGd~x##p0GHmCy{OvBy%%lUQ?dhmVHW zE5fDj;kv1=fT+AEYi|08ff`*eo61c8X|PYih~gzR z`;~-WgY577r1k4#7~3vt%2%NiwJl@CDH0&{a1Y$6z=f}$^|i0~l_C)rp(|aN$QC}8 z;F#~%);BaYPb183^ZM%jADpvvST)F1U*%=0&|Qmyl1~nghJH$^jhJ<8ktPuXQSE)4 znaN5qAGlUj9(0&+W5fs`I0}%}!A}5s$Z5oM2Fnrad44mxqKmcS5)i$=pe7P9OEGh!2_s~4yxPhoda5o znB!#F*gQTr8N2VQvn2>2QQXT9bX^X;zBzF40STK5rG$yeiC`r|_fO3I;V!-Dg3IDN zXzSk_+J}||MFR+ChLV8%$pPS5-_1j-O`Q{Sq+8;|?hG3w7*l$k+NdFPI>S1!vk8^R zbxMhqq{!Xc-AE4+Z;XIe^qKf*?F1c&$4}h+te?5K!KigyU*`6j*=RlZ@IRY$Tg6B* z$Q2$Ciy`^8BU+nzvk7fmlbvB1rCDs2#Dy=vQY)>`3E?MwRRF5Uyx7QZMsige^#r1 zAR%=n1Pg5J!I%GU~4#V-_hl*e_e@JS*Jila= zNLXpADxyA;NC5OHfeEj90?{2bN;hcVwUE0SfMr65Y~Vwh&@5PjDc?{=koNlh%s4^q ze>)xZJ%_UWO$r<_Y7N9FX#y#kbHjXiR+;LkXJhStW*MDN@B z$a}3(hV2`I%BeXTg}1)*O4o#l0^meiH1nZT7Q)%GjFbCT}jo$g6;$vz>{7-I>jZX#IwtW)v$f+Fo%8JY^ZiKWnAPa*-dB!{jw z9WZ({o6)Fgw&<2?2V|2qVIaZDXDKZYvV(4;vPdsJKlt_F$v=4FM+)oakrU^`d*+T% z<+w~VOTDaZv6hDgTF73iFXpZ`{=D1nlN3$IKpr8SkBzO{yv8-%=2fQQxbXRjj2Gcs z-GI!^5k0_^j=U?mDbBuN(nYU*b>Kb1+2Omc@bp%;spXZiG5Hkg&C)8Z&%Mq4Gok9U zCTMO~4u-~QR1$$fMgd0Jc(-pr98sA(nfKScW&neos{;M?h2}dM-s+*4-93g` z0!xptTxiHM|Amp2+tckK=gp9*vy$TG2*)!yFY2>5K{`(DjyvpwaPK=+yR8H?lvDb9 zh~mdy&;UJc!kqjvv<3Li$q_XV)#a0=Wl1eMZGcq;sqN<5oKlYRe1Pz87x$|h6zYF& zykFe_!RNl`|L>Q51WW~Qh*$Q+F<#dkwp1-=k9Udxew(vJ*K*4ujbsv@sM=;rm+|X( z-82#~pC|{~(yvPoDR40ZLRb%UXLB;J8GlQnJheNdCX7uuiARITX`S2TFd}{` z6{0_6PkXBLn#&$peGmKAzM{r_{ByaZ^cbFIEFs4}w>is#|5ZqdNP^n+ygFB)wN=T! zm&4|_HT{U_4GRMhew!Q*68E$&l+8kt7p<92iyacfTZ;S{?2}#Ox3$Wt(YH*MwY0}W z04F6kkf{A%TD;X?5p@=m_IfhWSXFK&B(0S4bzLOgN{hPA8!LA8BE}%}FA>VhQvy#{Jo* zVs_}Yw4#8)D`bj_P+`0f!6L^fNt=MBl?02X(|1~=3I@?E{#UTSNrsNdeF={v*r&GZ zmG|}SEIjx8EQlJgaB(gY*a|?A2^>o8m3P#sX3N6BWezeRl`(6+o8<|b=}qCrZGD;d z7KhyM%WRiIG^PPC2^AiAsbopT6dG4d^DQVW9MibPhx

J+IU*FS&zROjnb&oY`(_^z21?g_!c+LynFrS&vAmVqduuwwTexc8xLn;#R zTimd8LRri?bP~96NS8`%9*9^LlX2mFSDW1%NE9y#7&x<_egpBs!{+A=?^3~J47GoR zjjWO}XYPFi!D0;k=g94kMF_f?dj}_L69+plaXU+_C)9~Pb+bK*al=1<< z7JGKSa$}lgDG<1U^S+#-*1XONO&`cV408>qY)Ky+M+y1n7!H`$5CKB)sHKOBLPA#s zluiZdZ1x#4qO$YzBFS_$LkU)0VVyCU=^C7V;BQjn=iFa}{`Nm|+BiDz{YBWw7KG0* z@OlZld|bCSQQ5jJb5}5>X?XsO1CaMO`=?hB^L}TrKz{QN^s^81@3NAX_2VD0JSM9x z#6mpQ@X&lGRJW;x{df3nMO`fs+~1Ko2NJIImCF;2&jIaNu_c2zdhqCFzf{{R;>1ih zhGer-nv|l8S#dnjPO0&@3Tvpa0`&UM#026u(>@F`d3nhU=wAea!RzrLVKXv zscDv}){5?!e)&|JvRcoMi3cxkNmFznY2j66EAH>k<&44>&{NrZ18ss&TGRQ@Rz1c< z92q{{Ku@dM>>q@@Vu0UL6kd*n1Rgi|V2(Y1{;j?pJ3kER6>q7(t(aicY=G4(EG<-d zF(zTN<{mKS8Y73vxbciF1;i68+>{&iT6Pb!)5saztZAfrZuN< z7Y5kHToP!Dt|?Rz1&@`U4pq-`%dXGYs#O0V5l8yRqw(AE)SIuCtWVs@iOrkGv$V4g zJji!h8yVhaxqq^YJ)M?J02vxOs=t>=0Z->-!aI)hAww6|C(S<9CUy^uTNz!mls1z2j zIWC@A?$JOM1ASE&0m@L=X^v@$N}?x+lhhdNH0{whw(!TC?*zJ!jlzV^WpVfuNoSg5_?!PQdhCC zgYpY!3&<*s$yis^!SMl3m=D>2k@tQiLrf!TYJ{eHuTNJNu8I3#$`|MyDH*BNP5aYO z!uL22{fOZyTsb>AzV$M-{J<>~Pd)NseR`?)l^i*|=y`lHHCO1-mWE{t zF|*5SDv<4uos+bx4w9i`>i`YW@1^2v8cXW>?&c%hHs0cs9L&bT+PP*#+wA4vO$rf% z4~CTa6LAvPCCp!2st}A+eElMlv`F0-f7uBW;K;4`Qa3yf$wgkn zB}n~61X3=>I8|y5W%`tzS)pIg2Kxa~4Q<}ur84jBF3K$A{@#3Gwb0H!2wfvwTU88>m6F@m8w){0!e&XXxN7Lxu6-%oxm8SS=EcKnZ2Ly-2c_@ z;bc;9^X+6uY6$yLaA8d^+QE$I;d9GGZU`y_ zEyzUdC@1pK%zhvOn9ZSYeqV^q)zK z$I5xwib2VE-sa}$NvC}TMW;mHw2U~mRezE*T z#9mR2s+-B8Y8NOVEj0BjpA=3O0YJCrXJCa0;1QU*%gC2uKuQ1D6h-Q85mN(V8UR?G zY|R_U5cXdP6#6dd4z%ulC6lRe1w0G!_^50{7{Gf^Vr)14dq`r1p`)HXkZ7*a{l-~- zp~#={0{qzI|JBGnj=QtMEbn39pYR|?0_SCd^jPEk{-!SxVV`>!| zWmfH2nvj{%{QF?V_Ux}TfxdZvG_l=O{)QbLpzV|uRfw>}pO&dpJ0b~M-mnYN+E`QO z64Te^hvmEO?kop3TXt5WzeY6|8r(X!3Xa#EAd69#t9#-hxgn++lJdx_j^*{BGvN!h zM$V!0#Jlj(vKQ(C>q$hiFQfj`Jlm(hmgP`37{ZFOQ>9P?h#wN|BAtXphF+5>*FiP` zww5da`^2gog|sOWwA}hT*aaoLvAfshkrycA#c1@$%;QR&T6Q+TxX}KpEmyBdOKsZE zVIg&8b!@5s){Gpl0Oa(W532l#vUSGTXP2&}a+K>&=mf}5ko=K(cwd<&>suXn`nWer z_v20q`>Sp>HuVO6M0*I369%f%T-C3R=4Eh*ZFvXzHA_0BrICYw(TJ4fs;!67t+#*Z zWN%00G@%JUVjN9=Gfi32G%01*hokVrSgxQ(7fNLjI$e@_G9s(C-t<@{c(FB?6mHVV zvM`)*Z% zOEzxi!*xbKs0d2;0%JJzLlJdrM3tczFZt&W6DOs$iEac&UGf57B@(@e%uK)f3JyuS zJ|;XIJxy!ai{N^v{nJ<_bW_Pq$K@*#r`|GWJyQkY5Sh$K5`)646p%7YpLRWw_V`|s zd_cUs>Q5kyI)V7xRXl4_BWY{0)-6tvF`!DEl@p7hB_#7B>HFN#vw($YK&WjcKS{ zDCY*KZ(0KRoeSL!RskrD2!M^^MnUsip2L^uu(d&xp1XsEhNVnDwq>Sm%4K}6q1_W= zP1QLk9SCEDwPAS#k#xWlS4;9JcMiYYsKjlfWHA=kpl5tUrI0rhy)NCN&WkO8%D1~J zf|XNJvNhI|Z-ZWN{1cD#*+xWY3t3BPeofN4lpbuP3Dg#91z6Od48xb-(n)w9%^rtz zT`$}NiM=*t2G^N@I6I~|an~kCV2t>++#K=?D1so5?m;g{mRstGHWO@>31-V8Hd8nf zWyApEYpU@we($zVa!1>y?4UlgU4)rMqh#x)lGYwfvVFLep*=%gT3%UNA9Fr?ENg;70v`ty95$B<>XznpL?|mO{+PJt zH&v_&ofCC^(@V%25%TlqR~L$TN~GL=(z{Jee$Giro#A7*l~xfI+Ibq@Ww&sU;(9`` zQqhwYwDw%d{x;*<0(XoO$%&%bMHB?~N$_fe6hfp&v;BG$q64X9L2*#xEMN z<*0}=i8}ohwf0K2C_-7C&@LkbR#+j31lUIKh~j(lnaRF>>SmyGM9AuNi99AF2k`h0 z7YSJ7@A~7ThRpxLnF-HVOurSKSv%7zwWCdRY_srmhC07|yQ= zNi%93V4zc+B~Yb*J*9p#Ss2B)3D4&^4ceOZ197?pAC(B9Qh4!1rysGFwN-Iiw>tIV&WRt-n=Yu!Le6xq z9G2b*v%&Ph<)05lCv{8gd5=M6SUKlXb9V#-MFI8= zs6JjRS&)IiSU8kov@DK!YU43Wh<^4~Zqfg2@9nr@sTMrApdXNMYu|Dnd;X(S;L_~X z=`O%*9MRRb(IQCj&Alh^ofUsrGkx$8+oyhK&pd24|9X80Dk5Rw^oE^}kB! zdIOnJHiE3Mnui;F_c$(}F1t;aKF&u?w$(g1oOIyDo4ebqW`z}Ryn&?Y*L}m>BOezR z_s88J6wE7@6@hHK2$0!N4V*_QGtuB$36?ZSl#0d`WJ$+e*OnR=!9b7o>HcF8f6W#` zO9F@MYj&ui`8&N0ujHxJ4@(%HJXFD9?bVzk|P+&OE$%mvp0KOW~aaA(K5i6 zxFtJF(5_jnQEI0B3t;4$9(O~y*&R-qoYhd@j6Q4m_>0#$esX%@`gBG43J;^(!AuUyA zhYQP5Y4+Pezg_qX@=GTqJ{(fQ+@m{-Z z3ACXDwWTD4@KrNbK9qXvAcw8VLQt|X`W2ddf&5Xwe!H8SRi!$F@eA;D5U{=6?U(A# zhqr`HVmDaNJs)7Z+2nzeyY@bVLM|74k#&@+$fqWp{UW+)x{-_5ZR&Hi)io^GB0t#f zlIc~6i!Ak7zX$SS>_EkjD`v=^#CgSi&dq5MBd7hshdo?d-0p-Bkv9-*>>W;^rkJUl z7%?qNX*;vvvyKVQ*gr{`#LAUDYqyIFI`|eN=kWpKWO^%A>$zpC5ana?H5!pb^QZbq zr`$#kC-yJk1)<`+lF(aqyc9rr+)90EZ3G+oA$?`jt1H6aM4s5rn6c5d>YMO$JN>ljTDT)Kx_{W1gw5C#3mGs!Y&Sv`hh(#XVuX9qN5Ak;r7Z@%Z*Ue)7q zJb%ESM#6*te#j=sPBA>LaD-m2fRyCna3Z27i=~^kl=02o=>b`ahyTFU^BlpoWW5jH znjkE7l+@T9EL5cos01<<$*g`p77pL~_40dkny?xm=apYq_dCgC&$urZij(oTCa>QGa-?9JXp^ zJ@^&fM|J1NDD@;N#mf!-48-M^>E`W7(9;rSVmEgc!$IpOUZhFDi#}0LS+jpVY+Y%6 zxt(NU*`$AZ7mzC$q$j^s9ZyH)z|t)_j8{T`&~^3kM5N#I)snn^N&$^I9UjY&2g$1D z!LEV!fj=U00?wIG!6jsvub|t6RUAs79niG8pTKY`*x_%bVg;d*r3V{(*N}dnTBS2-miYq#FeR5u{V80T~+U?vMr%fuXyk zq`L;`0i?TS=Ts32gS*G? z9)?r^@0a~#WO!0@qW!xtbn>b?^7lvibDxLgG7+5(sLNkuvp?0^TBgtF*YrFLGJmr> zq$X}dOpLLL^#1d!Gj|eKJW&}^nB^(bb1v>kdgm;w92MoDEOZ=yNl~l051ZE?*4S%> zcEP`JzicfHy43=xOwypbd#F}ZsCi%Pi*6Pav@&F*EQp3fj7r6STSkR9l&0KTGNpwC zoU15%-cw-)3bNG0-fdo;%%3EeTU%bq-3&;foprqyW3USlT!Y2z5qQ)mPDtGH{Id}z zfuzj11QwoV8^K!n-iWO?8l3^-nc=ibIA|GHZBn_?&C;`@sL0a>5HRuz>`nBl`q5bo zB4S1QoG_7#&B&MO19a%8sD|ibP>D_cj3N5#>~Of4a@d7jU!pif%T*P>pccS-KYvie z@NCfe*YzAs4y4X}x5+vJNEf*=?1EPV@}j)I;lE%Fj$Dp;D^niV#Rb!`3`{amy-M@s zE-%~R#EuciKlcC+)bD45RyMB{z3fa6gVI4UZ1>WLbU53ri4?JQQz64_SxocSkeeGh#w+XWpO32;9_OeKn?)S7_WOxe#83O6yw=9p z?HnqWwxR4mZ<=8kp|(x*Ba#=j-4dZcYSA&74c|lu623@YHc+96Q={!@OJ0^xux(|2 zu+64@C{9_Obv_-5gfK*~4fdYu>{w92*Jnuk-z+fpXQe!WOdhVUuD8Y8 zk}TFwU$QT<-)<`v6GDnSyhu$y#=r1iax^6mODp%ii#&cDrkcGY@WNe)Q>%soy2f9s`*L{_ClXX%_g8^lxeQw(kq|=Lp#%=%45? zU$GEDs*p6D-a%{XGzH&{$wtLR7eBFl(y%nMMeB$m4_wY+Kf;*(0Dwx?=M|Dw>d=bz z*tr1vVqZJb(?ks>1 z87Z(-FM#5o*uc)|5+nA7W(`P1&%Zvws4zL#e#Qr6ZO;GUsFODI2B0Pxh{i1g-7^xi z`zAq|perElA^@aaekuZ=tz8<#kpiCrSsPm*z!sba2#9Ta8f7SKo174`99{zp$KjW- zqG9jUhF)xcp$vk_TLMu#ZZXUwy;W*G`VMaSd4>9@hD)1UNh0`hJI~fWWO(Z&bR=Wu z`VcV@A93_)O`v`M;zvDsZf(#5jR}<%_1W#Op^rC3Hw24r(u)wLe)#hTd}I=?5#49b!bqph=tYm$HEeO&t;Jffv<-I4{R( zdR+AODQJz)#b>GM{LW9~Q9g7&9&yTo!C~}*0Gsc5G{Ob$B!8R*(TCUxc!*I@N5yt= zv@e(D2%y_nhQCHlC(cV#V0Ekh0IkBYs-ViO^9FYwJ@{ISC-T(VTM!X5Rs^cpe}jX; zT?Qn<1}f#DZHrR|b|SLlZsGANr4v_|tE_Yjch@DqT{C`@VpA;V#W*wexbg2f2$=$i zvmqIL?KXRn0HcG%p-g!g(K?Je3_x+NCT?}ETxGTEVGEtV=Q*XLpmZz5-MAx^QuHRO>Iw!jmL$cM!mRg$EmV@*2 zP;*>(BuLi<~aK0kp|8(2^pFIRtG? z2-h!2@w^0wrYP4l>v~Lgol@#N>Uj_CM{UKyxd)tV~nq<9b#c{V?Z%J_h&CE!M$A(3RHyNX@n`4A) zFVcPx#+DY7->Rb{CX&(blCC5uTjZzo?qPIk=;04h!w}_6Ya(kHj9%&=0TBat4wN`e z8t02#Xt|bit(9<@Y)7HLA?N|@hYSz>bDf+A+{&DZKwZ` zUH>eZbv7VSCKOQIdp*zfgoW?e?G4ReP_H%VDV|z1=Hk_zUuBNS)?9sTO4wAE0(U+S zb22#s@Fe8&6@Vwv=%pw|h&~lTTMfKz&X@7-_#yh7(wE55W;`GY%$g=u`_2PoH0b^&aZsViN&6ST>?OIO3s3zKm3dyY{XqH+c;QJcG85_Kf z4kSLylTUo0Re8`P=q4zEBAe`)$`HE>cK>;-IPedpaSQ=J4RA5#Tuy;f!ES9^i_MD?H_MO)iAjaO;eFWR8vXU)?fpX*t*oc)tF!( zgLHfy)F(1VhfZ`{;oB>}fmHt&-(|x}P#^_SuAd+y-FbC3;-S&uiy7(+l`LKE`J-b0zauF557r7D)Dy*F1XZANc z%$#MRhqcPklz(<>4_y@s=DO5JNa-|wnQ9RXfdvS^;%tIymcBDB{4V~NLrC$(Q zP!J~$zKlYdXM2P_f$p_qw6J2iimByp&wKHGRz71Uv|n6Ukd{FMN)`4Tm}M$*HdjSx zyDX@BX6LPP8`vg4zIafh{&u5_S%;*q_?W zM#KuyFc`mm3JL|x$Q21B8%c^6u(Kj*reDdbwijB$8Nuk$LiKh$+fq>K0k;{G7VWX>6QkhjHl_VO?S@Be!1}F+j zc&a(-@BL@lWo3rjfH#u6>6K8NPhAhT;tv|njF?ilVrw5N#CI~^o(M(@APWR<1{aHLqE~zfO!~3^1|Q>y5{zM=sYj9_QsEdlb*NeZk}P=;#&Bc zJ{l9Fs&UsFv?Yd!3G;%Ns)&H~C=)_-Mm=&~c8E#AjGyWhyORm2alkjeFp&@c?EM2& z$z*x-V(XDCH45hnR#^52Iz#PEIRVBI`zK9~64lS~Cbj5tS4kZP z&xbtP3~MQ-H#6F{`OyKWDSnTJ?vY+!K;5HzEc0)a)xZW{a;$CRa@%JB(uI@?H>dZA znc#1Dxl<0h0WOLKT842uOvqob`J)ZO8$ldZHQAr;DAViVoDCoVi}T3M*O zq2a_+ecKmh>~!4EpEWk%B@P5k%kFu&@gq+{8EWMZ6Nyhy%w4bTkITZNe6cA)^s-BU z5r@hy_7xgR%J{Z}@X{NsG{Gx)K}t2=D^$VkF`ntjnWn-Z0DT4nhlaW^d!k-pRr8hklV-{;B+%Ayk`xoJ=RdO?~{qdY2p z5Oc1Em9yoLbHFOB2*a~efSCCPcm@4y;xyvBp?KIAh(*EO^KPGlJPkXwi5h3?+f|a6 zR8QnExX*C3KQO_cMLH5dL?C_X)GNQNMn#8XP-L*-u7}K68osxtjMI}i84Byx4kZBv zNxpJ@*8(+5$GW>VJ~|u~mKNUfw>S^U zd2&bhn+f~(^<;lvFB}`_kLtcP_D*>8CFmy?4BjL;4xAW5jOGFaPa?R|6d9F9dh+I8 zYB+xUmAaX5VyWmD$^Gnnf3_f_>z5;A?YC+Vmc)$3nNBZoy7TU zqx`z2qiiy=?-lKZU^@@49w~2YFJe!_@X3lhl7cdgFhFR;ROzxO#Uov3VM^ zvt*F}$$iz8A*o;D@!JN5YyRjRdDOM`EJ@x+UTufgq+p>BW_fC^%F5yq6wRbq3(Og{ zGI>DtNmY3Kj$=xCgnL^aIgf0VcS8 z!o|nX$inbZ2em*#O{@(C<6mv9I|FYDe>>%Z6rXPf|1Q7}pHlx&@9^QZrdQZ3CV1d! z(55WYCDU;gKQH0U${i(rv-6PZ4byQ)o|NFJoziU%mfM=>!(+~?!<=Ks^yw2tM>Qw! zb@x|}8r;iIxRBgTnr`(yOiq)G-!Ni0+>eVeFr}eS)rsZ|<|?sMSV-k9yc>*LJ;mr1U4We8{=HJ8Vi02yd&x4&xAPn4EB+Of zKy}EvTPFLjm$7F9AE6_5N>id)zmlxX(8);~XO*UIK8Z<(s0z-W-6+rR**O*9d7t!8 z$w=MV9eNc~k4f_CI^=5DogaV*L0^%HZ>kupK<=}`v%*{0e=o002a47PcKV*0U=$@z zz0#0wic|WzzCwvR*WNEX|R_2t9vuicuD4U*v>nYaRE<#}PtyQ)2J@KB_B0WhsDY z99dG}6s8`@W<&<=7&o`e-s(Ic7w47ULlY?gleZz`Er(JvG&EsG^dF|#v72#9ZYt*pnYZA;!q z?j}vKoFTx+T%XShf8;n-1Kg?=z+!X2hfV^%`isM)DiBPszL#Ub@f+TUL59JKftjEg z;E10s{g`{;d02S)Z^zf&l0f45jnH<15+P&;h&PTxVMXr5q{cm4!C!)aGO52uqBZ|y z5m@XeLPbJ$XO%x-$w!VcBAUsLr!D@Sle=Ie0`N&x(@Iez#F;CRVphBn)hkZMB4lLF z*JeD$k@$HMXJL_FxSeF;pNe6ScNwj`Zio0)#u#5OMIJT8xC+CPPIw*3vF&d*x7JTg z!Q;pPxt2cvp)1CJ0S7RNHLQU@1#J&68p{&}z)sVV8)JRxW6`k2MSBZ9nBWrJ^o^*s z;Q0>xN5SGQ)*NSe30%(@K*necJVC|1)>Mkq#@dO+%oR;k?e16h@v(Eas5h)7rOw@C;vwhlG~SZS6n^c^7&`at=EvO9q%D^g1Q zN<%ZG(FZ=Q#@4gps>!5i?v|ZNLHirfM2RUiZ#;(M6a!UEIiKasG528Y1e!h_Q1G#= zl+y8#fRbj7bH4{sG?24RuJgpl)4;zkIWfZnW^n;Q%WhA_kOK1oEKjdgg?YXte;(uh z&0e^ik_a+)w6s7C`glQJYM=)it0Y+@xE4=fxIT0C+9BYwrX*9gJaJMY+A zVmTLGbWAp8p2_Ws{Sx z(&%6I3vv=AyT-%mIQ<($(ETG-f>YgLwxRe~%Oa{+}y`L{w{pwTFF5K^9rDd0w074cpym-J# z{qHsWrPY7Hnf3)G{qHWD|8{(Uxhj-Mdl4!1*89eYGP)zL!`p!sls%PrhblLqB>ug0 zGw&I0IK#bjF9Pa2o}dA0-3bAYug-6)iS`)vHLL=6CV~A+?e%!ef2D=bzaN`Wze`$Z zSkGxr=zgvsbywapz3@#0D-IvBOIZ#8?LX!@@e3#moCF}7g9oxtx146-(w+Aj=W&xi zFRaM2+#N+$jCr$f4y8!L3KOf7iX%aoiUW2E4X{u^#7{PY8IEw>&2R9c>`?cRl9&^B z@&3}cYTdk`=3Q(pK1GG|`8ze2lB*tI>y-S4tz|0%Els!tsHu8N!<{O|*!JS^b1Pz< z-o}y~KG_oz^x%HltZFx{;ILVn88vWNVaF%%g*txy=d^jtxEj)R_78QXoy{%t16kWu zTvYA9fKHL%-@K53%2S01trAceM!W98qT18nuyjIZwQQy6W#G^-e17A! z#*;cT(KWVhVSG}9$FioV!+dt7)n^3mn);YH}@wOkIl z%ft!!V!8L9e@%9tVe_WmIZ{z~N{hpTUc8Syp5eT$t37B!=I=Yf9p1MiN{ev5Kj3^S>YGEFQ7ug>iOfZ>Jws*YrN-6#EayR zj&DXu#@PI$p6OJ8j&@sTeL#|==-e*cCa}hnj}~UNqq}3@w9dR|G;eNN_F|phDdt!j z&--QHoqk+NiiqeUH}OtpUfH{@&-yrbDLUs8yEMGvWXU559d zN(ajMnLRh>$5H1>MC_oZ!^!bZfz_;Ad5-+_U11sp!)E%k!rAYH9;a(lQg;#;n&RGP z7bU|rNyp@h)eH&9_!@gTadK2~ z6y}X;L}as)gw1fHhajqY&#rsdFcRX7ib&NCsOxG#!Nh`L8PmWQ-zh-VAHRiS@1<}x z=h;>E=fxeh48*F(dW<}~bzb>~Idv!3kd- z1pKJfcX5LYlN+>-@Zz6|2GHXh0b zkQyLAW|X7_T<0GhDQ2XHBhmVRP|oocK1)C)??tEx)WALxj`7?6A*hrFj^q^(lYxi$ z^%B}f9zaS1-~l9YSdS9Ay(zE=Y#0{ab98PW>ZOlN0fIbukbP7zDmqZO-Wp}YMF^uH z12n-Ogc9%=hP5aoK#Bpz!44p8?0H>(I+pFSBY5EO(8!OpbKoIo52uex@71~+Bu#i@m2_Q&MabZ}2z>51L>EXM!%lV`&e0$7NS{s!xW{35=W~g^K+JR*n1AD!-R6`lsg3D4SH; z;vG4XaSteYIxtwnYg3Nvo6bR7-cJitKY(|?UMkvWJr{fQK?cgGBJeh1$nN6{-1iX? zd*s!FfCRrUKFzQv+;F&>s&>tQza%%g8FIS;&qeA23UXvw?lI5ymjR>&dd6(XwY9(; z+k%2F&NlC#wwxULpS=&`h)mFp#Uh^@enDi!s5Y$dHLFi6AjFQe#8@wQ>4a{ zHTT`QkX65E@?AM#it!;c+Jl;&p(_sV!-zS3XZ3isQc8)ki8bf9&fjS&4v=5d9F zby1ZT6n9wxQb(|&j~bF9C+W9SZ)>f_QA;fv;A(DXgc+#qrgK+sZCy0#eALjd3@({1 z{?=i3Gyi?Aa6|uVzjV7XR3nhV>{ua znsZ;}e>lR~T_$tHn?PYw1PIcwrnT=febL~P+wW%IsK^yH=Aae$h*xV&-@P>EZe3?Q zodgf&-OAOAHIl^nOuQ#bkncB&7$cw#WMBp;&3nw153<#BZ-FMPh?xQdxe9x(?Nq%$ z&>2^JgKbok0{C>kzOGDgh&S79cBh!F)D*YKqm0Xxc|(UHPq^e>CGN?d6$6U}3(r;N zsM6&avl|ifHK$^Z37N)rC{Dx_TfGGIj9;DOZT2tGTaDu=_!<7P z7yU$!0ZD0Pe*{j|{L5GX()kLy1}JLG<;!9)Nfv>3s9 zuXRlKyM=fX;Hvj;`m{d&{iVMQmjp150muJo(EC*)k^KGb`=MGHn7|r?31F&)sZ0Y(H%S4$a0e*$_Z8W9##|T%>(1BXJzDjG#bgc3XrLq@M66yd;;!aQs53 zWgt7{nM{2Av@HiB92cgBhlr}z<#5y5K(SK;vI27^-|KBhb+Q~0uzqd`_DU)7fY_*O zH9r$0{JTyRh~L}1Gv1rkDQ$1uysC`xpfh=Xsq}jyrO~A}gNr`#`K|Gn$vU2AlO9Uhyby{`Ac4n;W#IMiWLf%E8e2UupA)1%~J4I&5e*@l( zVsIPAR_O2{QJg1!S102m0y1hvK{OX)ktzp9Me?D@cwE6%OKU<>M^ixCXvx5Us>1gx zVh?Z^`5kLy5n?$GBd(4t@-@TIgr@u??TkqJQ@bh{8}U1+NuB2I$Phgr5Xbs<6osJS z%S}9m&yLL@J(?*sJ^J=&aL+foSWCdYVo%q*vP6~nc-p_J zRnKu|Ubt_0Ru_CI%VGc%J_g~oStc5~=#Meo{P8%D^YlKQ0$xrhe4E$&*gBW#<9wt&^ihRx0Nap^~W>w$6+Tug%-|rLS__SJ| z`T;b{HJ3HT$on~HuiVi{Ze`FIBc`?P=C?v+BdM9pcEoQdJF8R?VZoCHwY{m_YN1yc zaHsyxgjq_cuimZQZP0||lF9|i=(L!X|1ut*681WikqqaxalQQYZbJzYA36aK=Ihre zUow6{s=J2HfNR>~f0Q|IyUL^{KPTM(uKO~|zt2~`!OwWuZZihI;`mTOcF-+e8RRl;4u!QQ zFXoi>oom@LQG?J%K*=>-0ZeWoezJH9?H&5!9t{(_n6!@`997TIS5uHCy(a0?34ZQN zLu~#d*U7n#)!6cWnV8UtCX=LTGy`dWwT;LK(Gxdazn@PJzQ=^P^1bFafgS8C{nbXP7fp9_|Ze)%Zquy~4BPLi0(WS&;_A`l-s zG0oYA@+j7B?>k}v)Q6aTBfz~AJ)uG>zuB)}!8;*b;urTA{^8w(p>iAvsV=>1)tNsn zfv%zDU|80b$p7cDarLjy7On$&^tMIhc28u3UecPs`ECo;k*1ANQlK& zln(`{^rn2yZRjwv@zm^tlV4loC)R7#;V@=|GVi6>dkb-Hc4cN=!#wySczdZu+|nDX z+)fH^4yGhgVxzT6?99(8g{7K3Uq*xb3V2K@tKj-F#lLG+Bt!e7iXufPN7WJSLAYt2 zUS8}5^P=IR$}f)RD4|yP&;pp7l7Z7r($9&uc;6zn#i?zRram}b`aT){KVTmQIOge`KYA}qXaM&cngh)mpeO3;+(*2DDiB~oA;pBtVo>t~Y zmiv-MhBvI9VH6FZyip!4EeS>9Za%db{`vkZ=%aV4-Vx@`k-VB0KGa)qXOM56z<^2* z*U@-}ap$y;4~kvsbh=q&JNc3UYBgj5!J#BWzja)2_Vu<|Q}h7d8$62vrQMtKHz4?0 z?i7N2w0^}jSB?VJeIbJ*Fr7GqtKl{`zQ3wpb%f+1dP!(pG+; zpzqYdPVGhGg#ZqXl(M>pluyFj&{laO4J@cK(<5kGW_@`Z&-(Q|@{sS7CIWwRl?C^O z!ig=@akrrd1}ND{LGMPL7aX?+iBEuP_?ZXXOqKTLvr8M-<86gbW#Xp6&zvf>w4IRb zrQsX^IXQP_oK7!?TIbXQ9ve6bR*`qxq%)y`P$mNF!6y5zt$(<~@xb0#${-h`4;6Cd zY*d+aXfdEBJp_wcUe-jthDz8i^rT+FIqW2$m z(fs*Bl-A#m;;`YTd!2d@A)%Q}o0^1w50leclq~;eD5^4acr1~xYnF=hbCxdWW~SuN zJ#UL~b2G*tj=W?K&}b5WT#oXi-`seA@H+`xw|-BnMr53KM%m8LT^SinL&c!l;_&z{ zB3m;53?&%#HOXF``$OzRV*eI$W~*}XYi+2Y2JZ0*qnFp73TZ`AYcdv_S=Zum3z+L` z@#}xDXn>i~L&%48hFobA#n2=!Mf;gn*riSNu3_B7N#>JIM&DyUPMR}~R*JXJ`W6iB zCI08T>bwS>d^1v~3aI9xFf(Y;>nV`Ep{86v**Hf-?RYoe)}0m)x7S*7c=?x#2liZ` znW|pU>B5RZQ@_T)Sp1y~M3S06c`H9xVi9ZacY<72!K4xLV6%P7Z9(2Zp+IN)MT>o? zhQYum#=(rfUsMl{7LU?33YTUKHT4>sOey%d+5zu=Ql8 z-_jLXTn~Ic>j#}r2%kE=u+tw-nDx||f>myu-~Vl3 zBN$vgD^9Mydm!@09cEVBAcG(EQu~iQAw+sZ5^eLF$ybg{y+@Sd6dj7FVn6s=XSa$x zrYX}F0%a))fs72JJ&eFSWD&a!*3z8sMo2z!d==#JM;2;v{5&DaJA5~LwpQ~D{bYYe z%xsUVog4-9BH77?@}wRPgQCs_)Ob{|zlL6~~s z_6qQ-A;+S?C%K(h)Gtzf>W)_uj$QVa@tLIl5%dQYc86j}71=JcuYR8__e*U_S%5DN zzh5+luszO5-;f`vMC{IA>D_2kmi9v`?h4ZC?d$jI*GdOZczNk3QpdBy2j`{=xf@}; zF7s*UQ?#p(TWil}(O9y4-u%+tLLTnawc6dSq?CUkT-;9Mw(;dt$tQU%G_>v(O??v9 z;6BO{s;Y|-!JTg1QpeHF=AE}FJl0fvj$fPT2UBGyTy$6bJb5HnI>9LZ!*21E+`sQq zj2=@N8fj`wwQf(dl<+zhr%d`jvLvsN!i6KKb#Uk`?g(eRs^5yIlD1k7zC7f0-0pz@ z0wDXB05m;mj<87loD=ko_7SAvxngP1%`}|u7fm^|k*(#bCct9?wHKwAL`Y3bB{bY>HzC;qih zJ*hXCXwG5!E`i2C*M-a@NY6?B#E&7QyJdfmgG-6EpTeg|v_FtCd^AVSBo(cx(dCuU zm?AZQyxub!OzjyxfP`bdXniytWi4Y`bW-3%eD(W~B}8_VV;UXaQ~b3ox1@?Y`J(Z$ zgmip&eU9|_EpzpaWvrJ7?h{;*dPu9+J4*L*?Vt2YU;h}D1eT(5A5v_U9P9!8Giy4B)iT(!Ee{(Cyb0_o2ReFgTjIia$a!C38Osi*ALTlz?WIXBR9&XiZy%&MFp-8 zMEacl$+pHXt);8vpuV#T-DN{zt<6|Q@5M#v*%WzJ;#<+zQzj<#AjX=vr-`{Gy4zXN z4-v4LPob$$R>-8+S_rRkPc{usE5>mGF zvYF`1vcJ)W$TJ-h5IN|)TH>v-KQuf{c)_a*jB)|kjl}-kPSp?I0ir6By`(SCbomF6 z1~-R9BA(Cm)!IaXb`doplap2NLIV@bo}Uj1SQ^|8>ZSOSa?IBNzz!6Jsuh;@T%%76 z<4;XdRGQVETM#D%2-|-KcA*so`bwW+uRRf}{{H3C^-0}t4G%|r`5m)&J9#Q!w3Nc5 z-PAI}h0&QFeT>xG4}?uon~;6hx+k7P$736EG*SmJ}t4c zK2}RQ5&dgLQ5|k|jMRdisaOpA?L@EV4RfjHod-QnU&*U*CeM-^Hk@vItr6D(>BB#@ z<}ptoJlFV;7erbg_TCL{Q+QHkjAl}+qpm(NE_0j=<%Ur(B7V6Di63nrv+>)bdSjt> zp?E@zyYpAv(xD;qGgP6%Z$*xYfD0XEb1={MOL6h~)ba>Z868KR1?Q439Ofo;ZCT|> zQF}9u8bC&G_Nu~t!~rvL)KWe(ybhRjr% z1SWh~6a&(ff6DfOT-e>Pa%y8F-@`}zg+)KH-;@)h1Y=ufJG?0zgV9f3^xpi`hO)Mc zSt?rlUbUtHxzs-m34bzIO-~@d#LV?|$_=c12f`HYbg89~r`cxqt~%D*32deZ;&<2y z5w)8$F&`FF_wUQg{?x_|0Zms$+`TaqLx^;Wv-xAE=5P$W*@yYJRsQdr^!A!|0xN!; zd(56xewyBeBp>}O_L{M1T$I1oGHZ_C! z#pjRR37{5A*GcS@%hXF?Q`3Pq;I{GWUm_RH?!sR`_{O1klYAKgx@{YNGHg23eoyy~B~cnJ8F6 z_B^YV5OUg{{3Zj z$Z{q_Te9z(!oM)WpE5w~Pu>>WkLA>G!VMMzTw~mg#V9v=R9`42P6Cq%OLgGU} z2X_Kc9f03X_z3xkWEv0a!i@h}T>UNNyzf>nUX* zsfAAgM6nN7i!aQozHsY0HlN0j`16qA3(P*1-~x0LuzAXdA(kdAjH49m_>fyTb5G7m z0O^oJe%FKlsS71CXN6%Ijhc?>oL*CI*1=uvQBX1IQ>f=3zE`S1wyO9z5rdDQh#I~D zKWjJK)R|McIm}~XOgvKkW%bv%aJ1`67mjKjF7DUx*NrUjzO>vZc$^Wy<>tS7gjhy3 z0$kV5>_5~N>>*&5z{7u)lB#AnvBH-%ia?moS2{L5ZECY-=mYv|(#5#{RphD|I9&|% z-vRwV@^7I-`>&y6Z$mTqzF@4d!GW5aK|mM&s5vnt#!*_-2Q-0kw@=n14NaEcJQ13g zgRCvO{1UMwh6kE%&M}ZrY{Wlxv#a1}cqST#^Cp-(3B96yJ|w2`=3tK+f{U|v+*@T8 z_uz9^`}8X-JE4%8dfQsjGoXa=PZLf4^d@K%9B@H>rEfiiYeF0PsrMqOAF)63!+=Bg zB^ou_vPQNSJ09YoN1zrbZuVh;Pk=xL&=cUe_fz-@#8OLi!znOf#{SdaB+CO`iO0Z; zsY~lG_m>YJ*eY>gB3L-mAHkB#!+24il;d2{!6(@!H2Y3Z1OENBlK|~lmlp(^Hv6`} zyyjE8L_{q_Eyi%nk+5|Y9g)YX+>c#HU8Y;Xg=1vil){)5R?@bt1}E#5RD4~G7Y9A5 zD@mX%+uI`@gnjY2CEs9kT7lOL#Fy%L;bcp3v)lfoI|v&t5bV>WT{>YKOz=D}W^z(s zlIHxdn9;jwLX;x2!gG|03RfKu>l0cnK@~kP3 zQtcQm#@2b5B^p2SgUoZ(31suL{SmwzofN>|pd_BvvT@%9$}|2VFCBEpf4kom zbQ;Z8lreZKd5X#CjNs=5GX1xe}?2%+cB3{vdebNEV3Sy46S;wI$fOt@AQ^JD8M zA2ll6z>Dir`!Q*^LV#_`vL)42tsEd{QVmmu#3vBbA5#Sg2}ZY%M$exwJXf+co-?Og zoiDIhI-LayasT*QRavk!r5djTuzRjkLpN*UgAJwiJ8L@w%4m-!p2JnJZta`HPld&| z%ALTs*$)r{01SX(z2DO5>kXexjRpGa{`5t~5<+~)gf2zQ`I2Hj5scC2N)Vs5 zAUfto7r%jw2zw9g81gP^@+;|PAOSscX)QR2gO&!72P&>qdQkF+&h@_T?Y8FyP*;PkaO6isQZ@#zfCW9te6;``ErM zd?(9kO$v=sM^~>ZwF)}+tgjvO2+%vd(x{g3=#p-EOS)~EYt0q}hJ71kqj{Td&GtDV zVcd*}C1mBND^R!Og!ZNH+%so-^Pv{MhJf=qQ|F-#z*jnV_Sw`cpj3YHzVQ7!3*3+e z)OeO_;uI+srDQ&|;5C1HaD>LHelF;!D?#)@mDnTWL+}wQ{^~ zIWb<2Gly;4Y8h~a1XR~8d}%v6^0fqRBEzz$ql8(M0SP?z?LZTU{?vkoo~bahx)$S; zULg;oH95NGvJjA_%bp0*Y-&^raQVq|#4z`}GY})YRuo0Kkchk3s8n6(gBe;V2krrZ z7)$@)B=1CeZoAFu#rda!CyWc@Vm43&O15p{Y8j)S#`Saq1gp>nK! zN!@<|1$NKc)eS;zd$zF{!e89U3P9r@K^ zp_RcG8gkX>`pIS9b(*J4RTmoBA16f`alxIFo&<<@DmBMTad|PKUE|{1V+7AMk}1*C zyUsB%ze<|*v9x6#H{N^Z3-K#s^cm^l&tU%t{(e3v$d8cGIEHb_HeS*b>13nCI;MxJ z?aN%gqNgly$QN=DBBadEET<4CK=bN(KGaJ?#x7tMFPQ!nMZxZ+?Q&pl)n;|#vql)GZ;AaueEhlG_f3*NB2Gs-Q?Gy&UOS~Y{1;_8#WPU^*$*bnL zWZVDfrAX^2=$*>o>FqSGY_yYf`BMKSpABx!FF~mUU8~nYG?6b<39R*;tOi_#Ef*98 zN!&P0pN?KcOW1z*)Sf9Sz&&Ry}~QEC4RF*$bCk&*ME}pBYQ64 z7E^;7xxil7)&4u@-spMQC!Zn$ObqTc%JQ zgcgd1G4oy?=xHGS(=-LKuS2&BIQ0)){f~IIT@7|$DW9X?vs87@Pb?QS=vW7DgRyg9 zg<>ib{1Pfw3K~xYijZ@%IbT=T$QENz;<-u=Rd~Mg3`aT1>CD@3X4~#E0gvy@Q>f9O zSm35oS&C10RxSmBJu#+C2D{?7)>~wH^0K|h($37GLw?qv#(}Tjb4#6sdBq?&C1_!m zzyiY1?-$SmEMNu(`EK^UFrnr-n}eD|U;zgaa^AMw~^wQnQPuyvAmcC3zPZ?Ed9-mh0=MP*%HoQ(3{6dX()hx9DNbg0%UB>8+# zNDvBmIfmNZ#-bc}9ij|R1Q~vyCdYLM1(%w|Vy7s(xty8}v=?Lc!Pw?HL*@~WD~_sI zW=*%)Io>;T=#y{pSWv#VQ&;R8Q=jElT@+=)9>1&lHfDY8-=GiEnbe~9+!#r0zPntT z`0(LFP1>iZTj$EFw7DT0p5|@vsM|PzN0j_-@~+O?>7vN78`FTd3o%cpoVJai$d3%2 zrft`FnHFF~_fhBerEO=`#j8&6dlFHjoo5B02Upyfk~fSk$c>#2Ob843lr3Vw-SxYL z05{>`biQpVVDTKjK8G>aKXh}zwn#`*G>~I5{;*3Trw#GmqP0MqtD!q@fNnB?wD5I3 za$|hawsjyWP??ZC zJ?`R+8aiIMIlwu(()8qwlx{h5Q7mbqqVn)Yy4<^hf@-O{sdI289X&2j2~$K#88#IP z!UY1ce1J}={WB!8A6NYxaU?4IEPC}D^kSzKXFF7_b`<}My|)UequJI)ArOK)0fIXO zo47-83lbo>O&o%|y9BocCT;;ju%HR<7AEcxQAVr=&SIlQFxK_F39$UA`Y<3(F{R{3(OV|JYEVly+vTr z3;tEqA5!rdg`X!{#K1N+Hk*p7pSkWz0^e-5(J``fQ89p7^UrtY#mj5yH==&!<(TC> z>O8DkyiV>f$%Nv9Z+}iQ(B0JHNfGcgTXe=)V!JptjHVyAo=rV(-1=z)vR_GU$q2CD zux1=y&Zd*kCvj5Y+q}3dFE7s}$!%-9-{whgdW}D*LWQKA4{Rk7kTw|CQL{1vQYz@~ zvc0}UQF~W~7b@uEVxipLJBjI3xp}!ocWaXD^Mmkl)ux%9whwq19jpxQB|rLM0HwzbAQUgFw#p>HYchbDcu)$uhFrS8HIc!RD8?9vG!PU3$-?m5uIO zvggXX#pdRCQMU7+G~dX#mD1B4Pv!c=hjko6N`Xt8-t>e3j>f{WffD?35mi zHcJxBoclbiD4tVY=#BhaGnXf-Q=j_=EUNLr2gh?%8GY#}H8v}#<>_<%Mv24busS4k zdKp1c5v-owo!Z6p9h<5K6X9zIXe* zdeXJz;C{UQ(yb(Dc1#fbEB=S>w?ql!K#ehb!TTrvS{pj8CnaWCbzF&sO#Z-Ld z2Q1nGGvD~h-w{K-AxMaD6ibVX_`D#~2||Oh>((%SP;|19%Is<6M`_?A+DFeI0Yme@ z4PtB4o>L=I@vT?Iv(d5?vOwo{lj=9uEk^fJIf<6Pr`YQ5w=C2CB)_VNR>g}r)cZi> z&CSj7bR~-1_+7iw%eLEFMSPj}f$DOd=UNM4DC@3Te!O=|7UE#dqd6)PVAZe&Ff%p` ztN}FSIwwCQ6BX+Y`i}kkvWF_uY_kc#=lH;h%e@4hqhiT_EY1z^3O@PyvnixEM5boW zR^%OB0b-f2RyVK=*!({itqi@txmR*_$!JYdkq6cz{AR@g)&c9S)<_nu{U3rQbfX?e zeF+R|%s->Pl*M@ZofP;(RuKosXLU23PPuqQ127U!UINpap%aWYZZVIQ9?AUkcf!o# z8!;?bY^7BiXwIgDQp?|g#`)}Q37=3$1bEuswARGdEFKMGjS-Z>-lactYG^KvnkdHv zR@eN!lg+lOA`VOqTGp1qWEyU(YGYOCjR1BJvp~N(aDRNA{9<*=KaX!8*BHU3$O5=C=2udAX z;9RNu4+=e~p;?p)O|~S%B)NieO7j#y_imxFtLmjJpa;FZM=rD z1!1szt7e!GL}*GvNbga_R5+mGJ0@Dl*#ma@rd`^hZp43tqFjPJqc7m>unC_O!*RG# z^C+n?Lp`w?pWt|>37Ea>Gby0?6qT<^qy5=+30iSsOipNGF*kycDAVpU@Z$iOzYLoj zN-Mt?HU*TGMj7!y-b#o4t9k&A>ohJRbt*#Su}@x5VQ`sY90tC1Wt9^v*CqM{3|ox8 zbJrrjD76{gHXjEp8-7J#uc<0PXA z{)gH$VZ&atKF7AOEy_ZTar4KQ!A9|W8B3iN@)qV9t3HKXgG^(-&Z%pdBc(;@M)gJq z@?hR}Aif|s0T)*)mq#5I#aiUZ5f+WC*8LTDnL|BG4Pkx$_?=pJ!Wz0BsYP^;KAjrk z|i@SC95`EmA% zM!?rh}T>RbU?wWTgO}MPG>yEU7=;GR0snr0{rz`uA z0XeppkVuR@rYJrDpJyhc?$l0MZ@GV%C%^x~wUu4B5@wZUY`8tbbAfTz=aqH@)^63y z^rkE(w|!XKogkn3-Ko;i+~+ggRdPd$3k^&|ws;jUfM-L~k$JV^qJBE=ac3My1IfE1 zy~plg5t8M6P)AtllL<~6!E}Ca{QO?;Atl-!b{O4kcDQJ?jXfteK=D!_cn)z+G;@9= zZ?&Tg$&ad@miR7K3uDe@t7BM!T>;a&e)`(@2l0za5)v=TAfAv%S<%M5)QDWQX{6Ax z@9_>AdMOloej0A|q;qyh$0SBPtGQwszVD{8r-Dbs4-Hgq;+ZUyADGX?PHVjRz{zfp z=KPNNTLcBPW?>V}Y@ljC+I>~IL+FIG&$`+Ev?2w=NuUk-%W4!$3?uchjZ~6?q}Cra zV!u@rB1{RXLheAf>qR>GO`^wnf%U-8@=;;NsN&toyUm+TU}<>0BKn@_9P9JwB*c%h z1P_Z?GiXn*zTG!2lLf@3ms^~@dFviMtm&macgJ)4rggWo>o5*0K>K*OWlj;`397ET z{;o^P?(Bc{hWAIyzSxSEkX+7py$an*k<$Iem4VxiSFqYYeu(?45_5#mRghm5yX3=Z zrMiG5P-VMR@wO96(xMQokRA57V@*2)n4AS&?1k|Nb<6zr{bpF<;vT|fQzHHGoMqC7 z)iVJrspyO=%!8}ysfOIAn~gi;znL2_sQSon*I)5siea>Hscc4Eo49^6@Y*kElT8yN z>7Ty90&v+^gpL?#WMJDm7KnMEGX3FA>#g2(iR)z__`x86vz5Jx^K};m`)%@iG9~h4 zt`-=i)3)vLdhrpE{4; zY8Q*-!>^Hoo%Jt>fxZDh_`Wn^w9+1DJ=VWW9At_UOgztm2D>A?30sJ++3YtKx!cv4 zu58mEoPF!_qd#Rp$nsa)?!L&UQ(ViSn7# zl+cCQ+MxF)Sh}^k^RCicUDAkb)4r*$xb7<{TNi8f!$D<}bD0NhUv$px+oI!|(Q+fa zKnP-pFLQWP>_DUKiq}_lFO5XSLB3CAgHv4-hG)vlnQ~em6zH~}T~&D&Yd7nCkX*Zn zU)1FSHeaLshHSwi0g}uZ=vX8RF!<~cWA#Q4cF=sWV0dMyk%+b$vWBeGi`yYc2q+TYa^;1Y~tWeQ42 z7@;g@T5i@z59RC~s-)~wMqj!*98WJcv;|g|Cq#&^mz=ct#nB5%#Bp`_m}y zushH9;$@jGl^01Xt`qWv`@S8iV>iZm!A+&G*!m>2}`K>p&el)!-&Q9<%z zjgg>IAOvq{nkr(AH4sk#rxOV62T+97^=!h?pU7z}t1EqXa= z2U+pGalN62Y7O%Wz6e4MGe}hvAj^D3k8EL^DWf3$YlGqm@P9u+FfoZNNDrU}gxf&v zh>4|vlt1#(JBJzf_ToUNAL+0fBtWL?$QHXeP`E&SDoB+RV$B)A5%#JA8{iTRp7RE{ z%_y}1v7{ZgA^`VV0y82PFTmh!=>15}35;sC&pT{eyahXahdLjV$x-!i3}DypW3S@dD zSNksx<{xbU2(aOt+{%qxEx?bd%3CL(6BuxLP9K@#08b)JKa!NnA#|Vvoe>foB?f>5 zFo1J`+}J>=M>@3eS0KH^jZ3K;Z7*8RwgB2k7bPG8HXPKK`q|cOn)^S<#r<_D;2YTO z-|}olm_DyTla92#kSxsaqrL(I9o(xIfGZmoD$c8hQc|z(XaxRN1i1R-Z|yZ_wE4C1 zc1E>a|E`MoE7HGR%o9fvw|?nxH^Emt>YO`ruEdk1i`M26E z+Rcbv&=uN@*eo3jvFYKGlfayc7h0`u9PS(yBaC@p{KG6m9)-fBb^hfvt$?>4^_jr4 zJWBjW+59Ub_8%5HiL7aD)Ie|c$8Y~s8L~Ssjb=TT6=p>x0X=2;lpF<=$C__)91S-+ z7H;zBDP8fMXoUj9;$L?`g<2=-o6{oW^XTQMhDTGFv1BemuGImo+W)u#`ZHO&@)cfq zvqJ=mBx(P+7aj{h_QAv6bk#c&9rAW3;G3dPs#U;lNNg3_UC;meut?9)iKza&Ylc07 z7GmNWhzT>jE>z=Rlnpd=DQsvNsCi&r9;D&{ z?86Ljn*-`}z)5{MeL153FZ_s@x_JLCGk`*KF4KMd^?A*Ip;?mSFS)Ad|I)Dk zd-`9v1zznoB%Fc>luc69P@?Ud8v{s7G3zxMr?yDdD z+m8zcvP_sETrV$M=dGUpowvUDH0hh&Y=hb%GoZ#TfeupRA=j-5veY>8s~baoYzEjb zpdL9(QwS}XWJ#reik3F7t__dnrGhUn31!nuo%?V%I6iX zbMcBmyUcuVOg|lR6rcn2R@8`3PcC5tt^c)FLg@N-mmj#u zJ9j2eGJhewh3TyI+6Gjq4gcs$iIA&`IRp`(2r1jAE;3J7v`ESWA7e!)*ekQ?)$e)? zA*~%hItY9&raJ+2TfU{}^wr2R70yEJ^Pnu|vq#?z1I|O7l?Uqq$HgpGd|}=A7aw9KiPMVEr6Ar-A?% zNEz&<2-GUq_P_ge>hQgXHNPC_-FLc;UyuGq=pmM*{Bxr?dD^4=G<*6^^RRFEmv`;d zl!1Z0Zq4@HuD+)<(BFNqbLdzMn4&PmNbYD7zi|3CXX}4`X}wC*QrvPLa^Ca69zN66 zu^_$$-um^+sO!Dbk zDNUEhW^?UZwEXe%J6Ccy!`t8af`0wxeTP)q78cWGnE9}X&$@gW-ZviK%&gp?h;tL82 z0JjdtrR6t1ROjRiwr~|5+H!`SZkJBfK33<%DdYFe;oY~8oqP);5j2?Isz~hq*qIe) zfSbU>J-5IkTjpZ@H)GLHd_X@a~3eva(Cw+6wKEHV8NQc`c4WGeyU%0IZ-+3&KXZ7t)VBoGVrOdoFkbIMkKsYM#9|s9 z<~qyGhx@T19I_ViD79hg8kWRp%#V~nCofw`4=xhM0@jh3?!TYh0f<5N? zSC36@KLZ)&YO-wx*v0PemFU;=9EgFyYCpUVVNX7}H}igrN|um+%GJ00Zz6!CBn3cf zujcB}VaH0`yrA z+fg4+X#$}M_ca)3yMY8i2$NR#ipT8@4u3PhF}|O8PwYPoH|G4H(l%4ISCs^F$(c9o zi!vVev+*Hg=A^kO*>@{T(-T60?LDl2+}aBOOs1S)74Fm&*0cbE9sQyK6X4#yAwF;+ zOKGtoJHUmW(L&(Qe>#$bgT4I85NnJLEy-XgFmIsu^Ks;l2XzRyVFv4fDr+!L5M4x2 zECNONGi7J5I~M)MS)GOc)w!Y$eaKEe;>oQbi_etcA{}H`9)z&h0T?lj1*=AZUAbwW ze{uV+2%1SYMw$)j@(L}dfC6`I&$7v7O8P&Yzc*>S)mhM<<}drRBje-pP3j(;@9!yl z2)_3RM^mbiA~MBM^#FUzBP%* zJofakY);Y);JwGdk})i|uv|{_Te0j$^5my0`wn`Tm4n>zhqk^Yt^U9U?V13$7#1@> ztc_(9z>;CN*X7O4FDLg~CU5Jt-W`4$5z9&?m!}^OSaJ}yKT7B=ka32*ZdG1{`;k8Q zl9@3Sn@+81VP0inD`!xpJZ`M#{hktLv7_t57+C-@;luuMhN(ZlFCWyO=hDyk_qk#0 zCy^{Anyj+7=xQCn*HM-a!g{rMs7g$mx!*w^SdG!jH78~3M&OY>T@;sO-BQpD=g^Ol z=0L7)2Sx*dXsaMp6M$U_jNJ zE%}2t^qB?-0c1q#Ymsgka|CgS@UM2 zu9Y0F1y#4^_hj9N7gSZBSt9*d<1>axVr3rQQm7QIB^W1eJq>Tv8ax|G7E}3^((LEu zB>#kuPH1tUF>LN>atB1PPOBiDWpV%(<`ro@pVr8%h~~1bOBB_09XeNjiLo*gcyX!EW$!Z?R3Ma9hf2=dv3QR}K@9ZN_*=vcg9*1CI~U zVXld+sYwC6HQTFe&XE<*UnGUcOo2AZ7pZ9uMfLYHB z(MQ{y?+5k}J#4fo2nZ}PPU%b!vkE>FofY!R8dChd6y$O!55|;b$4wnkN1!Cg zAh+R>*=-i|u*uskp<=BHmOy?(-Ozb^FUex*j3p2oJ;X4SJb{qo=FRcXkkB{&feRbk!m-Cjp&ui<6>VQ8R-=XZ zz6;iD!<-lQ`0cia#`O%|o6!JWt+Tm1yCXqpM5wH~Wev^cfS3QqvzOo|4TW=M%&0(B z+^m&O_g8)B+*P~?_tKB9h>H@E@M6h|lgfZoG^V~NCuF}SDbm?e*M7mG3R82> z{Di$G?0MyrAE;c`QGD~|oD*VK>+U`K{*>DsexfJ%MT<7XeDrDBb@VfHgnhjcAk2Fr zvkOBTJ!MOE688*{7vi84C_X@hzPfo3PUhRoCp&ESGiD~BuF_G4L5`{zmY@xdT zvqjT_KexVLSguk&M$6QOVj#*k1_(>~ZJaT>_*ga>GhV=J^6Y47IYz`G*GV)}uFG?W zqbNrm@^1FOrSuzyk6s(lD~eg0Gk+h63YJ=`dLda@aBUWnW>e286D;37e`9CyLrYUU z3xwrQVyvofWdFG*ERBPu(Gp~)_kI7WhY&W(`>X9BqcC%5L1p2?OnJ z@zXRbv;|wG9p86NKts(eSp!~uV@)zV-%I(%%Zg23B50t-0js_Gf5$N^xB;A zTLRcFVUYFjpj+$ai^Iq^ip!a{{`+rSb=KhDb`tkglzSLWmW$!?c7q8ZuEfD;#S7i5 zF-IX@XO&?Iqu2pw7L1Fp>E948Jzvo5P;lXBkAwzQp z_}&3yE;EyzZ{C|1228sO@cdr?DhfW=TFkV!_+}&k7JYE7iBl$rd4#2j&r_I4ol90Y zmvuIVdZn;Koj3-OXfF)D%NBkC-huV#vR%>Mu+2K`dSqZ|oyaG5To|5v9>y(`9tQ<1 zp>#4ZL;0{;UMz%lIV_0P4YZrpl!0UqoW3#=-DKSzZ7+(fDPy)Z>a2}UQmdzc(5T!a zY;D{&V9+rm8Zn(YeLgG($u`NTxPZ;Oh>N-w*JZ`Cc;O>@cR=)Tg8)K?Obmtj^94uT7jDWV{^5E~Y{gIUo8e=&oVj4yaQFcz?2gf-e zyevBm%Hui9W21Pm8O97*d4`5D{@B-$di(i;-TgN=I@&t+VngiLokEO%tC`WPKiH7n zfE)O=r@kE)sch-=T?XhwIpGHa2-jY|RRSZicpNR{&e>wFEDIJJIQhspqQoLvE!XXG z;Rnak)~I+2ivL8gl!po|1i0&+8=W27i6(TcF?_!i`Boahqs$6#DdMuZ=1e}@l2;;K z!~%XUv4@!#Me!r8ULlEf-;Jha%K2dB*d*Y!F`{A{Lm`b5ZbBgs)D_8Xi5OxJS$Y zEwVqDB06tb2#o&V*&W$Aw5P9y1E$}TlPCcD^E4udx5$yYYeG<>7l??8=CF)~>y%^x+_i%zK83pwq5YKm1--bMiC(6dGA?U7D4`hX0weCm-GDH#pjpX5lgb+tJ}8gG0W zFPY2hIbo#A204XEXSA&Y7lP1hh?Wgo2_2>qtLqZQIPM>ygIjW$mnpAVyBW{yx!y7` z8X+NhUXPMuCX`i}HF0`!f!OfHi0=!+Lt`EcmCGwb!Q17b!)|=oTw1&un}hy6qeaLz zUvF1?87*Rn{HWm~BF2PqHItAt>?>mr02f5o(YQb*m%KkoJLWpVpPa1f1%t5gr8*TO zW@#$wu1)s8gED^JI+STqi`;h;q!<*=XxS2Ge2onpI~gE(Gh? z*Y1)08ukqMks&JEoh%CCK=b*Gy3TGY+)%FtY%MSV=Dg!w0xmvrRM2(;ACS9#6P+J- zO4iK*X+@8RHRQ`dO5=dhjEc9tiwWElcGV}-xz|T^TE3Pb-PxS+Xuk=9a3-#%KBVc{ z62fSYPJLQTQEd+U7%n|K_niGUX>ZCe!pa~17&JWuy!3YhFJB+=5=XoT zIrw>I#DpwIdy@KU62j8w=jW#t0ou{qSzDnYhejsk3MTxzuNJmG`Wg1p&OJMT8vv5) zooYM1IpqcKS?Rh2z&s!e8RyYMz%P{)BH(4{K1iwSFE=b!!v| z4_C$hP8}5k)!g39#nssyY=;3HIhfdBaPx6ef+&F_VPSSjX9q_K2Twf^ki*NtNy#U` zX}~USZ|~shqQ}k01>~w3u&aWd&Fx(&IRv;Ki>a|oI@r4c87`FEd^~?L)Er#FuI7{= zb|rH&Yw-UdPtDxL!Ohv!{P6j9U{`lDaeWl<+S>jdyEssbw`%f?R<5p&g6!-b9v*C-*0$F6 zo@}NLc1-N*k0(V%*(DsD&CH$u)DvXD{zw0xYW}kUkGB2o76)4gXLU!gsrjRiTzG-H z^KksJT+)S~lArgFV*sf#fG21^znb0?PiWF;G_3*}+uZ+*OZV zRZ50k!`#!=fL+?tRaX7cb$|?6^*=)X@{g?gA1QylD+;84chY0lUpBrrx3_e)qU7Y_ zzs#&C~BfIntnQR5bn# zBBAq^bRx3>K2qEK2Xm&lGn1xKaAtkn^Tpv-{|TR}11eYD21?Z1v)!&v9h|bkK}LOW zSU!AZ#A5oE$)$w4D7#;tr*dEOsP*sCskJjb)(_ftgmik0Ve165t&K+bv-%rPc`K%) z>0*EO?^It>u2`_~{a&yw317wH#-AJC5?>a_HOnHt6`SnanLJJ^@T?V3Nwc;7C#ZWM#Z=9$~ zqhY$HG|`}^zkB2GMo3yzhB))GRj}ehVnOSPW27XJj7mc1208{Z((p!a4YTSq*I4-{ zAGA-fu!?Q1&67J2=E%OJ2SzkTjP=OOoGA`d5Ja6=yd^NYKo|BgMm;o0d~3VS*%cSlEAgeQ`sU|Ff_Z4H(29R7ddC-(E}A-^$wQS^E9hRy;!M?+`V|!yI*)y>AFqZc!kmUa zl80(#Sk~WuE%wW&vF^G0xG4g!)2F;up!&2mJXtWL8swxaQDjQK*IbfCcY=eC{l(}5 zf7VU#QX02dYE1e->rmFz3>#AW^>k0df=S%(I~J-F-fEl4Ah#LZ(fGReLV=ad4JlA% zy=Vz8c6k`1-uFs-+OOsa)-vbtvF`?&Vbfc@Z>(t7|X z?-|h{50^cEaf``L>Wcm%} z+Df}$5&9Z+*`=ZHWg#|6Z%#e}k@G^_rZ{da0`1nRYLqU5fDH?mK5NjA?lAbiW;Ep& zsm28-1*82D~7nPHk!x_E^vt$-4z zkj(Z)G<@>D_PxashwjL`T9hsURFmgP+G1DlCz!G7iTr4X-2**?V)WnCo;Ac>7v-V| z@!_5d;S{&!2QhdAP|P_GmAUqUHl92wS4gHvoF-6{kQv0 za#e=VCoi9PiZB5i9Gv?sxdFZdSQ-61v?^#D6V8>We>YC1M}!H*W} zQ|Uf3>{zaglucmxy@_0@!$|BOy0#0o74wBgiCzL_OU30%>Dm*iDLzinc5zhMc4``m z#`Q?7f}lp+t98DPQ!{W*@}NkG=>EI0oSEImL+Nh>AHS0hEFA%~p?7e7%YvYTgOaDT6{ve(<{V?cI!F{4}7)Kx#1b;VLx#j>wSAJjBYr!MY4)7V~DjZWUOUWNInrz?vu~mKFuw4U#lMJk3||Ohkdo4 zZbp>A^i2~A(=$eG=Eai^{nR81M;3f^8pzU#r6b0>)DR)kFRMM($I=oJ$lz4sE#B0K z{w+lqL-mE7NDyv^d;8(h>pcM@T~2Q%R%QEVZ!)5%T8Tpu7r?Ws*(d?0%+qhZEy%5U zkR=Qgtp%(E)trONh?&|k90g>$wOyV{b4fbA=78s4eV?7l)?t++^U5;yDZMDD8c{}m zo&$+3R9W^X3obtyIGN(ZCsY+>XY<%PW%?z>;6a)Un)gXx{E7_WehtPb*@gHk+taAu zYG`G&1y+=hoO4Bd`EeNwL_;j~{aOfwQ-v~K)shTGIT8`mK)q`RRw$Tymzla!m z<&Ut5#KtYNK=`}V?G!WP(#?dHz@Z7QTH+Odmzjw+sc*(XI&W8+M>kERdEXSN1(}bUEtdik})OlZ3f_$^5iImbilb{$ewCk=hW&s4Xmm zCkD7#+h1tCH!DTyY<-ZLlr@I@MGALNEKS!_`ytn)63lDuj%43o5SB4;wuYPRIE~xA zGv7gjE)s$iJYRCyjDM#{OleK~V!M;AMkAdny1it^ZR9Xf_zHOggTZ1_ZxiJ_#Gf+M zgO+mb?!*Wl364IJFzsLCXWoB+u>U!J{(}hrr}+6VocOhL|7*BfLxY2o|F4VxUtnx@89t!&-zXeF>HL4g z=l>CT16Bdg9+Ll`0Qln_`;P#en_J+&0pPV-dJgNo1pYIX5ZP+&vCJC~BPy-3o$c3( zZ}04GzM?$QDKaa<-+VtVxLtYZF^taiqiNw36=53c2-9zMY5TsHrG1vqQkFCwjT>+(j|}Fsd?bt}sE^*#DUxixL^(9`_2B3a6C1!~Qs)m_T3fi(*7d%n^6~c{ zChH!FGD@%C{@u`XooZ6I025ZPks2#Q?Qw zp8Oux+|+KVUSf!=yMf1p4bhloy>Nay)WWUTr5EE*n(r<6J$2W6|{hEr6IFI4+hT1JiNY?Fv> zsX|W1=3hsP&{1ztS0VDTVAj?sVUkMHW5(CPkky;i5p@KJG2){OKWdqD_P)vP$SVH!QsOd>GSLJRMVIK9yVd=y#*4ql93! z6!#MUSRj?+4Kdqou1LTLA_80QGjjV-%W3f@+@?>4fg}Ak%~e@Xbu+VT*E<+3N5h!J zJdEG2Dwqi4-fb9DqV|#>SQh1bIe&|^daLsbC3N99kSGK0C4s(b!*&oex=FX5x?C~C zvx2gZ>@hVt0z@3;37B7)c7B?$;FRZD@Q4sOWGX7`Q1w4~ssmM?CLG7sl5(S*ka$Hv zi+R+UkjqOuuu7keoln9G3V&{0NM_xxQb)&eH2qFY050}Rj7ki{Y*w78tki0jFAd~n zwOeF(sZ7IsYH$+0n2Rf1KGBO44&M7~p|MqFbJTSWC`}R(Jc({)Jxya1I$9n?yvmRq&!fZWp(~Cf@U24wV6SdR)gL@h|6vko~fpJK}%`VkDUz zF7)6jM8SQC5@?)yvQD`NY;(@K4}So|=*Q(Z`=Wz2e+2P+*t$JrN^F^^HFI zxG|nEEa@A`{lXPL?v`-n|(gZKJ~-EIB!TXLbS0w}2_oFQj( zp6{IN@N<^<@s&exDgMkvLXssc5to3_aBqyrS|!pzp{tOeOz!JTvi&zoiK5CrTJ0}O z=e7ga;9p`K`r$l@>hGsK*oJo;ogn#~s;P{Oev{bc2zn2~CXy1K0iRX&X3#v7@xen^ zWn$`5u-W-(-e311jEAGbRFEL^wtXLyG{T<{cpNurZKl5Y*xw$-a$7jPQ^q5e+QIr` zw?cq>u>MMD{(}KS%2J4D(@04T)IH_}$E;#aPUQsTY- zIvq|1+bcFMR|A%i7oiDMXYqcq6`!}M=)K?AQ0_M3MP&Wjtv4hy>D#DAs)o5}OvTU@ znfIqy8NPM5(#Di0*4a^4FPfbFFgLj>J{UiEu6?l>n%Jpg9MueZxj1dI#Kw1fceJa{ znoU84$pyh8{Y=O9<^V5e980id63;>HFm;4ybeGqJ$-AtEp%VkOBHit}KEGAf;)$KN z@b}opc6L9=jx(nrf!F(8L0RfSHT9ecZ7R(s`z6~rA6_e`mGf-#2M$ z_bI!yE2~ha1D+2u*gW)aU>_&r!db&~EGYf$A0m~iJ=}~ax)+L~Po@a{VU4^-HrSQ$e_B@Oed?L=kOpxN`^%d2F`26qmy7j6V)yUnq10*+s|y>KWo z5)+QPIko+Eo#A=G(~>Y33#SN@bHL3J9a|{AyK^#wga5L(dU{VF985EWNs&Bj?SZYL z#E>qYYM;u8qt0I@(sFYpXY_g+y-&VKYs^y7T~jA+IEvmA6eyo91#UlxlY7@#yxyLP z<)LD>X|5;bO&Bfh%uq!7`7P~_0-sy*RqT5Zk9nh;^Cn2nSWUw}D>+5vsqDwQ`Eqi2 z3=AcqPh_cXO{O_`Hfv;F!nt3t!oG*;L&_*AL)V|up&L$0$yqQ1yFuY2cE5n>QuEg@ z81VIxbsM1h^jadf=#4&w4xN|8vef@98<1Q(7bm?bTIjyP(`S%}L->K6%WHEsdbBY^ zaqa}~@tLAO5F6IIS@27pFUMGjGTKPXMCQr^hdcz@|6%8lx{PDWt({INDW~09o;s={ zlVLg71+_r2y_Ysq-Hhy)X!RjmXQbBPC40w@_nZ(?ZxjWkF}@BpEbV&=Fe#p)0KKr` zo9Bs0rpi$2VrbTQf0bQHr=7Pm_e=Hv81EAE#?BN9YcQeB9kUPy_Redt7N%jW*h8lT}EJ(8n&5>oLaZD+| z@=))oyd5Y61$}c;uc-`!^$7RoUcG#y5mFaihlj=cd(uQoZE|vcb`l0rshONhYO8J7 zY<(_fMNe0|;yAY5v-HwXhVREMh*|yxhBVDjbw`|4mevBXZ$w%8^L5q{O!*1i<;O$d zZ@nAF2^3~;-x3KiI#>l-e4T=bI%=6lQYBHSenuo`G<1l)bmv1arg7Ove8a`9OJual z39IK(%#}xcEpC4+6$1+WjX_P-N5b$T=tav32|%!EuCPY&1RbuiM_}P!V){QQ^DkWY zZ_~?vKqC9!)2uw40^FSc0_L$xSi8EYnmbE6*f~1bKTebL{}amn_jzM2YcpW7R)B|> zUC!Lv(#n;Rj|24AH1ywC`JX3j_fk2m7G9I|3Hh7$VXlnkDfY&Mdwiw};UJEpl6*pJ z+ixrxZY+$r-hqrIV}{Hx*-mDtnnKBmIF^@-`P7Z^vt&Clr&WJ$UPuHcdG+O8j*4j3 zC+&Kcg{q0IRh7{ZkBeW!L5u8%_c?wyqBr)ZCm00(NK+Ynhobn)E$q#A34L998!Zll zT@4C1S_{|3lMUNLIqy)zB<9-n-!S6;h{{)kp_00Zwn=-zpmkS%L1bM8?!|SOvZBJ$8+H*p=;C6#7?Xbf6WU{~9Vf2T`})nGiZ~5s zJe{yUrF-$u$k3am&Zw_=z3HJoB}c}b_6RDbsB`a7Wi%uS!QRhs&0~)KmAtAxh)UkNSDEg>NGFHsda=P;DDpZI6WC1H|bwagmZ0os&#!E}X zOpxV_2Bi4vWWdb#W2e3k!0Ye=AgcqhPvr2aLrm|ytUF)dzm}7egCL4&zGS6X6@Xqx znOZ9EH%?=r&BuuLmUDO{du2A1>9y&H(j4e-IRys5A8~U2sQAQ^Igl0_3ZwwO z9|09k)&Tb{TFoI;d}cF$SH7ASDoh1V$(p;hkqOf|ph4q`w;F#~iubTM8~>cMP5s@g z0c%}Tx|=%_XARNK?42dHg*F~KFbwOqa#gwC~`-hN21m^ zT5zZBK+{?h(GNYOb4z@YUA&b8_}LC8rCWR^NN$c^HPjY##yr~{?m@g6?zyP>cw!io z<48hD-!3skG5ph-p9nqn5L44B0CpI>`V~_}viY-r$8z+T#1MBtqi^K>5DxiZs%wQ0 z#K=bH+^_8+!VYU2%rxkvniVl;DAK*CBvNK9i#LQA+7?+c6g+CUIR#cK%7 zeKRh2m_-|se0frYc7h*Zr~=Mj8_8gVmj2$V`yPmlf`Y<_@)Ds)+Tfdq zn0Q7G9xRaS;p+zd$VD0@^mEBD)Sbq}ICg^HWnxX`E~tZuGZyTUkRJ>u=A=Cr6%BY@$)MK#b$NNgU?L(|lbceX;8| zhFN*Ii9GKX8MEA*W^8p2J9;vcd=vbp#QObyQmUF%c`aj8)3#FZUhjl8oX>}O@!U6a zgAI$s9Ew1F*C*qlX}6E96olemrlBip0hx(ye#7t5?Uwb-QU)x8Vp$(B@BA#=(k>0H z=2tuOa@y2L(RF3HVU^=RVwTS9E~Qw6HLDOYbhOQ3P300J5YGNmlP{x?riuQ<@)rZT z^*f3@b2=~#DLSiK3%_a67HqZVcE;s?V|gL8tn7?>IFPy#&s~Lh7nWm+%ypcDCXA}L zCK=Mr+zX+HMr0Sk0A(sD)7_QBbBj%OY#1fmYY5&o z7YY{@71gSsN#T4-D6zA%tt0sPuyDbyj9psl7Bm3qoLy)#bcUrfW;2^B^-MK9v9l*t zVElJq5?89)9yX@i^2+7-ss_xLH`k{{CV^Ye?*G*^#3xu}3B zljrJN4Q++LdF2X~A z;Bisb!v=2^dNiUc9I~XI`+<5cUz7h>>Fw)~S8Hj5FSV463(dX02&8Wp+Tts>SQqN@ z8BMQN{U|K==atsmkH+J1x3BrVYi5~UE42(>?dEQ+u|97p)rXwbuL_;K$>nO+5S1&j z?tg}|4?i4_7m2XOEU1m+-ZiUDltOQ6f$F|?1d7spV%rNgcVE;hHhw4YoiJX3Cv;!H zNr+rb`pDMY>e-R+8||E6Lin;lh#t8n5H~0&ma_WM%R`3eU0DJfjme?J1BV+06}v(w zh+tSGp~WinYqx4BSC=({WN~Xrlr;^FdBLCdhM7IZOfu5qlR9E103JLxUb&bX4aun7 zUZ*ms-Pgb9mJoQxGpFTdH0H7MyJ-WtYfC7Us%Fc;{3aQdz-(BUb2X%@*Yv%cC{K_0 z@4obAirhu)_jd2u8DcgGI}0?lqxy>C=bjc2M3nQFLF0N3@NFv*u_R|p!jEi~p)5F4 z*we{*WbG~}7ZbUhPubU06P+A_v>9lD^g8gnNw}>) zfBqFMX07;mY094Lu9Rjz<;Ak@Zt+CRwwnXlNrayDWmD&_0*~Udd?7fRPF0^9=9FUNhUhtQtfxmL1n=0E#@Y0`9eEbdOQH{<~`MKEN*3|rYl%fk#h zJ?1CSwCMFEs>5h^<|D4x3Z25vE=P>V1zRS1vVl1;z6=~u2f7hs{kdsI$k9RXo@95C z%a{yg3G6ghgHF5mDV=Ee4e!Cg>Hi;?ISR&6zWDC|5t6$H`_+`4(vJKYy=e) zc^IrhAp#yiBz+et2%@7_osgFtv&@#zSy+C1w0h1EwzR(g^EXGn%l+p;%jyk~(Ga;`wzGpc#D((b}4YP3BW26Poim#`wxWW=e zt3pWQv8qcQsl3knKf3>9M)fnT#2X=ef1dwUREW2D^=aO#^|L%`Q&X?cc#i;zzP)IG zd>#OH#jcMQ@_Hn%P9z9%g@Pj+DD{za2PMrpH79-&uj;Ouqo+R(`k|rB9SQzl9W9l& z8?(9Mi-wk@_-n2m9CR9}Y4w?FQ3%7x9{UuD&ca+m7_`Iy=tsg~_Q7kzW&aOz?*SCm z(*29#kstyhisT@YBqKwPB9bIY&PiZ~oO4tVkSro$V8}@%=L`Y@0>hAVPD74Ej&Gyq zobS8;diTAmS9Pl%HN~(uyL+!*y;A?Wd-aUq?>G_&C%eyqCCa+#-4AV-;^3Qr`cTkQFJQ3ek~76`1Fnc#Xvv^CbLe0 zxzW3iA&(NYj4}d4F_@)JLpahiK86x7W9B`ld-plf;dMCeJ9~n_r006C=N~KY6Fi~5 z{ly3tZ9X|F>!DW|D)5T~`%vPy9_@&_rPc>bIic-+RemBJy>)5d5A41GerW&xj!B0C z$FHHGF-U;!-hRsmfiZ8t6L5Qhy&Gs~oTQ1so((iKBQ9=WgDo1`4^wksI|mxt`>y}b z72HUHyeRFEPQR=18)+VZzY%P*2aDp}-Z*8vBJX7l%x1q&OYRZ>`?*`X-h1#h_p*BW zySdk}V`y^qdR>X-#kDhO5_@=bKtz>pOD4FXrr?(!m3dFbltk$9M$IaAT!hcsjso*8 zt!prDpO|MyRzusFhmNaAq!>(EBhnJL=5EOZWh z%<<+OM~`6msP>rZ;CwFCuETL&0fL*}#TVL-w#PkV0#fCS!u6;D=j__situ@~p1FDC zUcC;BHc&XE0E?2MxvL9f*oxp_ zP)ooEaWyyS8pbO2C`XLf+Am(>RQ=N3Uv}~oFZN?Y>R2qYcS3wLDd5-2Xg|1jN}+gd zBoxX0hmiBTKIDZtu{tseAP6d3)^H0dw%m*l^maKHM=!iK_bbzsKMc`*Lknum+{8Uj0o@R-v zv-p!q#fu-_VXJ1_C9d(RSILS!g{xA6bGCR#0xOQyYr$Y&{mrx*WB-e&Ow)Sk^0^B zE2l3vlVWc^^BItInZ9*Cv|>+8B2QAQRYig=OdXIF}Z99_(K1X*uH{f^!bDl#>~ z9!zn;WEV_6?5uQL6z*sa$*u1ZspJvP42&zg)uPXUk-*gI^6UfK&qZ?NsyIw+>4A({ zM_Ze$Hc_deIo!wk;g_8YQM2vbLwC{ciF^lx8N>f_F_(+qk9g`lap8Ne(#hPMWg3cP zY924M{SH#N8XOMHxs-d>RjqMV^mus(OBeQOPfh%sU2|sj$$)VH8+lyPbCf<`1N)ro z*6WZ$?w>DW6c{#A3P7cspHdRelKy%xb}*J$TceL_vb*u>Nd3H+yEmJ!nm1GV+-b(f z&@0QuR5>lE+e>2I)~`AbzZ3C#>}W%Lr19D}EHzY9TG=D=>z+QlIFDTCM?Wd$M4y<( z9h#w6UYV*2LvX)yc3T(~+RNpAZqO#DNsIlYqIX!eR=N?97dwXir4cj4Vg9^O?P1p! z!LyUyGc#c~=c?FD+#Jwr0)$e+MZqGw`niXEvlS1c@}l9zDk0@gR<|?mRIbcU6kcn6 zk95%kw5Ykao{hb>w$_m=6|j7XR&b7e_6NEYZPH59Dh=C(1 z9MMs|WyIfmED^vq_Oq|$qhyjQrw}mr#t6PPK%0-Wh3DFrMi%5tocCUmUy*Ky+U4qm zF~Vxi<4(E{CxFAp0RsVJgNDbSY1I`;cMi$gIy46swi+>T^rq=g*)DyH*6#TU;a?yy zn=@r%3Y(I=Iy2&Oraf^FF_JZRF0Va$`eq43k@&lJQU6fpO%%G#rC0h_pBlFp9-csE zhLaKaq04q5oeL?Pb&`c2GUF>2M$4`gDh`rvxn#-ro#;Lp;n2Jq zJS}35-HK62-m=loXm7Q`L(DC@W~>zsXV_xBKjUEt?qGAXKwbuW3lBbj znyG&%pum=u(MXsv)K}&DmV82YoZWmW&Rt0=T~6D)dh67FW2&VK-^zsJP5h^-p%?o> z4aIW(dmFwkYegopx*{Z7z>(_}$y7^ijbUAYN_?s>2D4kEr#fFj5%twJNa~`qR@|`_ zrS7pux>j#Tnb(G0#}jz^ffCP#f<=(tNqP~*58Wg%Q_q8cWKCd^<$i`sCE2j?h_K0Pa1*09JW+}79E zPWG*;HV2<;;1?ZP9_j=}1uRsE9%p>blc-r{Fu<}-6WoP^6R9>1j|prC5$JiM93@WTo&A( z_@HG5Fzrbl&yK@a8V|oSp#@lyN@E3LH7>7o>sQyL+t~d;7&(>T5z4tR#nb zvRKLHn0%J1V~fl!Noal6PQ=8TQ^IMqkEdn*;~f?&otDgx*7t#TaPI2L zlzcX#2?)d`dd2!N>*2d{Tq0wcQck9ir4Jb-=W|X&b*&x3*mB$@Lv4^(o%t~n=I^F| zt~v=U1W&^RPGCYH`-8{Ozj8!09l$-;$?mLAzT55Qj$EvlvP+5)P7_2Zq|Ds?05^m7 zCmzafKmKA&zGA$-nbrSk2jh;u-7>uGAeG@IhII94Tm>Xq)gMJ%g+tIafmIp~BSi?n zjj%+aD{%f*KhGXjI~t}PqJ{jssmr;h6;wk|L+fD*u^A zfJ$u)8|b73+6bbA*w*dKw%1b(DJ83BGfJpddf-|8e6jJO*py;<>;Re_esSvc!6Jmm zbVMDuhQ-uHOM29}QLaynSiB#{H#;D8Vt%x<>xv9kJ8tzYgPghOCLrvh$-2k!anLu9 z{b$CPKMBU*jq&yn#2%7)ak_1mpic4O&)}y77Y)&%e3T_&F-#rvJ87_#v%2n}RP}>w zTZVzYU|cZ*FwM^#=klwIC+XD>-HJrId#zIu75lu zj}uWnzRoMF;_JV6L{kCtYVjL>+J7k*wOucsgwd=bF0|@LEG~4<_p2A42z%paSGDrT z^aDmp_`BOW_7=;ZaH8f!V_rV|DNXmgC98ZWW#Pupk-F?1Or{)lI@4@T<08!i#Y9AU z^7!&bo0Eg_NA8Nt@P$KPHCy=9ojKk}Jgp+Sofz8i{dG2_MoD*`b3S7R0uHT9op9dB zb-3R#yA*ZQ(|gYlYB23?&JMy|$5CuN0jT^tw&KaLs;X~Cmj>V$geG*q_+i@0gs9=y z3gotFuJKXkSArQW#yMtpOLS9g z!%D&icmJB`u=+mwJ#hQgWnQE`Shy5>u6=EZ0A2hibQ;=N6|awtWnn8q?Ay3sG8*sl z)6Cz&e9h3A-|0Y=OCTwHap$VRF)`9@wX5YhJ+x4_O63@-u;$PZ&3`iPz)urkxH22t z5qaTq%Cn>^c_X#Y0W;{txAmc#+MLPf`Hm;!ezWn!Nlmq)y~K;|-eMa8Umu?%`0b^R ze8XW2E(HpHTp1zDUq@DekFg@S1Lqtu-cJM(y}6Jav4E!_?NnRDM4qO zW<^I`iRx#~^t{P0U4hjd^WkJzv%XM)zmoax7)`A`CWWwnuJl; zrH{Hw6yo`+OAf_t-t%2Ps|+{HKQ4ORza6rA=#HMQ<_1{3cm7XvB&Wa5BB1(tmY_az zGEB#_lFRQVCJ!K*&k;fbcqU^FoOkbIDBz$f>0)m5ATS%c#To$pR!a!pMGi%so`kM1KowsUV;sh z@ugwIAb54FYm4o;pX=$lIwgj3mZ80<{x}oAf;7Xg)POq>*IhrR`G`~O(``B-jQTUU ztQ5)8C*2f{u(biRv9eQax#=6}_dL%&Wr8dC%3&d^_%dG8P@ti|pxBGW=rQOL^AjQZ zh3W*I6fuUqkDbPi{JNJBtn>l`NguE3qP`UP4P=*T^nHK35`5(0j%d}mBM>`uf3$W) zPivuGcalRkKJIBMrBI5FgL;hJRK`L`5s44(sPT2-e0`PQM#$YHpQ5k_0wD2+KMkdO zc%l^Cy&S5|DM%H2JZ@+S(D$1q4S^jD6KhsTC(IPqF=QU}O?F4LalP>-OWko4FLPw)9Z{e&OvVa*)6Sk=liO#B9$vVGD*uuG|{TRYp)fOH%FP?PR? zdFZX!t}i2S@j8lHHE2R#I-_(wWSRtXTT$8P2fR^5@3y&H4Q3K9{LzeZ$RbS}zlNF3eb&b7M`pw41+Ajqy5@rG#KC^ETlavOr?jtP*%ZZwi;> zci`ELk6g`~O>uMs58nwMF=5yf^ViOl7`NMN?iZINxn}hiw0*u?O8syhTb$>Efj%OV z;zqIIVv6oht7iQ-B%joUHHT)%yoi$$++*4yT&g#!N|)oaKCATB(g4T45K`QMx6eFt z72i5Cn-S)r>3W)i1{u|K{?;^hZ2GgQtnIGhgxw*@!2XOO{cQ^#qVKzYbF#E#kJarH zX;c#^e#w(r{8@dxQZH8}Z_9G@98AMyeNhgO-q4f2c}mW-kM`Ew#vtrXsZh|(y$Z<) zm+^Wro8LUYw@9lp&Gbrdvl3$J@&j5L#M{FY&fS%q-$*y$|L_G zfiNs#@tq2KG>~KVjzZ~YZt<*p&)WTG)^S*^>ka8oTok2fZ-jshlCF`dZBb9DW#d?_ zmLWiX6TTh`FnwKUYfs5Z|HbOApT>0yfUCXtkDIu~+kWW2{td5r{sz&~r2k(pP?wgt zm;o(DoFw7Z!sg&a=y%<`&pf`vAt2e+7{F79)|A6B|H6 zUOq7g|DgLn@Q~U7yhWQ6C1MtkG&RuK{z*=vCORv>YH}l*a?inK>GnO=LcQBB~rf01jj~io~Hb>$sIIKe1K@#kzQ7u1LA@$m;R56G|`kN=;jQcI)bk8VWySc;txz*k|Z1tG`-- zC#;@Tx@JM!dfu}2plHOz3ZW}4j;QUNlW}sfHj7?XV{5x9%Xrdyd}@|jrGuCaTr(9X z zI272M7?PVi<#Vbv;KF^le-YpT9fs9%?Jt1<(Q5l84kFL2GB#Nuo~A=_qbe$$c%2+m zf4y=iZQ43o?LDnG^TJsDV5U7#{aolge1?&dB}onPJSGu@g=S5bI6-p2c8IX$MPDz| z+U$o9xO`0ww=>cHOrm%ZfE8K2i?_4a?76N5>WO>=cdWS_II54LkRzHtDf-M7s56=> zfMzt*5AR5WDo=JNP0To%Y0q+sOycAk=M_)* zES7!=&hqHL?WFjehT;FJMCS=0vF~Ng!6yCi=Mey+{W1#7i`g4Kcne-1{{QWEVFox3 z51dhV2hHxUUTfS$(rooHIM4Ry;2%HTNG9NA1xRs_hfJJ3lhlKmaf^?d##I|g*W)%k zG$T5I@7$m_`4!OA9DD_ko1)OZO#wRb2b-zcl$r!wm^tv$crq1`c8Lqa`JubXa+x1>I=dEJ3vd7fr5sio1}&_ zMuo7-Pw|iNI{C_&1OsekwnFY5n5oQ0)Dxib-ucrNY`IWrf9*MVi^u22uWK%I^JK_kRLnHArY z1X6pIYL!c%fj7a$h_~k+_W5GR1{z00d(2;(xia7J`$R$}7@ERzZD50NwD+1B(3NF? zWkHQL)uL6yS!UW3Iyd>LHr7*BI$qB1hg=g%Gy0lrsosL6%~6vYY<`r>)l)N0D=#Bv zf-b-Igf~dIe)UikZEiUDs*eJv)QtTk{~>miyqk&2j;@K5qm8cj%LJp*OYgrrb-e*OiSStn)x<7O@8$QN?AklaRsd%kJ5%Jlx7pfb%~0+jCGT1dHyMH+3*^3X z12o&N93)Q_gY-*uY@z?MB1X2q-6PhASXFE^i0@tY?};6=W9=!+hAqG)Ry7CUXeFiQ zNbe09^87bl3@e>{{5V-@W%27;UE##h)vNUQAv#o9TZSUojAz>#po8)vqK>d{8m?JM zV{jDi72f<7|CyH-!^$T4T#XEfY2-I z%RJuQuFwKq82VI}J{x1~Re!6a0Lm{t`0B&b3)^kmCpWUkKV+Pv!?8em?0gPY8-^>j zcQ}gDqM@PEps2jZbM4<%q!P77GaGGsR+$Ik5y?`!rF@QrkSSTJ$CY}LpnM!h@}=e7g;P;P(<0!dN3W+JQptu6}Ig!I8&>!G)91MbXH% z4{BTLnw;m%XLRcUlm8#{85a{z5=VnQeBS@X{tY8fx%pK!PdQY{S7_aPJ-ne=FJqa; z;Y5$=1;Jdq<;bPv*IkOlGxc~mzq_ZoO_?24L)VSTHWUUymL_N9`jTfSOyh95CW-qQ zKmY?oF>+dJU944~%#lZ>DQ=%IdoTTtd&VnmkP%B%g20kOnt&i&GOmUoTmsWiPbKgu z{ny{(Qd+tPWBLLYp}*d~4vznvo`8$t_MBg$#UOO=;p-sctp$uL@@Bv6T}{yo?`S3B zW=CuKZcT4ax2RMvMK{r~sM{P2AZO%XT5%)7HbvU#Pu{NH*$qWpy1T;|f1)Krfm8}F zBp^k&&R`W1K@jlpyC8%f=^8wX(-{mTZup6tT+7uDl{H?2-{yQE6?8I71I4uVL$UwV z+x-&45SR{n3_J>6gU5bA$lsTMFaVFj`=Km%lc9t`2zh{ngmjQ5rXYw3_y6gIy7pi? zxi^L!K+Ok+e;0sDC2PAoD%5JcSluxrhR&u}2d%tm*~A79l(@>I3ZYV&Z-@| zJ{do??w@e_Oa$Z?|ETl}8i0D5NIkZrKITAi^UE(tSNtB$RPgU=^Gm$>vBWY>x$Ic# zgya(FRA{(NMv9K%bJ&VteVYtfy7*tvMUM;VdAh{zloO3J( z*ilRY!zwZ3*0IywX<}Jg@L1!25ISD(y2k`St3}(?7hEkuhltr!`k`it-S5Gr=0?BT zSK0wcqqBD?$4vXJ!p+Yp=~9^oV!>g{&ET$#d(RRuW=sCNXHA^;Pz4A z)V~iTcPYRgt;Xd{VpxOUdJ)1s)KcF~dW>`+I(p=+$!z>i3bi{f$a8UhbITiP!^=@~ z6BE_it4E6`k75Z(_3-E27x^Axzq=XOixehda;>?lVrzet62ADH1do>P-kcOyxaK8A zf+j;4KbVk*W_k7lBLbgnt($vWZ}L(YGFoJNCKg$ZJzbmTH4-a|pSGe!dHkF(@e9EcJ3n?>CNoLAF=640@;`Sh3VL zl$%twq+D>GB_qM+~IJNbC6a-U&5}-Wr!ZXYGFO73?lSMQRX}z*4MZ`n z;>^Gp6Ol4>UEVmJkvHG6wkL6~ST2X^lhGl|OrtqTIv)AQ(=Nleo2C2D6{UMmC?ZCu zG|Cb|VWm)R^j;^nRS1mq0c)K{zG@dg=$=?``MT}k`m%Ol#!1u3Uf%!UOH8ZvF!V;O zk*gK93cuR*Q5VN3Swn7uEz>zvEIuA!YlcgK@(XU1tKGInH{&QWjGB221&KZ#hdSk*wPpi)?4w;zHIE>UOfDC6Q6`1#7`UuBUq|m!U)0>uazIstD1ZRb z4r4kOJI|(;d3Dd@4?$^Wjy=lq)G1HUC zdutQl|MO2AL<7ydYyGyC#MRDuAHBMl z$LWmxZKplzej8(JtpAf%{2#ZWEw`jjD~vBWHCt&myJVAJJfhH%UUP$;=?Y-KV@ z{t=JFd8jeQYL!Nu*5LUtT^oDOaP)nJ1`3LQP4;}eI;zEyKwFxemGU3&R6u`8!K;+C z{-zkKPFS}<{W$d9|60Z?Zv1Bab*qEV z!P0FE-^5KxlF%V_rdXfnkvDD$2w$i@X8b;&vAF%vF-EF($ZnrnE6V<&S{#UnCSagd z>~ig-ZT$I%*n6zfm z3qiUl*=b4vV!OXBeHLd_FVt(!6OnQ^85P-p2#)m5 z!Y#tO*ZOfF(pU0Zc%P}~X*4!%`_i{R4p>j@n~6Jj_~)nIZ710`L6F`FQ`aOrT! zabdPKDK*$(Ow3ee52OtyVy}`R5D&cvEDBsiXY#LIY_pfdHFWqu_(IR&9&xaYnF__T zb}U7Lx1o3IUxeY5f8*DBf^~uKZEtfO&eGH|XUB73-Z-hx!lHYun6e-q4xaOXAV(~Z zWR9O>@U$HC>6MU<*(!($MwN+Lv~p|JX~=q4f^vHla(W_Yhq}s2`*jNA1R@0z4HUAj zUnj&6<*?x>+5;JJukETRmH)4GCkMA{8KK7BL2~SP5qFKh^`cvQ`M9*j-usHX(4De>3|Tne_Uv_{U!AywCTC z+B(q*J*}Oow1;>fpZHG7#W{gNe8f2|8a@uIy`vU-}Hx7R}XdeDHsooV?JQVjB$ps-wUZwQwq4p(t z<1C#jHA6h}^xoFU@sO)kdF9Dc3MJE_R*dx8mjmZ2p=a6%Xt`71I!CPr?`)0pO-b=; z7R*p6Rp>ZVErho_2-@yx|G7Fz#3 zdobh&G@aLN1KxFbVJjlA*=M%z`F-oks(8fGLUT4}%xfYBZ!-VN@LXVm1vPF?apb$^ zk-`|Wwc~8|rE3sTFh8p(sHq?epZ;F?W)XjuG9jQMwK7T+zFDA};4pWxQXM9#id;Y8>m+Wf*lT(^So>VYSj>$h(v@vHp3ILOe@yfx?H zi1#1~o6#kBer9e26~}d)-`>vrY#6Z8bneOD?91Utt)XNtwwl&9N}YBkft>ui_hA#H z_TX;{sxV*)>U`4=X!0FM(B%9rpguAWeKwTcYSuX=1q(xv-QUv%{ zETW^}IlPu0cqJYJ7Y*$#9s=fQRy!a`cfoUY`X;NqbHKO|-;m`KCga$n$yaP~J4As3 z_4ff;Yq^EtzqddkjEQgT+(4aOOIpnNzRYmq=+ZU1)y9}SeGZ~!X7{-5XQ7M^zB}scgTKBAA93abB1V48hcq(S zM4GD1yOp}~yD34V7EYZ40&M*H+>x#2ELezB`%+L}&QBB*i_zo7|CA8$dY;^VXjVSp zVcJ<7m`oH_ei`(+{v(RStF?mXR@cWJrfAqQqYK-TNk7)4z zpEu{>6M}$jMBM#P@gLA~V4~;_85ZI1zmDfS2-e9>qoIDAZh=G~=mym%Hk(t;Tici!1Ok!)|6P+fVp?n~IClY7 zzSqGtg3ycGQ%n}9U)x*iKe^g*J#mfa7wEMUrRpS)0**pA!>T+?N}Xd>{V~GiioDUk zzM5-3G2D$`cMc#!;Lh{@W_HilZ}yz-k4OZkC?nicAjOlut|iu4wcNj;!Oi&1E^jJ9 z@$?O<5Cq%gO*ThT;|x7fvi70xnu{TP#rx1KOUC1Z3qvpY6=am^lCAluCJ=P@$6c&j zR(NzVuSk72BY_m9R3fRbxY}c>!6&Ic^>rZXMzO&gCV|4YogLD|(U+J&ZT2fYMBCP0l1n%+)S^E(Yb zhah-R7254koX3-=d#q}?)k8Q+5)<Szt=$CsgmkP9%?QyyXb=3vpT##F&iPKJ zt)~{5%mCxMOJi^&>EWT_sFEt}(i- z_8h-;O?om3UW@~A%<6>wD;IM~pyKf|7n~GyOdrZeurpn7;hD4;B~1QIGltcA zjwbpYR(37ywzco8!)iH=d9P2G98M&wuPSCdM%Qvj;hOSGZgqv7Z0*!O z{B`?;j}QnHFk{Rc<<>}fvs%MxBWWK9O49zacQtmAyd6o&Aq;km0cmcfZ}GBJ^!}8D zC=#Xc{+G7=77?P6u@fIB{2nYoepqoKz9oo)gegblny^aen=~nTumHp|C3m5KkQ$5; z-dTzl7f2@5=rGV?cNe684fBoe+}|3c6S#IR7;CLCYmvMX)fo%_N(o|e z0P8MfJEO1HD^jcMdZ1?Q4Ph0mm8pX@eG^4v^z1G1Y$FO@27W+v?JHgDtk#{9uE=1Az(?pv*e zvcKsA%*ft+%O7{cJp+x&!>ZC@!;mqH5~Y}l1LZ>J49t8duZFfC4;33{;q8N#i1EijVnTv= z>}7S$Pp;De#Qbmn4+Mi~?=Al!|Nq9%{C4;Mcoe;eNFrfu75^V|2UxpUsFw9#*PB;K ztBT6$@HE+Xdp>^c+h!LhMP>NY(4HN*IYo9ngL*Vs2>Y`OUg0Q3#kTcnEy{p{aWtQ4 zGVI)hDAB5DKUhK}siEF42Hd{ZX|Q#(eQ>26-QUivmaGqDZTQ!cjprC9W7kgpOh7dj zA0ipw*7Lx0=~Y)Z0%lr43Nbhs)u$NlBuJLlrf26?xyXYuGj==1%_)O3SskuY0klExC%FuKtVU ztcJ0&f^5@=DNUl=*CT9$8js`-<~M%`IPUDiOE>zVI8^#a1i6~`?Kj5sASw5r+6KZ; zhq95+ds2}{0cSL|#UPV3ZOx{^C;zfFr2-@t0VCZE+vKW*DH0HNM_X0Wdz=k?9u51;w3e-k^zQ`umhF+_%8ccd@uV+~ViIwTQ^xd` zl}D8%ZmhtXq#(U6!rhY5q{q)-36F1@F47m?nWhiLb2n;ySUJ-;~|!KK=5UY9eW9wzIIXo+tbH3VBm9Cin$2NlwDW44`lF1> z_N#94L;!l&`7d@CJ3B}g7_nJZ=oGDp@al0w4nN<)s&*~ueJlq|X?#BTy9qg_ zkfPq!ai|xu$W+z7xk%-7RFVARGeI989Y2-eeX96XBq7syk)YJV41$psN z-EUM9;V;~xCS5kJEsP<85uM;44m!Y|&76xn``{H}J_p1-qc(xEpwaoC+lE zz@g_}ljS!OjGKDpz~I*lTg?gkAFV})XyBB-A{PRAJ_vZuk@FYEH-;~#ni|Ks1KAax z1QsxD`H##Q=HxE?1YiX0eoxu5=>f8KJ>wQDQxW|GCw6>Yf-@QOI)TyJZIUYray3vj z9%pBdpg_avHhNy1a%oK!TCYVkE>tfJlHbedmPrHv+WT46XASH8k-jP9Y@xT3vGNDE zdM(=Pw^d*020> zLIMJJt2~4xPeywgftB)ZtMc_X6R! zErXib@w;tyZHOtw8!!?Tp~IQ!o`kMWgS=!CKq$F z7W^)2+D2%QD^Jy|$dvfmhoF3(`p_hHH{o5nPkE`Zj~0%Pyy+W{QDKN2b zM)ul{NB_2Xzyu&nNArLLPCY^w{#-T5SXJc%60g%hD%cWC-U=i0M>Omc<#LHfRw53X z>e~6S`J8MNR~&>JLLgj%zYUVdkAA4?W@wLAyxLraXap$y`5Vl19h=HFx^fX?4e4|c z`Ie*B59o^Qo<@~c3i|Suk;kXBwSJmEh3Re!``_z56aAu-l&`h<1?pi6B-;PMl`N3> zo~bzzG`FgsEzdLS?6jxL>3l zST3KoRj)#yR;OObUuZfZx3?Ul#r(lZD{OWS4FLnGVl9rkg&-@g-#%rz^oltu3wa3%Z+dIn zJYG6M@VlPZ_{jp5McJLuwx=QfujRQuYCq~4%pjYUoi-kHWo}i!n~HR;S^u~WPuj8D z&l1Ky1u;%2tSB-1MMu5Xx!TP8p~cUP5v9OfuKx$zruy4B{&Q7+XHkRo#nFjq_GiT9 z5BG#%I{e@0dd8or&Yyo~g8#QyOm`?qfb)zz^r#8Sv4^14Po2T<;PlDREh-N(xo5_Il$~O_Ae9HPGD(Yc^YU4dV-M*B>^Uh>0d&?oFtb0&~^BQKSDk{ z9Tf8@4FoLG;#q?`BYglMwd1yEKa>_2^3VZh)h+CY`T(BPw>S?`S8 zxv*W*Ug~TX+w;RhZYebQ_*9bOb~L?Og4d*33)OzCUW22Fc=6TYymbm$QP7g8OeW=e z+|ws>R$xAgG4^KG?{h`B3v!!DRTw?lBXca)dir(Re4i`b>}zeCJjXO4H1p?abJZFB z9_~i16dLWfK6Cn`dqs-QjS*e)aqgZ}jdrxH^jPLNb7`SH;+%Do(WbWN zt?E%kd(^jpzdBlbw1w`&NFNXNm7ivCOY~Hu3&lo3{9rAbt4XKpg948I_tPHsy%ltt z<-%zihno=jFx|N2dU9Qo>Tjs#iY9PHQ<)QKfJO$J&Ff@7#K9>ukNqX+kaA&8$G2#~jpdc}x6~bw>ef zI^=qIZ*4{Gc%zb{U;0v~zwhLngPPg7!ztPu?H3jvO|O17UdzAaaY{*IXSOqk3HH4C zO1|`JZ0UMHmpeNoI7((~&nmh0S6Htwm>(o|ef8llQKEzT5Gu}W5uXa6>t=zji&(<6 z#XY))<6gO-Z${c~J+-Fb0o+`d{^9zg_}Uh}PY8-)^L^!0v5S@6TV&mV`1W+*=vRR_ zPuQQ?6NumEsQEO{_Q0uKZa(y}o-Pu=*_NN zpPrb|uHd)`PcjN|xneH-L2L|vqcUUZrUN7z+X*r9z_4bj{Y{HA1{q(1b6*82VRbQKBOB!2t@r*QPfdaw|IhMa?xXzxW zvjWKA>RORbVbH~!5aHw|3}o&M^vEP`Ykb-wNC36!cm1=)@a979SYi4eHHOvXt&C5$ zF2eT=e(k}}0cbUDZt9V1a(R3&5Opp$?L-pG2hFys# zGdeG&)l)P+b3(W8irJ26DJU+h^~f9+^Ovu@=yA5*;Z_w|nR7G8rh0R%;EhGo=6P%l zh-^~{ztd8h@td-CC|i&6W{u0iRftXpev;OBOy%0fqkQNsCuYgk;6I_Ai|{m5k*e4? ziAyvh^#s#{u_?t$o%VoXOCJ45{FqTO9Zs8JyC&;%4{A{_@Y8MM%!|DIo4`rqg~HbZ zo+GV!UnRs>T)#y<(QQau z+-l?EsB0L?7Nwm7Xd84ZcF$BV@M0q3Ek8J>-im$qSA$^mR!H6S|%XYeAR9>Qoj zw}A%mocL17K)ve=U7?Z{WLOUB8Q8*}z!{165g`u);t{O(w*dmY1jwsWd-T2XFz}v0 zvN{aWzypLGAZPFa+V9|m)D?nx9npLkXdVr7Miv5V;Qp&@!X`WkGugumh+QCS@Z~$d zBOK6egyRB4IEa2|*WLHnC_`Wu1m~iI4dA^0Ek7R#?1tbfJoOz>bK}^wf|Za(&Mz7;$`JWg076|(v@aMak1d89`k45{-;JHR~7@~rN&2%9}ZhZ zOCcJIUCj(RRDE3nkxJ!2x*@VJxHcM3GI-Yn%?wz8W&(qYPr%}U(U%bR5CJitUwh-H zV{grVS@J(%jQ)vPEq*)+L;;j^ZF&&)hND9?zV^Zuepu*XD8Qt@8`xGP0Lqzowg!c5 zNa<&&2@DR)q{R5ql>XIS9?0K89?kr5AG~W;>moL25lh|NhK4=xNVe?Rf8JbTh1i-_ zMqVD$3MpJuN;iGClIjG}dpLRJ*eAAR{B*LzlL#uk7|45soJvdm-eCQok^s#TmX1Mh zhmu~0IN*vaC{Vo7(!j$sR&!pgwvxFlOf4 ztl?ob@mdyk(mvDvSaE)YEQ@c_CcG;`>ciFOxA6L&(!q7(&o*a|YMmXCLch1ZxUy1A zFGV66jBjPi_BUAaQ0QL~yyZv!dql&BKN1IAnLg=<@;&^w#|!>1vfctL%BX1=R#7^X zZlpVxPC-IKI;Es`>F$*7&IJ~vySou2T|fk+LrReDhVMq7=l#C-|Jmz;UG|)F&&-*b zGiT1soM(b3h!TG}32=kNX}p0fy#XYi=&wCri@F6TLH-|J(R>Q?KmdX@|IN@K(`S$! zV7fmF1i&mhz~1LSeE+{5T4ul5F>6lB-J0EI<@dvEoB~%-A}Lh|!O06nWxh&a9f(Y- zh5iR5X&|AJ4sBDiXVhbW;_~xF!EZ8;WI0;WU@O0wf@(l5ci=j$3H`4w{mx+h+K-Af zw}N2FzW`rI9`kXgJ0Z!X$x++3diJX6l>kqUBWT8v94f!BdJku4;My17cp0>h7UIb zjki;tQ>BvQg0|bDU;W+I_e5sP_NE1YlZkAVd}CiWT-A`^`Pive{L^DvoF^%dZa5w( zcnUHVc|owTv%X0H>AXD4{ZNs+;I)1A*6??d?Z7*jx0|ZR2Nk@S1k@A*xjR~q2=eM; zKekc!NgOF9{~}#`QeZM!p1}lO@X{U3pbZSm-jYy|q=dbX2bo-OPkFvlh}Ui#wrvI} zam}Ic1@W%EAv*3Jn1N1#nPQ!igfi4*FV(N&SUD6U=akiL3gah2-sie1QXwdz(hjL- zL}u#f^Yb{#=01Fg2fbbQKK;{u;IsW@pW|wS^N3(mEMJ{G=PGwr*t!(ocW>=UQ9{m@ zDp{|h*R+UtRJxkJA*Ma*geD*Hb&*(hkBSSA*-GA%+?cg~BZQJe<3>O89Rep?SnlmA zymWTD)B{-X^vay%n%0I>gCS_~cI9 zdt?*>tsw)R#Kz`yP8jxdvhK?C815hRt5M;@Bjh2OFI<^KE{@|9KhWV<`@bvi<+XY* z0*FV%SI_yAW0C9hKBRT%MSpXlc$=b&QJF=mw9MZkH6$o?)dx-7@7T8zN_Mp9y2q73 ztn0iw{=<$Yj#W0vZuv&#Aq-S@AAVfSUUGCxNey_$5Vv*~MmXEHC!p_{lqPIRQz4li z2SWyH)lUcZeRsh-2dwy3TC>1n4ALahiKr#J#YBKZkTVz}N(By0fY=oAma4bsxN_98 zaRM$mI?JjR41Ov`t9CC&%lENcwoE-ny;BK1x%@8o-tGq%ib&`*d|~RZ87LfjI8JW(8xpo4H69mA0EY71%d;yMKt4-q&dP zXiD9ED+7GnWbBW+C<#UIM74N&r<0Nr(v^8uIkgYnfmc(jSME)JHNclSmlLcDP5NWGtnI}6fvWae=A2-EL?@OHxb=={hvCcWZ! zHNlardd0x@0tnr4{{kc>M%ll5&N!-%&Nyl>^^y%UemI|BAp)TQd9cra@p;bb+v3YN z`=@wM4PU*Bz-6m^=MWr$%d~KL6Pv|Ne6YV;`LmWr{$YTEQ^@n=d}MwRx~zwe%_}%^ zN|mNV42!hja{6ioR2xHT`GM4o{cxdj_YjJ3?7&D;Qu**Z+Luq^^Jh_ z&b&;iRzwg<-`R@7vWZ-$mT4BddJ4&Ha`Y2q$~eFaZ{ohm zBp^a;bc<{5ec6<4^rCcgBmtZ>vQZ#-^wWp}?@tV<9XBYC69f)HRYHp+h2*o|UD12g zPx-H&vNS=_Ug+NnwT}mJhy?pZ9_)M0ycS zT^g-TjIEdD46qWjTxzJmkeAgC0rEDgH?MBaJsspa1TC29oIL7TRA~j+#w_(ArJRrxq*anr&-3_Hnqk0+`n?kB1d3E!qb&cKvwCaH^-QATH^xGnHq_ zuq6>%g+(?XPTzgDeiwd?&gZzu$oYth)0vINT|>%|t1zrFCa_oWs3o0%^vz^+^##3b zvNcZq6jE8lWm_ehb&O98u9S*8c04py+7!&xzzwoe?-T+p>#w}Q$bBLYS%iA!;LDX` zNvTY-NP!KA=jQ$cqh#`!6GZ&43)g@D#RZ+cjV6-<7Xs}xCI2%p>j<1U zdFbz0W)bjqZYq#kNda_rSC%W{aT28}!Uo~;)LfwC52j#Ci=gR*Tn46=1Upk40jqc@ zf$}3&Vus+8weJkT#L?37#vfI z!+UY1C=dDlQ|r~8tY*)5rY{Q^e6C?YBt!9KIU%}vR(Oi8DVW%%FB-1U2LMoz0tQEG zsF`jKs}wjaefmSOv(%V60v6gET__U9dmj&VNe;Q53?nl(+5GAE0sA@UQB_QMgXcb8 zhW{X(doF?m3XQ-6$PZ8ntdyWqQ-;)&b?cDJ?(bCkdr{W<5GjX|t4^mN=&d!pJUET{ zvLJ{H#yJE}av|&|q|FDJ0Tf0$RwcI&Z>VIEl*hv?ah@x!^A`p^XMY2=( z)xktmXTQCg{r;f)<7>iiF%|gtRY}}LUJ`1MTFoO7cuc^ezHTgjQ%lo&(~A#ghWF2= zOp!Df7*|!Xjv7UjBiepx#xPxv--J+fiS+NI^C4sSs5NUWsjr0iy5~|jeBUk?nrfO_ z7tJ{ehL&|{0V2`Ww84{(L8hiDQ65*YsCurVDPSE-Mpc@>Vd8kgMFui)9TYcwc8h61 zwiOz1C6@r)_;o3pV8}h2Mx^NFHj&1RSPDrM;~IH+v9F`mJN>S)QH zu4Ply02D#bjC&ncG6Tk~d#LjRIAZp{lk&5J3-qQ5n2+is|F%zGdwMU?4pA6vyj5us zeVK(BKc0R1-d!NcU|qs%U*r2>Y{QX``_HeJY~pi&q(>0>Ukc|f;`%q~ew3&`Q94Er z*06fm5ap8HGKpk=S@uIzV|#<*H>c)6Qu52Zb~3E2=C7xm!QYv#6#5lm(0KZ-riuM6 z*dZp20oX>?3i}IuZXFMU=pDE) znCL&;P~l|;o_M0)fW>o{+9_XFaxPg7)icIW$*`-V^(xp;6%OpJLG{0Q{vy!!Y1+exDF$U!Np$VdrJtQxBDTwBBi-cj|q6m z@gL4voR3Tr|B~DfFPlKVjdpvR7>@pgt&0VMr0J{DZ)Q(`X$B_!yw2WE>E3kok~%j> zWsdn5JfMzziO`f#U^VX3Xcl|Ozm66>B)y^Z=nah+5-MBcRKDWQLK@_{|OdG@?XRCn6S>%YBI*3we^*H+ZOh z4vTZy4O?lAkWwdrHKVBVoCzak-hi{JEZX5gP`irPpsK=0abIKx1uj_oZ8u1~G`>CP zi!<@L3vl}KOL@;{Wd8gOaxnc1y8$$pHmMN5;LzHup#BTa3Ps(4_@KHb3=-!arbQ$W zv-*;1F}iwjr^X@rAsS4oXPw~vD>%Bru;}pc{S;4H%Vl{O1T`-3dpAIjlyp6xt^hVM z!+_40ax*A?Peos8@*3)LzZ9C=YQMcvEmn~E*=yANJoy*fAU+myb!n1WMGWK1ST;%^NwTdxjpdn=MtB%yA{9_8gSw&-f&$TFFHQjy|fgeq!^^ zD!|DeS(*c5i}vXT`=s(*qd7;Np(Q!#(!qs)d4~b}WW`OFZ8Zj1ejkt=kC+MaORK1_ zwEUzP0@U3vDgQF&)~2T((jG4>*tS78=c$W`jRhKrxoIaSgImHxx}6exWYNBcf9vZy z8Y&FghIbRlx&OcDJ}%8BGJTcgC{Q9MVb(WW{(zbB38!A%6H)|e8PQ|dLPaVi(J!7F znm?cX&GDq?pP5nd|1&dc#8X}6XABaxWVJgS)m1J8Mc&@Ff$EsH*V<1884r>}r$|2G z{1MLTEB>pZq(C6}{LwJ`@Zu|wp>L;p^iKRvgQGNr5W+ssIhNVQH8f*wT_A{^ll`DgXvR+ zr#i+9{jI~ZoHhQ4JM!O3OWEB5P4PR5;ZU1LoorY3r>X&+*AOsACM=sG#-`d=o+v-{ zRZ=hLHI%vVNf7gO6UGBq-fD{b-I?gKZAd=hq8K&y5{`V-UdGU?KR0+_bq_V7eZ7ZU z#JRH~1?F)kTk`@=aYKPNEs5GgbYpwnRG(sH*l+ zy8l@?ay6a`O!l?X{BJ(j?ZZ3tu=Km71eC*qpue-G>T$opIRxID^JR|PFFi#QH(%ju zMx!^~3^V_M$ZL4-qvQSo*-ZGh%y!cD?8XP3eJ{m+_F=XQiVd1yMMI(zaW6e>MCE6` zTJ3JB*9xFN-v`V|eqp+*glgs(i$9%)omBVMX|pMf!;tq=Pou9b?(h*p`|3s1S_m-f z`0bu0zk1H;)ItjN=#&N*8b8hvV6+f9`|D%vpr5~gw8j8%wHT;>Lfm>qZqJn6av@OB zVj8%&laGn9?!mIbm6U)`_3<|@w!k)fM{uK5?`AQf3v1#$+@IVgs_2RJqBuR**OP#{ zk4x%lv-pOWFDHMuvT=RYDxCP~;MuWs&wev2qr?BH*nb&ELl(2${;;t_y6yAx+ zu83ZH#Z09ssSdo#%|9C>XmMBP`bS_h$*tgF=#9UTLcpU{*Xt=Q$YsF`R@WPhkod{c z8^I-sOSdYa34H9)R4;F)%6)tl9z3iMWo5#(Y7<9(-(!jOz=xoatP@U9R~-igQ?Bhi zr%;e2QrsbD!kQiSu6^*i0j{i!^2QOhdJDJ{}(VB$>Q>jce6%Rb6du3Air9fwNOn%~>c)3qJD> zyd|{d$BAm!^1C|7XB@MEvP-#CTq*OjM&GNR9F_P9GG2)r>C{}Ret zpfho#iD}}i!ddZ@{~T=GU$405T4n=7pUc+fcQxC(M%ybQDh|iViQR_d9Ce^3m%x&w z>4=jzQq2|%59{L;=wzbR7&A35%8s881q&+PJBVIz6jhDvf-%qOZ+<(Dk6Qm!aMB2B zLZWnf@$EPvI5^nsk0TmvwrOL1rQ%nK-EDtS{IzBLd91)CfhbFVu;E6(G{engV}_O= z>_cT*-DjuIAf>>I9(Un=o0J*F{DY!1D%oZENYGAynqmD>?1W`+Ce||9Dxm?)Cl2P5 z@*4vNwMOsK(bm?k)G74tSa5_$A5&G{k9u1RAdJHZADF_-Zh1Cm3d!3l9bd#sXN(H- zR9gAKh(a>Gk`n!c@9-a3JYfQzWLOas{FXEabTukHRZCPA|AGx@GNawV?%OY^n{~o( z^^?En7zJt0-&?QYzN_m_L%5Lwd9BP`y3h(&PVgPTR~iLQqNHL4V$_5fDeE%gDW4? zR>`Jl8~s7B23`i1{QU-!g?JF`KYFXwc3A&pnEl%x@+j$?`;@%^2=kxwcIXF;DD^Gb z3(}p-)*rTWojjB+!4zJZvyOcf7T#}cMLChgGgBj5&nYs;~u!a?-?@y{>rW9fqt!@N*IxP5{US z;B&P`uT7gSSP>cw4U2Q}A8qIS3P=+ZAS;}M#s;3mYJl9CMguNdAT=KwaOchv0%*nw zh(nfuH#A%)PMc{(087vtP#wJV`8*^7_dxgq*SJ|5V$Zg6SWH{Wd`cBmKGWM2ddXLp zp)=!bRccpyCWrG;S?G;M1(G;#UN4`0J4j96_gnPs zqVOH+n~sb;LG$_iP%AbM^Akc2NW0V;*nQYt(`tBd9TzxQSQ*sgE9Coqo(w{Y*05zq zl1bi&+eIXAWi>Cu6(_=NO5ThAwx0X~l=Sk@M}bl=i&kO+F)o9d|HW(qIu^-0!jh)R_}V6_ zf7{EVfk@zhbeEzy#;)%P=Jy}Fc|Mj%BOjIJBK6>r?Q1MS{7%;G&6;yRN_>jni;Zey z4=!s2N(JC0%qS)qrnq$Y)*fnY#-cYS{yGa{!4^E}2TCr)|I=kt+@rfp$G$K7exzm; z)$u!l`PR5$OR-sw<9lB>n&kEUF3(>UEk3lq#QH9<^VlmK<`1-j!mJ&sryA-8NAz;(J8 z(=^RgV@L3(@5bl0Z{G6loE3#t*eA7Ff>Xcq4g3}vfuO#PsXM0hp``(5@G=p)oJD-( zY&leaCSVn=*bFk!_}KY;LBxYE{1jgxO}yANK1`6hw(3}ts^*|FqyxucRSAFHIonvZK$umCds+u;PW+To zMgqH}2}E2P{=EODXv9EX<~7NWeyLx6!MlMh=~J;uO}%kPj?$(Ia(2r0Q`Kl)(m1&5 z@Ps0}$7$?UdppzLif+`FVM)rP-qmP~WEWaSW*nlA&L-~0L1#aC$X|!E4<}TBiuQRF zU2EaZ8h<1JB~UV0Ey@C%KS&gdz^i8xt!v}X)!((OrKJ2z=cz6si?xoh_WZG_0ILil z>DXtxyB>Tz%4aYS6QBaeAbbis(0S9N15q4BXMNuJ^hiqcOr}&+)Zh@MU*D3#N|`at z3e1NP{$q1HI$Zw~^18CP?ps#U(rv9|0j4u%%qmI4HU<&)zJ4?sjNynb@5CcbIn(9+ zw2q&FYddf*I&&Rw%^(IUB^ROf!<>}GZ-oa1MhX1((U3S$Xw)GUK^Dw_YXqbjl+PA@oGs1E{S7rjmb)g4XuRNNAGkYjbT^;K`AnxEdzTUy< zjns(lc#`nd=g4%(G9FgW@?qJtytj{qN#gl`gaX?1$Qxfa;DrcdTkzxHv*g3`WaM8v zx4OgZQ*UMubdVy#z?bq%7JKaeoKgfDMWfO_{yTp%jc~DT_?2&vI$fW7F?KOMbTTzZ z4mM6Ww+~%vBD%=5FOqh=gdmovqdFG+@HO1IxVHR^=u=KH^{V}$j(y($CFCavJK+aF z6P>`05FP5CklPJ)6kRGxtGwIXsH0TRS0L-B0jmqhzedqb2K0Ir{nISBrBDJbV8siL zv5tfqEbV_f{{#q|sm6Amwss8f$QcV!8l+!QBTSC&w7|Ms-pFKAe7$Rv_R zh;D(HdTj(_=cR9C@HqMOioNNUvq|~-uSzHTs$Z)_EO?X7>}~F~_S7^{-u8GY4$61N z0O#~L!iZQ&22wsD<%qbGhw^Co=@d1(oH-)6YrT^vSVD_WgNBRp+La#cl#=$}<{A&* zpbk{>SEexyrN}8Yh}E1%PQw>&{~sG{nwSlzO&~2&Q(Jy=1;m~HUSatoY{v5!nQj>9 zLtIwhTAQR#;wf9-=eFfZoO*W%In(SLl+U!6&)E8*;^*S{wy&{F02|GPuK@jJVG~|& zDjAaU3x|)dq?Xg5iwdsnXcayDYlIXIv>iY0cceBnUSJ|{zCp-GojL;RK4{C(Qmsk1 zV(+6eI(~@ZBZ8;fg>)|Ze{hHCcn`Uy0|vr7!lN6p>(u3BW6ICzZH3YD-(?nF6vaVA zvN+B^1A2+E2Snl@KFZRw`9Z$&^#{1b-x=Cvl8YKkrol2`k6!xxF+kzBIz>QAU^Lbr zGi()h5+ar-4R-Xr*tV!^)4tczJN3ac>d>q2W0urs(N{=xx&egN0G z%gt;mcp9gcFl8U!o1QYS+CMp2jk%GT=!hmp-ZnnviLgGw!y^<+P^%L`0kt zxD9M}6g^3MY2i)ah)~9j*B5%1+0iJkpjcm{jwezsK z=0;z{m|~ff(I)6QQ(_Pg<`(PV_)gu1#oPp!w`0H4w1FgjK_V-&1xJap5b$S2P)%!@ zwUK4lv^sn(N6W5jfqd!nd*&F9Ou)WN4rVZn`0mb-;q2CTXwi_t3GOT{u|3@J&mf6_`5?Hz>LsEc?`!Dio!mX>jTpGpWZ5*)NI zU&C~!(q80?Bt9FvF`hG-{(2Bh^nu=_B1%`$eCj*;*{aBnP8Gf9ki@F(OSa2yG5DZ^ z8;7l2YPTc=-)KUVrH9Up75uWtd|e@P*4vfXZt90UnrW=l;n9<6d@TcBw{fyuS8)5P zvNFn=Q0W%ipI3%0I}Fhd%u^$GE|kM$FWSNz25atsy?Wg$vH)mFBF-s_E!=;ExDkO% zuu^RJ9eMIE>B{~o97m`ra7k1c8s!({7b*#d2RS6vln!Jgm%x@XInA$F61W|DK?#trshl z{{_Rq6eP=fy*0iCM32r{12&ei@?eSiMLw?lg?bkA_9wa1DZ95c6m)C45IQnp#_u%X z=VMk0)OLe|etWwM1;z%)GI1}?oF>|gn>*zTQSs$&N&4(^zg!Aw|v#O=r)`!1z-7*YpTNeZ2@W)^27IL47v! zjuy9dV38jZVy{V|F>PC(W42~PU2NCVOdNdn>^?xut;-b&VPomgpxz&SU_?0o3&ZsC zq?ulwwavoOa-5|e=}s_AOGpl7)N`u=U!eL=e?~|H`Ob*&@?gVit5Ds8W;mK}aWn%A z)W$k?GQXB?euI73dHiANu!?$MmxtaH(|OZkg4$UKJ3(@prGehE^)gNtW4~lpzfm4# zD3LBPG1J2vK+zfOC?dNCcRyr~WumfJD}BLf-3R z&(ufv#r$r)LeXnLZMc`^T;Ox(LUvH*+ZC%;w2fgcs48%bzzRQ*kG-RvR?D!V)!l^9}B% z$VoiO;X{Dbx9as~aLs+&@}emMi#Atut7yo*ekauIGsEzLRSb!Q*6KKa$kd9dKUxt& zMPLQZGSWD_N}3a2lp^tbz|9zV+x+CG-w%L0fKbiN^xe~jUK>(=rt}N>@0y~ z3$B?-U36Ss>uOS=%%D!8b@SUN?&=sthgEYDx;VB^$jUzdEsR#^y;~`pdG@A6LFj0^ zqaKXA5R|y^1`?BZ(;&2k#de$bkhd(d5AO7q(2T@$0b>L1ATZrJoB{CcL;$ z^0)iOL|QEM%2(pAq^N`Pf!q)Pa#NsA91tJ&j>~xyfQ1h9FN`fA2lN}*yg~oPEhV7; z=K=qG2Bur^79gtbKd=E_gqJw~8?eWysrB?XkWnD^jSDmfZD5)JP@uACmpG)PGS&F} zIQEHTIW&B@S1D$tq20Wo(oHw3(m}T}W`*cXapHZ}Cn8O)*6cuou-AT4^!Vu9*J#lr zuV8r}QPgr^v*ofAe{qj9HAqHS78jKaDn|vNua<@=igODDrn@SWTWwDFN*U$1Vw;U` zDvRt+TL^B;qz)^a?!m{yrPdho?pLKCTzHRjM*{_AO9Sq)pz@c0DWE7@?$^%`;vzNp z(`_;@o#`qA$3HXh@(y#I%Lz?JUUDuV=nrsF+JI-lO;3g9c>vGCBi;T@PP6T36n6#^ z2x^oOFnyex%)o3DW7;qo1>Gx_Ul8Hy6_n`(Tj~L~wBURE93_RN3DiqloOgxpyJwUD z^ZLKW5k3h<-6-PGA7JtccUh^~Q!K`QG3m7j1W1osmyq_UbalS7f+$kZkGxF<$_B0j zlSOGN`yJ|p029Dqv;pl-Wn*@v&`U6X-t~1=L7vKUWSZVH2d<-dV+`JhGq4Opr>SI) zG#!iybYj@dnXs70KY4DJ-W9b}2KsPKe2p5N?aDcik}|upoh_ezfQacXmvvPVpuT9I z8X1N>c%^txmzRyA{@;gsP+6SLd|<@hX%xnN9F2Rf6HgbVzet83o&q=t>^)km6iD?;2tftK@vWZ&v& z87!y`tnZ?^-Vc3C@^;sZ35#hngB}M{l-zo0Rx;tEsP07*wt*X+dsmK6ap84y1V70R z$vk_biwM59hqk!MtwyKT&!e?DU_ty#Q7HVc-xSRm?ERdw-d;a(oy}nsJ^$u@={sv+ z+Q6cQ^X6|q5%zKD%AZ6gTWDrays65}u0q3~@-RUsPoqei9(5Gr6C*^SsC!+CNHHC9Si1*#}7 znl@H@j0;o^JJr~hS@S+!4!*6AzjW9`X;Jtqj@|{I7-Ghcxw2KCJ+3v}9jbyLQNJ9| z@pn5GwIH;%1GhZeaN>4vxvpeo2K-1*6#ih7yvfD#F4-wn; zSl`^;A6FW1)%p|PlAey;Sngb3h;9Z>1hJ)o$Vt=AXQ;nxLc@2&2++3Q7qpk- zs!AshI_LYguP&Sz*t*?_zLY7IzLfC3gHa=myu?4CWps;4G|t8T{AsWIXCUkAAIwfm z9xZ`N!cnX3ZkQM^@nW68?GodcE4|{71!%>I`rBn@gm{Lq%=%P;x98spt%4@MsG64L z7CO6@8ni5XI&cn{yL~4BiGwnS6`x{)jKbnUx(61e4g~3NRo>tcz0Y%!QNl#`=(LLF z>}b==4SNLh&AXB5dyn#o~@Y z48Ci=c>{)sdHAVaDLo0MM`N~J)6yWResRTPH1E*;Rp$w3{Ka*Z)zy>}LOGcxnn~F`o^nH{aMYnnB#DIkB#P3mceW2)< zz%7p7{_~=1w(DWV_d@n!YDbI8Wi+jiM3}Feg-rf4Kd)oSwF2mlU6F=$1+G)cJt!LE z?X#y_bnYt4+DpSFZ2J2f8Nc*}13`4j{1{BY{@`biDUIvyRnr*dSQvFBw%q?BIfcIM`21n1Xv3Yyf15#vIk$yq)P#1uzwMNd9Wn1p~&dc zJPomD&DH}Y1sI?o8uW8`(>_epVlAanh5jjIi}3Ov+Tw8&Bn#(VD$X|45Cokf(s>-- z`7?qxT!!af3nUQR+Och4-fN=a(9So`KRC z4{{@BccBx|Dcf5cuiuem!qmHnUtM-aF$6%3`<}-{zU2G94Uq_u>L!=BR9-tm8{2t5 z%Lj;(D30Yw#E2SP+$Iw%+TVYJZV(0tC2)yeUm>?KEw83fi1Q6L*ExSuUYfdXNEySsNzSob(EDKEnV8?gn=PNb@~ zy5MPUVi_^AaD+&FK@-<(29ad09%8*TPI0?jF+`#Y%^RaG z*lL+VQZ44E;93S%WV@;KS)XfTvOEhxVojy`=zsKQX^hHRNYEk4{b&(Q_`6g^;}77R zAcnM4I!|TeN~%;}i{ zXViTA?ON^Q+yk|1|IWPvg!e&n)N&X8CVV6ChVWC?0I1yVA>*#?VqXIJ zm!mt{+Fs_yFq30sSC}DxH8Y(pDz-b{!>;IJM{7IR-m4a8?&7tKrkE)(Ed+6KV38^q z0y`||DDv70>I#<}x(rN3TD!Zw$y<8>?q23bBtW^=4Cg3 z`u@zEeM4c}K;9(j%EzYq3S_1KrA9r-O2Z%t1i6Y`+=f3X4JTj|bzd~RR~ZaUPv|;z z$wN0zsbl_f!;de_J^XaQP#--cJ9PRA$_FYNvgi}7sL|uY;Vb)$yT`+e=sjLHV#F&FhDdM zs<EC+Tx6Py@oN-{X_S9-$`{Zwfo|bV2B*o5A6Ofq1`)+#v-{}mjVs9{Oj~E@S}rld#W(tXFX@wV z6o_(Km7RXkU+c{LU+Nz)xax{V%Ml+$1~Z+rohh-*-xRCg%!-n_Y?OVV_`?q}&}~z4 zlj?pQsu^_b-ah#;e`{BGx7}0!ZlYMJ8w#5Cz!BB+kmOPdyG|nDe*}uD)5->!jb6xf zfP`Y=%(B5GWAAu)uiXzXaKqWcXI*cbRB7vG^7*%%gCRm;mm94u|CLQd4Rk7t^u4n^`E%<-@1nh&*FYF^1y zl!{%I66lot7H1C}6`pX#8=G`T1DZqYAvZf35v;7_eWG-A~aJ zgv?XPf;ct41&}^1tK}DRC{l};A1zmJzjT%BgW-G|jjBqIABRFp3{F&G&Z-*d{FCO> zF4L+akVau|Y7Srvt1A#$G5ma%PuTz=1*<-o?PVS%uSwB7%Qs>B3%SqGScb9qxL(7R4!l1f32| zo3j419VQt*L-f_`vOlR1`zswh0_0d;Nj0?f?ZnfwSnvOr0U= z^d^Wim<6Hs1NkPVc5+|p{`zDGHVXDdA`OfMO!YxxAu`d|xWb7NT#zab$+BouIF&8R zP5C1L%H3AZ0Q_J;!VgN_2S^CFWxWl)2!Qvf!X7%|`+R3_4}1$5R-{L!C|ZYOCjYpT zE$yLdm}t&7^hp-X<>}P}@|_CzxKz$QRnd+0X~~0wzuxg@xNfeNErp;s0SW)W=Dt+B z0=RAGoInb616zi^2Xgi~|JF62frkh>d6O%RD`~bxH*XXZjB&qM+p$*n`ClzSjDSxd zx+aqdNbv{u`o{m2kv%w_3wZo7ieLeI3;xJ*#MGk;AMqct8D#YzT>w%Y|N9`IZ2e#D z_RrTq_&*}?7=i$lLk2R_=%W9HHvpCG{|@!f4*^uR|7-pHOQ#FU`DYvc%aB6(AMtwx z3me=p>g`C3@*`Z?Tt>irM-RNzosi(Gs<+8GUZRGpa~-}P?pZ@bvp>Kjl|D;N?=Xp~ z4}2q0pyQlU^}zB&ocpI1p&=xXi6RIn`U{z3gB00nrKow6@5GOKatP5T&6W&zH)PE) zD5|eDJ9;_@>%fP$!^dM1RG~b8bO4D_L4Z^PX~d-#e?p;r)igY2o}M1UyHd_lpROc3 zR65Yrq10h| zA!@0SY8ob$BgLcw3t!?I>~2)~{`)9)h-h?z9!rJZXroD`QK={-hJyu`CDbC>rNCZh z{T`w@YKWeJO#%W_f-5CQ2DjjK>UJBw6>LS5x+a?RtC!LrMBo)fXiZk)f){KJCu~5+sB~E5m^01ne0sdp(N#Mdxg~g_!^wB_zWr3I@s>bSMJ zaS=V0jBh20s6^i_2&)Xzi_Szu;AIyVhZTou*B$sdak=d;w77w}WO--TNimH!Hy4~n zMK0hA%aTxmz+vw+D1<4s)ontbBuH7H6IR`2PHrV;e&^&1#v~&jPfrx>oaA1wP_R%L zhQo&+e~7Ef%)o-z2+u@4W7ky9#Pdi*`o2Uz}T@CL1^bjdlJGnUsE&{@7Ty(I2W4 z4d9lp1BL4FNdv#M8}-;GP&$~?piOGPM-Vdzi0xtkfzZCC$jh~xf|tN%VDLyeFce81 z9L~a`%Lcp+|Njn=2GzjI-dUcJ zD2*-aC#~*dWf#S)DWh?@KSjp-q1|ezj~crpxvHJ) zj@W{_=>z^C{9Cas;?DxDky~HvV5kSi{~ZYa$R=Usn39m`M{sw1rSgOr-WSH zfw@TioVi7)rN=hMV=~FZaw2w_oZx`K2(d319kp&VgAUGtDIe8~g?MstT+vi<$}9cw z6<+aBe|#m}&+LU)wDT_el7nQ_3)=U z;(}oB>3@N@!~SwIz&QLNnNCO%I7lypae~~nNjWbfpNM60Ej{la#`@;&^~S+p_gHxe zXPTeQ;q!z)t;n}+<%NKHsn3;xCzx=$k>~ywdYQ`2{YTHW+^xtu`6cNXZLIIZp;B|% zlAa%*z>`I{--qe&&l-j6vQWr#Xv*S{4l_DY^S-1#c8V*&5y*@rJtTIRWWFTN3RWWC zn16^EgE(K#wfoOFM1T2Z_*Q~tTSqZ;1wSm9VGw^0!!Z#YWU%!{FR#)5MJs|IRbmn^ zFTfF0dtFkV?pqvM*EIdTEamAxgV9*NXw$y`{)Ev9K{(7-Cj6GvbXyX0SqhU-hA&2% zx&^<~L){u4rP|vS<~6BqRkr`xp#T??cQBFsxv@otk}ip|$Ps^}rm$EbPJYC17(nmb z)dGw(5%A`oCK&t^-l`7%iTVB9Lihd!mh+ygK8pL&I!2%_`j0R415?6N+wN#jm%?^( z!EazI*Y$ZJ?<`DCl;AAO?$6kLNDCZ>vpZh}Wg8eaXqC})u@wFR*%;eS{0MgH{#?WX zs;|~HCu^{Ox{~TmGQ|KQ`8^jkBC4-04~Pnd>x$(lRDoRvizaIxG8G-HQ@A z-#zDR_fq4bd4HwWt%P_C{?gj;&SUWe>Tzkqz*gx!!E6-ur5NdB+R-P??eWd`BuLGr z-Gx2$F_Uu>#HflM#3Ped(NG+lsQaR72-CBxoS+8S9@h12sVO{~emfI0ePp6s z?cl{{OY{H}CC>cp;VJ`cn@xR($h6sYfzR*p^rpUZ+8)srUAQLn1~F{fBUsU`KOf(I z^QG#eNnh+>>FZ%v#tbiVV76vQJ= zglu0(EYfCP&RCm(IXQnEmW~WVi9YzMheTM5Wsmbjr;?}GV^|B_IBT{WatE-9!;raW zzYP0I31cRPI#9x`Pn77%3Kp*>8ATY9FNV{zhYenwSASKU%KB-qNi07uY)KVS%6LNW zEtMQVO8!E?S=7}O_cpmJqe`r7VD~TI{?&r2Jn>MRj-0n{R!_s{a2L#h0x9! zW1ZxlN3tcVTl!5HJu!FKHp6S%fv$mRG-GgvUw~jfl6?G6O33o(@I|e;Wxq z$&9lJ<9ElfT+88GKu2$zV#WrNhAfA0q23QKe*)HJyHrL|!8qh8l&Lm`jL6FO%=j zXDaEx`lX8!mrpT@3-t6Y;#p%X;5U(*H($fwd2Msm%9&1d^Zn&FTl_y|{zV~&bhm{V z{eJpc@3-WQ&*!*6qs@QBT_9BahU-PoMVZ4?#0@L*QeHXdR2g>k6Vbfc7vEmLLy3xP zld=f8?mN;$PMrr5|6o0Hs3uCQkZB|pPf3m+s zo0n`F@#Eu(h$)SRHnebxPn5frq=!JOswzwPo%ybLO2w+w4yFtz9Y{oiCjiDJ!Ib$@ z?B;lR`j*zFo6h`C))u#E7(GG%S8Ft*M^cNNI^`(833)9IZ9D}LM+3IXLJPAizAic; zZweKY;rAa4K$R5Djm~d`6t~GAsp=y@EH}1;==k zJ7sTz*GHzhn$S!QDj-;CTp_#=P4jUOwOmNWVcf-plunv6lqAigSB?LSiC2Y4s_7|)}!vIgfg5mySoOrg}b}E2ft0;_q%)VanHHq{D9Mg0Znz)tXa>TRV_6Iwi3aE zf+yim7Ti-RHxTW)6a-$~0XbN~bGt*@tA` zIjA($K(#!yESoGsde%ov6*kkyTqeIbitT>{9-=YWt)3I^{Tq=$5y+3c_U1YASpcah z96gzYt2R)Cche!Pk{l?J4 zBSw3;*C1w4J4{i|-~mc*XcX(hY9ud)?V7V&^CNiz$EzE{*6G8KYf!Rs^=TpcBRr5U zllIX^HtzD6^y>VjPTE40cePfPc@__!W9-$!D1X55BbaU>i;!7z;H5Te$uPtMpwLCNZ#y zXO32UXi%3uTd%=QMvC}-NjznH@kK`2qP9FX7Gi{eV>%b|?Nizg5A;AbUD<1lH8Y10 z92yly@k-fg=(S!>>4Lrq4T@yzZK3t4stg#(a0@aPJ4qF+kL|l${<3ls;5@o(g$4AA zNN$>1&UmyAet7=vJSFHfE^PY~2qZd~g+Bnbu&@X=H8R@D_R=nu?%KKDgIWMC<5++v zJ#}a=aMihK^+SY`^AE^_yr<0Kl3nXqLdEo{@i(|DSBzL)!>xL=7awao3qFCAo?Y5Q z59w?BLk{T~L9x#iXqiDOc)w^FW`M(vo%x&)^FM_i2EdV2zIopi0eSYUSNZafpT1o% zR11h8klzmYd;_liF`9;3&z}9y{5*U1FOY$rgAf#y{p0w*i4CcLcGma%$Dg0Fwio)$ z#dE(vSOC#_Q7}C)2xUEh;25HjYh!18K!#QDe^pY!lVna;7e2^zkvGFr!Kr6ZYvQ-xr@oiDZ0soIkE)f}C_WU@nP7iy^ zA8lRaQDSmB3Qy%9Y-u~nCATk+IRc($#^tIoyxREWGjiyEw0r^$$Iw?QmF7HA75wDs zieRgJV|9tvQ09?|vf7E4)gl+~tZIv0DE!PpB!ns`F*w|GZM~MqS@MDWQsVx! zidRB-w0#4caosUP^M2FW{avzMH%Z5;Eh*W3VrHKAN)7joIdewpx=PkM>2rVP;l@Rt zsrohvqSDrJTKrlUB81cw?<|J&w;WtG4}zyifiVLx0f81I*@GeBO*&=2D7q_jG*ej- zNrNH0376}`a#o`Z;kgQI6d;4XVYV7KjzHXqGdmhJ;f2#WLaJ zH0nO%=q?|*#=yM3ZWT%&RM}Jn2)AG+r$G-gsPt!f{hi=mp3jQ3ZqgtS5)}TYTzqX;iwR*AAY(vN6eg0Zw7_x>ViTj`dB45c%Ok?b+z&55faMZg+gjC8 zzj;rH_Q*r034NV>5U|SK&))G^_$8Ygf_bFD^f1uASCg^PmG3JC^`)(2lG_hFhl8Ev z=v>TQHP@+Bf1Vp`1r_%R8Zeo8ELU`YU-{V7k-58E2w^Fdr`i&s;Neg;d^+TNwB#|R zr47|+EOjoNG+X;ocVlP2+16iVpBydK0SyciozooFaLJFyXN3LD?e~@XnmV)^z6Io( zD%7?TDKdPEx^JV{s5}2?lUPuWrYb6cd&g07UTK(qT|b9xq8xZolnqjczMVJ zN|7C7sxq6?pt-+9a_<{>;lcnJO#>>tJ@E5KKz zA#3jSQWqYaQ*cV{##Y(l;CRGf%zT2>;_YG)UhDfRZ)1cu9E`v&nZ?J-11hsfK#vBFhy~k!ASe$L^YX@V zT7f0RZ81_AE1lkljvTJcpH;kTf*zg3sw)@U_;+8cr4LI{cR)AHN;VyV48(Z`~r zE)n;}Q@>d^bis$H=V8$xDlU4}^7H%jCnA<1GiKU0Ka|rc)!pCOJ&(CupY8i#l>*FF zy62x$wAUic*GotBhe`5Dh2d)DlzAC@4|_*Ri~B)SgV1v4ZHKzMV{H*`yin{2u|hP| zjP_vP4-~5J(h?aJW=lc(V!zgk&?;FcrEK!d6}qJCHMNrnuc(gmKk>_u(wFZf1^ z+tbEtD^%1B-8%~&Q;P@l0ldJBOiaHFgyq894la7M*Wj!>LGc~$CqbKtHX`INQH)J; z3EPX)K?vkvXxHJp@fVh=zEj_(#t=RZM$eblOv=#2erratR);p7e?x#fJKV1wj8B58 z9|)EfXPg=;+D)iri?-<7XbyV(-r;gNTEG>OjB>qnkRP~QC{Xu3n^T-NO&x4UZ}U@G z0L+y18+#V+cMd1+eUWspS@?QjQT~F}Q$=VM4hqAse5geWH+Sn1iKzau1S>WZb!)A{ zjb0*Sx28WvVxlWx7a{xo-9_fr#-Eo4es#IRv?bz?OJpudOK(<`ku z{~f~ol=AYalg7uLH1rV^ZjLK;J+RFuCnzv?-m|2!+L8|Yd}M5>fSe?iAv;YDv@(oG z8ZvbKRZQC-g%`2#+pC0EuPT@QK{3(Kvr@XWf$jFdzQLLC6v{2==_(or^!bTd9 zmDeYy&=3@;qCVQgz;|cCITY`{DqGcpDKYUfd8@EfPvO%SG{Ti~QREoq=Sp^oklye6 zMD+Wm)utBXBXb<7#;~SMaF6YYH-sdu4~ow53QAk6PKx!pApzYiWb)L+`>qz+`-V^p5+ z;9LFBnPV7jjhVHc_40ea6k8TNJ>nR1n|Ps}W!{@CM160#k#Jc~k+osc@eEy?a-Gsz z{+jY#U-I(4H9;CHBPNgl?*(ysqeW-kZ=0PSo3p?Uzc-gGhSFV7LV4(K@;9P#{uQ~J z9{pM;br%DcEb*20r1D=f-pLh>qI|MIB2z@X?JM=}=jv_cG=~Jp z*$LtETeGCG>G?xDkj#UQIeA|CiVJoqeVVC*sIOJ|JJ5CU#Co4Ivc)M{**4U7ABQJF z-pP8O=KB~r7q~qvxv)&-Drmo!$Zyg|I7T@$)CqOebhhqtwK0G`B=q{H6#s&=t z?3&5{Ni&mI2uA<+F@{wQ+}%Qp1U6$_rKGf_3xFMl&7Pqwcc!s^uo0GDA^2Q>>G4(= zhP%Ah2fOH5jqU|rzFc+S5s;mHQn*xGNB-iICjg7jZwaG?FOTPDea+&UJ5 zk~|F>4^D~~hV~$9k)J`jpkYY5(oDLMkYBeQ-|UND!|}R!!PpcpXrh7E7jM{1Grh#} z>^D?ZJ5%;fRQf6TC0)v)AF?KU3iG%OU!!0p&xhr`{+OU1ga*QZU-GC7F6wVpeL{J@ z#r^wCR^$q*gfYBjsIEt7taK%0IxnY~`GlW+;fpHVkhDS|0bjdxOjL6>Y69m{BewQ+ z3yoY6N_$G;S*;r`M%w7E0{@0z&VL0vVU^(q3FWc(f~xNQ;3g#>F!UFmf`qa2>~5-H zcxj8LhGNb)nTQtG4b2XJS0lvQeRb4iDAMGq6(5K~xr-)K!^u_mF#ZWu=KO>4ywU{Of1XtbV7udMu%U=QM@>*J0`{Nk-U8cJ zCSU(XONbE=#VIjKe~zM{8uJ-THO5F(uawfT%dc3yJxKb?so>Pdj0mi^`G2>qm@@P` z6CXT{mk#<2AC05xO}8HXe=>4%+7G!cxl(N77Tf=!a%QMKw-bMuyLM`t8A1vQ58m81 z!n&7iye~>_O;dwMT&|1uMq0@_{Y8pGTkab6dRNh$)6&43qqg)_=H&9Ep4De92Z6jY zX6LHnRt>XNoR>0L__1Bh`A)-)M_*Tn_<}{j>AlS+;bPAuAiRa@?Elg>cj+4IEM>!ey-)25y`^hyAXp zse1U5)=-ldWB%%hGIz3iKWb`z|M3pT6A5vm>%yl6eQ^mBAdPF7pR?h(F>5pK>cu=sS)DwWlz;Tt5r-sN%89f z%FGO&li`(owYd{5iV41nI6aHB1@jNZ>3(AHKNWQ zAKV)A_XIkDCvSRowluVj-osxx>>A+Z5FxmkJPPWB+HfKTz}>E*e4$$IGL%W)K|x_v z5%M&WIJshsIsa1L#)4*il2G?%S`NNG5rr92xQzcqATM^8EoLts91qK6$!&*4c7fDg zIcm)rrZOLW0I$|31opv|jsN~5X-bcHcIm|j`RMg`V{2UpPG2oFT`bXG_!4l5*cEwnMMrX|?t52S6R{0AnSu(VCTV=XU#h#g`)d0C_et>mCkAr0U z$83A;b6HlV$`7}XdQ;AIHSPrl{!bn;khs;wPwr2{R zU=}mbiRdiQd8v$!3@c_WZq#SruX{780=^VEaAdNBTcH z41~wXdiEnACj}Cb0OlO}fDK0e3nNaALSIyL(tRNO>0jvr;SN|AidM_dve1Px1pZ+i z|F3BX2H+?1ZJ>P2m;a(zb?8fr7OP3+Q5D36MqucN?*^hO{jF~%uDjV?K~{K{G{xwHRt`lO?A-B zjHO|vx&_=-cM88V+mSW2aW6Xp&)7+sHcD%b-tlp_hzI?{qSe#gQzEV4vDM48D`YN27H)nA_e`ee_h45jt>ON&{U*i9a z0DPGwDVZ#!gjICjVmN|b5S`pDzBqk*@PkbqkT&Y>@D0?I>)1h^8U?~V70fm&y_D&N zY-_-ZplJ9s(&}o8)2UDV*>)*WVeo_K*SwBu4#z0IyIId%e~`apT;0-9nj_Un(oCv@ zyJ6s?Z&&cWV~{HY4fAm0_;&w<2eTs?>`Dfs=>LAJKp&r!-*8Ukj&;+fiE(5KI5U^N z&piIn8E)k=auO7Db>ynHj5z!lI6Qxi`!mAinQK6qqpLu|zj?e0T1yR{eBc2O3GYw= zg^`5Dcyo7)bYBbilXq3=s02CobGzz|baSV(_Y<9dCesGkMA!wTg{hI0^R|akCW3)m z#2dCg5@lqIC_Q3tyL;5`2lN>H^QGP&j@LMt9~3;O>33`R^mN*-#HsI7TE?HKT;sSE zHRtEYf|+mJi860E?d1tB)zzE7LAfe*lM~+Rjs_r2JMZ7>u=BI2Bs(+1P)J06XntY9 zW$#9j`7{<;HOS94x!>3I+x|_n*}`YH)xIR3WN`?4Rl=bU%b`JF+?CFsvY3d8q{o4% zQefd}eUv7hfE*6XJ!liYj(mI(D7q^*U~R48naa8nzdbjfxa{TAL@>MmPO?Mo;Bl>4 zf}0xGDqNCjXz(($i9pXLy8`EB{qfNwX}yMvPE7JP#}5%8Op6e3r%V+|nYH~&Jk2l2 zUlv*2T0mHQk_Uvsc&`TUfDXJFu|{J5A$9ulhm;GmHkd4^zpe$9sd3NV-2CtzD~i+b z>2NtW2EVaC*m=0YY-#b^k(C^quIcjfeEev7-PaK{H>(?0T%iC)LoTG?+0CYDRJ?bg zFcbj&G918K$c2MO4;*zA=7UUCS!3;$n4i?MqGBCxb z#*N^bce=eX3LDr@qxo-?P?N2oHF)Onqys$>2 zu1iw`ROzQHi!e&*z64hVn-CHc-d*axX&VaB3~>uZfIA>Q_)tE{u9ElFMt?M{`n|y= zrR>0PAw29^NPf9u>&$^lZi{D4iw@w^7;Sv0@eqU^!$O42gN`Vmlfzi2`}7q%Sq*cJ z7v=ehBljjhk_NZ9TM0}2K8L~!6HwQ)`I zo5dA!Dbd@PvyHqxG1V(}rtziY)mkWBpwV}s?>j+rONSi+kD=Pxk_pPkk7q%vVM=qh zWV^igEW6>3Z3@Wy0*#C84&NTSV`^V=$HvF5t&@FQ(@94?Vt!l|&N*@3+Bp}a0{;XB zn{dAAU<$x44tOwlcK{6&4m|aX+F0oXp8az4_G%SWJo#ABl8srXd)F^3w~tHgel>pU zT8bPy4QJ9vJUs64`MVxI2fo7Ovfq=OfRr-?Bh2kCYP_>a&IE5Th-P8plU-S{&fORa z<1kDPA*s;wQt7=hp}6`y<6}$?=z*=k@Fxo{qTVtye#OJt*_RqVd1dFSI?8s}c78wo zfHlRi!(OI|qgR6*ysbh1llp0!_n|Z|dS%FX*beO84##+BxRHe4%2CbHH!&tFCe{!% zFPfmISNJ^|vZ=X?{H5^&<8ENcA+T8R?6b$GQ{AU<-Zm)&x#|Fsj;1DL zb~{rq(zsThOUYm~Z8P(dh^yJ=y$(dZTxqkqR zo9sS=i@KIL>DN$*pFv+WdvzZspRJSyHQm&NjhmZ9aV9oBlNUt)7{&MsU#Z8GB5l~f zraHp6FG;!=liqmh+N8u)IQZu2V7k?uz?kUwbNYB7kiv8N_|Jc1P$!dg;VQz}ilSw4 zJRulM*e1~U)c4nM{NT<-+zI+V5zytQu0ic<6wL}WYwgDEp$)Y$kJI_!#CS- zJ=nZf%b+`$($_yoaOGKB`}Ffc|19_6q4dhF)=l(S)Y{#FhR@yL;HDVN@&G8oS~8^AMS!0a1R;_{Te2 z>qh4r7m8(8cm{Z;$pl3L&5N1g^)u?p^~S?tBL=+0OuDM+aTI?{;Wz_da6KJ|ZUNNHSj|o%kB;hqGHDJs8ql@U~xhN-DMuw4mp+=`LPg9ARE@$Bv7So76#S1z0Mf z)_V<(+ulYdVC*sItn?hTQgcH*=5P(1`a{rtMif;%P!uW>7^{bt7S-jNN)U&R)uwK^ zG@zCltgtBKDGd@&CUEx{xv6_M9?%_-N)R4wDpC<=i_gD)e~j<4#F^YpvaAtO z3GbiSj&>NCblrXVG*t>~z4XYwrZK2BRCd!`Xuj3J`uRFJQ!TjEyOd#T$g+lWT@Y(K z@NiwOXk+ceBY)|@agQ8PXtBD3Q}`H_?^j#vpC6 zf4JwpGI7B8`g`R;t%LjJX=^X4FuYK)Q;5zHh$yu1ywljox=}4zkNT6bs3#E939$*- zr3b-JqKuzYd147Zf|cbwzu}3V%WPq1qn}&w853aj=%j88-bHKa>~Ef|dEvwx-_>)> zFZ8%wvgx82Y+uJGun+(Aa&@^{D{69hQg6MJtexY}$ZOE&Njo)cJagGU@=(1mXsX`D z*{4_FUEL*I3mSYzC)IN6&rDD$oso$sK^(&na&hmUh6igAVUR9gMn0HGu7#e?{WvGy zs`?&pN?_5Ren^+Ub{C?>JIr8RI71(Q8e6RO+y0tiTlc~Ko4eBA`IZGymjD?vn1V`a zvE^^Pq&xUdpX3jwnioS?sC>QE8`F-7+&$2I+s*}<9=AyLGA`9WR?O*JN!x>#(?d00 zMg)Mtq=U)Lmlj)(re46*JpUNx$q@uO_9$n^3#Hf5t$HM%R^sVvY;ASh&(utjGkz+3 z7!~CyQrNGQ5&;cYE11Jzj6!L%AeFVLg9JJc=aVihR!iHtUQd|g0btL|GnIXLJIh%4 zvqMAt=Dr(s(=D!~N_Z0Ve`IJr54F)w#FY^FyK1C@KjHt6f68ffy*?-Lll}Sp&%79i z8m=EA)Kx!@d|hhn6ecSj!YMD<;eNCk}VJ znL{UpVFdAIVn>Sk)n$};9yZmkQ^{7mAMdL?#V1DprO|x2v@%Nq@8*29#vAGqrWVIj zL)_-hhnuW}(*Fa@YYK*u5CmEKq{ur5wcXfkSEiiB>Ktn@K0lgA^2g0E}HE`*W&+F?dbWbsj0bWRqHk9b@4~x+Ua`t zTK*sI!XFBf4bV#ei$DKlKrDY5__@q`;Jz?><3Qn4;MUlPHBX@_O#}>{Hcs%VN*77) zwuhqUwDOWWBkW%U0_4JWcmWi49d4&-m!6p^XAA1GS$b@KmZHF$MAvs0-{Oc3*W@Y~p5{BzWwv`KLWd2mg(90BD6 zgf3J0zs7Bx7LeY5@ZqD``v(_cxqlRhlmbvo#Sv)4yZ@mFpbe4#C42vY_5U_chx`Lw zT|U^;Ev2|g%GE-S-}2CEN`>cnDWF>T3Sw~W+5 zULiEA6GkQs>O0YA2GWb`b73ZvrX%4bZ+00{k|kB%95-A?%G+i1#+h|RZT9M(wsG|I zp921X+dMkS%C?aSK*)9hPrE^A>DTkhKusVNXQGAUL{XR zy`7iH++k`9vI6^ z49o^aE|ll(;X$NRW3II@W*_&`SA%}8(lI`DLm)r=S%fY)b5gLfm{W{F@3UVvU1>amZ@#F=ACxLs&Nc!Y3H?>%=5g>eVFwCA3ZHP z6NqoKEVEEdzvG7YP;>OG3e&h9M~QtV3u7J)sdpYr(vt0LJi+`Pt86J#Eygb{xU*Qu zvc%G$+u#JVK2VOA%Pts~dJj^t{>HipZ+qRXc0YA@tEWw>j$?Pf`{iNy2xPxr_KT=V zy7aN2#9k)t&641qS5F4}fA5CVeZs7I^oFuTesgcBHr1|rNcomEw14a&x@8IAzx_t_ z_5JEYy>aP)dvzZ)yyBY2`fl2D#FG^zt+e}D_h4YcEtx^6t*FtBXI?iC5p{p*A!w`w*~b0~!*|7eI?F2>J>iLy4=j!VYtN zD+Q}HCO=!&78-6zG`81!@gCpowRm_Y;u$}y77R~~3Pc^R6#vM(&`Vk9YN&Z@9$c(i znKq?6nc|WAP;RNI3We^e(k*4@65(0nT{X%yCR_(U6#4w4U-NMgR{twv%N|Wp`M?qNGkh^(p8g(1|aOnQ+Y4{_^4JWmG%6)!<bjANrS|^8-HiqoV$M%6nB<7#I=?h#@%t;7Z|-2OKa#JS+O2Cxw9e z--+|x@Gndx|Hwr`?3n~i)c@|%*B%w8Gs>m|7ait4=+k=d_DUf9_a?07G3$$fc~$69 zE4R21nEsmyJ}-v8*r@RQPPzUi{D2UdWKszguPJ1EtNeqG4K+Qg$8Ek`{HbSFLaeF0tgIMI6)c$ zZK?*Qci9UdqCjyfBuRWwvBiI10Q?D5s`!(U`Fsjkn0~__3}MHyf#O4&DVgo-P;Ao_ ze~KDz6$2CeJMv5loy8?o3s^&J2-oUj$ z^hjy1EZ~3kI1maYC=p)BozPPtg4BDBm8ur*(Ji>#PnWx`3~ixq{V~h7@+!pOyQ04A zxZT;NJlEe7noFA-dMmEGcEDYHP4_fc`?7aWW2!z7CgNBBdgfyVAJ?#_sdm;Yp2Ljk zo5IYlRZda$nqlX>;r$sMC5#heNAEQ;zd;D`mD8vxc1 zo`$6D{A2Lafhe%N)4cupn!gf@S@`TVL_92+xiZ6GKTl<`6h42~&;EY<^uX!eHGAH$ zSw5=@Az6H!D>!vYrdq}nX;p06kvon1Tjt(P>wVGQIQOn>$)~jxw?qCpGu+2NgLW}a zQ`Jk=EUV)DV$u66npLzWqiz~%`Tinc_|F02())hX?(;>eg&H&V4~muobDzfOzs;G) ziH!iO#X5&ZZBM)b-AY>t;j-isWENY=_w`kNbC6TCa*72Xh<}xNFm^7E!gvcBt*1qJ zHUPZ}TfW&oa(ZHWB2PP^Wv;Z#jC=cvLKdd^_-RqiV(NHG%X&xHBUKNaI%uC0r+$~~ zyqj-4m<-*2r%pFdJb#6tt*9~%ZIF1Jc1QVEN5KE9P+1sI4N4J1Q`X?66AMX}!I7Wg z#AXcU`5=2JS&^ki`D_!alVy+O4*4;ZfPU+OZN?aDOUbd~2D-pwmb0h+RKlHCX6S`t zp$D$PiFF37khrZphRHyH9iY_r)wt2&ub*a!QEpsY05?4`?HhJy{`iaW{KtYv8-??v zlG3}AQq;+Z0-=1^8rKo!!y#Ql`<(rTYTv}eU$!$}isXT;A^meUd>n*uG$%Tu7v0JE z6kINHM+PTj_GCV362}^Nhhz9zlQTuA0v|6Z@kmhS6pTKMseGS~zzE8h_svk*7Ued(o%ZAa?bm+)*2{x0!hHU2D@4d{ zT~^q6w(1Aye9SaGsRa962Pbd;lD?@9Sz$U!4~>o*G=Fd4$NW?&0%99RgL*8ss7-yCSaQg+zEZG64ut@ ziPfGgS$(Bhsk-YsxAuvs1}rw#Vh|PL#C6CQ+!aCqHkP5xp;qND+LyYFl>Eg8a(-G= zDV}(&`c;w&++3>c#cGEw7Pcs4{#bR(`d;Na+0a-UU&cFHmcG7LrpsyP8oXa~fpt@G zHQwsgGY|$OFtq1^M#M)beijJ9r_Lne0!^$Se0AS&sepniw_3SaPF)o27&SE_x{OKn zxOms0JYLui+21lhvIMlW-k-#bq^*ka!fxpg_OC4e(eP1zCyNTQJV*|NLhGPK=D50H zhtXK{0V!3lw`uFA)t-!7L$v25GB}Fb{eo$neI=qwbxJv)94~epf2@35T1xGtWn12o%Lp#i#Il^F z7`GPqAs788rHKO)34N-}(%jje!o8$1w|UDyyEoMIJF#3eLyT&5l=gmHhR(N8t57;r zhYM4u;ExRQq5s7fM-Sfdt^-PF$6hk0`z^2Jd1 zXn%Be%~Ye0iuQ+eQk^OOxz)FDixDw0$!C|8F^hF`kpQ0MVl3-WZD*KvAo)4d+} z$g*~z?CcL56~+`@hwjt6L=Gw=K|{$LJ(=5NrfFX=T3gR+6DZe-tyAqR%mS&_pFf0P zN*Cr*y@I1c??JG-Ea2cAo+(f-qLpYZ>txDb3taMb_ zRkdgd<{Z13x7Sv!vA)vbg>T)3vIzI{;uTp3(LReE>r$aa^M;t0T zpxpM~aPvC+so%ThknRmo@HZTG?8@ibBag|OO%4&bc?cO_d2Vi!^0XC)b}TLV@_tl0 z7G(mhma3K$VGsDuwlpV^E{bc{zLE%5CneoH7ShPZ+lLBexZR#rEn1=KE}P}@I?Pl3 zNzB39(W%`}i6PKTEy~Vlq(Qw+C(PcccX?RrC*Z-CsJ|2xrELzEPP1=>;S0-2^>K1P z>fQXwQ}NwENm>RM(3U~csS5+valGt;Y(nEP`@ zW(#wsp?u+=JUwwOje|L(z{N{dcY{_=F<2i-BV|}c9B;lbAJ)m|@FBGj&%{h-#wso< zNNOJRsXA}HnhJ3SPqRB~;9WtD(tXWN?I>8SS{0$8|p%Gnx%-?rXaD{ zt&HRlD_(cJO<5Z-DRJtYjVb*V>=0U|kdV}}A1?Kzq45;aXY1M}!YBG4sLj`PxrhFI zjs53U)+PI6caJH1flG)3{+=aX(lk-@j#qm!rkGrMC+;h?gi1h>urf@hxJtPd6yY92Zyc{tvsr48DmrBHZIt+S_9Abj zW1ZX`^|FPmZ0^@)tOK^%<;^-$X6x6&8UyY>htIpNZ+CN4vN3Cn&2n9 z$0fvm%KAf39;Y;(GJAzM8(riu=zeqik-I-evlw}^&HCdHnfWKFzWUScicM#HZaOT> zRxk8i2Kh^2k4)`w5e@Z4ubuv!qikO(g|%d~Y|-P2lr4Ct7*ic3d&sx`^8Bu_pTZg| zq_8lwks{;alQJrz``@|99FqY|BsnTK1U;+W2=`EKr%|gXAS$(!GS)-}eF3!{-p zMl$bWXt1Mk{kt>0ArvCf`B}X6l8J`G(J2_@45;Udix8YIpkJW3veeB;Rk0&iZHlBf zqqR~(M5X~!rSz2EF;dmU1jP$+wxaqCxb=@z5^oX!s_eahqm2n z>~4KCiQyjs7&_yWmfVf~8@~e|my{wX+`?lS^Ax7|vi4{z{pdP&RK*>Qn3O7iBm9M9?r4*P_kGqoGP z0*Yp&E9icm547@f*oUqFZ!hLw-89}05&VaYh$=0699t4+5@^2FDXU*J=F1{_9Lf+9 zOqp3tfnRn?{nctQ2=%pbiHFNR^yRTA7b>Z=?G|=@3Pcrew(cxoknOpK*Zpr*Z3QdzpE;ii%@z@I% z38s%_>-G)u8zU=2dj~rsJxgTZ%v#?JnT3OigpmX|@`_9n1ND7ZHCW!c1BhXBut#3=W2=!!q!#}K!H683kS>J0!3>FJqIHa zMh00ULsPx~4?RUAduvBK1Ec2#puY{2U2Tj2vc^VyeE-GOb8r7}rD$Z}pvlb6O3%tc z!pug`LIMH-znMuu?DVW`+6+pL`VP+oWK6Bh83ch*s3=NOnm9Pva4|4AJ3G_6m|B=x zxzHO}TT(G7JzwPGV-T{oGc>aMJ5EMzhQIy)9p-;$;F-67VX?Nbwo|gvGcbC_$es-t zJL@xOz}W3MNI2O3jsl=61|R{{5Mu%=u(1EFAjbTB&iT(d2&nrPBB1VPJ8J_aBL_`} z&mv+B%0?~@+62T*aPzf@SCsqg{Ee}VLT{U07>jI4|uOh`bS zAa({ZQws+pI|eZefR>1nfwdt3?7u>PBN14nCTl;>fziCLwt^@pigYUay+;O|(ywfk zQXVra%#5IsVKJl_r51DO;`PvC^*zhbjY36Jv!p@*&4kCH)pJpK4SaRgArzS8nKQrx zx0*7nO%JGN*vr)&!gcx#}?AH)*?>uRXp~EczzHRh*3T>hX5cYOCmEYEuQR zq)ce^`?SGQV*L1Tq0;^)>bG6T4!v&)bsw9Oo0~_30yn{`r>|penqcSS=heLjMRmTe z+p#8%BMQWYSC*cU+1E!BSJq2)NaF=r=xphD{tk(k1)q3L6YQ<0#uIe<4&KD}ZHWaj zc-X&pS~w4K8swL~>N!&*Q9_^Hd3{fHuc@gGfn`M5qPx3m$Eg#mmKWh6UJKQm*Zx)p2z3WO~_Hx~JsN!zqKp zMj;7>TKlifzUIA$pq+Z&q$3(cECtG6>N(O>d3+Fvk62(N5k&m(9uEyUxlgw5^Vbrf zg7TZO9&NWDxwa2AIl45BSIed>O*6-oa1~3%UE9JrQgvQl_7cH0^DK2k)N?6RVKnlA z!6idNI{ir&B}|K`OGe#ou}i9)J6lq>r3BvKV{9f#mXCq`+6sZH&}66jf{>H!q2gM7 zZu>XlaUZSn&oJD$p+6Qdj~w7dD1Gpp9T^TBVsP;SRn$}M4PC$3>m#I2Y;~y{&5iR` zq8I!0^`8-i!x-e!_U<8mVf6OT zo&~(Ix_lhE$LDniu!enDeXtp>#D@$%fvxYsS|;|y99w?DJ^}nWX*gw=MA1 z@9JY?%+9>5s(|78dui{lf~E4t0v6bI4IdF;kBsD^jP}P9Va!f;H%)R8KeQaZ(r*}V z)yIpa-yCt5$?&=-cXH9*h^@>Hs}>wJ9XfHT4n=Wo{Vt4s{1ldahc)gcv?a6Im07kH z{pVJkbPCEZio*yukFqNW!ovwzagY)X6`3}Z04bx(o36R9F&)E7tdm(f=s+rQXYvJJ zEg5kjea#yUql=Fvo=V3a+oeVq$c&OhY{W@uROi1#_IgSZr$uzxLN|7ZjWdy5eW%7}o_Qoa@r<0)s8NHR8p}rOYmuv`Mla%K9 zX9hetetvVh%91_dN)B6)NFnDtpQ^&Y;&A4cXxqm4#A}3ZX!woZR#qG&%^hnsPfsEJ&<#usp585?hTaca z$09k=Cbi>UFEP(=AExMBJ75{Pw-eBBh21>lX@EVosf+f?+HT8ILb5u>SdfPg zDKL>EMrHpXX*k9s9d)w1RtGqLS>p~Wd37I5!~CVM>r9hP!Qdj;-zahcJqWAt<& z4ZN*OKv{U&`1QkW&P&e3%cKwvs<7JL&;bT%@B2F8paXUX%t0OZrn-==Jd^mC!I#T1 zM98`Fke-@-I4{u=W7r?UWaFK-!&iDXixzX*l{uLi(s3fJ?|c*7`K|7F3rF(W3xn!h z<)`7_BFKGYq)1-Fd{1R`8pY%hJuO@7bsrblGY>|W1gK6oG z?YPW-`Vt#u;57|F-8^yE>@9tXde=tQ@GHWCKK1UuEY$>fy22 zg-#XhS3zJsj=zD(LQAAl+3DAdyumM-WMm3t3+U4J;F#ie+U3cKTQ%CRyZfch>LIop zP;dD_K6j|iTtZN!=n8S{^lHc!BnH8 z%-hlB8m4u25KRUW0{e4E?DY_?K}z)=;&x<_HU zN0c$pM=dACHl8R@)hw|q@rF&g-6lt7r=us@UlB3?LpC|aWhYd2-oadk6f(Eipgq~+ zLWoJ@9965pI~!vZ8_iodYkA(R&0grF>Ad#Kp8?Jh)84(AGSq2RUH=yoVOE7yxmZC& z>Pn48_>Xup6T_J0yynwyJJ?cH`tNe=s^SuS4~&0m)5ST|THCeR6>N}Yw*Q1}&(6f@ zC?A&87mGRxXgMVCc(Qrh>9JUl+agDUquJ_Njh|H5ndVSA{PRIJ2Xj&Yg9R)dF>iVB zZEqiGl^UJLc65^6qiJ;?IfX_>NMM=RUF^N4BSB~Onn3lUG*@)qk1<*0e#+1qO)fe{ zmCi0+vvG_B3HUVTsM1Daj2Xobd^O%?={{5Wdg?Z~*@lAXh3W`Lb&s4dToZMbJ*Jv= z-qA8vs*!gS5)O#=8cQRIQdhNiR&-Ha6jnw@iRF*CN?^I&ELp{U*#hIc;($bpjI!ci zZ4>VgjsvhXhNka&QfAm1?~<1pbt(7G*!MO^6}CikI`!VeBr*DI^`;o3w0F z4M?=UQ6wmIEXvQr+-IrtZJ}~dmPg*q2trKv?addSH!QFHC0w3eZ%z`C-;fb>yAxbm z;m3t)dO>_OkX>v00kQr1{tXco3A%_G2U&Pq`|GPRZ|_ACvTS*gXQ8|f65KV6R>tBy-27zBbbXhW z7Eg=U7r#w^vto7hAhoA?i;g!MS|893cu zB-ik8ob8!pMEQN%3sd+8%yOip^nB^+D>qhB%{+8CYQo$}3t~&fl<5@7T{&c~c-Y zl_XnBcv&W3cBoADB1Fc9XU1K{Be|G7g|GhFKDLe6@fCR@UD062p1m1xWOogtE+k@n z)Gw&WoMDb~zMq$7@!FpzNi73%KSaQihr?6zIcgM1O{qKr@rb$JamKjMh0goJE?_Pc ztrgkHej2pb8@uq2MQcuRmFXvQljCK{$dnl|7H7>wOkeX!jio*0y#Pp(SBwRMiVMS7PiLM%$KYXm+gVh$((TbD?u*~ ze#@etp%o(Q`aTxN&z_-xV5+ccQP4+%G#m$FHyZIBwq06#OY-RdA?_`p;(FFEQ6jjz zLm+5y8rR_N?%ue&Cur~lZ!~y zDB6adEvc@w~f5uIQ@o65XT)b(H^*7QC4AajMm4Icr_-e+4P9141 z{^slu<*)^k#<41H1Jdrsej`JpB%^1+#77Hy>+`TFbImC#`u#p5xsJ*mLE^!;>)3As zN&w8(Z-Lf&3JFJo`hi-h?erO+LGge!k{P!#_zK^mtF_!`Qu2yIc9sxQa+~c+DrYT> z^G2T1Y+EiS2N`m!dnN39>j^S8rD zxs>R5#gy-zgu{=e$19hJH()Wpia}fc)~oFGy;8f}C~|6>dWaUh(@gqV-@jSEJ8%nT zGGUs9>7ODu)I*UEj&lQCH~-X&I$l+KWh3pm$QqQNF2(H~HwPhDA||a5R_=M{^yrZ8 z(_a-cMjc&#(gJ&Im3@Je(^tY}Q^pbvs~+hvmGw~E)_i+4-U@+OO(Orh(JLl#&UajYP4qtj zS&-r(P@c$t1<(3p&3{|-51z%!&dU7%if0YR64qibp3{{d$cL5m5T3}Bc&a*ysf&q7 zAofS#%mQp0rQ~l;ByW-L1DzQe8*Y=8;-!^!%N<`WY}cdmwa#&~EQd8zN^3J&J-D4; zZrm0T(jK{CS_h4)A$3fNOWw`Y#LdHBSq!R;PO={URGbXwY|WI*FrXuY?AgV@?4_~c z`Mh5>ncK5zsW57?FR&Us^o4@}$1J!GlI!=5*Ro;l*yFV!}Z;&)RG&FQf z=rQ|l;c~GdP20!6bSqWucJQFLAGIp%>>YHL?q+)1sG(sgyy2;4`V6=UxTuc$H~;uf=W)&N}uV#WNda$#8$>LWW|ep@Dt-bRcO09 zE7!=Vd=rN9j7T)d%>Dh^iJPNFM@$yly&2s~^qN(bEv&m~xff=?Pbz(UV!T4lw~kMZ zy*W7LegQExG9Ue}3!RIFDCC_-}T(ovBrP=L!R z9o6WWrH0Ee>$t|DQBkXEE`_4(J6vF5swZe{|~u*t}OvBi`KFmx8{UFiz z^qRNOnkx_Zua3x%e`Curx}z*f@#$U6;`UI2Si3=*P$_}xk9jGNrkuBge$VNskrUT^Yd>`0iQ0d&8LvTF0ihmXv5iqUplqE?F010}gn7@Ja_Q5DKZ^y`WQ`zo*1)lN<+ zDwyig63yf?zL^9QkEES`+k=;?&(Cn)slQdiQ`G1W{4U-mKSe^>-lxjWXT)*Y;+Wwn zv5u57@*J!C%fmHA`HY@VIeYzeH%Z{PE7h4iwx8JM0YN|JMhO;Q#Drxd|U=#4n8p7l`mCP^jCPaO>H!h5I#gPpaWcLdu8Y z+$eNGx` zLDxv_^kVX;n^cff#E{y0{WjUiM;biA%-{bNwp}mcG=E-*Bt$yp?YtYq6=8+-^(NNi z*R4+D(G9V{b`@;nj4u15>qTI5(J-|li0ap__^qL&_M(z`Yo_!%SwMc*URgB z9tPv+6aUe3bgW-z4k4G(3v&XRHdFt+t)Z>ZLPoECtwrw~K_Klq_150U0oD%Brp)uQ zf#Uph!-J+k^fr9dU91V#!(@OxAV{H*}&aIoULW+QbRx3)crD14aAyn2Ptd$n0 zcYZ{)6Cr$Kgr`~8N|b$Mr?&vT@`LB$XO9ocGOMVD{3lHcRQO|`92&M3c^y;Xb;jTs za+4EH<$#r0Cb1bRHO822V zr92Hp+Ff|al*|Rqb5&9L;Z_q#(&4>2p~t5S%BKo6WTDnq1<>vMl)O4LcJn}gJQV5` zM-oXdmLP}fvMZB?vp5wtc>Ws6ch3E_EjJz2dhlFQ>8b>WVFnDY=X zjFMyb1lT!0lPAYh7<@9Tu(+0!ICAOEskv~O{z@Y6Y7*u49?!`st@?XR_fXQMPyJ$X zqkpy`)~B|6l#_-%|9efpB0@=7tBkI%SHRy-Vp8@>rMDTpP^_&Zq>?bDeHrO{Kj)ML zO4SR(R=Ch_;-u?IWa<_27940v>xpFQd1*Hj!%wqKoC?h_t|{u!SL*$axp_^DS@hQn zZ|E;m7m&{$>B}281yBQj-iU5kEwKjy;ejFp?h2Vc5^M@8`Ww zyvGo)%f`TU_|Z#C>6?eA)Q_~SX;==DFkAsXw&)xrEhG8Ljf{Lg{3-?4ywn4dW5IGpIKk7I;|Lf5bXXt!1D_MQ**v#c;mgC*l8rOvOjpclCs3;L^xNeet zD3(FHXf@$Qx@i@uZn8jRcPA3gdR`7=219sHjdL#^Y+4B7vhG#>YwqciV^r@7i{tCT z7_)B7GII@uQz&Mfq))WClW-_G(ccDl>cpeqeipoTg)Q7cciXePD_`D3`>++^WPd1C zrtY^Y4g6=hI|&lZVF6W=7LeZwTm&b;CR4o)!6_^Z!n5)6)J5B;)Y zkNS3AO6++du05=dH*O&$f>dpp7nMzN((f3%-ol15#A2Pnhv8}vDG+&jNcy`3)MqX} zCqcb|S}#*T`H$16KOybk@bF(ZegDLoO#cLnY@DpDT>l|jWD>S?aaJ^Q60x^+u(x}; zdCm22QSpDjo2y}I3b}>K&CbCjX=Z6|;qs1?nd|R_;Gfv~-*2NHB(Ye`gGoc4*ZmJ} zqKF$z!4@|m1gv;*S$M1zU)w{FI85PsM3_k;PYgvvJ^|h?WPPU>GKI?)5h6y|Q&bH7 z1Ta1MDkK7nO3Y_^dYvIJke;hqLqA^;w|t6mG`jfcQtd; ziNV#*>wG&!I7^X(Spy3pxH9`keEn;Q^bz~fI+Ew}mXjlqj7OJX5b7>xgQGQ`0rGjO zHcQoJz@R9I|I6EqX&wQ9<7m^huPa3QN#DbkrUVy1u z+ z+*5t)E5vo~)6oQFE>8*e5;j6vHTCy{2y5$tm?a)ODLc}%%9*K8x8-oRXrG!gI{3OX zIhB$%Hb<--U!h}QVAvrYsXkhx`+590MR5l{YG*1W2LoE~74i6^E(m??jKpO&!4a5S z2Pp{WZ2khE$TH<*>oUD$jr9>3%q_FKb0C6qu^^LNa(^Z~B*m@tO6P69=L^*`a6AD2 z)SJGu6iQXH!UMeiVJwhAAohR68 zW~9FKi5b?>s3Yxnrd0Avw$tczlQk5OooP2}EGuB8=^;NbdFB2Y^+-eMG1wsLD{}-7 znGtZrz0&C$o;eBsv|$*U>eBL(8cWGBvyr-BYC=d&f=bh7x8bktApErg|6^YN5U$tO z3OVhD7R$)`(#fa$`%4#83Vx3re2s!-s>ucenHrSfJY=jbmnR$OuR)-%U%#H%<~(c@ zBvDm;t6;t#CUVhZY{;OH=>TJ50B1o%_CVF-O`b~T^DpFqf`K09>P%60AjW{yqdHejf>qlL& zAt!~(Xi3ya|Epjea~w0~OIH!CG18rS3$B4#N(qPMIVd0-R*%4)tH1Az!pKK?l#FnO zBgs|LxvRDZPW&|9rVNBK7fh2z_6+g5DjhFf^a${&G9Lb5&M{f!PBvWTz7f#jKE}R* zuYG0wnttM8mQ)}rr1RTpPK??@=53=PWL4}q98;k|`L%JiB4Ee>#UR`N7%^s3hG&`| z%=C-?-jDfauqM>d3 zc(FZ%wWaB=pTZm`_|iR8?J)_`gS|-SJkx~1014gN=iix1$)iD#m0LFTuScqCz`z|5s|F##PUdt46HLipu8xQW;)&^4N-gatOJh%; zVt!Y~GCK!$%g@q<>)F*Xvz<2F;Ws{3-4okWa|cgv$ec-}Xa?MMjIacOZbqObp^va3q!1p zih_bdSE5*TK9*TTJ{p-3+yOFoS^U9pzQujMob@=5g1u^sW7Oj`0vhz9$&u{rPU0Rr z>x~5@`A&+qI`EJLc;c{^w&YN2NXI-5R00~8CHDDIx^zy$kK|B^_uU0`4o*@;^mB<6 zT|BANt^MKC26SRoRw|79uLQ=m9}o=}xgUG8){*$lT54p1KQ%(+?Bzh`(Z|%BG7z4~%G^2X0SgT)q zFs{lI6w9_pKuwuF)bY{3I6RD=|2B*To!kAUTyr{z)*CuN^&X)?_(Ps9`8epqNY6;! zfEj*hY1&u@t6?p29UIxXyPFT%56Oxt_5s~^tJ&^4^mxE(uS&=wZaWt< z@wJ}xzPnpdWkZ|=-ozu~x8*|5Izki<3Po#wAl92Szh zQd`uxNN;#x3K!2VIx#2RR zZ$%@?>rm$7@C7sCK>*@zBQrO8w*o_NN)NmadG;W4XJcU4kC5^Vq(i6-nYv7v1X>UD z(C|oa#4a9)8z3`!-G%-Bs2wvuxM`i+`M0Z?5wKyOOE?(>8B`iv&XLR!98$@nb$^=` z$qySsp~C+IB>ZkvqPooYyYKOjOonY#z=X6);P=X4_EtEXSB-5neguL=aonYd)m zI2L?8-dqzOL88d>LMOB7<|$}>0)d3LEUUWs5)OPk?*C?D16B1VM^gWQ1gN@NHDTyozjP{!40DJV&&68S5FEI z&h;P(oD&|^M{&b6((5t#6PVzN+#UlO8&hSmJXe0~>S=HRfWvyCTmZWf3&SwD370X& z>Jb$h>-k0m5<7q88U7^{M$-2mwqqK6sAN#iCl8DyB7qT$C271VDy;V(yk}6F7=vym zK2v&It-Q0fN6Dz7ZbUfJwSn@*>fLGo*|KSuzp{tP+jn~Nt`Pw@);vbn5>`NIC!$%N z&*0r$M91C1Oj-zT(Cesah+Rc(X30xJQZiI3)qeG(gSq@JWW2N39+Br1pt}R%Ix(Du z>X51vLkCQY^Ve_i9!0=z zHSt3!N8C1@fQY?$pWFf1S9M}LQ+C;z4T(Je1fWY!hTX!kL-thMfWPr5LNEpbRp66aiK5}y7wJuT7?yxWtSvKa;4$(K5?`7lLx?W=p^-uAiS|^uB!P0XX<+uXKFWKGDJrWPm2mdTZcu(k=^yhmotCK&O z)iw>$dj+_AlrutWuy%Vt3jR#&=GM^Q_H4%$P<9d2TkJ5F@toq1J z_jd6#D62`f9I_D`3Tj0lu@=92Y%QREr7Ht9c7Q_H*9b32o`|>Xq<06^2W4wHaDXIqTICx6eDvw2hOs zC;d=uf@YqnvyC6Z0+IHawaxW3q|!4Rn|fz^D;vJh{yg0eg8Ae>PLv7?@Z5+GwFcgy znAcXuQKxyQR?RwBiePMz$^Iy{RC7DCiZ9~>Vp_cus*Du=qMC5YzE=rE~eeT=e?B(;AwuKh-r zi15dPVvgeGs4CqL%vonS47>WcEM8?vr4c(0p7pT%jqAONdOHH?{g2DTXIyb>8)}%S%|e* z0$AB1N)(|YT5|iJsbmdSMh&BTm91%0__8EP`nN8ME;>lhGD9I-Ud6OhfJcs61v_&6 z4U7Uhs(tNl116rsP0_TiI8DHIYqd=W<|0yBPhBkYwCw3cjzdi;qDD4?eO75>^SrgL z!C|k2tGizJ;BBx+=Tv437JhHm7hFFC`$*aC`x&LMkEES)IJNdnTce+(N1~%ta8wMx~ z)VWRz-Wgem<8q@tPRgN9F&J97PkWM8pm@m6F)*3zv)}&dRFGCO%a*flz6`YIbu#gN z&qsgF=zjibOrQ3W9J|_#IS#T?XmK$8T8Fii z5a^;em#iz*vsPjFQG29m;P=&zB3oX?vGIl=O6w(KK8OVBd^R=CQ$Ey6?L!5S@dzhyrmI}#tMV-Hpr*_%?)Z}zaOEu#?rB9}e z;?NjNd!|u55VC2+yRZ89lEV+nlw0@Z?)33DP;mSiKp=5`*^b+Mw4I{{9Ts@4Eek4| zM0S%tny4({;=PtK_48eGQ}lLpk6UGYJDV`x>O&;A!iQn)yv*KX2Mb; zdbYnajXBp{L#UWiBgNNw6a9IHu6zTVe}s_+!bflv7}jJaiYd@PG()xVFM<@>jWd!t z6?Qq#nJ&!h=o-?k7*ZU13Y7$C#?7mm45nOtnYGE$8O|%%?;>${DyDb`W*&qEDf^uL}^>1k`qRN1r7g zIG%nf4hSs#w-y0>(;s4%0FN272W}6-`35y+`mg}RYlLB~+U1e8puwu_Wv~(RGx$el z;u+uNxRRiW&+^w2Z5M|c>$y|%dkZD%M&5jjiWTj^Q=Nry+{H`nnM0`Zrk;)8b(;c1 zY2KL;;sns9brAvu{RyUZNy097vs2Ml@4XQlgJ-C_Loj9~vC*;dz1)--dmr*l!^TpywZp<2noxjuYL>3Frg|Q>3Z5Ll zs`>JPNB)nZ3SaC?8?{}lE8~j4_XMra$=LrOEG`FiC@WTn58Nby}V&^XZlMQ?Zd(Xj46F z+*vv=pjI;3lYsg1^7i?=x+arfwrFe%Ai4(@bH@Hv&c{v(3fKg#jvJvY>3Nm}zIm35 zf?KwMX9>h}E~V~TY8oLJ)3&m$8Zi3}Ysp0sAr0X_5tY$fQ$R}?)3=p6emKB3ZV$^pwRPt@MvdV2@ zqQwv0b#4|#N!v~g5t_a=yK^|-e&@UTlq$33x;1=H>^*qhBuY=xc(_!OsCHKtzc@fJ z61C$Dm-x3~Ly(?$?Q990GHWOuudgrBMQeWQK^bfs$W>RlctjFOarZFleZJ8^`EZdw zq2Y1|HHJNlpA&TlNRP%+ougB`my*f|GZ4Am-PcQ5${X~GtWlhOg6ImvSmy3`I3PsO z(kt71>o7(@e1pSlSn(=?xcsXj*(=Bq3_X$!S-WsRFcJ={CY%WwMKD?_rDhOWcSkN- zk8m>9=hQViyNYgxn&dSmWgEaVuf97gpc>8(DdTK#y9K@+aT0>uo&s#30(RCbfJS(3~PmsYE zxC7_d`2dj*dSb_9xY}Zhc=zQr%zK)S`@H<27hbV_wB#4%q11N#%0uv>u&C3O5dC}e z5N8y?%x484H(>adbop#TM>4!o>}Icx`j!m8q}OH3obWQDcd5Io5wb&zJbw-R5ru!f z&*MvV2OJeOR)dpzf2o;K;g?hisxfA7GlCF7Q$p^`L7uhSD82x{h>`rRIC>|-ZcL09>N3?#Y9E%svc-XFb9v5sF22F(*$kII%2 zWo3%Vib{>!^vH@jc`8x%^}L?y;R5te0K^Y{Ftq~mM;fc(ZhaB#MMcNQW8H;oGaXk> zqc4THOc*^1M2)#qUD_nLod$pSOm6Cw{v;*c?KVq%X-^ zI2#sgQQjMR?Y8e=x$y-tW?A6dN3QN1HY$O2RAPc zXD1g>v3@H9^F`9vXggzf>Q!bVpx)XJ#|YbA1>?G4K8c|#BM0M9N0Ms3Qn)D0Tats~ zKK6A+i{UUnWJo@ORnbM2_VD=i{`rbDx_MS~}__{UaygE&Y zYVE5fp-Y7q8uFwS3ZNFGh^y+SIa(T_E73bpA; z)k5RgjAJWGE6}HCXPM?Hczpk+y=271yV?7f_^(&+#|F*D(N^I#cy2L}=JBp=L7ImY zZV(=}Es*@#BxAOvh6P?iBk(foyKZkfetwE9m4Qnvde6-UgF-EIpU*&KX^6sy%T&O+ zJ4Hj#;cW>Y$sB3xAM0CtG)~tFlfcwb{;56|vD+ofCC?(hXRvQFm9_v1)c;=ifn&|% zCVWa0*A3z91Dl%8Smc{GmUuOI?%(PXQ3v`i>J3_vYB$uKSs5AiG*}jLSC<7$!#TD? z?SA}PRJ0Dv#2&5Qcky1MgblT_LnCEwhER8|2?FaWAZv!c;~kQpQb|Q%!rk;; zvK+NL(1O_wy9Pn&ZFcJNfXLY-H+BZoWKylC3$}Fu`qi0T6Rp5URh;WNaP5AERR!ku z&@%1cGFZ&C8C|BiILaA)X@x$S@inFlL7c9~6o{<3+{{*KJh7T3RsIOvy-4oX9#wHQ zN76Of>}rk6o`z37V!u^Ir{$}Kx?Z6deE8a}f+Kb9ji|eQ#hUF@*XMn|(i4oWWz}jdoSo)yA;<`W-r#6KG$;Yk-4WyuMJn%e#ql~@ zmIdj}j?8wbLvDk}`%1oAfR?x8w9#Z)PUbFLxvcXM_V$zEDYip=Jea}1N# zHjXOb4nZqeZ_xEa!ayl--(dd>()<%e953M5X@}=YT$&FGOFMNsTlpY*Rq(Z~k_lw& zE2RG28}1Q<apRh2gJ-mXXwt+^*72e z<%YulV-Z3R(>LyETFTsIn&ye)4w|9Ow^5i~&$DGFzd0)TqSsaGlF7TV7*%r}zLCFS zWL+VDjf*c?k&GqeAoiAa*r6MyR8uru3_^h&VW|8zp8Pu&q8nyV#5)KJcDgb>SNG@I znGOhjHbnGPEZo+qbu_LwZq$M;!z26V@cOhpGGnRfI+Jt#bOeGyLHiE=A-!7={mWiP z`iE_;?+@=E)Y)J5GN1qaQEP@s4kTL$-*97p6j&CR|Mwtglk*S3+)2V8%D|6*$ONIj z{$X5$+Ias5*1P>5K04k9oSPFwUGcL&;xIjSzlNY|rIdioF^lTs9M`8wGRRLH4om3( zOpn4)aFF_a`tTL>Di!j8`tcfsUk?BO*ITOJK z(>`NFF)fQz$SANnF*ST65$e}`#6{bMgundDZ_?qR>caZKpX5FafFZhsPeKM>W!?~3 ztjmMu1s#v6gx9%C9Hsjqs2xZ9rP1?(wb-ehp{u_PWPoZ7Y@4Q!ypTJh3PtBf9{Bd*r&??aBD$>)mmt7Ed?64TLW4J>kUy(=?K<712QaMpuE|H;{Y7998RX7 zwA$=3jgb$d@@%vRe#!YMmAWTu2E^Wt9{{gQap7*xyKIXyw|u!s!hB+7FicATZL9H? z6|RmlFA)WsyIR%f2}(j3NLZ`7U2sDh@BPtDxAxVPfOh=$m#BAR-s9GMcA~U*X7SmR z0J({r9W&)tgP4pZf7Br@f;2&@pVu|p4W-6auX96wxzA;6chk<9YF`erE$inxPUg>I zl_WGom;zPQ!ow$=+P%K>ud z(EYYsJO~xSKIUKxISuatIo08;=Vm$(5lUm*hr=p=K9!nC@=ZMhp$5nhar2gYY#0A7 zKa>Wb606q;)9%-L->g=4Tg^=*m-Fk)v<|-^4o3j)(y`$#1{Y9vpS~DfMFXHNl2%e) zyfYdE=V$UnR~3alB5aS=(nW_yB1+2Rng20`!po6Fty=XWS`Ma1+SgDJ|HL2;6t{#3 z7AAw6E?6PVtN*-Xy7gg4t}hS)iW3VoYitUP7YCLKKkEtB;nFulNMYekff3(8N(3)n zjRJC_`@l3&5EuOs<6mEfu)v0aZCF?V(l5^Rt0=Gr4}_oh;;JRd0XmW3$gvmiO7ZP) z`7gdZ_V0ONd-2Os|F!JZ;z8_&WXhaSE4=>{sFnmuU&v4TxP^3Qb=<9ADM4yKC8zOA zY$<4#ngol-@qV|aYOUIRPNr3p&i6F8l|$Un2eu#6}YqH^eeh{k3EyEH)qp8 z0P1f$OCX%NfOpgY)Fo7TKi)8JSzt@zw1$w9o394dMNdKI!}i9;dcT^2swvO&@$G5v zdbdn5*Or>k9q;j&u=|EIr|P=5z1Q5lg|}d2=Hm_cLihMXLtyE?9sdFW5%NXbj!B6+ z#6pSuH6Nf<-4CI9Oo2szPBnKG47MUg<1l}-DloB1a5&nZ;VXz5o5~#?v9{<2nH@Fj zb=6Vu@Yv#lU&G<@Nw3TchKQ=JofP=DJf01gT^E;9GyJUl z9uJy!lD!(38nvr$bMf`2<;@R=Q>f61f7F0wn9(J1F$CZir4TtqeA(W(d?#QNIdm(z zY{IsWM^P15Jsi!|c2_!l0NRD}wfgsJg#Z39&_G2jW?#6h;7YWBc;$92@6S8Q?2AMi zlCp6S1L&3+IgicjNit-ulE=EVh+NA|77L8}=;HHmI%9CX{tO3h*qe}a&c>N^ifza? z4L($6W)HwP)6LV81N{MeOd17xg@N|{S`8jS{pb=YJX)`@j z?0U3u(^8@3hZWoK!{sZ;RqW4rY;*)^3Fdra>&MP~ey~{*9uVH%-bVNg455LhJp0to zJ!>Lvl1BwSeJB1n{w$E5o)LvPJ4s|M=4%$4t2}U zFe;Ji8m%pdEjtj6$T0IlTd%dzkGIlv`ANyD7WwIVlxnv&8&eOXY7bsSW!Pdn2Kl?y zE7f8g1}3bWLkA*ztV4pdKjl?6n!ZE&SJzHsIPS#%Y7np5);4OLgwrYy)(O81lBl*j%)@#`kLn(9Q2H3_XZM$rPq>F2vUaStjs=pW1z*9Zg$b7iIq z6o(^OqXnSjrUaKj-!{GrDrO326-Poym%Kltt&M|JAX0N+FabAU?kG&hPiqze)n;j8UilfGY$9k@u=R@z6hs_; zM%X=KDk?ikw42OWs{U;4i$u8H6z&oX8XZA7Vl)a}dTlN$=2FVkV}`4HWac=*k0U*~ zmr3T`^kNRABb{Q{rr4f7!lZ~nXz=OW-M=C1y2U8~^Qze`C6(!fz4;GMo1^vKlfmI_ z2=8joHrM^HRyi!*IlZqXHI^mQ^N$w)vKz?4Qg^=adFid6u@i7tb!E1+18ll@1NR4xHfFV zH>e49`RMiJR`jT@l76W}HSdw%wX1V$m{!u{y-_M3Okp~i=6O7naoN|A6G~~7`K#!- zP_y(dl&4D^u2Z~+-?75@i)6&7uUMHlGE6cjbj2izjIAJCk~1@#87bd1Jy@Qg_R&|) zw0iC35IL;fj{H&{uAmDC1UE9V(T3Q98D2NX+8sTwok)%&R!02yIfs1Cq7TIk`_#Fd zJG8up;?*qZOQox{b|rpe^w*AC8=dlc56NNxeIVNx%;12GnvfV?wS>)N9RDma2nByU9 z&?tOM3G)NCa@XFy)s-WT5XBUaCFHAQayn&fo(LOny@1G^+x3AmU)SDx)ABQsVWur{ zS%{`{_u60St3m>O$VUYAl|UDhZ}=M z?~vtU9mJP8SUsp+7=>mC8&ewa73fG;#m0R>^4lLp2<=o4VfD-4R0Yp8VCP6oCl9%vKe zQp2(1_T0-yo|Zw=g~kh~7-uWmpq>X_NvfxC)e)&GQ2-|p&nhP?R*K6ewmY-hq6acC zFVP(gF0f^s+%E`IC{|NX#s@W^&Qpd@1_k*({R)QNZjKgU&3-DMKc@P?T7C>TDt+(+cH!mm+ ze>!;{yxI`U+7D|#aQUpr=~09@YO6PYj~Vy9a^d;!wA+k9Y!#?Fm8)omUpTd8H`SWH25Q&Z ziSGBsQ6n_xTy*MWQr`hg!TW-xu#fFS4*UMc2LAQ>UeXY?Q3{tW8c7n?NMr<6Prc7v zw^ZgZ2?lg3=@9Rte^{$+;X|*qeI5PS6%zL9xFas~$X>Kz`#0#gTK5!Mak*@Jvj$Yw zQdBs6R6)nPUh6tG2H~>?3)Tx`Ky*bRxx4IuosIOV00!@~0c9vfT4XFQvZ6s~#60J^ z<@7}t*)AhO%&&VuCh9I*lSb@x6fZieL1@_!l~WcMAS(c(Hwrl^p~Gp$J77~FM06ER z_zTKEmydttbH@FbS^~|$C~%{3QRb;T;?SrzhAQTI&BpBsG0|c%f`lUJG3qP78VmOuLoE z4H!5Oh)gWqcK18}82Kt(OO4A${p4p!B+n8k@M$~aXyyY=4?fC2g>3b*h%mO&Z*w@S zj5NfhVgx%L$BlgEo^>Yn)Z^BkL_K>SDoXrdG9K7{>lk0Cw`MV8s>Np(+OOax8A)qwYCdP zpJ3b`Er)FcE704M6Q&a^)FIY11qW$R7T{0M8~&&i#gAvE#BSOnP(bCb+GCR61>KKgA7HAgnvc_I3Nw5INzA)RsY15^-?{oc0tKmQDyngd!x}WZ^aienX=c$ zvwu8{=y~Gs^j?ozrvIWJ4B!w+7%lNr9067hWFHa}zC$scZ~f)C5iI8?ylq8_Y$)_43$hvL+YO)HuME+_X>!pYwDyU zPcvIYJ2>UkumXKi-gI?JD-+<~xQja-;Jg`6lCXjIUYECIRZf(;gdef2fY-&I&b@k; zbfL-AwnaD;S1jk#U;4cS9Nt6k&K=!Mo9J+mGTJfIA59J_RO?(-Pa*1m=W z>V)CYXn`K@oyJkL4vH#0i&CBr4m_Hu`-lRpvT>Cq9e-R&+-wIgmEAQyN1Z##GcaU5K8Kujo^ z`&b{W<*#}x5MNe^Rd!~H`A%11+XUH2M-BnO8>&d1bez8Tdz*Gk4|I6C-a(^t;$l9i zG|CeR79wEta6_yMJ>n7z#qB4Y>#H5}SG-E9CEYh}*G-Yydj2N{D4n&_x@3~i z4_B{qqs7R>+{sY5=e&2?sJpJ`4iqRFNY>82>XoQ!F>4YZWOY zrz&oBTilmTwq>pnQ%d%YgW86==d@gu ziR%IAD1wv5v6pz}{99ykk*#Y_3af0Lke^y$E`Fa|z3z&XLAZzzaanSGok@&Rcv3@q zl7UXRb{+BVJb(9knT(`J6$O_0gMl2Q-fmG*d_xM(9(P0%!*dgJqPw@IfJ#gL8JL0(k(U~Nz;QoHnS2lkwLk%0j|;#~QGYaJFa|7la= z>%nVa{d{l)H|ZZaa%rOSd~CDnQ;UCiIQYCTavg?HY1j`&1F#XPdN}$kN^@~RG15yi>5KB`=iMT@| ztAs{L84;T=p?_N*_k`aUkQ^g9A17Q?ejQfjn)nb>LHapNPt#rswb^k58c*lGvpBL4 z*#-YbeE=)MVw*bSnygBR)A!Xi9EA>X#K6Vwk7PiDsp^W%HP^tCBLIH?Fvo(K4Xcx!b3GYJsjYvzNe(@77RmQ$YCT6?g3lB-}n zL0D&fjr*>ONd8rR?`lAwrai@k@<#(+NK#7<_jOmxD3rEwTknXsO4SRgsL}a?0~tlD zL{x2V*<&6p_>$d4-Kc6~t!5Tgfwh-#vNV=__q&P^h*_LH*S_|(mjUvE6Bt9#q5o)O z$d(S{x?-)ECh*H!DTo2ej4*j68RLQL!H{bJTuB|EkiICp3#af6fs~FQb2eh`{Otsr z1m}DMC87lTdrz$c-M7g<+}Y=zHU+vU#3+1M+=PKY(DV)-F$`UZU($R5b4p#Sk?PWX zZ+0kADec1wikil}Vv?OC73Q{Kc3Q~iGkj_PJPd`wPBYA#1S4Vxwk=yU65$Ho7Q4Z) z31+3MztEkpVYeCm3R0B6ofcS)?K>-O5_~Bli%RQCAnX_nxb+kJsi0|Z@a?{Jx~ z7vGQ_rJ0Nq6XVguHP0ufmfwFR@;IT|i(VLrM?>Vgq(OP^1c-Gth_1<@YpKA2N0RJJ4!=18POoS0c}l9!Z9yqZ{OE1Nc)pi5&2{33$*k3n}Cg02K!Kl4nEej}fEtp-ef{iJHqZfWa{5w_*K zpWwq{(?$+BN_1@{zOW|8s~^p&KpJocr3*y`WfuamkvwkS%1yp!jCw^H&^L ziyUaR?!>|%c_0T0#BV$~pkDC0Vx{B8BlZXSja6U1Br|*G0lWJ*(@}~-V^DiyrXJ{I z+ZYgs76Ta}74CqvkYNnkb>&x(XoTSX=pI#F3O3_*m!G+9UoMrsS`8?^=pNVAe{>Zk z4cUVSE6eoM#d`=JtNinGv!$2U-8I9IU>pagY*4QsJ5BYcMN5AKIT0J?j0c(*BChMg z+8`7GFTpuz;kzEm#K}!5tiQzHyp?ZpH$(!$%=L;_5Q9tthgj2@G7%@|YyBCT_f9MG zCUdVE16o>e3@ELJNL$pK6td2F0JRYe_mcP%K)#{)M=bu#;*al$hmvuv^{H}ENOrB< zwLcFOPni9`Z~k>LFY*7xwLc^waBe4XTmgX7gHpy-yB#Sy*HP)_iR!p4A)J zGJVL{N{rGVbOuRuOVG^HIziL%)H;3ZxJQaBW&5w7eRSSj+FEM#^+j09qOz7$uq~VH zxOr~+BNaiWI8$>m_Vzr>&>rn=P?^v5=^#h6x>=?0kqGnS!$E7hfMF>jAot6UAdYHm z8`Qg)$NTS(hL87qgA$u9KwQyn(Gas%`FKNyw)Fj5rQSu7NUe|NlS4g0X3b2^_B-#k zfKLd2ZWNN7*Lo1lr2Uh`7k2B*rj^DZHQ84Ea}6iKcn*p1H>nWFpT`jQZaT9od|`t4 zoL^W-|H?g69y>LHse4;6 zZQy7vGvBm+GmiEQ+!SJ|g9VjL_yz#xu^hkvJ4-O#q82Ee$-tX_l5d%+w26Bg6Eaa|h_f{*4_*dB~*>+F{ zirEKC9^NG$6;^r^-ZcxsS9~3zyL_j%151KE@nQ%4$6I%KccNkjJFrdl;`VZ2y9^$6 z1I9BZ#qw}2EOi;~&D3cU zjpdAlr+q1>5@&kB1?v|c;c3k2lZ_YsrlmZb1#GBSl#PMnVcJZ7c zP@nE!K8J%Mnl9Q9OiaTAXXwyyiHPz>;TX87N>AEDT8l)kgtjv-*YVWJ4q$H_MyRui z)@^v%42ex%U!5gpCO`2{6fGPd`CvgFN!Glx3+#}InlHdJjr_hH7W{BA*_0t1R}1aV z-CEp&{kFJKugNIvwSZhuDnt$^(#x?2dpF?8{4TMBx^7iyE|Yytof{@ z68$B2;~r4h=}UK#>MI8VcOyW53cTEb4RwEYQ#|^J%^B;PK5Pqi)DucaoHNyl>=D=c zib#GUxAqg*=(|;EO?Kc~t)YSDR)PAQ>tckWP z?M3M9oM_dW*WuGdz6lddwm;pum)i1fhDY*HJP30~1~DPQJkNMh)7h8v2jirh)AM~K zvKN3|*>zh^V5qrhtl%jiC=CdJU9}bZhp5f+5Kb{R-K3y!cyT~$RJUx$GW8wBcT=W= zQK9EiJpH!jio=R8l>PfMb?WLETVA~1@Nq3LUrdzE8+QZgZE=O|8r2l?PMc!u$WJBwH`5Uu?$I zvx2x$KZIVRb|}OvQ>M_0lU>WXGrW;NQAc<2`yEPxKSPk7e^rM{DU(u^F&4LiBak=` z-=N+)z$b6~gq0@azE@Y^_>oGWHf`22UAHFH3pTKacBnA;VmI++2_kQ$;_Co5JGMSd z_ZQTm!UV!q_j;?a7oL3@oV1=P{_Y;>{m_pnc~MGd>d({JmH3E0r=E3xtvB9rnBWj+ z%awHFCyK1M1rAZ!Gh#3r=o-s(0wd-Pth?)o24f9Mmrtr#m4`nX-Ui}HRp9=!w%I43 zy}i3=NH{KZB1o5Ago`EZ< zshNw0PDvTwHvWXtdJZf0;hQqoXnSg>74|~Yb!FeJb|*>BmOm z2QVp=7kom0hY``Pj;z~$seCSZ=M{1 z;$vjEr_!Ra*9ovc9rMS#E8l6oSzyOtYi?zSLq(PbZKC~Yw3c5%`QlYgx7M&hO^eV@{Mr&mquQPGd4qGbU#uzWk6d4u6Y zt(dI&gK3lkt)LS^85nhYfApg2UhVib7J9~|Jfw%1iX1amEOaAXOjhvJVg?g3l}Fa5 z`Hbn~<6X3?OVL!gKI2Or;s8t7aXH;R(~p-c&pZWA@gV~4RVd#8 z_+EXY|DpVV+V4cA5XCO8nC2(-069ApWB?{oU-81MrT0)LH zGA#ErVm=kp{EHhBgKM9+3ceGg7!TzM22`0)m9ov2Ti;9H&t)lY79BTzd9Rp5Et+@Z zQCHbP9KYZK7qTasL!u3&#}hs+%U5Ud#`5dTTC@u~P(HD&{@vnWzG%Pl9?kc1RVt%7 zquCV5FS-vDi0FpyeAZ4;ps>jIn92{2TN+QQRQ>39T3hb?W?xu1WV?cC7=IsDf5}h3 zX3*pic8Q)0=*r96B193@naxkXj>v-UsqYWnwfj#`F!KDadJ21f`Vwb5P8EMEe$$^= z58g$>544j4w1Ldn?OZp5f$x>?WtnGGUSVws<%9#aBlH|27-{~?$1FxERCxiXOx93RO? zD*tkY=pK_Yix_g;yi7(vwxgw&kR%m_)1ujX?S6U#BAu)ni(%-7?ON4SvCOgvk_o9- zM|#-5Ma^SEdjq9X)QIb8>Nd2^zwKMlw@fLunKjk~WjvF`faXOkHIIQO``k6TYE8gF zYF*lIY#0{A+hNn<8i6=*fXS})m#YDg_MnRZ4>HpLkZ=h(X=Gy&R-r*17zm7pa zZ6;nv7nJV56$T~Eif;3Su!k*lrB|n%qg_^B)9GpI%6R;ajAOR9DNP#vI%}=7*4|oT zjxE36F67udKKOf&mtG6FscIJHA**|)ORNW+pH&gjD%iQ9hG3joKJKm9bW;AIh4 z3a6o>pKi86XGiVE=XWNwN{;hKL~WF@nPArV4r@iU$Cd+LuHV^|Twmv12*GsuU@Q4&ThV$Hqc}G;9YZBtF|VOpPo7YGT{|(JecH{BV8A4Q2s&ohzzt0 zzEobIdYRU)v{pyBM`v4uVm8_xtvWJOj^EUer$Qd@KkVay0&V`?66g8l6xrawd#HJf zTjBbh`{g+hiMwm8M0G!d#DNU+uFkk_11b@677k^!$u_@S=VAN4FlxOlK#|5vijH0R z3TXm#1!B3kRm^o>E%<{^>HPw7c;622!u&% zkV$6oZCio38@4r?%p3+q(ieMtA$1@|EW)mFr);X4lQmJn*){*q44~J*)zQ01uFbrcpw>zk1in=DUjpiDeM%9S z{jHU*cKtAcEoKmKyd@pc6Ou#9lo)#1n0O_VU_`8An8z-&UWp zrwdtaR!?wv|EGbPrgnZXI9Nk3*wkHeH)}V$MhMcNUd35SpXdPZ%RKVlIp?}vVZ$*7 z8wk(s^rKG%D$*UP1wsqxCKSA$v{z{W1&9smP0N`HvN6lJn6`QtMpi2>7%njYike^^ zA+NG!GV`~h1JHrXG5{h8877LZ+40`#5YUYaS`=(m0QU5%0!1&h?roS&zn)rbp% z&wg2gg9Cp)zOP`5=jiZH%>kt2E;oG$ywf?2qOvFF?2qwC)>S?3G$;J_tUA#%h0fR0 z;>~mp(EGVW=sQ3ZHq^leAy7E9xWe_RHUKT zhD?GsQjh~*)_8s%5SC*iLC#Hsg2E?WEUCoFqbQ``7#yG!(ocl8?4m3Q1_`OXL!0uo zXWtUqviK)F#CeivmsFgic^52Dq2p9oA$MfC;vaFN;-f4Up7o3=%0gF6(TkJ|$Jd{* zt~ET`F59QCj=$JYm3mMEsAiEV;Qm?<%`U6Hdx1{*Nu0d z%IZa7IYHl?uqA0i8((axgl2|kbmuur^G&aZKw81my7@f%>(|J5wjt>!Ff(2+V%3Z- z_ln1-Nb`mFrB`Kw+t~TXZPXY3eb|m664Y>Wut=$|mtnCc>tu)a01VI>;K{M~Y@p#! zDV;D>Mn06mX-Nyekd30}9KqIdF!si)5fTq+$3Fd3X=Io4hqH=%^jAoLFWZv&?bkb5 z>@2COX>Gb&bGvQBShYB>T$vANeY7N-1DeFS{9!>cZv-)ER*Np=24* z2WujS`6edtrIjV{)}KDi760w^|GbOx80hV3MLz0KJov%hV2*+puyb3P(sA$x4KFS0 zj0UZDB0S{1r!i$666}kG#4?-4`;KN_tahxXA;}v`jPVKI7s`lYI=Vcr2bm8E#^)_- z+b`1r=e>oJZGTI4Uz5fK_10|TV<9l`3f|La&iv77IdhPM(CYJ!AHG&pQ6dj>SP074 zxOE~G2hC1h#(L0e*>S?w?V442ksRkKs-wW#6J-m%i%q4Z+U^10whZ-|%zB%tJbs?j za}#ar<<;@k6|RuXEqM_~iS9_u8-&Y+g-xpUmJU~Kb&;S;PS3@N$=B#eSMI(ZjnCP# zNuXJCA!M>3><623o5cC9_^w|cjrx6(&A0bfoKrq`!sC1Ve{xbu6dQOp1r6LSyeS+n zfG{i-FC|koatoO)i5($7_uV-O;}au{D?ia6RaONUqBj6@+#_3d@zE<|ig_CH-u=Bq z3CP*_nTwxeM4Q^(jE9|YR&z-6YV8a2)QyL+y$>YT0+O5_hoWHFeSC9pqC!0u3uw5U z%~~Tl+m0YB+BCF}1R%Qr2s96p1wi{uE^|ER&QY7~i=b|Rvjdk0ol z-FS8@HHTn(}$2pJSX3yrxM{G&x6jmSqP1`qMuBIEuN0o%)-IsZV;aN=T*aC$Lwc50qOQORe^xdb^-lzbPr1j4Ot<%MxCkhsDKEPH^m38By#u>BZO2WU zi9l(h!ym>57lucIP-#k?AWrt&oxVp>0bqqn3>yc%Th$12a5k9;lg+g`>5AR2Df{n6 z;3um{k_U+UN^#*IIDG^q#zU2*8NFJRz^0;8p-|ngoPBxffwt1g* zu_9ch`m4&`Y+)>(_?J!iZqrI<*xO?lU|Y3coR?;t$+IKikCXkt*+AeGW#HLyMeyiGu5*~$o4|(wdS7> zpX%s$Ld-IY?A025wP%E`Ik|q}$gG?z;We6G?7(Sl`ZM8DS_Cy;G1!u5Iqhq6zYqqM z6@?a&sPW{!$YoqUf~BRW(}QZ`TE<_HBz-3`6H+91x%txiA616X^SC5Yfi z9n))8ws)*H4#d(}3l}|e>8Mm6a+G`N=-YH9@QKrLX6}}3Di}Ax!S`Kl=&5Nv!u3^q zNJsAddsOUYDr6Ckgx@hrJsp3Ym=Xi#Z8 z_G!@KvzyiXVBVI}opzVp3{rmXmb@6bfQMJuNZjX3hMq~j!*jQeT%Io@BnK)7aHQ&o zG|<0d24OkQ4Gf~SlT~ysJ8UGD`1vX$8q-(nZ0W-(T<>roQ{zas8i$mrH};gYU5zt> zCZ5L^Pb6QZ(sz6X4NeF;7iT0;tsgJaVm$#WjejaQicdQ>@%i*#w$q2ezk$oPXrG%d zrjtI?zi*strD%U~9LLhZE*)9@<_hl)&1355a$|mXujF^#Y4nzdI{s$0%Q&YPN9Tz8 zJPYclBhSjCb5mhZ9O}K|b=GQp?!4n|#|^Gyf7Pw;k=0#wGZ9IDGf0G+I`U}dFA#`{p3Aa`zZWv;Y#Fmai$wC4GI8s6gV?-H8323|Ic;JBH7UGWTQ~+JfUiYD{{x+Z z=#`ji)-u9TA=AM6RVK78S%!aIp;0@AE+e3C$2phy<|Yrr$eb5-AU9ScYDhBJyG_jy z*y#=o+>HT?QR372N;FvO+3=4F^R(kvbr=!c0;NkW;Ly8bo(xjNS>g(0D}zTYyoOn` zwX~Dx>6~t9wc{V0oUN<9VG+@r>>7&aci3pN)(w-^(9#!yUkYA9mj0ywdG_ca zev!5T$=FK*&{k-`OO$(`$DZytS{R2~f=qAPiVuO>Il;dohndmrqt7MAA=8SJ@O+SS zpGb7r(ep4WB(^MNbuHJ~%7d}M>>SkfOdVj&jwut{c{|EI8 zB=G(c`9DZxrGJJ8kR6paFl7Y#5`Zeo{|AW-RI>ke53~T#XA=McJ`>AXms)Or9~LBc z_s+}q%~QQh%1-gxkfBKA+8mPUx{RtEJofn<&@d@yr*Qp&S_?T7W}bEZTr~WOyL@QG zZ1$}l=2EmbRZ{Fd!+&tt!2jclj3M5(PNMW{-odEHmcpKDN+pF-7!-yX*Y4p4 zL!~VZfm2lH8Fx-oG2_z@gdM?X|J2Cu2GFV*z0y+VH(?P!24E3a<#9_510ugo*6eEe zm3qVY*B$AUoa{C8#gJnKaJOh*+`dH3fc|(LJ-{k)Rq%_4F0hR1?+}Ax>GMXcdR@TF zt!H_0MJ`n$#bkBq6z(h$9X9Z9ZWST@0O7!czsGX|uVGWO=@z7!yc2rjC7*;_tb#H^ z%ptxk05m}6U*pjeoZA?C6_cphf*(q?b4sKEGjCtP%YjLcQHXJ7~B z7^FxS7Cg0!fRohTBme#BD_2m=*v7zVRoOllIxzX?fI@Ll%m&HrTj+4plTs0mL!C{Z z@{E3PVi2{m!r7Zh8u*!fFaq7enAU7lCe@r0uSb|q3+YrcDV-&g@Tp$s*%xK!xm64V zD$0KtyMXsUx%zK|A|NVE77eyu@>m(yM1?-~z&c_C*w@G52mb~n(dA7l`k{)$3Ogc} z_cMZ8VQd}DG325g=Dg6^Xp1Sq<{N&z8T-50r2(-%%6nHQO5AR}iY7g!gM4o6i>AlR z_HhH5ud5+^+`&c9m7MNAS0;i0y`^4E5a&O*&zek(>_b|eDKnP`J{lIISUXd0`Ca0$ zCh}svLGqs^J-z-Xe~Phpq3>Syt?XCzanY{_k}0zzbK|sthclX@GarwPh-imqF2_F5 z+_c1`=d$N_Ay*?Zbw~5cW^gAPmPhTS*|6>0qTL5kDGl1vj8iyXJYG}D740NXx=!;E zERNsNE1@-cvABmPX25ySpboU>gaAuxPGb@0bZO@V;X00ARBEb(3s3aVt-Us{)g|}@ z!&zaA;h{Ba{%2*v_=Re}QT(!8<-QJa4^3AWH#}aS2keE_%Xwm(5w`Zh=N5KZvm;Dr zGF+%O*X}~D5+Rbgo3Gb-I`ixcr0P5G$-Iz9?V}9csYKdI1Or zP`S5B*k2qHh$bI6ZfwL_rsTv6v4Bt&&JSO8rXj$#-Jdd9nHym?Nf(Uo0QHE4_Ji;7 zxZOS-F^=zUS_416If|1=4J^a@N8dCvLR`Gs*gM#}t=46pR`)LqF>i<*QFsfg*KD<1 z5h00oQ5b!W_DvZ@hJSwcK-I227KEFPS)4(TUvyk#l<~fHJnS_z7-J78{Gxiy}lh7RJe)$U2QF(cVEa_xmSGhZf!W~gzSOXs(Dk5$J}nAC;3lhA42~z zw%fe`l(tjRUa=bZ=C(=`8Cl=_0@kBGN^(;6pf{O@CgPT;omllq_QQ}d{5n8n-oVzF zHL;zEZsN`A&=L}Av(3Nk0`M(=xvNul5y%KK(WK7 z@f=ehezEagfb2wln`cgGDEi#UeFpVA9gxRFe{v4s0qA(C%w^fJ)%?f5x{l?_Z!X0L z5iA1u2JV@>$LNL32$t;7&2JuFVG?!J#80<#4W!fa9L`QGR)v2JQrQyyE;8fFFj)Tt zRQ&HXDEsS`*;Q{@9*<*1724V*sljQRmINg-=R*Gmgzc)&i? z^vqWhd!Xvm$MJF3r;iK#()_Qj+H(Y7@AQ7mIjciF&Z|`7$_P&DRLS=JqS7nT_9gwH zQvB}iZKeyD;D_5u`Ifs|0;d8Koat-CCorz|@S_o;hSV9m1<@4vTV5 z4gNmMgEjT7M7nGi$Kb7@GxXyYV_-Bnf4#`$dj+gsOYa;@1VM))qVgv_-v%i?gq$3E6Hr$T5+x zo?P=N2bnSnJhZ7RgvA&qvzsIR@x!_&@4jpDQSn!~oG6kC zue1ln+XUPMl5_Q?!r9q^aKk`HZL{55fP?yl8rVtD`v*qH>jdsw=c=EoC7SE)mMqhm zZmMA82Sq_W-;6+WWbq`%^Ar<_J50n=vq6oS4XlZ16;&uDL5FI@QA!uzIt z7$?hFZ|uGjsS!jZO}%!0-Ot&s|7Ng-g{Pk(=(H!Z4;rtsGmB^t)xJ}4*fASpzX|26 z*aE8gI!HoFNG?PAnleS?%Z+vtSP<#H;;0u2SsiE)}M>DzM zN#MlMpy8+e%Ba`CmN3UZI9Wyw$dY$^L=UYqDpBczcaD`&W(#8vbOmG8;XLGgbNs8b zwWM9DN@`*&;RXkjVsiqc_x5T|AUDBlI#7gXfJhB?ODT*95&&d`=<0h&C*O15kWGV=&lo`3#HpPMNuJ> zKkDS1MYdt6Jq(==nxbE^6w5B=QRqkcs-)U4iBG>)4@_3Ho{}Lfk0*ab+q5rzf?gvrr(iBtuKvuuFn{5< zPyMZDqk3`nlWxA+*FUfD!o)kxaGv7^{V;LL<*O28)*2NdiyCoy+`Bvebm@OF9!_N5KNvEC&by6?Q?0=PiS8!%oF9(8?!8our2 z!R8uc1Llj%<$bPQI)O~DLL+bKTOuc;db7$)`bypC@ZQ#U8$az^LuE9%hCuCn&#&$O z$3|pTg7eU;nkw{ds3@OP6tA8%?I%{Wm(GQAL^Ps@+Lr?&G(}a(Mir$S2wGwLH-;qe z$&GIh*1YFfhDk*vkJ-vh+uiI#1!NgoADxsQgRu5|QaR|7GsPVDc|qy|o{6>!lN6be zI1PQbm+ls~9$ntvI?eC=;gQMD#ED*C&?J4dg_A%s-)5}9Jix2TB2HbG53O4m6uN?? zc8Ny`&LV2$D)rQhFKd}v8t1Bq95nA<92H2U;KTt)I0|Wqf&4bS4n_HB25;X_tn|(d zfzs5wu4=ddi4w!97R+GK+OD8al`6(pKiOzgiR9dGKg_HL=Pn(JuLs31e6cMpll}&v z7ywC|s-5aPAaq{xz0M9Sws;GPUdD<=#4#3*3Pc@mqBBS% zAIVe}cM@Hj3>Q!O-Tpf`$MJO1d27lu<%_p@6>25>mL*KX5x%t5&vpY6nb)F^-*veK zG@Gbjie_jXtTo!@NuIqX>;Kzl`yWs)u=Roh=tAiHHS~7;_rBA~(a7mL%ALeytFDU+ z^^I>qBn$>nvS19;#%~%7u&n(|uB#RRix>C@GW-(ou>Z`avr&k$Xs0&_FAqcVL;8h{ z;gA(XD|!(U)B!-Rt2-{M9xEU_tK)ipGb zHY%FTnkM|Qkm20nw{b_ZOARvfb9ijThPA%Sz*2RN8e}KsQBaY>5DR z)fv!cjT}qo?c5&%8cAM=90~8y^DjRDTPkm-v`x=#Jb%F+#12W#2T4oZ2!PW)mW^tK zd(MPCjY=bdn)60&mAmL+hLH}lh0AD^M7sMe{Ke6Csvt~_WHs(vuI|zMQFs}Gz#(iA zd-1em7zv}0GIi&ogzhw>W;N4cX#%)1xaR9W@$Hu%`9e^HaZ^KAOeI_gHrZaLJ@b>b8F0(@c z-Kyt3sJZ7na`6VD+~ho@VZl^Kie%o`OEd+?&_kmNW_GQ5%dp~TBm1$zo@_FtsH#92 zE+X*zM(8(&ml{hHtX^mUIvL~$N|rq{e0&Az34CQp+aErDvb5LMRqnsn^09$p4Hgku zXo`9O?{F=-ERC;~_maTCp2xFAdl9%hPv{f) zvg>EJP{dCl+o3N7fuo{UnJe_!1N+i*UM4CO+Q(8X2vBtsUR3`ssRXn@RZ7RZ{udV)T8clPTs4L@orVEFN8c8Aml-54y zGt0TesUVDzf1Mwuq2qLKOlg>1`|xeHHzQQxv((lHxn0++qol)x5ynFiucaQrT4=TF z1palT73n4KALy^G1Y;|*q3o^}Wi$;8EOs&PBpg(lhY%$fmFvpaK>nMQA*WD)>U=;07o?F_zj)KtZNP_H9pa# zkLHF{3|+de`Odr}{%xU% zA$vrU7`mKMcNdElJxyQjx^bb*HlWvaoe@op+|Kk|S=GySYIX8)vAs}m|DB~U%2bFy z?Hv2!zf28PC@>+#tGP94^qioS5d;|=qH->CM6{J*ZyNf%tf-NQ0HxKUNH?HFvuB~k z>74a#6?8KUpDki_R%J4ICT-1gPZnLJaD!xTfTJM!Jhz=^iuhWI*I??Of+hSww^#+) zg$i;%jf?{no~iWWVKn|o|IYN`=#@9XRIy~vN(EPilB{F}8eDO2c8 zE)gMs_@&sbm

DO}rWWRYbp+;;p1%8S=<4KJ;t_HP?7u>>?j@GA&B^rF-S5E%_W zUIPQSw;~Adu!L(XWWgPbAHx9toF52xS?Tc;tT*R341CJZ5+Jdbf+W4Jn(E&=PLdmRAtN-ch~0(t z_ie%IQTSnIfF$I*ZnkvKHh$J8+<{jEl`6qJ7wy`mO@;7ow0b+*~9U~LJ zFl1Jj9FxE>ycD+}CZZysDKHGTWZ?C4d~9K!H$Sua>6ApJJ}@CSntTbDWMzE8T9MLZ zvKpTHZMoUd!{f9PvvH@z_40#HpyROEmdLWu<;9t6E^=$VB`=2mXAiXYBWy3=*zNCJ zb4uQhjs1DQAfIgp+7pJr)w;Nf_p2ocBV7puz!zcvk(7xSu72R%g~YS$0WU>UUp_dEcNJpoOe(SbCSh!R7RJ|}~C zx|%u#mJFIq?3;Bw)U6I01HXZ5EgcxCQzu{Ut#67t^r4({h%yss zhp+e34FrWC?Fz}xE&%6X{l5HND_CphytkZ~%RKN%?7WGX2fssqwH_=ecqf6y6Yacd zF~r_h;j}qXK%DkH5+2F-p6T^l`?)g{;rcIK$|usWq?_Fct4-x#aH#p|2T<~$+BSu= z71zhyfd$z`PqQBpA8CVX8YCK`27-l@A|j{M<&`citkPorew^|^-p9Rj&I^Bk+s;*) zS^G-(Tl%}+J$IAEdg6M?_1o)=MiFgcN4`?S;}hoaTzb5}gDd;7DVuQ}hdiD>i`f`j z1VZq!AYaRU!0w+UEpDRV*{lvWc zz7J+|82VKF9I=< zID;7k)F9Ch2D6G8x@rV6^7Ol~=d!@D(oxRf(t%yzgm317tjK@*GR+Wr4^rg%BQFFD zvH$-3?@Qr$G8sahMIg57W+BMo2j{mDBR4awqU>*=Z@=Evr8mdZ_QOnibe-q2=1x;Z zcrGt0muh^xby{M4w`#D6ZCu`_4b%?E1k^hSq-7+1XV)zVu5=PUC=)#J-KzS958-n= zGmcRki?BQmKQfzoeD5kD=kQSVvYdpJhA(6tq|QvcukYX0uHCjL z)GF#u^zFGH#x@Tv54yIyZ1TD?E{W~9L~r2_`JZ1)-0cMtDpKfX^|%Bm)MBmJIOh%6 z*f(!yU|Bi1I$|th-v~TiOQ*gBXR+I)9;LM%iTP1x{)7xwb^zmA4}MhT2A~eb-Xn)T zdkzs7bdMQ%aGEW<56bfRw>30hH`2wC0cu73LqTA46lqgF=pUu7aYSu3(0f+>X})Jf zQhEy*wz5zR8JCeefWMnot~uaiYFzw*MRWx`v$bXyb^^Q4E!fmJ3HWUzLYy`)I&7?= z?ZIox_s$vGG+W7g+(XdQ%TsuXbesFya?7G=-Vo#DT7_pK2q7z6xHgyBQSKk^klKUM z8uws{5JF8H`J{PR21cWa>a*w{BZ(bsKR0sAU(@Vt2m7Ln*tr6eC%`Ewe;LqBK}C^7 zl{~h?hpeN|l50Gc0^Ck~a{Yq=l^gKS6CVQgiiOmIOqEh>fqJeW6e9CX*3vyiGp z)UA{hQLe(V^KP+-S2uOTp?Pj_j<37STJzKUSx?>Yef24wGc~zjQ~b?hdLu>v_Rw12 z=Gxt|E{KK30M7nd19r zeEBx&dGksI*ur@Y|CRG1keqMjzOytul1N~ge^zPTWh;v^5}lMylwC7R`v9x9u~n{M zpT0bXvXY)hGdY?W>(r&wljF;#NaU?G75VPZ}f{b%Zs3 z?fih5pXj2n>XzPsDGSXkKU*y(C7TuR7Fq0#`c2KXG3S@u~qUS3yl@jV;HOLbZ!ffiJhs0 zm~{oxWEkzWFBfV)^Kvqg6Mz|BFd4tCtE3bTSC^`*#(c44dy6G{aQdC_=WuVYLr6yR zLrL_+N}x%wXX{s8k1HvWp?vXH7gIC3jBObBEQlcDdxAX1JAt%ge_fo_k%+f58HX@@ zCXt#e#G@?3ACRC^fbl6wLW%>c#Mr3`BxqoHINnP$V8>jUL2fG~)e*voQn_XS#PXZXOizj^o0oJ}ZZ5Mg z`#;uW=x7sm-RhoalM~vKgR^hM16{f`_aii=X+^w~3SRpttUoxulL=pndC6^qLrY&FuH;VN>UZ72OyIyp1pP2PF+ zI}iNc{s9OdSlg$bH0>4;BH;* z$q_SFmwJw*N?Uubl>Z>>zDeCB8=jBE}WU9<)Z1 zKVxtEBKsLAZuwEewqX|Z6)9L;kjWDdDrL8R9Xyx&%JYficfKDw`pkGT-oG(U5iD0K zyr^L`2}6{9>m0aw`}KLfZZgIGh*RUB$yU&N4Mws!(qX81Qw~4H;J2GxR<2N((Db^4 z5Zbo{KrMu(<TIUdHA_OVGK%^jvC#Wg*YwL4=Q?k@TfWk$<>^9M?CZKar;D) z(F6?l{9mU=HXYh^APgd)A{Z$joUgjBnm0?XIiGgUgEY294pfG$5oV#;NqDpX9!#_N zKQj1s0-D#MebFKWu{{)cH2t$xSf_z|zbgoh7(n^IsSZNtYO4B0gxeJQT?U6GlIAC7 zekcvFO~BtxhPy&3+(=#jpFINXoL#xA{+gny;ph&);Xv9=Z*o?N{&cZ+851o^R_>y` z_d!vh)bRh~?ybY3`o6_sOpsJSq$CuiLx)Zg5RgVXMPP=mp<__#PJy8j0i{biq-z+u zyE})@_ke!C_j8|npZnhD_df4GKmO2}efC*v?Nxi7J?pIH<~Q042SFe$>sFmri`I|6 zu%&J^IHXQ*0|}dswLylvgp^Mb2_QRxRx(~;T0S>ri)gsU;J+BBD?pw@!>U))FegOw z5!L`21c)Z4PF_Vc&nNKl(9CWF#i{V`+|;Iu95IG)xqb_Z%LaLF33KSbx>L|grFT5q+XT@LLf#>OG)WM=A=bQ>6z(I=#?7ca#xXx$T}4{2*z0d z*I3>}P+9*LPiEusdvptV@1*31lt!swOE1fQIAo3=GjXUgJv{g=X;f)t)Gpkim7~UZWom@JQhlJ2U8fwDs10_8B?||S4J9P&ZGpn)Fuo1FS zo?_gV%V#aZ@>O@BLg&_gT-B93Kf>H%mH~E&p`X<{IrU*sWBDLAR>gLo6@(HkNJJ|C#s&MSOkL+m0+Zl#A_v}zt^Z}WhLnSHqj z_Gk(BDcN+=7drdX!$cD1co5zB3a7-lW|W;YSF0qriBHCM_U;#unY;g&S#MCfOv_zfp?{X7B<7nPnU+1avE<#b+6VE7+QTy zT-`>h6MR9AK75YLj8&455O)_r1Hn8ddQnTq4SO=k@2L_A!CUp0&SU!ZM>v2s0dOA{ zVNXavglse|2KzC0`cjg@#u~n0bE)OZ8bSO_zk0n$kL{2+Be6QJv4i?l?I>{p5Ky8v=8 z<~u)az(?8qBE|M)Sbo@2x=e<*TzlHAR|DQ>QMqCwIh+{vT5uHdXeg1!+A zRE}l7r^;|zDT{t)o1fqeLuckaUiNc|XiCf6|L$dwpwp?Ur?!0_f}z2vG>Tm%=Twx#JInr> zW7ubDV@AVYXDqAkT{&wzjnt>EF@hC2wMyLTPRc76n^rV#H5xg z;U~Sd$2PMMaCuq4-PJ~H6Yyob-}t>0wMv_W?xDu}P6_m#MLoY?Hez-Qv;Zy*C<_4; zairmb+5^nPf`4wLM^?FRAEqc>z=ZX?sF4CmyVY2!xz#^L$hf@NtC4;!^ds?H*yzQg z?_M@H123I@T@Wyjj-S)|Dqw4K_RSk;b~K@`vEKt<90n3Iyl3$fDO~q?ijlxM49+OX zAZkOfgtQgM=s24ReH%iV`LH3;Sq`1~!H-+p3Zv#+-#}-rW&AsaIkO+we^)SGX|$NN zE#h5H(-;EE^&a>+vI#teG(R=nlm+&#@Xt;zukTntXlD>$2#Sd z2_NZy2UnQljpB@}THWC5W13H^I&O9Jf-IwCT>IU;_Uu{Z@5Lb75GyMWym$8*z8u## zgv`7po$^n=7ACV=Jkoj$w}ZcMs{`1>!4mw5+kPr z*_mtAd)w6mtHyZ!#2!Z^)99m!&{NrJTx=seD;A3LKRc(@UOn;BV~xzAp{Ds;K(ug|2Si%7 zf=CM8i-s<;e=I2Y1*^jo5C5=oi|~oiC?%{XU*(qV5Ab>JT|E7?%Xpq*zjeDMFdt^TiZU8G;+I>iJdk<5#$((sqB#$U^02_{a7iRFJn8zcZ)1;VMWK`}hiN+U7e-Qq7gBs;5_{lAnbs0>2-h=L zr>8)mQN;c?%((Wudz*a4CI#qcrg`-rKEcxOUR+8#!m^c*eS%Z!VA`$no_cpiG6eKz zd=;p6$rI@UKrzYDES+z@kK4I}9I;*Px^ElEY{*tsYYjEq<%ugYYZm#h`9&PS4rz+z zXkYNAT8p9wE+BSL!h7_ujkXS0J+$Kle`vcHI<-~G@}nf5MDiB5Q82eo^*Porrr+OIEwVx1mcV*!r_eQdM4JklmqoV?8C9&{Yw7NAdKxzRfEo)Z%64N} zWALsd`m~QcsM*#+CL)T*@8qQcBhz6JWYEB4aq|0tbxw5Yu`H)wmjv4w z?@Sb|C$B{trQX8Jsmb-JFOkUc+Q=6SV)@foq0w?L6sh-*|obC_r$N-r%1_ zRk-s~k#yn}Ars&@9Rq4QlYVG!%34G@_Y0l!5Pg5`4a(X>uy$fxS7*3WC|2b2RMgXR zs0-l{kHj7wXE9*S@obx#J!_;{M!iLvQxv4+VryC-Ay_t}tj!-f7kIFrrQlA#FQ}{i zCCgthR^;gVDt{C=ptVkolTFBs#QfW7-HGQF_X7=}RKRt4;X-&a5DIy0Wb~n}1W;gn zUcE}bC>pk*;l90d5BKmE`Gmpo)bALQCnQ+MisY01%v7|VZ_)33^Sm|k`4%tF2LfMQ zR%`3}djxU??d2oN#o419!lp~#Q)}zdKWDwjrY|ZvTRmDH-jervZ{F0}EUH^qk;0S8 z?6G<&wh23%et30IXY$qM=~V^x6$w`u@;tx46D4gOQNjD@nLyDp6mFGYRF*QdC(`iz z6RoscIBwyIBGh3tv(PQK786Xd>1 zjj$GsOz2OT0E^3dYQT#@^yiP)V5Ecok-Tw zb}RroIK4*Z1!{D_bO4_?oF5NU)}8e`CJVWru38BTUL7)PU;Mr`!#I%wN`7u(&hVU5 zWoR}5YGKtmub5hEY1{3~=6P!BDz&hY#7DM!<`!^dVrmd~si-5)%T|pg{2T80H0CX9 zr%qTz-*?bD|JTCd0ea#R-cbQ8S9Mrij!>N2C^x+=m}CaQE>VwUcDqb7zNskg3CQuF zlC}n|(yOZ9zSx%J7F8jehV^kh8)3!gG|Yx+rN=>7PuBVk5Bk9n-HZDskfHp}8N`v) zC=wfOra}Y~ebpow{y|JCfyRwDmgE6k>j%mVG9)tULAZZ^(O5^vnd#W1n3w-^xCzX6 zkMB|Jkv({JD&ZuexUcNF+J-Az<>Ikv$k!MZ?&5y6Ni_dMY0hr#y>j#yxv@DEvb76j zgbBPqULmoeGeE;3Jb<5UQR=}ttTG`I&QY#1?{TvFabfYuQQ^nQHcQN~SBLr+hk^+j zLsRh}y}p{>LFK2G3h|?dDB-|UN(uec{g#r%r8tN*9bNK^Y_`m?0~}0b&hu!WH~$(v3IrgC50uvhfjAnlDhq@0|4<4Kg)O z(QD7lAyv+N6#s*3FTPD;LGHlz3Tl$-Txz!$0SX0BG{9#Qoof1~2ZediCLZ&kIOMJI zNbY_)#SN#c0)8s^sKu?<#PCyJXp7=kTHh00G2(edRmi#E83AMYrjgEm03p-)FMLkTBq=zFRQquYzfoO(DlSpo?f+Uxg0 zpm+(;x58mT^^-OgAQ%ci(ZV(5=Rx_-JB$%S?xUgg5Y8jm??HUbpFyH;0pdhE!bWPd z^MDM2J+dw@uK{Rrlp<-p^aTJJKZ6kYdX26e^6vq@BBRIGcZq)iJ;4UNA|N3&G}1pt zd;bRh4C0K7^GxfuyJ&0E))J0|j^-CJVG?_zirYNvelq-3RCZ(yE6C zRj#KKKKMfk!5``Wi!>BtJ2GnK#?CYAA94rdJnD}PtIu*$iC@H7DWNu|@KuSZ7ml+u zrymfGXs`Tu!0zUya~Coc&XR2n5KoVPP2CcUOgz-Dq|u2ZH!v_TAlxS@J`MQIi@y(*SU#%yDkjzh6bv6B4OBv-|_rOdx;~~-| zTGGj$5!GCx^u2CQbou}?cSp%b)UEB3S_X&SHgOWG${XW=VEPNOC1Z~At z7BrDLdTKxyWHdAdn!i%CUP|e>#yJ7H8Q}JI=6Fu(dceZ+FhN72H0Pgc^hYxRp8T)% z#Xmvg{y%_+0VhHim6e!)dVPBKS8Kk2&{bOgsYYKw>uu5hNsT=JLx_K^wwf9z8T z^gf5zEvBflJqxl~(rLA~jFou4!jE~uzL~zd_psOf8v%^)TJ6xfa(SVQs1Na)+V-p7 zC36B96dFA;4PO~oZ8taM+#)_`@2yR!FWOX@o=Vt1{#v_p<6P{u8xym%dghhcSb$dF z*41mG4|$8Rui=$Lgg${NnZ_%Olv#O03O3v0O$|l-z06c1L{7e^AqXH2j6woWe>&OD z4!{=`Dd-ZM<&W0NwqoSg<3wNscZX$<`nVt=0_UPB|FCml2Nh=#n35;ol&Th=;)`@H zO4W|72-7BVAs8}?e4v)?bs?7Si_HLuR>|NUS1ai*l&h-&VI3_YViLaAKF#d-#akv@ zH6X2(=)4;6f^fN)2Y#;HG2x@-yIYp}R0B1Jo&CEAi2omW*rJ3caN z5%c~_YLh~GA+^%rTe_FQHnd~!1&X`p9b!8eL}Ic8Rq!S+DYL?89tuWW?>Yz18i*lN z_S)?qi1SM$ojLTuMU-|unSCO|_kUgx4<_^lFC%{juX00qC{ zvCzD?<1G4hFsE5NG3bzWDx`5>Ej2gI8k5A8x!xidpAJ0_<$t*_GY(BhU2j2X9A#UG z)((e{B!lll2+Y3zMDjvu`^R?2E_0hM_I`F)+JTqP8%x4RRuVj8(=iuq8sJBE+iQz? zW$*dN4HOmj5M4pfz82Z(Ji~74YfioxIed2U;>LXg&INojt%m{L^Eh1pP^Mxe`+LRx z2o@0?A>}3D7ltm;P%JRK*z+eL9>JJyZ(p=*Nyvi?k`w5y4dk|AFIUB6GaWJv_w6I1)4^SF@$j z`a)%S1NpqguJdT2R<}*LlePzOD92$|bL8n0Ux!1K<#c0#WO)JG^0vcJ)wuO|G0#5GJq6;)th0;90F_p*flA+%Qxe9NndT$UKMDb)Fr{ z`xqQkRSp);G@l3_>UanE5EsoJ$ zgnLx#=i5yWYMmxT?{M1s+-ubdiP1TI!CP9W6ld1|cJ{-mg9BbC=e)z@&%jPFil1V; z&c0&;y6Z`-Yqq54uI+g~FiDzuc*?B^qgd)nxWck5nkm#P0NS#H2=}b_tX(fwpY7C)O?xw1AevM8N;Sm>sGTrJYT2JiNXcu zeB}h87080N!~Vb^<+vF&0i*p8`CkvtYIL3Nj$+U47HB$6CU_4wI;;+nb(Xf43eR#- zw6m6%cJ9Hz=v4+!w5N3I2J;W=D7#W|&baiJjq2j|DZY)CHJlu|DR5IZ?P!9o*5=>g zAt`n@KAcTjGRF#9aQTkH+e6I2-|NPAr}B!&aIt*cLpSBO(8+aupX~ZpRtsJQ6`%DY z&=eYr<9N&V)Z%gp+Ibb}#Grwi(n!RD!!;%eh@S&!##VfVUWV^r}t74w=i(w<-&2~x~z@#oY zyG@sN*7-?cslI5&b>ll+7)*HMI1o!_3f3Un1l@R@oh4R-4>DyGYHgg*@+`sW*T;^J z!1nRHJqV-G1otK~of;c~+OF=|x%1%u(jy%Tayrv=_?Zr_#mKG%=+5uD6^*WHfh6$e zPN$&OZFm)CuIo@8PYD^;)C6taB*QeBu#~Tt`HMj9d2TqrI~xtX``s z$Kq%gUKd#|>AF$be*n&SJU}tFHPW>O&2566G0z1$nk}IV--rS`^i|VZ*WjFq8I;Gh z%6*K7tJOhZlP_C8tj}zI+PG0n=Y=)b=CG_V(!X{>4Rq%<4#2HrG67OksKA~)w|NZE zM4+JJ?dsyD8i-@fw*Hq+^ieTJmfN!S$E5)_vQp%dg20r=dXz@)u?TiP=d}j>^4v}R z-q=I2SDzqgVS&5cSm3P5xW8ko+NcMaua)XvM&~CfE+5;2ae&YUc5=X%D9QyBN=d~F zp(zAIm(0s9Y&6buXHePnW>euA3hz5_NnIsPf=4&Hy>OTIU>&=y2hSiNSJPt@7%T;P zFLOF?<&sddp{5rat2Li>e6>3s~w~m<4D-5_AS>b7a&qR=AKNSre}7ohOJl5?|_7k z|K-SCze^=u0_beUj#v7_`E@8x&2i8ESZC)hGC_~e_nOH+1`)}!j+fp-<4k9KPzxmt zv#trRez|_Le5=M?r;}Gk+vZEPC$0T2x{`wvHr^V+rt;x|!r7w)3Dr;I4r}#Ccr0F# zt+pkyLV5VhFC;!XRD+gdkg0&1B~2Pc%*NQWN4=)^J*>j{PrK|=kI!RRixY8vO-WZl zLxs{eCmL244hPEi zKjA6%G_X%-VH|0TZ)b52IT=fA0VgF6CDc_p=tHk=`4&kK z&#Rpc$NJ)Y`P#R=0!ln2A1`ofxNwRPvhUiT>H6Fq;&=$_SW>uFzOQl4Ie~xoQ~jc4 z$5z&ork&Ez02J?R*8cHZRSOQN>&C~hqik8MEWr}}_0hMTU{+V6-R3GzlqaRyp`??k zd;b(S_IPG+=;cUArVhF*mv5n!@6U3XUXzFpKpNPP)qhE!+~X`X+{*!%p7N}Fik#yexZXvkxj-6!wo%g_1poJ&Z8qh8SGDN> z&4c^N+-IjKyz{TdH#>jbR|(U#B>kE0KY>79=#_RIW*$!D!;2I7dai3u8ZU7_;%B@# zg4tmAd5p6B8FFM78`x`>ZNz5QD-gZ5!XAXxVt8Cc#}(UOxR1Ne_x^ah=>Ray`R^QY zP@TXZ2xZ^kdP&zt#(~o6MX<@=_{fu7KlmGE&C@O0;LLLv)=@Wf9DAcyqAvA z7EGdjcUEp|-*Hkd@FB$#k@Inx#8>_)7s%h4Bf-sHv!%{E?|1CaQoz_r9dPp;{? z+cm)$SK$PZ{>*quwHMJ0kn>OkR2Becy`~%^u0n+ax(h5)tOt?%4IT&(PW1)s69I4l zfRajcb0e7E|7I@9yKnyomzTJRYbjv|6((wibuiUG2rNBK>rU68t;_a@>k#`t)N%D7 zHdAllTYBz8HYdoKlHw14yN_=B`Tn71JY_7u_H<&`Iq~9DBk>RQIDpmvy-H<2pq9*E z69@h`mVYf{{KF2cXZ_$s@{6=$#ceS5C2@|E<=EqSR3)6oB;q2($?q?)tP4|HUa81N zHQ!aMxH;ZjU|QmrlT)zmu5*#3u|wqFX{T(bsP==LtD-vfpIP>C&MzyD1$q%x*Lx(u z@B4!=PN4F69N*=MlLJaZo{1@mpKW1%bl;Tkgae+hZMKwn9V>qUTYA=4iIk0R9=IHc zUmQ-3PobuE%**EhMg<8E$?|4OX|}^81jKHjV$%X)+_m89SGO}0t@tmVTo5YPEZ10) zc2@%MYvM926!ZpA?aqQil$i#H{BzWQnJSr>@XZle{;J&?XP~2Q+i-CS_fq^U-&M^H z51_@5IG|+8D!({1CXY)CTg_UyH2#Cv(J!+55m$PDl*1wgJ}&xqfveqP6(n6W!H>IT zU1w@H9GgV{%u&F>Zf9a3;FNA=L6XQF4Fu7FAxt)IzzQkFh3a~i9u0{iqWCP0u?RB9lIe7Ij482Mwy{nrq8 z3ZVFJ>_F2VZrt7bI+Eeq&W%G~EAzLkvV0@d0dxsH;HfGS>A*mU%ORmZkLgkn=9HSW z<7sp9;I(yqF9$9^m0s@xxj#{&;j?mjmA;j2@1b$6>vuU(Q;CK9r_CTi*8nkcPUzcv z+u7;-?klb708%*xQ1_O=Xv|PN%6kY;-rV*_G)|diF@7D?zdT z%yd<*?zlMa+MN=PA&TRd&-&h>WTw3d{gGrzGC$AO0=v%J{=J|wr;Pu)&^&O`Vn|r^ zpEUwZ+xWu51$9x+H{N9^eu@#XNilqiKT@f5G^jcKTMJ;?)SE#&~?~@ zmLZ8l!GeSN%IQzno@d;2X@fgLF)OP1k;7+TAh#b8ucaKIzkzJKOhfzBV7%1D632UH zgogG|3}ht-oTWv{{=fXsQUXWczAr1Yb>Y5$bktTQ!=;Gb7yC!vO+s zG_J@p_;jsnmEF4|jiVLcG&@@9^;Tg@N|?vUIclcLbGWWrw|cYPimpbNt=Yjv_SA0* zrK>5k4L#lNAGH7_U&6*V9s131^_~vJ*h6tvi}|?cS12V`le^Nd!IS=z?02^k-u-GH zXxR~Nbl!&*JMOH_40~LRY5zWMixNkps^ke5s;0NuyA^`^0*R{EU5Mj>9 z#)h3$R}9lrn^-k*^6u<2=B@9R9>csx#!n=63F!H0NqU#1p_ZZsk~fvUFsS>@ zqd=Z(ty$288DDn8tKNL~tgoF$2NsK>%|az)PjCh!fUblrn&(NO6j^jC2h5|m3-;B? z%zs*Ay>W<_g@S`pchmL9xwgu1S&k4mx_1sN&MJc)uG-aDND@b@4#bkt(=}^|&Pg2o zu*$YH(?VU>vK(#-gaNIK83y3c%!^_jA;x?`<3~6BL@}tVx=MLKEk5-;5_0PjW}6~` zum2D`YEjw@zo4Tu$ac@t4>QBly!!`Cn`JQzvbz0WG?DS4_Wl>2BVNb;Wm{F(9+Ld> zprt0A<21*e;kv8y8%dFcIYdgybn>tK=hxpc7(%KOuDf*sZOe4LZ)%?b4V?e9Tm}Y# zWa1kMc*XvynFdrp`%@t8f12bfXTm0IhQ~k3YHPD}wfi37YsEqBMc7pdx_BpSVkEA5 zb#X$R;5;d+wc46OvQ9DfPME}=i4CIb+BYt^b?GVruqEu_k zEYzUVLcOWgLXDf~Y;a9Z8|Fwd{#(e<{A)-C2;%SgzZ#F8gqt0V$z2Zqw|8;ngSLrH zmF&QRxF*xDaDl>HWH+snRexVb6>K1@$9v!hJm1|rd76NdaLm^^%o?}O-ZvESLvwYt z&WxcFvH?H^ssBnPfYp(Vub9HO#EQh1N1UhFaUSSIGqvB+nJvBC_CSJd2_>Cj2~p}3 zMo;gsNk8l95{!+NoHuKPLFTCsQ#X?;>djK_hYf2-YlywUXbXF zxv&`w9o(q1(B%w2uhC*l9j9aoFT;B$Ip#V0yGJV3^5Fw;h4$9@IJ}I2FiyKe!~v2w zXoivl6pl2G`^eh!ZX+J;=F@;< z!{VWexrcDgEu?@G8I`O)woBSPPnVUfHkC_#G8NF(+-mG1Mreofq9x|OCSM)+dz!Oj zqU+knMn@0(v-~**Ni0d1Kzq*Nu&?1CBc!#0m~8`vg9qzi-4fj+ zycMl<5iEc^uulPr+b8Kf+4b0f^onjff6iMX#lKFFCVKW$F~Pxj=hd*=jCvg`ei#2!j~ zfBz&6kcXi`ujo&&UdCT?37VR&hLTv!i?B)@^CC*y5jY>CK;ra>0~aWOik(~2hA zRs`Ak@)PvutWi3Tkh)J)26~9HIJ=Z3!9ZE4^0#=6_2X!4k_JNK2yiWQpuq| zbi!_bDC5TT1swcEHUD1z=Pd*eR*LV$B-I=OYlB46_TYPfN;FF)h|baznUAZv!1==O zo`cVbW1(NZwbgLxnq%z4CCK*u?j1 zdl|n)cji5sOV;lenbz@%Bydc&Uz)~ZDei(Ab``W?M-Ojl&ojhw_Prntm;bKwe6rn9 z!UrSVT&HYqoUI6i-uOAF8Uc5@tBSd64l{dJ9e#^=t5*b9?D6kDDzXnwE;2h%Y-|>c zr#ZS80yd^ThfR&oZ{!~4JX7=RW$nJ=WmryFaOzK=1pj^Y3w3b{l&jFI_zoQtDXTRb zz*x@$?1&AO_aD}wC#r>JuiTxveU+8k5=l4lc#}AZzH0^bgPd)SPnr*ZErf$anNK;{ ziTydH1_@5RSQF436hWvbg{6X=IGy?;mg-)Z3U&ks=}!sXk&5=#qR_X4$c^6*&c`W? zYJ5nBwm4XjVI2Ob#bU3i5Y1FcoFBT7RwCjE&SB2;7I3QxI`*F+!+5UC$E$3ECZiVO z-98B~yy{IInCAh%l*X1k*I_r$WN}#Pt@;F?yZu1n$9D4TY5Zd~t0pEYIwp|l4iVKo z>K7vvw&Onp2}a>2$e;>=qol$3baXKb%|^ioW*2=D-x}9uD416a(dzgZBlea}-b+TGfpB^fU_j#;GnwP}Pa?z_f zwW8lF)kUL;&YuOJb3eeO^+GRJK$?%;Z+uvVZ<#poH~e*{cD$S z8W4B~X}$N=c4@28>FHMiuYP2ZNC#zu--7rzTV_#3q=1YAxHz`?PQ)=QLg8nwJy`5* z0ISlwbNjGq&3;Ofm&$$ zToY-(D_@UgmNtp134UY@t_xD*wJ`Nz=m*|{h!++3#fT5hxLR0mf45Z0E=WR3!GiT{ zK+-B`AJ60Ak-&-myS*q*wXa|emFMnj%uAAqXSkIXZYGkh-4rr_WstwR4;4sLbg!R% zGvT4F;bc_I4{8BzCxs)i*`JneF+#Yk?kKD4-0L6WD3XesZrV*fNaGx)8vGvMuv9WE z;Rs$-dq=TP)-?5Fc*BwFi?gH0lhB~c>}Q9KA8o=)*`{R^fjEihc5E{NZ`<8x0o?$*y@{=S(2H4QeaZSX9Ss)#6{LLB073aFz6}AZ~ zO57)t1U~LZO0I@?2h(VoWcjy4*~z1D-(3t}4s^TzTr__v(U7AUpCj5S2%V4kfbjzJ zS(ck7yHOS93szD+GTV+Hy?%H}BbTE|W{1A%j3vQ$at}v;9w8F=*!CNg*vF_s@3}~}l!J?_jo~^uLPf&gvnTz z#+y`yqh7c1F-6^Ov9QSI8pb7;6|9gK4w;N$XZrY zQVLXRwF~5alp+cbgpKopkO8Zh#ARZ9mq&&pgv*;wrB1H}a`@_Dr`4CSnH6`PjJbol>W5qmMwD^U1J(w=&Q_w`^Sv==1I4>G? zDtl_)6p*EMaa0pSV7at5g3O}Go7!rgyYyVMN*FB5uA?0nLRnyGT*!s(aS)*--LrHae352k93d*H1#BRLe~kRGVp2G8PX>LXt98BNqi z>d#Pc20IR{cfbM^p6>SV>~Gp0Y=m09ztA zO2%Xf2RMhhUmzG$um_$pkE^KgEp^@0PXs41cVV-C+N|*U%8lClP!WE`b(8|-8EGSeGbO-wbKmY(8y(@exfsQLW&j!oG+tM z0Vm&RuYvPzv`^P3^=Q{8_h{EA`DhEk(==KeaF+PbiMqA${$Os~%UNkY+G%Y$(?>07?w%R=t zOdL!jOGBugt&zS34)Dq9qbUvt?<*>HD&UiV0Gp_-mGv7dCoOhhgzM!iDsJ9aI&31A zmR5F9Ee>7~Fsh`(rl44& z=>HdZN=8sCds_pe>l-+3Zcui%HUhvxjD&>#gQ{z6f2dM2GO*Kn#m&je$wT#uib;~dvE{I?izrMiKQ8v2(StjB`JDiJ3DJWHa15`M^+~ja}!G^Rs$;w z2DbOt7lnk_-dNch8rj~glU;}H2LH`6|4G0#ZGQ+*m;Y!A&;Wpzvfu)!=DMaDfFf#T zZe{!4THnCvns-nxfG3=Pc>?93;^Des7%=ZGz#(A9+n2xu4(^*JzkPN6nfK3U5HR-- zC*E>V@o@j);#+Pi9-hCGd8v4KZvX?p6l|>w-W%Czu_=hXWm7hCvIB?$SSPB(ChlY> z@&20i04|C5H^}}#m3V)H?FOz8@b@1~z8?CsMj0bZh@COjD{c@k+glTJJ0n}Rx8?vW zF(U&jLqITpl?GGNe?d(aI{p^F2Bn60Bnf9q!~bqVI4^pHF8Qo1VR#IUh!D#84o<$K+B$!CNPTKt>ELQfia>GivVBpTxC2 zOlrz`QRi~r-;{S3kFuLPnP|VOPqH$WDm>`qw|4ub7saDZM2nlp6-b*q)3&C+Yv%BE z{0(IIL@8rYOEbW26*IZUrwL-8w|k|KJaiFWme7=(F{8$(wYrhm`lC7lEF)0L9Ojqd&B>+3r~ZK|=W*3aeZW>R>WJwkqzbJ+U$ zHlE_cwlK%rTAm_NvMH`GYGw?wA}9%YX^23B3)rys)P2vzMD`J5ZnF~6JK4PZ4v(3h zeyiKoliqu2I2FLX-VdgWa;8R$32R_?`a<{?Vl2X5P}virL){D`@{C8p>V&9ivwjq{ z&Q1m0)9#DULVK8#cGVZbSL;YJn~hOhRA59)T3FCQRMgkNuR?6q1k*QCAzuEVM}!N} zl6;dY%^yQ0ZWK2E^Uyyjnmrrsq@w^4v83NEgSYi#pFoQ>(L}ia(XZPEeLEzfJ@en~ zMcVM?S2I-a#PbDdwb{{E!*PE_8diR}FEdb#pN*+j#Gkg586g51<@V+@-TH=J%Br*P z&5%jIP4@uK{aNKsPz#cF2F>81V~RYVV2q3$*`1R0Wt#U(K#a(5l*w|Tzbop$KEO=Z?u z8zo5;YsY%40RC|`IswBg=A~3zu)OQ-l=`8`ADP%`9-9QAt8!OCMhoUysf+4jl(mo0 zt62j(NHd?ANf&`R^SRH6!_>Gj+Pbon6`{f+=lmFwYPtd#&!_zKJeZoJcj;Dd$4zjF zI){nP-tOi7`c9F7N&?sDKI};O#Sk4iih<{+g%^&oh@NCh=54~`s3i`xR85Vc4MyP& zk(g)euWr3gZIz`cm3OmzGCB9;`~vdmCEAR*n1a+R1KlAgU;4RsXF?q5h3 z72|!DAy#G$W#jDgPO6V^Dx-6$y~=mVRrc$R#&+I&K0r(u{4B0bYYDhKSage;JE)FZFv7o0 z8b`--XDI1`@Naf+0V(qyY_H9hN9m@E7f-}yq+wOMT9l;=e zH<4<8A!g|IR2Q?gkV&hDKu$~>(nBv54cdnYBpWHNWl?{~Q#HMRPHP^Gc5 zclqS+IrjOzl&GbrL8LEUaOBb4AvUHped1gG-uUpx3N+$P^}e} z`h>DLzO@nT$VU<9u^7?Xkn3c8I`QHA=wi>KSG&|mo;bWZ)2rjyOt_RVH_*}{I4>gQ zyqA(&#(Xeim&3MuQt!7!a`3+KnoDWw+v!B` zA05#1?4RH#-YG8ZpCKP;Aves%Dq(Lo!ua`<8o5o07Rfta+$__EPl@^|3HqOe@1Whiq~&lkF1^^w zu4sOJyHDC-e}lD+k@3C;ZSpVcx?ojd#MIl=eK>}E=Y7WSK=5<80QB-8eftV-q&qtdX( zH_9{Vq^?fWj6)sW+=cJPKH(_gCDc5{{!psi6dhClvi?1bZVTj{Xvgh$dAt+JuBR_L zOYP+}>O#x6KXW8+3N0lspPhVbT~Pm#$@%t0dry^1&BdFanQx{aOdXYFjj7InK~o!7 z`O#|A=XgvbW9;+R`yqv}iztQP&EPi1D>>RX@*devCU@g%!4D$+V>g-M)Gt6yhGW!l zhj-w|ktFo+4WbTyCCu$KL_G-eqc`JnqI*F4w^t#LWim0x0vTD+4Oo^N(?S%R9BpI| zIs;khs2(utN~AM2KM7Es!;82bM?=+F`bnNmD^z2T&-?dynOTu~_ zpsV{cN`?PN5EGVnx-@Mg!R^`}c4~T)`G+FYrt&*>(5da=!uhz3U9$(A`g7@3u^py{ zw@Enj9K<|?`Y0PD%(IGcJ~4nYL?Qh;=CTynfgtQ8S&&ZchVsbvdKW@trZ29vJtvLP+S7Nv$A z-deYAkP*)>9$jyz-t2Yt-Q9)K(P7^_>a-6Dn=2-D;4k5$71ECCloZV_RTdG|`b=3( zbhj2wR>q0vM>=*3ESoKAwz_SA7t7wI8oUdgX(4-PPM!ChK>=sx3(e$Witg0OUPQZ82_T~0nYvT8AxhZ3n%V?^VQ(0f>?SLtULMLZ#4am-3;b_J%Q%$qQz zC^3W#bs0`}=T;fl7;Fq_*~y@TGg)8pD2TgD2d_k64eSPyU+hFuVkli$*gfO)W>hT= zj9C7BJZ(WFi%QD^CW-pi9_f&i#36uLDp+gnu+8!dqVSYb%_O;eNu5T zgSksPM0$vz_M=PR7#k+`hspB>N~Qj;XHXYQf9?A{x1X2SEA!c!bBy7p2Rt((+HA7O z+Yyhhe=xB1z@C&}M9_@zXZG*&B`3eoGG0!C+NzE%jMfM`5!h(A9tB>rf^<2pyN=RJ zhGmJXw=Z7aF;MCqczO?&6fKCwSE#pqoHH;wPbjX=P^>la*@rue$ffs};TWWAGS7;# zw;)8@o42bq+^>yNAwN!nAxQC^SIZDX^UD|1&7a=lx&-t-YQ4kP{(w+uI&BFL(XXfw zDAxI~4(1_<{o=CXej1uViPARKd%0vH@LRzL0csTnk9iE;X7CS9cxOXkB^d|PW}%5oBn^`? zNz<;_5~}=KntTi!iyR*|uvSAxs4O+AWZ$HC-yXi*p8LoSYE{xkZPA$6#aoOwX_uyV z`$H@LH|>mwUQVxj+_Q6yL^^ey#tvtMM?};QpWCq02f9+&p|x@cnkny`o9kVY9@w2G|kJ&#U^QF0x`Cu;%4Xh^PKVbVd9?=^+wD~ z<8cI~&(*xg#&Ph|N<)P4u@C7h;>c9uS2SVuKG#g>xqfy80 z;w$L5abAXdZ|NnAy`>9kIqprW0vEd)A*OfHw;xdzw*0oBOV$G<@jgfr?p2nFyy=(Kpm_|m=s{u)LfIcoS% zpD99W``mhES1My$N>1EGcu;N))o2hoT7=6RecV|?4;X{KkT#rM;@p|GAwi>noo;to z_Q?{%Wpz@6Kyxy;Vl2?l+RWzGBYb>(OYAM>3$sTsryskxj^GQ;WcgTcP~DjVv0(5% znY*R_Tj@naAi>f`943mxLkJ#}uM}&RuM?{_-z`nBWORxGV?uL;*<@qelOF(oku$CD z(ifi#_-g3cY#@H>44;~a#4EOzb1t#I3I)a3ua|jRHzkgHkk9F%ony;L(<4S@373ek z36@*#X7J)o7p^nXSDpIAj&7shkkFGX6?+YK_z}}!>HovrTSmpzE$yPj2<{#vcyMW4 zLqdSy?k?T9I{^|j1WWKn0|W@}Zo#c-LU8xS-Tf@`?!CY7o^k)2G48qNtRLOIVD+4< zYF5>(t~uB9(80dqUubYG!c-;({a`c|+eeH;4JG?9qsXtE;X>3V;31C+=WiqsO3?}9 zFdX&yg?SCG;(bmNP4SfYkeYb4rnN5P>h&PfA$%2`|6w&*_Sv6z`=ve`(K4QlWLwFV(j5jR*(P5s&K9nW04kgFZud!SkPYHA!C{ z+Gc{O-FV>#duiM?5fS51Q&TT>FDj0;5@m*mlI$VKqGO!+G|z|3xo;NLb%hMu^{?cw9+z--$Xpf##_pY!2vJ(d zvd%xQbZZ{{W6$O~Iz&XZhECm_WZbwMS!>(KKxIHf6~tEWB8!QMY$(nzn>V+|n= z$eBk+Wo~qe?Mx+r5~ppOP8@_1w%;LT)XX_y*vMJhosq}@8#q}XBtU5U8zytcia!hw zLtAvb>_893vCzbeV34$a?fvfzm89Vy&`hgs{o|p^8d$K7`XbvrbZjX1s!Ov}yKc1? z&YHWF+x^I?YRM=h*crl-H_DOp`D~9uERKSOtHjD-tR&{}F8X&x45v$Am*N!57X$km zPM*VtE7Hc>%4-S-M#12TRk+KvQzcu_puI%c?m}cXTn%b`yqqbOX z+IJ>4qI-jGJSTYDlevN+3Q=^$@xs$T z)`x(H*Zm(i7I$g$8+XfYCtqo3ht_+B29XYWx#kk#!ZGeKxB$ zoiX2m+F@S*kXzL zDNe>%Ufj+%cR(sq%hom~&!6y}EUwyIdCCx52v3NXE5jue&NSwIyW>}N<>I>s(ix?Eibay*kKZs?Z?AM{QkAPi8OJnkf< z-D|_o4Q^TybouROVFGU07I>2c1)NGtz$Jn+obP?oaNR#*MTkBRp;Ht634QZ&Sh}jz z_q*@@&kVM8Y|yBzTHyDJVBS^~+eeMRUip!Ulke7)`frC<3YUyT6~v7y%YI8V*$ zqOpBjmRD~6eU3>uy|O+5kH28fBA)H{{W8JsbHnxAo@R>TY10_k`ABn3TsWn&(C1x@ z?&nh)W&FUHVtS?t5cpMc1PML;)3c(k4JAD`8RbJ7m@}U=#MON3S%W7c8i9>j6>2@fQciRTJMW-!5QvHg$kW1~omBmXZi;p+4;T|`9Rzn=b=*=ynhpU+w82rPNGI09MupyQ^zKKD% z+26#3`0eFBsIf6cp1^DI=ca*{00;~>kQ4yf4_O+Ap^UozQLY+Ndu21zh(>AeuR19@ zkHtv(L(L^=W-K3AM7oUF!u&FnLY)x;ijG5U$#$9nOlodZ^SC1WGn zp1v)TFG0^nTYk%$eeU8GzHsm1#mh!C;uuJ@zSVOvm5uObMG>Qy)8Xw`JCms)#6gcE zCjh&OT+39H0gfc36o&1pTStiECgAT}c6&70dAJ^6lny)>i8_p`gwTHTqTDu6dv)gk z3C}~?fr_dXKz(bx1W*0rCJJ%S8w4b(yvnI9(#ORsA)F2hZ^wGDSL!9z-{>xg(Gr$a z(zh(QSo*;00CWaPZf+cW^C zP33{zWa()~7La-VO+e?Jjl15&3_36fg8s=z(Sq^NfX_!%*Qe;y-S<6CA~ZCn%bk*v zU14FA@;scw?UGOMY31X7bw0+&RA*J@YFGMz3NQ>uD09iYqs9#!ji5Cp#|;dBH7mJ9 z^El)4m*}4t4=(~-$Cu5ei3aRe$zZDno|$b=oRaf(myE{185aPk04WxD(BR>n0oc<1 z@Gc($EEWMr62`-N5fTz7>BEu{5|T0Z!>SPy(l2vhe=~4=?E4L@9RbJxdISE_phgOh zrN7;OY#mz|hRIH+ml2C$J~Vg1a!WDD8k}E(M*G4m@$YpH_w~`DwrP;nJHW%UjQyDw zcbCttcz!~cpq7@PR~xaI(!)2k-3Ml1HxVj)=MzH)^lsx8ReSFGmve_fnN9L#&mOK= z6icXub_o&>wly@2ZYGYeVN`0mJ_*i&YNfvd3)E>#n&oWwPNt>uv;L%Da8i zpwBd9gF5|U)#>AzcjB|Lv3HFOSo-H~k#>BuTD&#$Wm+tI1IXe>Z-oSs;BFZcMcTvf z6Q)|&T3zPBSsh+IL$>~_lo=BgkA4MyAp#>57+TX>6)rN-YYD^%vfirDg}&8M*#5*I zZ?rgU9Mz+0!;~zNDMQ(ZI4L}7r#i|A1$KD9(@6&JIqBqWDD*Y3i|MKNwz>D4dBK~a zm|BUNz-=}fYmS_SR7_s_1d!CMi8;Pq?f2x3JY?J4vZj!n<<0@Pcl_B^k4JDCtFZ37 z)U3!y33@ynHa*qX7^e^+w#Imh%@2jVqw8_on}e<=Nm94vAF}RA0E=hsUvU7}u6*J1 z7-udqbXm|{uA@sq^#TvOGehQUx(q}1NslBG2Y-lgPliT_oSy%wzZoU$VOVqGBv>df zN?I6NT3kl2#G@D1Ts_@>G7Wnlmr6YLy>D}(RW|y0_M=~T&`fB@3KMK&Xfam6ooPQY zn=#pFaP~U&PF{)bCM(;>Y^>K|J>NMmwRnmrdj+xpb`W+p^Q9GGJ!khg{`kj`>6C`B z%7QbtJ@oP1K-#&UXi@ICY8x9}?E8l7a>(2|o@>gcmX#3wHP|uG)nGbF|9$szx$$e= zp{D-dXB)~qIpzDNtKwL#r|h{py zVraUJ>C|r?8`i+GEx$99#Yl9Qi?mpKQx0a|BMO{stXKApzhhBw*}PE{6Or>N5Zu*^ z=24PI8-0Cg{A#Y)f#`H;5^9;ODOw;@yWntWc8JhXPp75-kRhi$_=l@4!z2!D2(kC+ zt@=Ih_|q!+(qo}3ZR80OifB3*Op#l<;XV^(@1(^-09*c*i4;XCzs4FxUK)QwtE0+z zf7RR+wI0>&UJ=*E^M+*UCPGk&8Lpd?(R0$MQ@KKamm{9L;V7(=Yc@&!&iP(nys7cS z>K4+$1!m4fioBn&c*0zTLpmN0D3~QpCX1BF7M1pct#uS@PIF_WV08jo^icD_AOKY# zis#YJ^NK9nOYr2g{ieL`2JYY*-ib{1If>+^y3)S?ZC;{J#*1rqSgzo4W0Hs{(#M}( zypaZN_TJ0;eeW&mDf4yx2k#We)2BjW+ z<}L-r#dXbPow;(7HhdE_Y&TlwYM9zdpvoD3oQJL5;+}F5jXp=@U>Xi z)v?BA`jF<@QiZWmIM=d#Q8(~VZ}toE+^O#5E>c-j_v-JuHL<}|pNw!RvS;RX;bM7x z@#b}jZ(OgY#-prheb5_&C+p~^V@)G%kqL~_A<{Nzm&G=zI^h_jGK?!f&JVHd}WRqvoB>Ie^&Aqy391?ty&E%)@ftg727gqVv=DPA=mTpcG!iy6F$;9NyT zhulqlv$4;JS9W ztq{BkJNZ^Ea%+~W9G zNtfwtt(<3pbGAO^``YC+V;Aj4Xh^dQ%E6S;nj5QXxweSRGTZ1Vsa+4fi#bWEUkAo$ zP2ZY5_*^c(i=2H-kwds4;MWvB1LsYWtdxzr^Ti1oSEX@t{bWOt8!jjb{|Gh+jKpIX zEAY5QL&->eeX%}H^IbRAKvVw@O|_F-jJL@io+dr_7g|`%CuyTvu2)EZ2&YK1Bdah!)Pos%rbK-4qS|36ZdI z`J-@(vaiO}kAO2|Rt#I}wl@L67)0FKC}z}j!8j@O+CkJ^?LTn3-y{)yN?B&HFYjWj zNm}MmwFTV^8+vfRP>4vmxU5e-c*zfei>|{lQbmyUpNg^_;NQqe?6{;-M=X4PO@d?9 zs*ASg`O=l{>8E*{@mZY_D8C9-4QmOhaM?Sp&i#P>Da9;SvUHKa4HT!28@-#gJ6?RY zGXzKY%g={lJ`*eeNYqUas&dG(=1b$di>+Ubm(--iJ+27Ii_`F)`L4=Flz^8sxy!KESfVSvo}a7QQ5YBr8k{xz zN-RtYKBq`C{NePmAPW&Ue<64}AhdiLDH7lpJ|jDo1~QrJn3k}-gc3jXTg-csdC~$J zm8Q7YeJbAHR^09&;?y-MW~+ng_w(*OT#>{!o06%yZe?Cdf#;g-BFb(kuIbr`UjBiC zaNHJ4w*z#;IrS;U!i@#)Q!X*5=RWih%{c8QUhP5qN#8@c9I0BYF)o0ZYRAS$8!YhC z|2=pCihcbiJoC#p;fOzmn^V`mM+tfJG)46brKn2mwuCTzwRxZW;mCEE{~5a!RrE^~ z8n|m}{bn~K;l8uHxSL{pI)=g+g0~2_=Vwn-jMG=60Z0P(Ug-k5yj&4=VTtK41M0#K zp$e>R1MjpUw`)8LeGug5`)n) zF2R(z0-b4&V72WV6YFweWTlXq{yHz)egT-EXa>A^y(~mg?)zXsM*jD-kv<2BY&Q~j=Z{hT{IlY~~HdkJ8RO3Gv4{#FVTiD0eK zbs_99sK`RYzO;i!zp*7*cMUI9sP-`52vn$L8XEotw$ znm)Fyr)M?o_YuF?XqUXF5>3R*_Ls77{d?Vgy@gv0AoYk)Sm{;Ps5Vki*~z`N^^jk@ zDESF?XG8z~`*x!=_Z#TRs^mm9eX%BHUhQ0&oRO@GpJz|r_OEtL zxw6%&lkA-tTPToj{FDQO2r)_t9LZDw3f{(ND&FxcV%%kE;sv&iua2}n14(GeolYo- zQJgNevhUU2{18jtrl&_bd4y`rl=qxw|9lfF06YZPlrR>f`9bAusbX5*=|&_KiQ1LEwOfz(77W5ysY9VdnVUozqv7XAHe+{V zi7o#~l@=hu%s7UxrN@qJWzY89sLQsEAXq$F$K4qMHpqnqy&Y;iT{S6V-;H0@DBU$t z6=Vzb5Ev!183%Kx8_KLi;q;JqBmiUy_Mg;!Qg|6n>ZlZ)_Ph!SJ;uu*16gAei=278 zUC~Pmj($5&X%_SB_mi{H{WJOSpC0n#p66(}fj>^AEWiqnmp;dOhg@n-vQJ`O4`CTK z7G%Esa)J)eLl=IQx7qvj(uH#ows*_%tO-~AQEQrco-u!hKp%=3xzoUiHLhsGjxLe7 zN!ay0N}1GCr_qQra02M?=8;vj@Uh&&GeMBVO}sI%KV3wyoMjAcImzs((90C-#ZEMI z7MerH2#GB-I!~nA&Tz{wimyadzclfsOs_1{cpc?tZIY%p7);nwpK}}IYK3|8Kl25O&(l^C!^s<~*XvmUJ0tW~r zPY?GvqZQt%%xcz!T)kn+%+?lG0OmcbG@bE!-f1XxiHtHh{%YbXNrBM=Y{}_PSc9hW zG%IDH|LxJ3AYnSkSYoZ0E1^vu?%9!j6O-6$b)xfWSnYPYbvgd}-~!V>eQ;Umvb#25NS*v1cI*TIF!1%%)(A(B%z8cMR5<-HAmy#7Fm8aP1!lt>}EsEn@Y+! zxss)aJzM2#K{qM}v0Rbm4o0YM3&aY$Sd|7DObt!7YXZw4 zioP$N!!zk?{LQl3>nbf=ZWvm zDxdb2OS4|7M&x|M`tU`#>w-PWZsQN8o*x@kLcERzEDGqvsrIu0j*qVnzmkFs_h-gm z&{IN+g8gby((=-sgI`)_ETU4bAC9%&{~Zm9Cd#eU4Ip>p zOz57X>QFd>i!ukDF=-Vza&c9_gea9G&fT{B^`PjfXX*OWEPah~96v%)|78&pHxm~g zsXD5HrP`2$5l8LN=F3R@&ikoS|B0GvWULiRA5qZDVij@cfBkR^(R<~|Uc3B{Uq5_t3xGP{dngJ1d@_hJ83gq5 z9g7EK4D75&`Y7}p_EF(~!$tYo&==?(Gbb?Vz!3@Oh8PPxg{=AO^zDY^2_-w5+>MAO zx}D}mgB@FeIsQ3|`FBdO^M#F6?sJL9FBTjd9UAHRv5-6~EWy7RczInM34#)rnhSq_ zh_gauSxjpp)lL_;C4QP`zS^wy9klcVcw@KS53QO!J^6NQJ;-}>wD`7Lx)aBgiWet5 zp3eohphUo>?`&G9Y)jUpkdO+2=L1qkM_~oCv(emx`c?9ouPQg&R}(TSJy{^-c)Ex6 z3DOOwAbvxh6Ds;k-Yd$k=S|v5_fL?Rfk93YX#-<1W{Q#dQGgM=*%n!}0tsyBDTKHjVWy@7;Bpo+15;03+|H7DKDG*pKtENXVaIPrG?HnmybhA>Xmi7D1&Kq|Rs7vta-wOYTk7AFaY4;$lMMAq_&8M9O!ekC6O{y0*6N+y4NT zex;FeDLv1bvGp;KBXE`iG??1Rni{m3tbj2T`rc)pqov;{^~ZN1jOs0~6HwfgF??=Y}2-5*`~O(MUGbJcVH)BVz7 zrpD~ivF`jP!n}%gFKFT5Z6Vo-Qatp{1}Y>Wt?Q+-|q zYaD(8_2*7QsWOBGkty3LGts$DJ}I>PN6B7&r?Tf#1!I+NLJr`{Zd=AY&cTIOmf&h9 zMD~Wa-`8-bT>>=tf zBFAoA4o%c|Xy9tOv%1k7y}I!4@Oo`+c4B9q08O8G{Jz##1(agoDm53b$R$Lz**ge! zai6GaQ~6%`DpRjiH+lvJm`1&B+T5I@$HM;IU8^?I&liKXT*;*IqJ!WBN4)a_A)SE} zwV7ll{h~VG88tzh@^!E4j59*NtFaGc2GVo220cz>9AtM189^Q@Ai$rn?gTj#^FETN zViGhA4hq;;hcldhUViHv-5`9J$Yf z{`vp+&*Oh!-fla7lWs;0Le-5+?hEeO@6}tvHQA57b%rmv@3D)@Ihz!QKJW-o&^5-f z;?J4w`wqFSd+at$!<)DFQudaecurv3Exr%lTSEp20kqivrfc=UmPE0A__Ei3mp^6j z$e@7NrDSFyy@C&9O=@G(5pdFKyPk7TE~70r&P~rUlHCA*gjC%6+}1 zEM)Bbs@cJRoeCLAM31Mk%Mz?!lK{4FvfM!FD=p|0u;=KXr?hbZYuN9xL&5C2x%%E3m>_g8>A6m@eL@0$7MJm zW&5%Tl(DcW8T)E}yl(qAivs&j@b{^uN#zsIGg({O$iii-3~0;CrKma?$10#|3%ZZfUju-z{nOI$Sr zp}WAdC(%rS`FOM(ECx(b&ysDc^^!3--cpQLSpW(GHjSWxbKLHKP#FeWtK$!a6VGas z^zlNH1wEz?SnXH3_E8Xo4U>OrAMW9>OB-8Z0jsJQeLU~VDp}{YNJ*MFd%Q5AW`K$T z>HE`vq#qlF>3(HtmU4PQj%+Px@M}I)bTH{=M5*$%Q<8b!)GJ+MMC^IAEVlp}I3LVD zt@5qNMuvU!Vzurk6qrjKP5GK{UyZ=(2hdWygn-o5B1PxKVC7;%`vu@}3NNH%pPy5D zRPVXs#f!j>?xm27n=|h4r9d-Z00rDmCj&7wY4BLXD%nuvhKw->ZR8~V*l}ZI$^b;n zM&kQ|u(|}$0>z5CXseQDTGoaD^W?1XAdkylg(F@!<5jYqS=#i8-QBn2WI;t>hi$y* z5GZ<>{KjvPrv*dwYfPxs>fLiwAXD0YK6sM~cvI0h7=9~bXZu(H8dj3+i7+injk-@N zb+8vt?$*f9sB8r680?*Jfk>@>!bs64HK_x99`4rSrE23@5-?(N5 zL{=jIk<_;~(G2*iy84|#QoZgK*vrFEaqT$Vr8w85`tDa~_M1$jUT8V9!9?*BbX4sp zE#)$NqfBlnmU3KNjbWdI-FT+ZC*uESpLy zPBEyYKtjuoY2l`rW{mS$t&Q_nX0DZRqwoQ++x!o-5-;nb$7)urJaGNzOFT~8@II*L z-BA4qt1wLIvHPh~b_>1!4Ca-QR;$Y-VByo0k-r)e{{&B>BN+w_B+ z(LP60v18_y#GL<^d7YmxODo{ZD=YmP?gMFpazQbei-z@xosrA&Z+(Z-4BZzgUvl?T zMue`x`&te@LO)){3xIT&@Po=9=)Gm5GZ|9!m{65e2X26+ z!}VmsbSgk0-%-5vmF72e0cYd=3HDWi?^J?>T&mIP|# zFOeboe4bqO{lFqs=L56WRP8d%NnD=q_2`q86NahJpwf|tMgioV&#J$nayVKP_kF|( z6|1m1X|thUzJyMQD?eWzayEmmSx}(K%WjS(n5q35^R;HGVCy58FKE8w?pI>8CoQ~5 zF|-NiFPWyS1cbd!->utZrD(XtG8911Z=Ru4x6T3ic%-{5cMh5QAI#m1x|SMXG>A8H zo6t(pX-M-z3|$_ys?;x36*RtlIMw5Ry-@REJgfQo-1p{1ydh*j=m*Mwntw!uP1^k& zZS-n3Kp@x(j-hL$$C7q=lW`2Mg{%77svMAOOB2asTqv~&RJY)EiH5>&q-Ww6koMwj zz6=4iG24OF`Tca6?plxSu5AKWgx22IfWMGNub!n{x=qIQ*^YnSfX#HcyUSqxyHh_3 z9tE3J-f`9dw4ar28pF`q(A}M{lP6iM8FuWhMnKRh9zdFQ7@R3MsLE3LkD zI3!EoHe_(@f*&4{pgWSL#z^v1@bX3dhFomry&inq6^nY+;0;6*z*%Ba2!=;wpeu}| zMl0^ugqHj4zVTbkTHZk(eEx<|Wc|_(w@lG&XybP4^2tKuDFB4PGnjg_>w&nrT>u*v zyP#0->_O1jRId^oiej8OePgcJ0sycXtm*wIdun%Hjhtm*AOw*yVNWU{f)i zI>`2gD>yzAR7zJ38vb=Fy^!&-944vENfxy{;v^u-I}pa)c_dNsxhR&bD77F}kVF!` zIp-zkbjkYT@|PmD%=GF?s(?VMSj*B+r}5V~d^Q=AuSoba;I&aD+gSmlS{UA~-iVg4 zPbMS_{_+$gyt`&E+#*D?UB=$e;oJsBS@eqOSpMjg?W~@)@$f5#I%L0z(@&wJnz=Kc z2FY|Je+%>6mMgrj4DWjRdAot%{%%%acfkGI^9JYp%9`e0q43q!Q6*og`2SL*3vSHd zl>b4;p)L8yoK?F3K~{X~3vv0~%iu*%@1(y%kQx%4+9d~sRF)9wnN#SFe6sy1wo{Y11FT4@ra5r@!5I0x1n_h4SLNv>0X`PD>zang(D z++l0Q9|Bm;#cgK7iz;Ol6>mo&>hITqm`MM3B#6y*e5r1S9WMu2Tz=2rslEAuAIg$O z>K61h51A#;6hc|bnPH40vqI?e`R&ud_16Wszhbxa-GVMt{Y88Dw>aW}-CS z`BU^q{7?DDF2_GvP(PRA&uFQ`b1L2|wcn~f5VZ?jl7V+2HLwux%z1aMC%p9kN2BO- za`v~Ypn`&bo77JP%(Bug$ze|52&akXQL>J^fGyv0CP$>u0yOV3+Hbbpg0ld`l>U!u zeF*tk!rUxP>;*tnbgZlnBB$kRb(3ddm!sHQj=CRu?qB}^4L}%UxU`waT9poYPQrXv zx@ixDen@1hY2me6-soo4)m-3yAu*b17oyS}Vw1SLgV_0eb0g|w(l*-D(@1-Z;+6Ot zsv*S-VQ*HEyA`Y*=Q2#bbA}5d2mR22LAqW_oH2hz;)R1U;s+M-iD;$b*#Gd&Obm~$15D`ThucQ+| z;`Z&(d;a1Fzd-|V;?8*#0&iY>mI>}f7H&7VQg12(*iM|trmT48M{jYB6CeSF9np|wr^rnfQZAwVMHf4DP{krc50(gwo= zJ5;t#=phN0!*Nc!captof$?gYAn6pxh0v#ORLYQV1Lv=%$s(mI3cI474SjN1wv3HF z9&XE&K?!+;m0b^{CG?$%1T6WbI$}f^k6E9DmMNe2E6m}z${efV+lN%@D!3?8!1{IH zC+)&ijy8En2aX|j%AKG}ME567V*l@g*BRBjDb5I*)5_G#F`@sc5E_@O3z^4T>{I;- z%ukMG{fUwQ6L#=_Vme0A+d`-DU)W?YL9+X~pC8@>z;uQv{|W2A{EssGfut!pS1=E5 zDNyh~{~x&e|IBRhpSj5aZGF_KRG({He8bt61bGkZ+-5HYH`i*1bT2YR*F2r?(F^*3 z!w&@dkTj>EOc3$r%&77GF!9qN32uS^gypu_$n`*TTpdPUZNY!fkn;q4)iVM%k{;d* zp@cJxeBEs?f4fmUQ5Z1?K!?n(@4$NVAp*BJ;BqLxUm$vc2u#3Rgmd7Fj zSl9QE)*XYH-f4j?n!kB=QhOHxJB=gmr*ITi$ufXlJpdq9*DEp!C#lg63h%PD0N@{K zz7ssk(f*pu`I9p+{8_&C?}gKr*5T@so@45vDDvnmpOC=1W0>#W#4J=WIRfOelIO+e z=OffAIEbN%^}yhx_z5IV(8j~b=W{d@cB3=R+C04F<`*R?#NN>{V6vh^Tlq~MqFEUj zE^+KNl5Q`iYbGoJ@SUdkkdZp$U|ajlhzkIuuG8NMuvdwA=AK=H#dd&%5I#$RC9?yfNfut%4USwB;dN`OmIfKyR zdvSQ~=`O0LHj?15r4&$SHe);r7q4tGUVGr5StJlReu77Ow5hZ59k+O<%j?`gD}>d5 zJYQr=6P@8x>pr9grxeT;Q}ix2)+Q4Vu8(CVTq1bP(8z!8+VZu39u@8|u$^|99`%Q< zCm{ZTQRt)EA`H8C(Nx)AcfWa`En?X_J#|W7w%4)QaLCpq5){6)p6S2num{t016b4a zJQV1XLY6e@WCV^^sZ%c(Qrx)%|ErzP(20YQ->H1j$v}}tO;PB0#1^92P&CPXN5&?n zALG+{!sm*pTVI5|JF^2jilAaF@yI`iOjN>7fCnwERQg2<@928b|D(@FfLAQ14X9WD zVsb>tqU0?dmi9Qo6^AJONd!P~e@W@`enAZYuDL(|Qcfj6W~fZ|zzCK47~)QvN-Fzr z8QB5qI;#gdB}cT>DGNvNtG_%;fEE1rPQZz6&`cgep~|Z{p9=oQ^sc~Ry|upiv)Q$? z!ZXA1OjJ#=8qD{w-t*hGo=?r{s}__Hk^1{7`4jEJv1yK(B@L2NP#wvJ>aiCHT6e1V z4C#R}>~EX#!pBpaIwGED>rL_?1QtCGPW#ZdApX+q%kP*)Gy4(NW?3j?twnd@SLqx=$<=RTLMZONQw681KoCShq9q#fI$0R0ivZ@t5Pg*7 z;jp{stT8X28=G2uHM=Q1wKk-HXfUTHbzs0U$_X-FtfIC#B#{fUk+4@%%9bQ-*l)P| zbe~a!hV)wEU+NqaIzBiq^KvcB|HGHYY#B>94@HLe!J^rsCtF zg5XirSt&%g=indy_5^@}Sz!(S;clY7b|3ngN0r&;UGGJn-=K!*$MJ0SubNZtQW*~Y z4c@WBkeJu7I*S7hlFA>QJ`qG}Ri;51H!X(-6W;mSZX{hJoYwE-xxK!#-J!1-06 z=-rxUxig}Pm&c_%a6*wYscc3nJt$~NMr*1q3{7!uj=1pW>o%}=VTIX4s zE-rVwPNNToI3_Vme&z10&{jXxV$=h{+d8%rR3z2lL$FyAp+c6xKP?YYTt^;xS-HUL zl8+WC9s5Ok^`0!=AghU2zI(8rBdy+P(z4ldvsNY=&+K;i>+N)xJlW}pcU$peBxUl{ zdODVYu^GKHoBqmpnV!7tK3%Go%9m*HeI83<=4MyySFl4vz{LS|7QI<_%e$_b@ma9B zpB>L9q!x`Io^LS}J_lG&9aUS~a*h?)5(it~$iWv!syiz&^ zDzwSNzgu7mdP$LRr`Xl9OUvDUan*WG7*|?CYL^aTbmo1tl9e3Q z&HBFP7BNU46?8f5elb7y_DO8tHU)_hU>XsKqb)FdaboeB%`v>vN>XUftQ}fy&ro<> zvrTmocGc5LIq>xq&RU^?goG9VN|dVn+Bxm;sejxGe`HviI<3S(`oQjVH~iAE2DI=4 zX0OKANSyl-m=}QGuDhA{(hCbpXnOTPB#0kE0g$wQLHSeT5T^YVQ;uZQ7ire9w!`rb zFGh%JDAuOGHwg{va$+ht#&XR7e6~4Gv(VFDTPjCq&9T^w*fE7DdIU(uDQ*8uP6B(d zYz|t5*3&GD`(68_7a!1*hf?B)(Es*96?(wj)N$&62lvAUY&LS`Av-Dgf18&ch%*JA z!FRa-cTRr)y%WT>AkgJ6_W+PEI$Ym8j63k|lY=ECFJbI{{71;gC z;=?hyY!>UF57-UcQwV#VY*?>1X*YBNBHDZX6nMsD2H-&^Nv*$l)1~Buc=jNrBXO!% zsM8>nUu1b3L3M#F`Vu|$veo>74Y4eC5hfgA1K>{smro3E!kfghaiDQw<&>o|n`Iba2OvnRisIgYt-%fh*assVRDg4NQIQ`s!^q}kXqljNLz_3aVNc)gqXb8I8S5e6a=(RjUsJ26o3-Vc&5^k}?uui6j z9gfTLKQC`LzVM}VTW}{N)yIO3&0iaO#A|ZVV1nmQ?zHzzCkc2g`p{#(5 zVKw47R~x3*mJR;42ds9@w`g51Ke@@cJ@T;AyY46p#USJM+*qUrc0ll&|9tuO8L9OK zj(jLy-CIl#%a_2*D~7jR&^IBb+yt}Kix|&*P}|?)P@?^DZ1bNuzow+P+|Z7((5cv- z*&@VV+Q>e>b}15}3A%Zn3A%3sidrUq(h&DtEK1B)Ofx4*9FL5->W)aNE(vFbs1HKv z5f+)}^%Isn*3YZqp;*bk3UP~Cf1W#BRZtsx?;h7US$>bYo$i(&#p$@t^s>$RNNK-s zZ_(sGM@)g7_T5E8C+c&4&3skFqIFvJD2#tA66Z~?`@cIrTZ5I$H46b5gf6BS6Z}Sr zW4!nSExm!zCMi| zzid%`xq`Qu2!fb@&}5i) z&btjk1-{f%@kI!_SXalxi=btSe!#Qq5$dtS=VcKS$*FkegwJj;g`r-uD{fj^r#Wk1 zG@g%yL=FUy52rO)TaKZ%DfkS`I|3Nz@5k@ZvqAUy51O?1K)~+h8dpbtbTU6kH3$`I z&|nT(2$dCfi@A*el!}MfvIE<~%uS51?$_)-qR%T=_s2_9wXF9APZ*6B<8Pm_UzxwA zxVZcSzy4O`Fq%T=R_k@R+!d~Mlm*gyDEPq?)4aw;V&E7>T0|cq-GOV4?2i2|h$py<{HqjPSHweoGx$;= zZ3-%I>OCh^uKY+dtQ7|=iK9eO;xX4j%jr#G(A0_}x_~`8k_yxg)Motbvc*1B`_3EU z?FX%)v54WweY8DqJqcb@r0|ury0b)SFa0>^^PqE}`QpGFXix4hp2LiC|B>hbi66(A zl`VEie6q+Ej4@K#D$d^CUi-|~R2~Qo#*wu81p__*3%8r*XC1aLqeh|HG~;suZY zh+>^!^p5%zFzmc~)$8f}a*R5Tm5XDiqzzoybIO>=EX$?-`>nqHHV&H!P2>E!kA- zAIvrtVP63>adc)o%6rGSplC+;w4gb58teU|zi9Y7=t9Ti!_6L^;WBJsNLmz*_lkxI-R?is6TtN7XJ(ZZJGdlG@dL1gxyB;?LA%hWAQCXGPiWp zB463RaQ`*vXz`{QV zw2~Aru`9Tv)z1ba4b@3IxPWE|sK&n=e4UQlho@z95+QbKujNMR!|aXq6+at5#Ydr@ z35GYhxr(|oM0uHa2P$E?dfVv*$C=yeuKfHI`9;u$>&LG8Aao#gK4&Iu<>}}4QH5gK z!amT;*b=h#$ZTsrZG~I`QvETnGqt~E^#}LUV?;EcdiyeM49%?X?rHEV>4n9tE}a7b zBf$flBjk!mmDXzQ+Khip?$huGn#b;#VL*BamK#(_h;F~~^OWfq0wJR{995P4t-s5d zJhZq6;|KzoHUBoykIa@@FID_0h1zb7-W_eK=lXu{W{znS*0BB?dYn3={q!-$ex!W{ zxmS2{nOc}II;Iz;K+OhSZ(T$kh@+q$2T_KgiE=*UsmRE6kLT`?q!a&J8i@~p>jNuZ zKY-8yXazWHBA(6nxjaUAJ@UN?WxbZpH}IOuucJ+z6G2~XH(+ZMyy*gH4v4M*(oznQFJyNo>Y;tq z?G@q^Hbm)V%)rwU(t%qfd$5tDZ9t+6(;L%rSC}ios(`l^6wHWzI(-q?eU5B6W0SCy}9}8 zQJ`4cVQLu2o8XN_bA`JThC7<(Xycv`bu68j9ve9$^&x7u%%V43^ zb!Edt;U6;DLrlIS?YF?smL?aB!_$iZR%c#a_zJ1^EzXVl|#$J#t)zu9`-=9kZrU|JJ&* zoAUFVl*H-RWa0;PQawl_5%k6{B4@lry~wR?RDcE^i&Lf!A;NzpJC<4xGwhPrpr1?e zDpYJ|jy6!;dlxJ6Tt4a@q~+!s?@K`YqCJwC#M1KZyK6f=kt@$B0qb7tcJrvgI|VB7 zjCzD%0g^6+?Hbn2R)bza-N+_Fe)UOG0&1*7LQumHEL-}0NFg2nRrAs7wBZgE=6Dw~ zGfG|~f%K-WP&X!@R^lYD{f70Y85zco;>t#^3!Ovlq*+Ap6mqff=+60QIUdG>(G_vD z{l)&tGhM<6e#zg__H&SlW3>;$5f?1iOrYHYLBRS_*J)qLBvhuF#!DPtu5i>2x*{TE zc+k>zp++%E+yS z8nR}+QHsA$UZElh%Wed#ude=J{$6OK z?hF1;3^I)P<=_10whc{Q_U`L#53oO0>pvcc2)%npTahv{!Q^P1Z7L-W!M9r*^02U>MS>=eSIbZ5oz>ElIpR4fNCf%cCA8_nixyVB8P!~mRtz+8q;SX=ck3dVAW#&kSayYy&2?=AudvSC)_$)__Jn=x(dJ~XgZT$M*u>{U z(PHPQckA#YI<;OpJ|1qkN(ZMxC8rnv?aS;>^9H^$axu>E&f7Q4ATBr9cNCpVr$+mu z`0Jmh8-a~?BG;yebG=o)hIlLwH7H$yXn6ESG7eAaBguR4a-PvhV&(7#=>T;pK{`*P zDPIy0#I6~=d;0BxA#X+rL#;00t%^244Sc-f zyk**&o7nUD=}-gr9E+Yo_j^p8sb<&+zRKW1bELGkx(=wqJu0T(CEX?Yr}T5ZgB(R} zibE(jcAZ|lfUI3HS6KTi9hOC5bIpxFU4)LL6{s+fjoG;F0=eHKH+0w@h!-^Rhv6;n zfOrE8@7y1T7mv4k1YmfH9~j=2CuU~=!)y76;nn>60}r{~FM#1Svj-RaVR-dFgRTJ@ zx%Ll@%xDiz2Qo13ha~qSkV*f)b#g+Zv_Uk=`Tn;7V$*~{ca;46kJnq8&&s=JE1;je z==8;G^tZ@j-@78c?uX1vR^;u1Kb62%X{wuFRUm~?NLb}p<%2)GS;1zL|fP3aJ;96>kyMLvt9j+3{H;gJG* z?(+2N`&0Xo0KPv10NKM8(#)r1Ou?&)yL?U>)z2M%;*M9_m{v6dUl^_({-#jrteIss zKdPHk{W`APn%yMYZr)G3!9h}JLBvq;k0|IVfG9~^@AjiPWV2#UQyVulGC@P-elM)X z7UwSD(B$t7$ppLgQXa;!ZkyB-%NCsSed=uMeWsA@BDKAb%tn1`6XKF*ZvAB`jrUE< z9y9O1Z#56>tqgx)Us3n<^%W5r#qF3JUIqo?5Tv*EuEur)WR!-H*0qeg8Yxf?n!B~# z6OFOp@q^#pZS6|kv(@*MQ>&g|N#JaeIV{aKmH^5;rggUsZ8a0R=4z%u^;`wTSUoCu zWVW;s0*+{;D#q@y5^&nlI~bEu)7?|dlYX6`xiq-y?F1io5|W>yLeE$En%Dj8#AUmx zk+VwBbO-a@TVoF2NrvKJ8#7wq03bkoeCCNh7j`i*xdXX(KmD#9<#8a0vq2!4qFt{aj|Ih%GjbQkKgU|GBGG4Zoc6{1u;`Cd*sg)>=U zOVN>mUNxpI45FZ?lAEw@XUwD0IU(OCbX4HA5qwWLh{9vg*aaEjdi3ox$nIF8T1qPB zw6!J=)ZB^R^IgFqRRf_a>}l)|S6kqWlUbW-gT|HKU{2L471OJ9<{;}A*%mboH-s}o zRUR`&XZ3(pXulE`{x(46B(Cv=>cy5>FQHVrbZ`2&b3vy3BLCekFGN0UPwcsS0(TYT zt5#l1Ve=yCJnXlq$8qNcLx*`Oew>Ygj9Mbmy)~qD*6l)Lg9lQFB5CjK*rR?epWGbK z9x6_SoNVvX3A|-@aFBU79b-Q0pV}f6Z92@zz-D+UTwED8LckwHdY1N4Efd5z@!)dQ z{ZM|Ug;W#SA__IgN@tJS)(0N~yOE$qq({hq`2lb+fF;l0%w_lX2aZNpp4Pb}Rf~xig%Wr?&%K9d?glUOd~L zE`K}h>b}C|6cYaGxBz=1B6S}Nwb_2Bq5kUcZ&FTvn=tEKOwx9R`;oGtZ_9+>D03CT_Z3SBY4V)~-`w z4r}qz#oaf*Gk_PVg%(J=GVUtu)WP1CJ%03;6Rs9FQ(VibG+O}s`Yyk(yp)@@3U7}{ z9)@4$LukvN*)Ks#mpBtdIKIa1ACsEWB58`wqIObgp(OONH^9I>HMa%GKEFw zd^t;{l(jkeHLwaO9_<_C&fUcM_u$KntI|{YA_4l+s{Y-L7wxXidZ_|J^5-K5tLxpc zSjBVg>7bsEhtrbap^`XYts(vuDU$X0Peei%26mJ=BXpGxwMU+HIMZPA@K=XZHv3uk znX9)nX)a}7v0fj44aM_I7j->{Oup^fC=--3`#Rcad~R@_8(qg7@-8Fm<3Kc^ors04 zjs1c0vMkHvXp!5GmSojT$Ea1K>z83sPR6bJKM4s;T{U!DH;5iF{2jOUrXZ&{<%^hP zWGo&_kTk&6>=xR?kLoM;_k{Q5*d|y{_&gj;_RSF=ybhA)=O5qiird(WM+op3wW4Aw zw;ut!SICMBF5-HKHu|2zUUi3s%brKKQyNVM_-~;Ub6UX1d5D4_U2>v_>)5by@ z7pIlG^iFWtc;wui%)UL*S=xCHVMJ@tm8sliE79yoT4nZVLUglEgC7^qtY-1#yLJhA zVdFkCH-oe*7zvQvrl{>@8!=pWcaXd(dwlcw|0gD}%c2l$-|$1quWCH?=}7#j!aYOq<=Qyg)IyhRGDyvW>dw@ z{Njg>FzYJcW4Hzqr#3q8x{D`UTFpnoDR09%9=5|DaLmy#L>-*G!r)FFUe;IOSX5Xj z$a)}W#Jn@&R#bZbB~Fph&Zj)IlvOpAdw2JcYQm2{L~n!O^TU?^16W#522EqA)d~Ag zC=$4>PiQXo*R)Qks?E!%p5QTjyXY-7?dLcnZ{_UrBGE5HU-~b|bi!YPYevO&+o)_* zOI;8)B-=3NR1G5TYh!xVcsF&G9z)eEUt7I&$M~tDf0~RS3gK}LDKmb zE;UJ=JuLzK*_>F$1{i+yC5$;_n-lj5Qg6ap7`VET19}?pP~xIJua(8N2b7WnPoZ0{ z8inCvH&g(HEi9A|#pFPV3wr$*;758td+Y$lSjuMv1b-lWBYRkAMZvR@rdxw^lGMr3 zk0QS-T`7l7LW50R&!7-c_3ml)I#%>;`R)8$%i`Cm?x|bclQ`ks_AjV4%cV2~zU0x6 zKBoq*9m<9D6$-dlTR-HGWIURDW zAq6fp$avuM+&chbQ}SH3-eKWOUazd*ZpVorb~2?IM(^j+sd~}h43`k1g&&Dizu|q7MG8eDQ%K)1l?=xEOBIlzfE_+ph_$MQys3yx4k#>%8Q=_*`6ypT8V z_)L^2R%4z*R1x+ss0}4A!}tk2bXTC@c!w{9#(yZvdf+uqwB>UIc`<%6{%*4Fh7O3K z^s_Sz!ODbr|J#K1DRo!Pl-VVrmyWz48)t-ucX*+aee|rUOTVBK>EhhzeIJ4>!n?D8 zue*j%#Nwh4r@>I``1gJq{kN-UhM=hUA-w<=Cdh15e8<1!NHKErj!s&ze=SWFziBr znJ9#B#{&){}wMaqFxBZrOPzYaBj^6)fP_T%=a#`NC=+o2zEhb=1P$W zbKmNErK4euJl`YF-ApdT%R7Oug)Z_j<97_uDr3t_e4`P?W>T{Y= z7R6}E)Y$`VGu#I~^s|ToWj(+y#`8Z~QT+kY1In%CfQ^U%tTo&}4P(dtlyvhVrp`XF zubh7jKvDFsW(tFl(SNP_Pg7%oYHwY>&Jgdh{B1_CO$rBb!kArHUVcr5-`y9oa3 z#cCMc!)$-m3jnrYf$gBbD(mty{%Z55>iauT_095c)i+?$e~sN+wq}LY+)?dM-?iR0cZ*fv>9G1^Rf+$tp=?-5(G5>( zqvzD~vM0%#M>_-gc1o&ET7C*Wo*~0KVp1YfrPIvY=4=>i&8}e2=Bost+J|U_$Q7Mw zPT&_7VWhYx`OeSO)4l$sttFVi$;6F_Wt;U<-`q2b^Oyfl;eMKn)M5xIf5F=HMk>^E z3ngKur1h)0rGB{okf_%*EM>0jppT`+js1|Si{7Yc(sE0@k93CDb^^odZ01UZBvoJ^ z`oqVI>qF&v1-DqgchKJ|yZ#An1!aprD-bSo6>%9=EmX5drTuoS<~I7~6Ux%EfVXF8 zz>+9Oh1-&RC|~=p^owVY%=>c+13o6Fw(u7U7G>lgwP1%M5YD?Vi!waDYKscdkfS;7 z(4=r=o0)Nh|I(cC8ZCkP46oBD#$F6qFAZ8RtxNG@*qHT(%TNkDdYxq#S(CNlS9*7t*HGsh2t;v2xkWWjJ;&O_Z1Q)T9U-2W`DW7SL zks2JzM}wiNE#E&lux)&#fyz9gka+PiqyK~-O$DN6Rz^0t8|+f^n20y-(OXN)J3cY@ zQ!|&hr7)(ZNFN2nea_;<(e^##=3kHuQ}U=3jCM>HeZpsz5{@N$9vOMO%vHnGuoO?L z_&qjor(9*$Y7|ACTK>?YP2sCro8OQAJRkY@dK-34osECf4UOpOd`qZ`gG3fD6%7)v zsd2hswpiDribB;_%_hq>e=)nOK>hh~Rz&vi*IFq!gGFa(E1{Ax7OW=re4mEv?rr*< z%rN>g^;#x^6d{@h$y&U}UqHqU4vRydh2(cMOEvZ@Uqq!mN>I!1ff(K`eWn$%S1ZY_ z0p;5&jAGChCztb2rZ4V0VzL3tzl#3v^=>^4QMJwMxFza)PG@yn;d8Vpw(gXwZ6M&P zlgc-6)}rG1UYt8;m>={FbANDnd8PgHOrD16mTueEC2dT?8Y->Zh|l8^r{lLALr{oS zFqi6yVW~Mjui0$@#&z8IS+nb00hy)?xyI*dF1aoq#2rzDeCm|O?Wv6edrOz90eRQv z1%y9uOacYhe_Rf|nUwJ^?3Dr~7hV6$F27xJmR;y7FXtGqlDTI&&1c^vku2G76Kq^G zLD^gGi%N?}zorJ4818`!P?u1#NBgk zL{0?U+3Y{Q*4nHticuO^sBR-o_6)@9q4pKt>%m_cN4q|x@SXsqeqY&7Xg_2IKHo;u(mtQ*Z+w_6#H9rTqI zp7j-+Wcts4HfACthv-zB1OpRMg7LV2UF686XO_EGV}U~ zbh`^=MIb;~|6?9IP}dRu>^m4*@E+$clUx2zzq)7Y^LB#>wRZldq8VfB!%5)cXHY_^S?sStDBsBpaH-%wI&5 zJ?S2a=2X^FIi`BoMWa#&8n~R_KnG`}mVSp3hIfA?LY}U^*nPCG7Fa0%#lS3EW9gaA zI7Bl>05$av#~_+G0tO3??5)wS7aY-fdM&Kzxg~U&9P>G8YhzOOL{hbHDr$#dtN7Nb zxd1dTv>W~h7tTuRy22OwCkOHeENt&aM*^>kWVW_a^a3%%99GR2X5{c9yX`sJIWgYx z*}1dkh??mK?{&!K#yl?;E}Fuyy81eiHuW9C_41s6{n9;;9ol$b8^ztybkyQ--F%b( zXR8&6(@rW~SsQeBzGp+rJvKQJ>-6s$WL7By!*E&NEr^A_eD}Sghw$C2A-q1ZP@M)! zH2SJv_?Jwef^iUZ&rK#sxFU{Xb{kRyfF)phodJ=BDO#KZqZiPPZZxp?YX-5T*77@)GK%ov z;rj%W5_>beItZ|x-|$pI=V6ErsRvAjRG5T8YDAjhnYcz#cvXzqCbW34+wk7u{`gSd zX`ks_*cW&~=sf>0f8Va&^V0+V$pHXX*b96bOwF|&Xt|KKCLA9q+ZoIm%+H`ab?Ksf(M3p4sN>GJ1x@5*UKE*x0;Mf`mb|XS6`0>|Dr*Uu;_hCbl z^&+H4DY_H0uK3l((9*k-$)~5gZ`Hg31j;@K8J0=aAa+l~0_p&odpmhS0{}*h_+xt% zG|q4Y06P->fv{q4x1q=Y0_FUJCIb?GKrmnOgDimG08QC5L1X|n9(y?iQTc;me*#Bq z{RR~euUz#2=`a{^DKunJ19Ab(kJ z38hMC$^8|cK))nilqcCnfdq~OF5FdgY1goiJJEov9g2P{71U7V>sQ9GI~_yc$9|un z+zyjaX9-{#hfF82;H>spVaHEOe8**L^g0s8_E={Y+>qrlu|46fFL3&LS*kU0op+F7 z!v~T&URm`o_AR@*z3XX4J1?5F2cNJs2<$UCg2R1{FCS8mD&oBiP&s9$4Lu&fQSfOr7XoNRp3uAM|1uj5z@$&fu2sc<~>!WOJyz(!-vh&|8|rc~WN|oFkiedq9=J&C{ayhA^!U$_f!! zTPYn2r6B(@KBweH4ozhFlC!kh!Lu#z}Pxt`H;~!2wiDe$>l{uI!ABTN3|WO5j`}cNfk>Cuk5~+ zdtK#5mgAm#I<^o@qp{7qU~q!|86T z&>hnzm$+6XGuvv<(p;6I*J$!84Zs0Um1Bn-;v{aP1Dq{*VRdY(W@>9f{`*~wBx;zo z6oXk69D&xipm@s;MrMMkRXH~>ZBRCBSiH#+DxC}SIyt4O))6C=W*g+M_?i3T;%Mk- zlvO(0Ec@uFVGL4!*XRhn=Ydot2z|>+*eqX#{!AQd<|Q>29_`sv1=FW8cKIV?lIjU; zLp5qG%_5hjB?q?VzU=T-|MDjw^<$joNi={ zLKcC%4-PY8TZr0ID@$(8bb0FJe3&AO6Wsc6v(U8<5>|$hh7P;qmk%Z&CjVx~_VVqr z(l`~FnPp?xno1#PeG241C?e_Q$)Bn{sR<_p9*C|38ACRhf99tvzPsoyx?urIfwx|U zHocM93Kq|xZ&ZA-f+w1AZcc1iP$M+Ol(4{UN3wt^<>l;U=!;+7P z(~e*^A9g7xlioJ|%l)VKWgP89Eyp{6HR&GNon#wChPEuu23p@KyMBJ|etDkj45xmG z7#w@4AUp3~Zb{I!%^{Qq2px&nZt!st#bBjijbAqrHAekZsJDII=qOFR*SzCHdbmob z7d>4tPOJ9)vd^nn6+Rd(1uW z6&~F9WH7A7Z4buX=KB!3#Oh?+QXm_dpx9n5Soo8N5%dEw>Hy$c#$Jv?hMi0q|L`x~ z0kDOKGz*BUwLqa5Fm12coZ%0&OT;K();l$S;YR6g=mWv?S703jh?)P7KN<6ZQ2)eF zARsqBP*lcm&A3P6{)(Sx*!)faNfp~`4!DJf+z-nU2(bX0)A(WwCSl+93->eGhCe^c z%^T?eR_CCOFP`!$!jC|u7@_GFj3&wn#|ZKQax9ruv)SjbZ_%tWQ)j~`EdB)Ws;Ixd zgK;?wk?N{RDa7wv&0>2;BB59MnVIQ%;&ChmSTTv-5-xX+VqfNEOgODw$1{!Bm{)LkX z0MBZiT-&(-txy1h^CkJ`)9 zH%pbvl;1yCvH9(EO5>4)_Vsw99;j2xNa&;WOKnkHEX*$J`FCcnPh$m-Uxn4U!mr$S z7M!X7({(0jIy28^PQNt~*(W1F<8##oy>i9cv$D;mXjgddBZjRhfP(om`2gN|nEbej zQDZY@*^*xfxVUn*c%m;7DEHA+GfymBkgx(Q92cakR9{yy|CulD(~d>}(>xAOiz;DW zJoYUe@?T*8$WdUOg#;nAd0BWLqeOS8Dl!u-;&KmqixGZf<9WN;G<(7qO6H$mu5=xB z-T%XPBE2Q)OK!od4xzo(%dB2`+QwZz6}QEqybO>VKu22qL0jj0(Aaep2@GSl^c%PH zuL%$WfcC%9Bi3wU+XHe5W;JUdr3hu7`fBtXDB!{F#1ETYdjZ@a(`JM~KV=^#nbVL6 zBosz<4J*Le)(di5Kl@5x7hq5Ee%f2wm@F!n@U{x4r`T8@x@``JLLeYe6la>DTe%)t zx(L+?fijZ}*W757%6(C}8}ER9gThVTV4f&DsJiak4XU_bG&Lq_(u)~BoUM+Ft(o+z zrBvkp8XJLm^`loTHU3>*bTZ9pv_LHLum=V}V9n}YsIBA#>lV0*Ww1`@%IQ13p z$!v?mkB$gqZH@c+tFgiW2)2}=CJOk#dqJUu<>1mqo4hGfpe?x(+cII*gHhRzdRq3$X0||zP&hGRd|nV7}x2Ox{k4#8R|Eu2dR1-tyq9rAmjSZ0YMT}iRVdU29|S6{ zDKdqhsSxi4`?(#K4mjaR_Xu&IJ^D(QEnI*{JJOSYmagK^jvX#?xRD4_5-yjnCITh)#v?vB~-6c5%E5`+{Skl>D7%ddz?D(xTI6IJYF z&s_GQf<>>^9Z8aX9X;3yW$7FGD(YO}>#AgMoVvIyu0-eU!I~->pE#ZQTwJC*f*gh9 z>T|Uq%ZmVX&B8Cf+K(HF=H2p8hhFL1Q0B0l`4N38q?o~ESC+|G_$@EJcq*t8mv5@b z4w}}6LQBSqzCuJjB8JH@3@;f%vMV`OsaKp{Q{!7vB1X6=HUFCB+d;PrwxUG>lit3h z-bYMy$1Ym2bWAi;zMJ5AE*D{taa_4wIcd4tH7G(?Yrrl~yLfiZ4MrKyO;tzPuPRAZ z*LW^T_l$xtMKxHN%~aEphx{W`e8;+P0OQw8Xe%6!Y1gfek<9SjSh*|yaUxOCI%=F= z;WWH#E2Kz}*(vnT8U@E`ghNz5vV(0&>R9gFM5B&PG%zpOkoA$q-?Zvdjd$^;59b!M zbqP9@3SO>GLBsiJz`eyo#Y1m{-H`&7V_nf1@I_eUDqZWvbq#qVPB25S;;N-_@X#LJ zB^0|0d~+Qysj{TQgGexta>CkE@(%}pR6I7NDZasiQet98Wk@)54r}~ueI}V7X8MRS z_&J^l`nPU6azU;~s^VUso0j!LO+JF&HaZ(Uc$VWDC_Na?9|nP_zh?jy-$P341npY{ zSY0oIu_e!i&$}q0=q|#}`xtW&ygB3S3Vvg41PJ8+9y~u zp^ra~ecAoghOL&nLVdvd6Zs`y3&{@Tay6Poz$5u)HE#$+7gF`ccJxpbp&su!FoV73 zO*-SqlDB8&8rhye#rdmM?i$RVrbkiKV%;1Kq(2I3tBI0XmU3K_(Gk11(O8@`leo$4 zpP2c*Wq$PpCXGzk;LL^g>zT+5nz>@Q9G`U&`JS1)=ecuZ$i$qIH1P*qtL?l-pTNqYqm85?8+gR~ICycll zV^G1qqcF?TZVf>1d`8<|+rNcRf1veie-k-pNXN$y>zNBlgzPeb!5S9qpmwoKC1EzM z)=0NQ!__8Qy_D_|0`c{XQETqhD1d5UYOT;>ni>lGw+B#Ik5Q#%ZmTi8FGMNE^`rP~ zQ~-xdzH90lcOqg|myyjVKj&#oI4wA31pedL;Z*M9^?kO;^B?8+xQ*V&lP`0O%9TY% zBurVJ#z7!x3ey~hK8RnNq`qMe=*n@^h9%J!b$erj{_O>vy2WKvv{D3oA0LYImWQr? zg)N)F+YQZ_Y77ON;0}?P(MLk9da}5XY}aF`_A4S7E;e4PuWE`nQN@xlmgv4{Up=W$ z*9)ZsqNWxT{w~kdVFwh)m$cHfM8JkG?~?Lc4pBCFM0bgPja0aEx04?PF|>TyHlv}= zFa~l|lp+R(Q_dC(hq9&y${=}7VD0WW(UXDtc)eJ2II6GGXG0+nKp|S!1+B*5jKFUj zIGK{qY&)~bA--D^B$IC)B7$p}b2 zgwe(2DT9)~=^WFTBRwl9-)FW}5gUZV0QyJfC3dH=G9zY+O{CPMRJ5rGDpYJl$Sd$! z)}d{sXrnAR=tnvEmVsz^aP4!qeyF()4|s{jGZunl@LG>$(-a;EHZ^Gc0#TPw(||&m z9xOom775Dq!5BLZjRVy6sD!5YCkgn}Xy?EoD@uYN1$=U8ga<{f$?>ybOCL6NI0z`A zLx0e0ZfKh`ha;eXZsNu{0svb8DlOKS696M|#(d$Pjt2&vI#)ZD+3q*CxgM(&p5$!4 zLrtjU2jQZVJi8QYkeq(M7UOzknR%q)K~VsO>Y6vHg?M!oPc|hw$_{`1fFFmV1uba3`~(+l}OtaDax#-YNNjW2rzb1>-WnW z)PPObZ%dX2HR50mGkP|=iyBuu=dTplg4R3Fy@PEvq zS&U_2iJmeXYIU_BuY`9eXWqi3xSk>I;7%?avJ`bjCRKD}hEM5O*@OBGq<0OL$o<=q z<4rr4gbv0cWHnz#DlgOx^C+mSn&?rO#>-R^%Xv?*olx$1ZRZF;L0a!$Ynebh)k+Yj z5MAAq|1abT9xsC6d)o_PMN5ww;mVHO+Ib5Lxzbe*9rOZ_ler#%oI=HLStzl_^V7=L zF(i;WS-5c02&E^eDm_#;0`Y_8O#4n4x*ezWycCORPDEAX$1Db=p%f!cRSs3R*Az%D^y(RX9>1Qk#d6~;456j`G#KFFPn@i(I)7QYD#D0 z#G(<^xCwCF<-w#aWCf9tj|o|w2%3e%h9xsOfHb`S=Q?8#(g!{8C(1CR zWWDAcerF@F%l0U5hYzXpZy8El3B%tm0R+z@gH(RtRc8_-%Kmy9j>I4iYMJP|_+Dxl zLn3XZ8RCsc`XpiexxLHN>rNzYlyAx2;yazx#4h&rOmv2D2Khv7wfDiM@OfWbJ&_~R zC!zBdY+Bi=DoQu;2N(+N3emcA`TH>K{Q2GY$u=1)?Pr;~HYMxCZ->Aqye9VECw5of z@9h)nKETN*I%`+zbO?5tTS_E*==C#?t+gH|D;N~O4lFVz8J!S#oowSNi8}Tf%zK=G$ zPP%N*NZF!))UY9K(dEJ4rzC+)grrXdkp+7w=NNN4XOQ!S!!YkSh*nt`-&U=EvHqYb z`WXHb5O*z;=ZfD*o@QE`CkI=%N(I?%$nOQXtSSH^nS1&hLb#LSMn3UIE$`|~o7hzM zScb4vjcULdU+S8^=hQ$A1$ri zW?U9&dnM3~N0dofmNk2Z9~yzfp(xP|qi9@BsJ72280&P%s&a5Dzp(c2W&K_otFqTLUL?00-HTy zT{l)&nDh<(MkuDA7j`9EW$_WOk8ohA!qWF7jWIr**>0&xyFb`p*GeBCaqHB}+eQfu zzUgH1pgY27Hk$f{gKuwivGr9Eiz=w0L$o~DF+kS#RpC|rt_Q}O#YdWbVeKqLtkZ2g zjj$$)h`$YQVwC;lcHwm;amJpc7-VZ~YnaWVR+l<`G;wDVUnv?|rRxwu&`fw8o<#X< zIR1!tP;5SN$08`x59^TC^xnVEzal*SM_a$tCh60JKuG-@i&btU^6bHPx1=Gm-*QB9 zaF!a*QUVYAdOvm6RMpEhYV(nNg}4!X4T(ZP51PNOG%IQN0tu^mk_rg}sF4}92R&eTqp$=xXRc6lb zOgqu%>y6K)5-zlp|4~YRJg{>&S!lNH zECyb3RnSwE9)_l#z*cS_MF--jY-0NNrB$x^t#5juMIsC*l_w<_u#j~l@i|A!)MQ7d zLAI6{p2!=sSj~=UzE&pyd79%}pGAnMC@qfD>3oIYozM<*cSw zE!aG~w}nm$ESg(BdKWbXwD-2KAx-Z zjPrX(+SV9BX8$GTvmePU${L1md{+;naR9j_DWhem<}{@Hpi-t{MWS7?EG_H`NwgPD zsC!=#+(*mHBK4`%wJv%+s%=(8f2c&Cur99{-kz{05_%W+LYqI@9jwBeW9R!q>p3l3 zo`sK-P+)P88tMNo`~-Q$#J0uEYzPKAc%d%W2ZN#@?R7vawuIO8t*-Gp)+s2|dq`D? ze~;~keNgvsRelc895%5{oq~yTiFCuzO_(2Q7gWb4!N+x16^&b&Z$8z&&7L%0*v_#9ieZihI0Gvc)Sg*JAWhZM~wen9;o=Z(xG9OuAO?=_hO{`rOb| zUHcC}&j=QJKgn}tw7(5E6odC#r#UVTOV36E@iat&QYF{f8S3?mWIkbV^zZ;$@+T^F zK_B#5^o^klddKQ3J~Y|sMk;@Xf2X1Mu$1r;9(>I|GOGjs&_Dr&$pI~?UW7=*#rz#A z{?*+--sztfC?HMkE@;-+KLSuebxr@DK2VCl;iPacP*^)MH-0$Yr}g?E*QeaTSEWxd zA|XQQ{t_3d-7fexP~?KmoRi4%ObWqt;-+p^GE4f!4e)C8s8yawUhbjU%J*OESgVCy z85#jrq-(5W;3LMuom1HCQM=QW=<}WPW~lcmUI=O^Y4bC~GWsqk z%a%j{w*goFa^8~IB2vbYMsb|T#2K{Z6p<2o^NRZKA@5c|(Ss(eg2;mUlN(#>tlu(a(w8}-^G;+T(1>= zzhgD1Z5eVjHUz6!{G(luchGR$LUY%$cfU3~#;&ph-=b!|VUEri{WM-&8^Pk6$#J;1 z1uf{qZD{MFLQ$fL)^&@I!Qcr^LaHS5VDaEaSb)rK2KdUk3Cip_bEypOk?w1rY; zU@MbGN<=^3EFsBU3N?%+%pKO9jr;IzD6|Zz*i_9RYR8ko9vwlKgjK|#$R0R8SBjq{ z^VQ39DIB^oR?M9=MJ0tY3Y$#U)aC&1)-mCOxHvK=w~hW>aqeT!F>Dg)CNt_H1; z)nJYE%BJF;T;IzOo67PlL2|g>Ri@2n6ocDj^4zQNDf5|=oJ7c{A7MsVG9eSQ!ZvO& z{zd(1x%@J2Ccoa<5s1n%-(iQ?gq<^rm}QE8c1tVw+r>oh)t}+Rlr?mNt)t{R#D#V$ zYPP%qiGGjDJao6Qj({| z@#u3CB>*(ata-!;_g8GnvUY0JZo`NewE@e{mS|C2s&sxVR&$}JW#^tx)K-Av3D)z` zfe-GN?9zDr?WgVMHf-eUTb>ZJU~y$cM=9$_^ig3p`Qy04Rq$owjp5`0PIM;@IY z9Hi)4dZTG zW0KKnvkeFL@^gN#O3vL0_1E~gzkviGLiO6}s0IWR_-Fz)DQePf;_1Eeb2mp3lr_?# zFZ?(0Kihs5QDTb7J3L-DA}*T+__}h0WYH)#;^lAv?&g^ry)TORVz(X{wVd zdZ5$s!lJ?E@fV2{2!)yo^G&wSIt+DB01;{U1ioRLrRaYMvfk1tmK1R@aB~RT_iwd7 zJwOG|;Q{vX8TMHCC{B*7NbvFc_s%}iTWu2Bm)vM)7+CKUFMk8UH~dUt?75!zOW~%5 zK<9N;0hT%@`^XT9F0ZoD)j2wp4>{r|`YC2$sAKojUn0oh_IL<|A>47s+N%dqSC37x z9?lP)B}l~U8^)x;Gc^R`nf|0Svi}NAxk;sGdOEdZ5KI>QK|U~yb^eh>P4!gcPNuon z%YgeQ$$Jb!bXiDz-BmzE=IwOtmgkk;Zx+wfU*+d@(r9=AkFea^%$Jv_+_PJpNd|QKZngh%IkL7M+c@g4j-S?h4^D4 zyux*^SXlbTM6JT2(iOlOz;h;GKxJ$aUk@`|Ddpp1PoL(Z7*C5ZkhRX{3<4qB*m-ZNo@Q zIU0g;y6ir=`WnEIW7h<{qrS^_f_5F^>)P4pIwN{bRyZ>cpm45R!a4ju66?;*pQcYA ztS8m6yhtswTwUHCl8LhJg4UHzd(K;>@#LSYn*D^fjl5Ye)v#(U-iNr)E9n`+V;@ph zuhp;SSY`J60bR9`J?r>68>KB9+`mIaNhm|Aj(>lNy$f>DEJIyI)*hOP8vL<=<3AWj z#w*f&ChTInM`(J!@_=bpe@Zj(FJ~8c@0;$Mf&Cbjus{a;Ih<7d-H9bl$62aO!HV&0HE(~C-QA^TO7TT zc3<%OHu3HE?-n5$@li3aFy=xx53IF-W@kQLn?!`bZf8o$n=KVJ@&>&gksj<*(7^@E zwpgNj@g_$k@7})MJ9I?wK=Bs!mNcu7YVe?J_%JsZmQdf>W=%rM1X^BNsMD|cAxojV zLMvqDA)+oaX*mr3KfJvKTwLFiCyF}(f(0kITjLts-9m78cTXTd@ZfZUyAvFOli-aL z+#$FGci0R0&3|WhXLjFwKJUE_O)j_Zty5L!+^XtxPJQe2f@QbnN9>QDQv?Lv@~Q1p znOlNDf*)uNSGenQ{a1Uu&M$dvO;wgSSF^{gMhEsbZRL^c#(-~^rJLTaXXa*lTdRfH zZf0Zba!L1>)?4m~l1h|mVAZ?UhE|`e>E``0zHr-DW`9yY9w7Vjw{di>{Aw-*QXyz4 zl7-tiLGx%%GbP79yaBV_1gWwe++!Z8pOmDeMBC1%T7yeLa&p`vIJpL9}d= zR}FeL-OyNd#>o*-fW^EFLtaf`Fo{Xg5{{O>K$2Y zYE2%$u`Yf(+CV8KJ5er>I;}finRzcHO&Ph%Xe)^@QwTEZ7riHV%MQ!!*!(~-wj>FO z(hn{VW5&w^6C^D+ZI2d5qAu*})Y@`<3HZ7;@p3;xKbWKwgU3!5lhwi)(=4()u@Pm+ z4&jxZVJ-V7q0-q5TB9^80R@S|yj)WYPFtA-s6J>#^oGw5;~MkKoa(?9f;-P-7XN-5 ziz!#i`Y}>5xz}SzG%u@8xA_qR(vQIsk2{Uoh<76&W-HAtWKx@mxrwlRewfg))m%5A z(`XtSZ~A!??GH|^27tZKyz`*ArnUz^#dpRJlWo>^Z79+f^Pe{q2VfFP8wN8T5RjyS zKm`+?5zQ_;Fu@78vOVFK~pTga*A|?0`dz$I2O*C!05V|EK1GV4>n(An057;79pp2TUqHwITXp#JU@O& z^?8d~yyy})I->Jsg4p>segDJFjL@vJ`>Q5iJ@vp>$;ZjQlW1^0Rk?|Offb~DNaJUA zG9p0>%P+ZS*KX&@!oN7kVrh{a2v_voe-BaNx@kWLqif_~(SFS=&fNr64&flO{$?j< z=yV$Ba_bAz9(+7bmUL}5xwWq^Zu32XuLEiH0ckFc-9|>n7HQgV)P;j$Ro<4saxd_n zpON|JNVRsDd>;CcuZTQDgGWr0lQ32VauvfDU;~|i!_Z7?d$peMANe3%HyDvV1pfi*(7HU|dW2N$-QQsleqeUHY2tg+CG;95r8txm7Vq zGi)}DV-yQL481()uRoydN+TOR#har}}zr*2H7(_h9Z6ua0# zwqde~nmCdlWnxa?}hfmQX zvuC0rQ8?6R4jGC)&>>U$MhyRwaW}hBbD8jQxY_Z$MEM7J6+|+`EFC!a@vcu5$=po?Wb{XLzc>lU6@oFiX(F~1pFEL+a_mup1^?6w`Q;R&GL9_}vU zLTVZ3Jh@@hVf{P|JhK#Fdcx}gPbJ6CZ?SY6A(ZqyaRYgpo!1Ww>xYc~S^qX>wNigy zE!32mm0mZj`+)>t9U*!v?*2gWL?yRfdIPZ)<7cV(7Ql8Q(VLcQV5iil^p4xW{gf)( z`FBKNLT00*qT&eX1cD3MAJDL#pljhsB6ghE-KICooSUv|jY>w7^Sm)Ng@K_oK zC}S{d0U%kS4eOB|kGw5en6u)G!EQtA1zLEz*U18an9c_9pn=lA@WXZhoR!8iW>#qj z*dD;l8hFCYG6FEOh5*bg04fU#stm@m9ynll#>~=Ofp7x=3qUah0B;$<%=+6BfM=og z0dQ5%ECE;(4F8=*zzFG0?vGByv1GplwLRMFCP3DqeQm&=JRK2P z2WcWu?^oY*LX)L&WZ{o_Y82^f??^$mF}wrVOAN5eT1a`Xdt6uX*3yz>(1ckLvnphrYo<*kLEBmJrtau`0i1BT#xM9Yw z0XU)UdkypUuClY)#NJmp=X|ctTm4rAU**+@_3#Sn1-*SO1#a+Ueb07|u69)e*V|E< zVE-z!K*v(6oc`kMVMo@G>_H9H#{3&u(KxPv=H9Px5bPbEoMygvz!yClFvDXXvC(r)`RxB zT^ySYO5%1A4<7yKS%(H+GGFt$@fOFsQwvV?uby8>lOFz%yU|EDlI^-3Uzv~SY+U_d z-@}_i%Ma#|+zTu*1d4%W5V`AgF_<~=_p#Ky%h>Y2_Q_o~53lzkj&{1baOymeB;AHs zOuV`iNZr+ry*VX;)gt)cb$QZ7yHVO1;1u9op)bi&f>NZ^RBYlq3H?*sf?%mS9cPr3FgKM)5@aUT98nHU7g3a}y3oA&W zCgC`k(8&;7+Y4LXX^*_qJCi?3c`t-7 zPU1A*;PckVmDsfI#H}&#dTyE}Zu}NbxAUAejf$^69?YmY&ct0AArIHI*xj1>yuB!YT&s8^XQZnd&oy$+0m-$- zHrt$@o2#>bmZGO;nG0MGuTV3vjiOcS2V^3J-dzlYC@`T8rzKPED?-ZI=&n-2TOhoH zN3h-Lg?)*j5%frDXVt5twh#T>@lo+Tfh1Sdf1hvgFK3If$4MTH+=#UD-O}Ts{Jk>L z9rg2~x8)~aw!ICGOphEcMotYGSc`%0V~5L&KN`YT2iaPgJ!V@Hj!#`2<(l{hdsuyk zdjhrIzl$TnH`k=nPFT2(JKuQHj&(RFg=WrWov9r|@FzH=eJ`?DVTAwkt|yT2EyCY{ z1d-!&b@S2w7Su}ag;kW=BVtNl(eOLJA&I|vkAFTA=QH!eV@&K48Q%!8B4MnFzUGeJ zK7^qy!jngTC>1Y_ueCgsP;Wt_=P@6(C-XK<9c?7Emn??pu^gHdD?e1LnMz%U?@m|) zulDb=%$1o;Yxiyq0u1tvCk0`+SqR1MeSe6lgc52IKDRHDzK?!n`G)q7dXii$e$Cz) z$Cpz15%9-M%voLg1{g%eER&UT)7t^v6{W4&+?u4ho9ijPLKc-kshc#KONY1Q0(*$%N z?HtECQ)6r2k8~ZGLBOtW#+?<{`w%{=8p#3{!CJ?I^C6@X8=e0NVm}knGL+xzQx;3j zt1Rb#>@fjp`qY8yszEuN__`x>GHPMFg zl^fA~W=czEG^Aa4xzVOYQU_?0IN zl>AHo=){(XiburFafvPbL|iX8z`4Puc^_C$fqj956AEsL!niH(PJ=(69Hryni*WBp z&g%uK-*J@OFSG2R#R63W+~0#Lg@*WD&zKpW>~bA<32Rf6x{4XJjfo$ZRu@C0{#MH&O_ph!P@qG6#v^aa{lLdlsDvh)E8n(w z4SwgVO_f03p*}fF^a|)Y5M9SreUN#}E+lAq3I1!qkX29OXTWB6eI(=``mqUc*9HL( z*8d0204(>tFXp*EdFuXOWRl*g$0>G>uk5%_W$IDeB*GW|21XXfPpb75x2#EQIfL~P z*8~l8pvF|@*)LunPmQyg&UV-dt>G`NeP(V3qMSxYd6q%B6IKi}XO(7?c?T>$!^7$(QCE6r;_T!O8 zCf;r^w9SwClNXP!shMBeE96F+WJ^5!uu7TxCk@~A2P3EMRrS*iT6KR7-~vi8?6?fu z9j2qGZ>=?1tQV%~2qf__`wBeuIF!nVB$v%?&H*x-8Pp*B4-6@CYFnDp@cdlx4wTLE z8Fp|2q}}G77J&D3^009y4divW8$|TVL2Ji|M6pp>ayRfCh}W_hIC7b|?@*es29iKJ)%iUPnVkOckN9CXFiA-qoF zGa5P`{2EB^{(HHY3{c1wTg>InQ&yK6kT>@6Gx2k#k_HNpfkcJ)oD&A1xhtUL1ul-< zGgarDUCDYpXH_%6r-N}mHE(SOczEF_lZy1e0GfZMmjIIu(nfsFU;^so(!d9q*KJlr zjZh$N()&yNd@jWM)NBHb^X05H)WED#K^~H znmtMRIuEGfi~O38ZVYV8$~stmM;UnLdv+m;eiRxW+%*JXiH~myrvDMPBj$Wrh?G*Z z$5eNDCfP{>u^*_RZ{I`(mN0vrd#G6=F19@EOQ%}5SvW-;Uz{**7v29le8!$?-Lk4g!FLMBciWvChkjgunx9>0{1=Zr1&WMFal5 z*bXHH&4ByniXhUFSU80LsKfU*E5c<{jLJ#%&Xi0&j#o0MyWHaSI6i!R@>d)QGI2!tB=O zu}|XcN2wiLWAxfm$!?;WX|k6jikg~KW5@8ad%cbjXYFLy1@CdawvDSiaATFS_PjU* zQL`}GGx{RMgX!ghb%0$P;kR9Up&XsNNDST7B(BywO0vfin^bfS@mEFRQ)&8$JnY(w zk%u{vISSRjEPk@}!560OUpC=XjS(=BO^}B1b#oJ`xw&lLaistBR+kqMkz`bQmxu1~ zA^_dwvT7)&QpVLn9R{+MeF)jc883ZtxEWS3&<_+TO-|1aoGAQ918~j!^;#QIf-zNP zjS0s(#(mgTsw3P7$m!nY^wVHtUfz3ZU@UOFdGAUlEja9%M|LJ2P`4_Mro2jf+$a9& zRDTy`0agnNBnpode)jn%>6Sla(jrebi=I@c5J)Za-w!K~Wj<$OSWm!C8e?KuiCaCu zTnf?_cQ0vU#PyP3_--TUQ7okOX(|J?I3c>DgXk9h4n?J-y#y!(*^BiP*BmPzly|cjKr56ivBLg=!B0qiM#>Aj2)h=5iVSdW$M8B{@smMVr zBYMZ{Up}ckP;nemDv*H&%VW;9auBg{#X6 zeov4g3NJW}d-Y!BH*EI66?q6HwG`dYtGf55_dU&D1xu?94kU|a3uFv&{KbAok$8U- zhRgtX+56K>t`voww}jy2nMu2WczOdcPcW#G!}|AD_yYm8XyHkutWupddAK*7c3zty z{_BJ3tw1>l4>r&h;ZI%R7U))Pk18NI-ZSfNrzhaiW7!*Png?C)quPjCg^a<4NWRx| z!|TeaC`f>&0SBKqx?dR1`{mg4jQo)CRP_rqJo<3Z))H$xV$!y00XL;$n!>RJf=Hr0 z!>wfYbrn5oBS!y6MdQb`Sj@|8gB@s*-iyh~eI>r99?0bpsA;3K5o5!!`EC?!l6Pr* z_5~z=ukIQo`?e3PC~s%OZQgGp@0iwrHrx`F*DZ`TG0J$KxP@M{aep*-~gJ*F3jQqGb)#;s*z)-j5BhD0BF99lve^yj21t>LId^-eFu z4A1RVKWT=HHW5iBDdl1Mj4z*Bk#IM5mLKMNc4C!&gkiRKNht{9r6F2Le6UosAGirN z3{Lc-2qp{aX-2?Yj`cKN&w}3Yr~BM$&-3U&Gc4<0xzxNXxk*1BIDV=7i{$g~Yn$sd z9YY*?i>{yb5?nGVoKgzDfPj%>2h&)uXy6<+51R<4Wmwb)UVi<;#SB)OYcY4-G^agS z@p$k5Y7R}aXMHiU!hU`r=NBL?M`qYfgwgd+n!v}q?hkiq=eM?_*Hf~AA(Z@}Spe}Q z?~UGcG;TG>&t-o#s9I6ZxIB%Zw-&|XjQ2#@k9d0&M0~b8Uw&E#Yx#zY_;3{0D!iGo zy7oRFNNPVcG@!yL;r4tzoX9;^Sz;Q|yAhW2a9k#ghUi0ogt!c=-)&keT>mapmtH;Z zG4H6sYFXsv;;LACNpr(f2M$oNqwtxs33+MnYXi?tR35uYX!F)$lr5FmmjLNhU|g12 z_q&a6GJgfJ3Rrg&FQH7}4Nch;#i9`?_f&Clp_>U~oa4sHRtRs8y6jCb-IGIfJP-h} zB%Z`t5aQA5dy(#419;|AStmRhLpeB@l%GP1 zkHdN-+Ym-rA{q~w=ZGawqx5JRu_3UafpdvVX*tt`G^;V^?sA8pN0-ZJFtv632e}#i z)3actQ@)dr(#RnkNt{}EhJ}RqK>oI1+?3Wds$R})^NT4vyFL-w8_Y@S0LF40#fRD>ci((Te~!ykuR zrv4RN>0p1x{Shc`K1{cww4(}0@K4>G|88LR-;Cps`bC?Dqey;;oB+mzeMSJ-DarVy z%w%$##lNOxXIiVg&G6`PADU`c-k@^$u-!$v8qN5s&c$M)Dg3t68*?ed$O&W>V6~=n^!?JOCQ=5e=Ww6@ zelOsP+~+#;{=26=<@^uciod9`KsJWSQ_bY(Yz#Xr2p+z8#4CrYP#ktUEQttmJ!Jey z8LZEd@70*76fs#?y1IiFhL)3Vqhf?eM<9Hs6w%TI{$Utm{23|B-f<(8AyrC+>ib&W z@@g+r@6yLfF71zcwg$@rN2fJdDwFvRzZpPlsty4-l9ZI>?}!dOn%kEvTe|kou+Kk; z2P|po?^5)uLHObA9+l(ur7RFs-h_agZp%tXUpasSGD=dfW4&ivhvUJNzQ4!Fqz4*y z8iojvIaNBP;a@$zFw_sh-6_rw-dhmi5H2|B_l|Kpj--kBp&cgIcZ~IU)lgi~>Nl=K z&4S@)4t!Z)N&Db}pZ& zwxWolK)d@{!J!H>ZP5xr+U@Y}f-Q<=Y8L*Hq<59m%M;JI{0Yqpz2?wimJsF^`Uh{rJC;LVkwUx)Ae_!z?X_e@+31x0l!QftTNVK z{qfR_snlIVlE9NqE%%&_boT4EGfdFKK=ZG@aWK*2#8L7Y z@wrK%@WCEtXuZ$4Akp`|yP3&LypC;Zhs}tto&Q2wOw9%I&7Q%;QkEFp%b#6=)YR56 zirA_PT%S_O$aO9fZ!Hjg98niZ+rJj#_xB`xcq|^Qdo1Zb+Wfk{;Ld(&-yV9{LsFx$2XpR*oFWXJ(D`l6$5T-3M2bgL? zqsc+AbXjWF)doo}5_m&Z7-&`vRAJ}bzBls)>&y%;Pt z_k#*<<{J*5a1ihlnZzr0O;kHXx#A3Wn~=cAR=h9NV^);5J2-st#p6=tR{V>G>-t0X zkiQSRyT+?_-48Hls@Hdi_uWh!!iUA-tVHVe-|Ve%=@A5gmC9X}gd|=V{&(fcd_x{# zKQ(Rk+I-Iue#AGRhTD?68#zX_v<4&0;#d>?m8uo$<#5AU#T)kH%G7h)_|t5IO$ae~ zU{dFY)Mqd>j*6xQ_x06c#kYU(fB?_FLTjvnT6&IgV;3OSr-ocC;*vf7sp zd<82ivBmWVPKmbP)|OiCWFPB~J1f2Uy1s3PW3?pWmQ=pDC_0hh zT%_JhD=0T5C+5kh^5$y2)%?%My}8lXFEiEQ!gGruwt>!bQg3RC+jkp+eKFTcC#UY2 zN_jl-nVv-1N^zYhrhegNqFMhdwHnG~s}RjG+k_&QM1=N8ycGiGJCUbypZfN153*!) zRDG&bBy#ke0A5PuRZM}@$jg9a?Li~gF}zLM5wg=ht^AKQN*2O5>qYl@-470rP$oSf*6aFLB*^H?>(fq!y{dGL8e`?YIIXaz+i^bFVg?aRywBr-OHTa(i z57Y{1>g^!co6-^BxZG*!dX}PgT1RDKO5hoHQC44LsB;$?+#Q;SpC^O zuY_yPYMBnee9CmZ#Vb=)u(24vRT;?um#c&A|4ocku%)5pkcE1cjzG+Vd(^O0xfY|$ z7|=PY&8e3Ki-Z9ecWAl(y%H(czZD4y0$%NkBn1^w_?TE^_=CG`LHIVlxam%3nUo@w z{wJXL{_g<>-!C4?088_rba}+#$J5JayqoB9wc)oW^4p|ff(%b)PcP}_+~Cgp8Z`I2lb?|N3MzJxB`dkm9A6>uq;8=%E}jG&9B} zCv^p2b85<#TJ*n_e~5ZE+<5->no;!Q?9U?S+Co#^ugUN0e=w=G+=RhE=l|-@Py-?4 z4uYU%y+;AnSRsy)W^a@czKhlEeH1bgtYW@ZxN&cD`%mPh~bmgK+)4 zJ^afFubab3PMc=3%-D6^BoF0Q?Yg)w!d26ecD+vFtG%81`FY_326}7TJ;5D`Lkj^~ zg-5ZZo+0ZY>7mXeSo=y(TpIgrz=|lHO50Ut_rlW;PaSR>IXyCole8}+gYA=eIb3^S z)N<2JXY2=iDldL3*4?yPkfx7@*s>zI)MKf0)j>!102111#nFawELb7xP=$s4$2s4!x?x(bpf2L z0dJ^}abW15z#9sQ5(N7Rctc?V-W^jKkbvB7p5t^xFw8rmsa~m)_!nszWAvNVC&K#o z3)PS`e@|{-pWmu^dD=U10Kx5JK zl;foPJM);512H^aZ0`En-t9V1Di}~vvvBwr(|7&9-d)d%|D?2)oG=rE}@#>JWa zCkpdkBEi(}6{Ri9On(_;1^G67_01dG-${~=<1pxl;FcVJk2vEzw*CDi5S@B4cvkn| zC@%Rg^Bygo!Nq&uflTQbtfwKM5?T#%O`DxyjHNJdi3c-kth*=K!aa3KVs;5+Uz0?v zB${WY@p}d1Q*-l1!C;-Q?oyldOL%bcLv5RcaCUn7)0!1nubws0S-{~+xwUF9iZgp< zyCk|YbESGsI0GF1)0QHjTmiM$`8cO2cCb$biKHzIGG*zBiRWE49`d1}vMl-_dmwPr z2?tQJOXVfU>CF=>Q0Gz>24sLXpt6>L&!2_|)Fctu+y6;{?|mpil}~zxQgO_;*zCWi zLQ06fmA-5^JToC|m*6696}Ay_*h!npom#ouBgoHDiU?|HSrNnAX^tBt0PU1!jHt`MQysB#>^)YvB$fusi%czcB}y0V5wPvP}kCgK>A{>A#*Yc;ry zu5Te>b#{SU%Kv`_veberI=+OMxOt7!>0eTHFc6i_3_tQ$|^`SbxznQ|P2CK(j zz7FKJ65aggBCj7YyP48;L?XIP_VRG?u?_Se--c=D((Y?>cjXg>p{HpqYr40Ge83o1 z_B+=*86O9SEi@m^rw_(hwewEj=CVH{mI3&x#uht}A;Yiz+5F4=(aPwp`RDo6IF~sj zGMWJ_8ne#CzZ5U$U-OW9Y>Gmbf-QpkE}n5|0kF7G9XiWUBBHjrrrl4;HLmA-W5;pk zI*ppR+|eMSgU_eK<{~HEroQb&gs@N3?f`x&fkk#2f!Bzj|J2<%SZgz?Yas~gtkxo!3ohJI>Nr8`LEUnYfF%WF}uzmdK+*QtAksKXTdq*O7S z#&;fjzNY1TC=2>W0cG5SYmi2sg{Yd}lS|W^8Jz!c+hsBc`BGg9@sClsh$+`nlCOK6x`)Q229!l ze|-eXbn5W^)!FM(l)uzJel~mlUJras^%trZ=`|IAeD;ze}QX(MGpYA z@0pfZ{9l~gkMFzQk-941R(}o$J$6%O27>^-o>(j;1r$hkRdXEae%--JL9`a#bEV=v zZE>_Okd|8<0JdEioN2}8wv&wk3mjCAQ4`}& z^j^)!F|hPzr_<)Rk~Ou+x!@>x2f_&TAIN=j+r$+Y!b#T|5&x3mwiy!gwD1Bl@=s>t zKkXBIHQX{c3O*Oi+mW?2c>AO&4p@NMTu>||comYLKE80o?K&ww_FrC7ivE|fH?QmZ zH)9>VoSkSyM~pOP+HK{&(?|_tX|>VV=%D6<`nFmQWg61FYQw6`nZ{48)+vTb0x2Z! zusTA6oWU{xqLkVz;f2hFDcOsB&BBW|8k>D7X!!d1y@E&6b9>xJ8$TNbY>+k&TRebY z^0l427dHUGV}XP+G3r1%6}_HPgs_y^^X2l<&VS zwOPSF{;X^%&dR^`mf$1woJulGW?$9K)IE@Q#ytXuyShjT^x@(v4y}Z@Oq>hDFL~u{2ypi_QHBukY@yXmS@ggo=!8@y?l2x4?Px zR^+I%-EfPCZYRB|R-KT?Yeu1(g7LQsMp784d7cGL#nIZ|8X5NPOBJ-#mL*?00X9N5 zzc$7^wO#r`;o(a5O>oTMLEBOtH?s^qT(kdd@@z$0`9Ma@I!;3bLr}v($f+qrp{OkW zG*?-=J2L|5g%gFZ$t~q(Z!UuL1v{p0UW)mG{RUJvVI)!r%%N7X`$lee9Z>^kC;3Y; znNSISkQ?2nO7M2@mryCo!D6y0&4UQWP?WMfj6G}CZ3A~vGZHDkN#oMMM9+aMLaXkY z<6?GE1xYQyR9%e*e>9^Ys=MSu5_F({4ZhN(8rr3`FPv=J-yV)?g`(J4MNsEIT?{yMS^h(s@&E3(G4tpKL4shH+sygfW?w;6EzO-@CMIdfy$%5m6tB1aPVW&O zzdxRmZf%RmoH*)l;4!wnI$Wv+3ATbvnR?JkNuBf2zk^K8oLM%EA3OeF^YMJcPUQ2O zf$CxGZ7d2KSOBw2eWE$%Rj5vtq@lWFf$L|e%NxEM$*MN&Ojv`X)+jn^h!Lj#qv`ll zOH8<|3~k0J2ktd(Dyhp{5uYgp!fV-6v!W<5<0Lkjr?`#HK80_O!yonH#^?G-kxh_FEtP7@t2EP_$Pi0E(=! zWkBP$q;3oe&b0ro&pav0yBRR{4ILZH=ZkFmPouG`ahFj8@QnL)eu(GqPBPe_MyIE=lb2!~+H}Z}GnN2Bm4Vl$>+-P4A5vr2vs7UcH|mmHAs< zS7qMQSTd0ZAHh-V%p6zNH!gq`!(QK9=3&qX0#WjYzC1pr=lp%aG7rQ8g3uEJAOiv} zc5<+Z@R=u~4qr`N7+N|(M_6<;LWX49d&-}HM*a?z8qoC8^dFC0kJm0F!1Hj6n858m4u-Rc^dS#IV|ESbD zCHYP0$r<4_R8@5-lQbCkinldXbPzElL(DzN)#OShvbWz*hw-gYr7V7c4TXkBKtz)p z4-{6n%Ccbzco?Rs4(;I)CWX0t|7O9JU>FTbmX7h}gV5>99;|(mP1Zo;2Zrg?R;$=3=~bJ{N^vhM2s$a=C_vhC%q*)bRC5JeFELKMq+>B;%P6zfXB;Qo72y(n`sZ--@b#0v=`We>1cfx zdWe=ab|4O_?z}ZhZ+t}DQH7Ywt1eq;(`!7@B4-yq;ymIbh$h+8f#yMC9%)1VmK93| z=Coj!QcrPjCu!u@6CSOJX<(5N-}^(;!d%7qbiNYZ5X2%CAZq%-k9R4mqA58MY(diIv}}sOU=o%HEoB#QmYOUJvXMLmsRA;VC|?8ipl% zevkTRQ;vRI(!1JD=dwDQOmJStG61Snphj5m_8RQZmNbE1fQBI-; z)~#G%CbuB<%|{T9rT)TjB3Ca^BGIy<_>yh3=h;|aO#lb_68aDl83ZYzYKQlR?WA{F znNUUF?)J;cXIBgFcPE8fd=9Eye6D*kdyw=KnG7%dp_2>Mhx;nW5&A^FDqwB4ElgU`|++1+=I-MeTM3_EBzf_CNo$yz52Bu@Rzs=?#+ zyOM?3o&Cc@3tF8=dl7)hY{pBi1kilj2bs1%SArX9O}>+g!t(>pktGXYU6kP#Xy8sL z^lw7cWx|#Y_{YO7z*0#7sR^(r*%rv?fEtCGSMPG#4Ds&cbGCgKXn))xx|a=EQQke{~x5*qo+ z0pBv%fXb()^Cy@SYFbP@$=tfG^}}}UbBw@PuKG@?@d9X7g-2Jjt7|yT&!_*?D4p;6 z>2Cth zpIMtC*y=cbE0oNv`+#i(^@=Ob9|ax7+`-J%&Bff<9vwJxG_gVF!`tGu-SPpEy1R+n69ENl2U}KAKnhJY zSvo5>Hz$5pR!>h)7B6c%YX>hDQ%8GxR`sV3g@swg99_(S;`2}ZvgxxvxBo2Wzh~fy zw|~^)Xy@pn?qqCg{-h&UZa{Xx#XZaJ%1h464SG5Ts7e8v0IrZ?2QJ`z(yAJ(6vxx? zUooY4$a#66PXpJgxHy`so4e_9b0Dxk;-(sScnat^Q1e^O=aW`ZE=- zzs>wl9{(!*FOLf54wi0K9aN|8zQvOn8}=q9YLx zX6sSqinHboxwNad}&cIc+Oz;&OwNU5~dkozoSi0c2$Ak`m73XGr zu1qGFSj#Euyq7mj*h^I$-uTxzq9w9Maracu*;Os3eVLl?-lRM$+GR%6yHXW3YmLEc z9*Wfj2hkZe6i!%ULAU?PJpA5#+b!8~ldvSbkMGFC`Zbd~+Wb>|wW_N|;}^lMb7Y#f zsA=7oo|&cvFa)Ty-zw(^Gg$*QQBxhJn{O9aH;-4d9xmpJd3s5{)rP@aPnVn@j!(s?>hR25wg&Uqu6=9#WRid7?e03W#IRSo?`y8859Wkxxf4?hp6J85 zB^M<~7a#VHpJdB;o!z&;QKa%yNYX{)ZF~rAuDu%CJj*bcuoxzeI`LPzS#KRr;N)Yk z{=Ep@rTBcub!1;wMLgg-$CkpHsRex7Ur&UBtx2o%XY%^vOq*R)O=WGs*Yv9EGmMnvqbhsde zXt-Z1i4{TjY3!jV0iA06qh$+Dh(m=T8jW5VN)$)XyHB5nji$p=R6vQnM7K2kYqI%7 z@RY+FwLBxpLBGa6t&eS626fUAg9b*Fv#S#4;ofo2Dbg*(!Z`0^mzgDIMXQTWk_vTo z+D14~ysEO85)QS5qbwv?Cs)=9!zcS`C6(&U@X8SqC+B5VO{J(+sBWZa(2`S{kcS6l zPl1%R3U4JN19wEs*R5{zCtXR+f{d_s zLsbLT>8DZwM25IKo$s(Xzor^r`L16cO$sTQt6f>`5x;hZb1-XN)W*}&Hd?hgM}4wZMhwvoT0rnk;A z?Vybs+hE`=HcZp)#U;XkAwzV^!HO$}PK(lwzoecpYuPNbPje@h$_1l*n+QM6s~Rbn zVNSrZuuA*3o(?9d9`V|>++7gUCKHi`-7H~4vX+{SGme5&Hn;gUY3F_0a|B-Aa7Bn6 z3AAR(otj9_(ee58XDug!fJ`dJ{2TW53C<-<5F@sE>@?2$x-}VBb+vs4+&Bi3^{8d~ zOVYS8(Zxs@FQ*A2FIHGZG_>2XALOsd(GUHkx2_E!o2^uvG8n`vmU18(cDXa6rf8wl`%f7L9- zNzTjj+=;AGT)^4qpn+A28#w#7wO$@`Bh`+vy`K2iHC+dp0q#LL0044Fs~_)v@e++~ z*Y?-W_cR8=I{g;E)1{(=MyHUI?{S~;@ow&H2@ zV09>D2?+`13s10&Wj41FjpzTks&Tjwz`Gr?F_ve7H_x7BBt{PzaKqY8H)KPFBy0aF zcYfQ4fQHcf^zDFv|g zK1vPW=}$C*2-(rmQ9|E92VTBjrw(c$zgNzvIeROAa8pov>>I`kVDfT%D+Ki-E4r$^ z%E(wT>{@cW5|1W3uW7XYd)KC&M=ZJ)Y66yL3Lnn;YpQ8-B}U&GHJey+hB$PcB*RLG zQ*?3d{kh=WuUD0)1NuWCd$E{Psjb91Rp%`hl=x8Ajc?+;iJo6<`{OS- zr^7n*mg$~IH;jupWpJr3KBT0M@;}CZq}lh0@gIErkGjRA!PwMq=NJ z0ZW{^j?#ltH&)&Lqg;(bYtxCQY9o;$!Ta-J5c-DQP|IW@AqS6)2R2;;gI?>GAH2{k z_IN3{8wg0g-!r#ln7JZT=t)>-vQLE-k`0$LHu0~W&80Bm6`&md@H{#%c}JN2bf9yJ zzT)DU9J_jnYDhz+22NSy+zXFe5?`O>sqSs{T8Qwt%i;(YOp>mWkSgLs8C99UZ0s*4Ra9m8f9{({(w;6| zdV0!MyLoqOrfjY4$?bl=`XHENOY>Q~{f}^f23JFm6>4Lq?_$iN$98DB&#qGJ?%7O< z_N^(3^Y4Y(&8nuf+LI1)oU}?egN3st500#G^!42;B2Da}Mu*e0 zRtdFXs-mTq<=diz8!4_l%w@PfEZISfD`n=i2Aaxe z{^R+0ggJ;Kl=isDOa^2xc3$RXo}m#&9YnRh8vV|3ejsIjYrV7|rYQGfZ)5bjFIM$-=%6XA0iz{0Rum_3Thm6O z2-ARxQ`!xW^2?8cL>Wm*IfD*T%qFrMOCK}E$DY$(tgin-+&e~R{silyv2EM7F|ln< zY&)6Qo;VZRHYc`i+nFR2>%KGpv-jP5uZ?roI_utV@AUMqx~r?|sZLdO_imBOLPh&# zp1u2}0}7inTwOaa>WY=RmubL(s=JT0(!{g#4jX;Kdr7SOG8p8n*03uF_Zy=|d-2E0 zJaZL+@+cUHpDJm;po&FXq2~+v(SB6S>a_^-H)Kf6Qxc^XW z4e5^-zHlBB_Iz8?_5j8i?eJ_(AM#?R2I_K)NQNR4tc*KJ4u%0lVlCQLEDI}W2|g-n z!Ni#>zU&1F&)MNReqXCq5NjTFGM)te3;Y>Ov86<0pOU>G#+L5krX!R=5I$srvt-3; zFXzy8FFx;lB$=EivPbOdsMa~o+lqls8Q_A8q6)?`<4!7d!@@RdpA;Wdyxd@hO9b0Y`Aievbsp5(KZHNT zYJ@{k*@UuB=G3rr(mPdBU9Srv zxZI>-NhJDLF=Zt#LD?(2z57iqBX6Bq)^AS;=wwIkCAjO*{9hk47Ki>pmN^1(0n&DX>cCp z`DljzjPquVRvsn)@{}h&5s$jQSR#pzzYH2jdkD=9ctw7HrZ?W0E{Y=FG;sWsl)I_q zGou|D_~%=$a*K2*ul&|X7+?!L(Ag9ggsKF`PB5e(WenDOafFP0+4^~)J$_9yS0F| zCZJ269s6WLmOe0=fS}0)%9XN9v|k;{KPRxF#W5~dTgA?t3d(_g&)APAohJzZ&zELR zF)1k=dS}dQx+{Y5OG${pkNS}`e>#}iPKr)_qk)nGB?ZW9!3W4-8wI0UaeMwal-ITs zuzZ8m847VU)YqiE@HO%3D`vn$_?0QW(JfCc*8r`nDoqDBozp~b=lJbRUm-*@y`Mvy zGO7f=#dEGTc)}dC?-cSz?|{@VRkSRzSpO`J%)Hw~io9#}Yvt$4h9rMO`OUkeqx1J~ zgZQ{Z%|eghCM)r*YdL)zB0jp;-#bujij3k*3Gc$q;aGKASLH~t>#+%+yZ8bk;m8zS zAQbCp)5rQPS$+4LpnXD)Plr&|EA}fGTwgUaZl( zkrTfQZ0oqS+TSR9GyBwZp!<=v0P5s@D}yfy>Cv3FxU>bKTvNRZU%Hdkrv0*C4Uh1M zAsW&nu);3S@h(qHE<$nanIuVmp;FTq(Yzof8(!&Ag+e}m+N}2OAKaQMA^a{=kLP-= ztZqXv_-R#(E=6R5(Ysj9Y>>+1ul5@<7h4?^(y&xb>-|&e)1=9Z08U~7$n%Kt^(BH> zmZBy{jm|k))Ql%^K*_5Z1^Hy)0+Rg7|I| zxzpf6=;Xd+6F!Vje9RXUF5i(wcXUK~T9AIx2&m2sxKl?LO9+{h;@12SVq9Q87*0x$ zJx%U9l(4bHh;De9$=58tdC4}bO|3o&nq8DJ!x2~TfSHgQ z9?6UN>aVoSsZuZc&EX+bGUk4HjY2l>dgPR6<0E|c%dLpY!+nGO+H;MpQX$j&dt!-% zb8O3ItcIn4van@kJmyzY!UOX(p^xkq9l&G8*9FzxsJ(axgr45GigsvIS(*M)2ire1VY>F`)z&juAWH)0T6+#NayO~Bv9iZu+OiMVv?Cj7@5zon<2&mFvI6b zzh>-q;{A(ZRp>X|1rik%I+?bKxSW5_`mGSs?uE8cQD8bDuzM2m7=+p&+_j5NW>C%@ z%fKow(6oc420`ml!t}-?dr$IU1QOH@4BmwXYrs`PSVY8cIRxM!!YN)pqfuD2PI=Q=ry|f z_k|7_$)h}?um!n~k6VtPO(o+t0tu>8jTAT^2MlsL>{I7#y7(DJT_*I(9*Ey(!N~MM zlW)dU!J_l4<$9P9B%04rW6~foh~z7FKddeAE0(_7cfZs20KJ8WrTph%8IFJblli}k z`Li?q4OaugGXG=jpZWhV_Rr4rU&j9b8TH=;MgArB|NmiF=09d1xR@DOScte;8Q8dp zSh?B%{DYZ^oq_d#FEYc<@!v#d{_p1*{!KLIUsDc@|M%lAe?|W9;x6ofAkY6CcX9d? zcRALWAdQPOm@r@hz0e_%pgA_WUUPZwm9iBgqay|uq6gMi>he`^NBk0(-&ws$uNKi` zQ2grhcskzMdXc4lPb244;9R5pc3)w4v-gsv-ttb;GG->FRm#^SJ>Org@2$Oq>*FMK zsyw+Z8(%0c;M=X+*~%haaabZYiXxMIhk*Ch4ucxuYoSy+PE87JCj%iyeACUXd*-~; z)nGWRUpg{&23Jtap$vDWGtV2dmxo6<{*J_Gj@-J-jacllVB(@1>Syzt<4wPlAzuoN zsqS7@-%?+nuFjW%JCCZ_!YgXC$+(+1^AOa4RS2^T{QvZ zYlA$aB(6X0m)JqmWg8gumbq2%npRf&RLIgO zSTf_h?WN@&X$4ApW+WF?(_EYfuHgnScI-9%(=6lL$e+(YA_er?&wL<-}Z4Y@7ZAtdHI8E48=l zDDF^0ob-3c+#FmvBw^nebqBu|OXyH4RE^Po zBAF#H&y=F`<%InXd2YyI5eNu2kVX&A1}Z2sS@6wek6Gl6n@0dsT2fQy84e3U?7>I~ zEcQXJ?cdSW_K0)u^wQvs<5vbn$uZRcg}88EuF%1Fap75(gg~3elXLL5#AOT=6?&k& zm>8nL3=-HhI$LC~a0aOtOK~{wnrRaaHv6L;rDrg<4v?67+o4|8ul5Bu{f=;bP74_= zAukuOEWLe(lbv-iN$r!DyZWh&5}&Il(?=(kx80oKzU7NLv5uOw>t){@eL-4 z?ite@QkSgEk@;{a%w9FDMwP3QRau>lI?(>avk93|w<`#9ORr0u<~{syTgj?^t^(3@ zk@0NbzD%2OXRWUn9~-4T*Ly6B4vWYf4+usi8km0t`ziKi&lPxmwVnw0q&~A02sTqt z+rMLk*M*EUl^625R#xL>2liaEx->Gj&%z}4*l)Pgnw7^xb$ z5`K4_?KxMnQ`6r@99cB5C*S~FHR}TE`yI4n+SXv93i9^n*IksBk?wRVco%|S>|+-3 z&|G_rT^SRw9D)p*oPhL7MbJyjfmJU=?jv9(!~xeU_b`GV}21)1L{t zW$oD|Ndhq6mn?b=>V`9uJZUbpRVLFg*i?&Rw`O&e1Q4zngjCg|`aupsWpSoo3#n#A zDZp>#A$P)TxG4%FIu4U{TPw! zH%}&X*B2G(W6C$Ky;%Jxc6GHD3fFV1Qq`a~dPuB=+!J5H%%FfdqsUvj$dkj0Wr;lh zfCa;npJn*>DqQ04KjuBD)GAL%3MiD&__9i{*v0u*4a?zoUWe^m!{$cf@=@YR*Ix}0p z-@c`c9(d!;A{7k#X&uS$(JNcFSk`Z-FI{!)y|LZ(7TH>IWz*Qw3h~1Nkw+Xtit}}&!DmXx?CUc@}BCMs) zavsMHrBCPR$6pxuX6Hp`CHU;=>4&aVt^JUhJwt5TvMZ-ZsgF;PjXf(r zTWGc>G^Qr%aaY-IP94ANN=_L67vjj(N6v~rj}<4$7-H#5wJ+miXsv9Nzy+5*6cUC4y;6wd)$`|%4imH zPu;p46HDws30aGFVBJ!6flje%G?AsNS%;Cno58b9$t5Wh@w&Rj#Oce)s z9M}S+8na=j#p+Y)q41#KR{iaz<2BSH9Gy(W!)3HL5j45yL8_xu=d34M>*>PqJuDg` z^R<1=FlKc0cD@+qOwNZHK2?aq4R~H-mDz;=vYN$`Un|XqYbSPxyClV57Ol%)91KIO z$Or|#e+=mK#?X}a?^>;)f;u8E8UocXc#v1OMxGcg71mQ%v#msuQonC#@*#^WB6ZhA zd_c)Msp@}GOQJnt?5#-7{Nmi|35J}RY5No}nI+-#GYC(_;l%ah6eAB*2apJO`|9>qSNUY(Q~(XR<-cD+NVkfTZs#cL(dzO)|(h=A9>A)eUTzb(%)kL*&`w_ z8zDYWc6LPM?yl0nMuz{GvcLCYlAVF*0D3Ax7N2+)x%_o-Apr{hTXG~n2ckcN3d7Il za{S~(Sg{?eblhfFh3-v^s)DtGbbq*H?Wl6}e08Lb@-B>---0d(ylx0v<;_M;oLRev z(!~}jGP*$-M-=#4Ir7Xb#NwAJLzY~E6#;rVayz0Q^Q2e^dU9yx{e^&dL^ z5Qo%&S`S1;PSJjA)^KTJG(wu^7YmD2kpOiUV+cbv%*a%Vh)0R&JdO`RnAe+bnP8wdfSw)1wSC~JxJY?*U+CT%1Wq9P^X2v4y)`)57&{MSDN#V- z$ki#(N7F%E2U{`xjgy@sTnYCTbYDR5Vg*!{w1_}Cq%hK(78d`Oe}R5>^JY<54TN(d zPE3;f!o2dfGnIe$jL2bN;bnzWTfwKo;9B$%>R`0iu5dMzP+*I`+uJq6EZ|~$LSx+t zm-A0TZ-TVVbP}N&^hqw>WEfWuFEW;<$f?c3(#UZ#icVQ+wLAWOhkvujfB~gR`ZDy~ zDY@(vn5n1tbiPDwo|h$ZV|uU8t~I`Y$uCDggspnd{v_b)RLGxc<4TsXhIOhB-2+38 z=D-U@<5ju_!;lJefOa2y&RHUj?mXf~?>A;ool01+)a%l$6ljOy{tEE0Afq{Yi?Nvl zEG}W-a^-yQVC^X}`A^-g*_?_Yj0@>BTF|_cMWkJ8AC$VjFkccEuykIKLP%4H?OU2@ zf)+!>kXY>*jS-x)ZT+kz%r9QjwUf{s?5&2o6~7KntfNZ97UQbPwq!9FLXf5n$5 zT77?jf*0h96~-S9!_~PHAdfwliz1cg0Krm8DhzTbhakKT1v|qjG~b7@tslu|`tfTS2ilsF~r6vbwgwY`igbM{`*4~0H)am}r4%-0U9 zO`fIh$@b+GVG(4!N*|0}f)?^cPr+ct;_EoBSA<)oBU`84_H6h8y3y_rw)YD798}ON zBf!fBzV2IhxE$OZk{t!-qgwM3B;JKAk9jn1^))`N>IJDGK~pDl(iHeo4(F{KQ%fb0 zGLM_J@@0nSD&WOKKXD(plN7z1N4zpccErST%*^VvLrPpZs={_{E^us^x_p_^Lf3QT z1g84FE*39QtI_HaX2G%_RuY{!rUpK7g(HQHA=?v)W0{W|DX2U8vhHgw;+?2zFe=;T zf*ldx*H5NLQA>1%z(l#s)V(Oh=q~v!gr*D+?JPP8q$T)GUDZfcW;nZ9B>!$=D!$2$ z+-NRjGgmne>VKcIz9zrm9gBYR6{&x@i*=uY%l$!-?*|3QA!j{=mY~5m@=Le-AU>9sCE6{;9L;uO^^GOK^M7f({hX!G#zlcx+mq@Wp73%$Ij$%k?GyI95H;ni z2i7hsm#$qKaw`6SyPDWV)4{#u&RVn-8LbqE43rj7EqOPk7D&gmj=!XMvBg(#3DNak_=9;tD93y6m!f`l@tkDm1O0seE;lzU?# zj;dZzXYVnA<_Ced{!cjTtZn&aQM}IfG4aI%n&3zC&WCz}Z2VfBdiXq0yjXWI;8eK= zd_&tSx?f3cuB+aV>P(oYp6Y_u=kZ1!vHSCP_Ept${p{Kw>{B;2?y_K~q6|qRvc$K2 zeTBwK)((iRyb*aV=u6Ka>#^Xv7i487ZNlG16;kWIZ3_0c3n%X&`ifvieJ${DYxWD& z`Gl-K$_dx9u78y^A6^}NCFxjuegzy}_R-$O4UMIj8L1H$Vq0RKa_Sfg?W^E2|;lnwK+Rsw{=JJ!<3C26Jt!+=DUL za{2mUU-ZQ$DKMO)(zY6`$d=d;^-M0%=))GYoM3_qKc$~gUWpx~9J1tk2y>V*>QN_v!q6yhMm)t<_Dr#d zEK5dY?59;go~b_9yg<7XRZ=^CL?TC!p<-TED4lkUc#%thQP{BkaJO9;>5R+q&N5!OZ{`B3MfuNkIh!6Fa>5xE2XBI z1yS`nN0C=^PzsT|crkMWWWy8Ar;$;j z-gcreAhuOxzYJoE$1N8U!#R}!vJ%8)$;1#^;gnbe0laJ*N)c3~!k@9>WmWk{1~M71pJc@YZ4DHgbbRnbWT& zFTl2~g@K_W0&qCZa%HzrTn$sUm0*dR#MpXAA=%Hu7P*; z0XLQP@2j^?RNhyRIoND?IC7`eM3>GsUl3F7MqTRApA)Jls))XZoGV5C*7Euth&3c^ z2T$$78fk^jI|hjgTEpZY{C#CFEjBP!GEa+^EPTG4wGO&Ssn&K&8CdRXrN}G>WgmO- zE-%G~co)Ag>w%Njm$Phz1|{}37%-7QU&!B9ncn%S40H@Sji-yz(!af!klzbQkFVGC zghcw}HHb1vTe9gsy(xKi)pg>A3Mq00ts72}2rkQM)mrF@m^bV+W?B_pY=Gn+^h#X@ zII`%D_#&K)MKYM1PA{gt5Rhzfy#&@$;N>KfESMW-Y{||P5uw>&)z>*t5Yh{DUpJgM&(&ORK#)AMBW;nU(=VPK6Wl`xM6KAPJ? zh>(=bb#S!u$RmnqhR2j1jHUxD=%nv+EZEdE+~ zByDz*k?(*QAhNYxEu(fVg_MGJU!aKWt#Px}#*z>lY9Te8b4GF`NiB*ECo+F1}-PzJI@E|7(lQ znH9R_jzxu}Pi-j@bhNlgI&zcA?<2kta0r-l4ogXYr#nw-+;*G0`t#j9!}F=pq-N?swoI*K988Zg4b`pM@q&Ntd5 zZX_fc=YYw+mYLp5Vpf_~t!Y@1T!HjTM;TWgt7L_fMOuz+JeBA4fCrmT% zoqA71)TxmoqJy^r4H6a4pWYa=%SV8Coej9DXpw8C1+wi}u?P|&iJ^jo77}l&Xq+8& z`0TJy<17yzVM1GKB_UrUoiL9N@GLo89-ulE z0kXVUHXTGiG`Wvf6V`E+XM1HzRO?hz8?@9 zwRWfaSSL_OI$Ao$L<*q!#F?&{iAV`ZRVTilUuUe6x3OhGaN)se9yqw0xgBMs*%!6y z{q%lRid?^wZleL)t*WU+btPBRvwox5WQ*Zys>n#b?Kd~J?0q{QUpA+7Gl!~5Sc<~& zJKi)jjrldCE{D|2Av_0K6Si?ugw-`esli^I@+KEju70y$F?n{VLtePDQHj$$12s-t z>(lUcaYa{yox{$pf}OuNescfpanIJ0RrgQ zjQk#>$_=GnwJWhNe@ltsFlfz5^>YdR=gJ$@w8?LqXs{2mVZdEW#JWaz6kg=?+?o>0 z1cZb#VWsGc$JN&ehiiM!&P}{mT9=5pU&v2@9puyzzI~GvF0#&$iTlYj z;;7L*Gmn~yUY^HH*HGxGnRwcJldQkuWU25eDzO0bB-Q_ouRbtq37puvvnWv;e&vjb zfp|Xe)@*G-A>kAX2TFNby0Tn%@+vw#H9?4Vl{1;0#(fsl%^B0j^o)A!RZ93s&S0^p ztga30vKMOrzb8rlIAPS%SP|-ldlbzS^zl#@L}w_`%!F`egnT}|ZQ94iF#v`agWU`D zEgva;406hop7s`;7w%O@=c%IAdMO(<0DX)Xfx5RPrx9pmt)7uRA%r4B2FT{B%SBoq ztZ)1W+2l|OJMfIbx!r&{E@Zcv(K|8Wnyt1mV;4=oxy_mB_T?}AjeK|%bY2p%WU$Y7=gXtb9p*&0?O0P{vI*W zCiH8q(%|g07JXB)AOa{3FO~3ov;A3PMC(pxGyMsGBTpH}vhesk8neT*6-*0?9%#~% zxGu<1v8GlGE5)~9W(3{+BaFUzy-~yuk5XlW9i4>-e5k-Glc>c3w$ploJv@W_QZ_Tu zehO;A94tS1@suyr6a6EB^uNRKNdtGCdXh%V=#=UL#>+<%eOCgV>mD|59OgF# zGBvrC=J)KrVdyy8F}}HV8g>QKR5T^V``6c4?dY?_^VD6w?(aX8+Yich&@b-<4iy^I2Lm3^8_15~@`%n9uYX?JNTK&giJ*mu^C$tE9 zJar10L;~lU#MtYBipEj&oB_sdKcw}3*NFf~l?nF>OU@OrYv1|ZE~Ci1LolcfS{&`AK^jg_DUIbR8EOkP+RE8@- zg65m-#Z)wi*~{xwqvgU!)jB1aVUmUA8;GKi_9z;M05B!$RtPC!^XRXwMv)Kcj4{8C z;?+6CSbW-|PPI$+=UgiwcJZAUhUa9}=J2bf0`f+b(xHT<91Jk`)7&=tgs>j^Q#2aagwnfORKh2?*g`R>dEfV7L>>W>s_d#NiyO}k$QCqV%ZoEz~ zpys}k-Svy4{`so$J#gV`%juVy54Lzxj{@M^=Qnbr-?bh4)mF&YSAt+-cFkS699^66 z+f=o9UqNt+kUZ9uk|+yVQHF}XsW0NsrC^dfb@3On=L>EP#U!06UT{Ts*mm#VGQmYE z9&F*wK{&Qqk8021c8l*=>=3DeU^tMU=+4s%7L%)4QHgxR@1W(>kO&4c4tj}@-LKK- zsB4Ml0n(WrX{C2W&);e~x^lAG=S3;9WU!HzN+bX_y7AGb5ZDiZ950j0$1MeqGwZlo=5aq2!BM2;Hl)rGr{R;LdpjLXFz>Z#(rxM6(CU#r`%#`^N z(3GE?oYcVJ7HEu?;5P7+&;l}LMF?lv9-3-|(SJw>BK^y@k^8F_pPS>Q-o}UwQ-_BS z?&CJ);tx~0u0jGNN*wkag--v+F7B(AU@0CNO~xX1FI8HEOJ?7|83ZrHpdD<%n$gw_IeoV+5<)i#woU=awerhVlZYu@D%(`=Dk{63c?M2Jd zTv_v5e~~b%Fs6Riqzzv9U0PdE_am>oI4pR%hHET{7k8~2S?CL;P?*oUz5Tvq?s!HC zM~UqvbpD{=_m)itJu@nNrl4^|u7G0PQL^59|7sbLp%f6v@O|GIg>NYdg`BCmfsS`$ z^m*f8oUBbrxl_2j^9@O&lWk;Mx-2>rI}mwJlQPf@2{;S%%YWL_g6%q;RUmqySXE>q zzMb7Rps=@q3GeFJ!9-87Q)qN1VKx2oppHmqjSzPYnmuZ^!HBZ3riNC~)rZO7(ghha zPERBM4R64?+>vw=GCVCM=}e&n{8ZmYKY^=pu6xIdB8$h}54g6qlsh$-HYn_{x#znJ z>wIUD<`Z8~@84#{_vek2fx*$~ayRN;2Eqwcei>-XDYAq!`%pODmSPK0oj()1``h;| z_0mZy0dK_d#1}z@;#r{@_P+eOn?QIX4G2#+`LIDYu9qYe>gl_T&viG~sMNqrgDGVW zQvmD(s=Z#2S$t6@pZPGjlqtopoX)mo^adnD(0J4I3nwAvM~NZahx50iSoe1kj#p|8 z6GHrDurb-4ZsMjrtS-5vEV%PkDH_z(UxeSRFT#p%sChjZ&sRrsSWus?3VMbNb>JQxkSugf&&62*gEX}Dw-0_ZDrHjxk_Iw}i=-d~Ulf>&KZZ8K;jK5StB}Kq_C@lH> z{t=wSQu{Hf;g(BOHcnRQKB_KCaxQ0cV8V930^sbFDTk|q6guiV%xxxr>L1nx9Mw(g zx7n0erCPGH3R&VI9!KFw6W;;6V;=B-W00g_4R}2mv>oZY?ZN<)+KFQZ(j#&Auy>xq zk01H98SRoK`T;{TCjOC>*5k8Rg{!5RsTya>&--`vJ^)um=gBvP{;b-{+#TD?WHt0^Rd_3N4Txg<;omvUH62KkGx5444c{ulYQk zfGOo`^IyIouR4m<$qd_+(IfDP?3Lsgq{82O<#Lo^H5#MpQ9Fs{{)i#IX?hwx?cxay zeD%15YV@X!M24Pyxeq`2onfT*FPVsrDe9`}zp9~?dT(=}kOqC#o)pRYG7^YsqCGAz zUhc=4Dl=DI&TlGU3RaSv5WlYexy@A)riGX zU7{+*oa3S?<4d8I2|eZOMH^As1lR|vy%^1_9BFcv%Xu4#BE{T8i-BszZtNHV+8@b` z6feqwe2%hPwiYLyB>ev63D9QevV&Et~!C2a)$HKN6CLuoZA zu(IJe@E>h+{rS1j2ytchk6=E~|D)wx42JO10JPTYH(dun|32a$`utSOe>#domefaH zJZ&DwInFhr03?HUdxK<1+0WyaFeb{eG5l@zL8Rd+DXVjN^%nZWB`x^*g*F){hJ=G0N(dGTdJT&q8N>Y2t)%MPq+eD z;=w;h`xwbg09;)B3m4LxY|&_LEP%5{0P}|iaCwxk<=qGFIUNg|FeW?HVyFvisTmAE z(O?J~^uQtYqvj@R9bh#<0IQKb@b~;n7WfgqEQE|sfCkFR|Kf{~a+*}@cUm!$^On{T zDYE(oW6GJL9NtXI>a!q<_+$XGbdv|LpmHhbE;6Qz((s;23?EOINt3ZGv>r6s&Lp%n z5g`M&UpN5hK-*A$s=!mX0@TaI-|g>MxLt|M9CIrkrjVJU4?N#EZc8QrHX2n!67yah z-;_!B2Ypxr9tSj*i!Hs!iT-Sju{fKk3Zk)moq1TTeGQ{BH>)LS- z@6~5qz1JTj?|nXRYg>%UOwgD_EIqzrgHmTKr*&U-XvAQ;2$cY9Wc=4|{4T1#F{Tfe z=*B%>w2>*AfqZT%SIh#;f3)$BekY#mFqNZwRsLJ>3EJICsZqr64M>`1a^+cZ@Pp~u& z=tjby3D8Yb6355m&7!4$48vko=!_-el~unC?fTtIbXlq;mKFripB`_8v8ovIaPNiLDg@FbeYN_>mD$^gvqeisE;F0nn^Aif)p- z&`%Rq5}~(0LiiUG`7Wc!2)~c&=EP~eI!Y@>oJ$=XY6%EwH?5+ck0Ap@41uc^~2zV`26m9#E zB&M8Rbr4#zcSh~;2DmbGsjFSgW+KFdp0fRebU*|6LORgx-w53$I&njjhj{p!eF$8$Xls_KwFH6>+p*PUD;ZLq^7i6vx zy1#NR#K`Km>FSdbk1tyP7l!Id{&8J}T7Y%E{r(&Cqd@sRhN#oi0DX1#mXzfWU^{Fl zC?f~2qZv{{fr$Kd1MrV^4KLy-W`t@7{Myw(e^4x2gN7YP?2ISv4_X5zGYXa?_Xp=L z{;^Sl*6S4Q_~1inH8LmvPBHd2GU5kFP9JG{-C2e)`Cp-IvyK2uUJ?-0Fsa{HK{Lt8 z$tN2=j-+c^(1mqZedr+S|7+?LS3D(+0)**9B^M@oOAYaYrWCE`$~03aq_FyNmh`;O z!PqI*i$pzPiOA@BO8Fg2I~I{oRG>91 zubd`1td{!oB+(3@;QxxAo#tDe_{6r;DXH-Dcur{*_%lCgxRXXD#^YY{Q?8*|WqN?59%M!w@!p z<`_-=zI%?ykkq{NAIXdiiv$DqWq)=rs}6+MV(W;Ldn#_X`sU-5PxGcIN9Q#F#f_{y zFwq|VV>zwgvla;kjJE;<|D9yMuhTYVilUt8{IlEozP-^*Ld4h=4(^ErK9si)HYSSk zMhV*;7x#yY>HozAwd*{S^2%s!N|tvY7zKdK^eD3%Xu!Vc@7@J_JJgZB&=8q}X*v`h zZf%{+uxp6XE=tr5-uEjkOFZb&U$PJzoP`((wNIr)Bf#~bfAoD}Bx-C%3E(!_pa|BCh;ztxe$9~AD<(A zFSNdZZe?t6ZX=6W4=-W)B7ulFG{y#EL&qP|-9CPcw5gApv>QdhSiuzX*XAkZ5nIX< zSKU-c)>zcRM5+X8AKL{dV|ET6tBy>{r`Ob&pcm%#Prqq|VrCUp-c;MfSpNa7hR_J* zR`Z;OYhrQ)C9R9y3mI0vJ8Hje0GxVyoT1luGBW5o%OE98T4zbA9ZK4il+9P%Y?_VqK%; z-eI`7@ADW+vjMm21ieu@>R)mtFZ)g8KaIP>CtxLiA)!j7`wIBLJca*Q>UOw6kfoW{acgBpiQDgp3Aw8tIW8o)_)w zeN4=cmuD~Iq^N0ldP{>RyExtuALP=3c870m&+ep7-kPU2cP`!7Zo)g%RKHk1EE}V6 z1^8`lNZ2<&!W#_tdaR%FC*f|aZ_En%@2?pdeJ`^&y*s)(IybNUwmVnsO&;6VXO7Tp zN~m8!<%EOwiYGZqPnYy{Z0df)d))0>L+p|?4204$o!*K|o)_l6TVvL~4qAT#6GziS zX@R*h5e=71Zzozz0-jmD(5~O_|81V(KF*N801Kg(Oo{H@*(Uk|vSlFA7d@ghg$a&_`vJ>p}!s{Wn)H<7(4qJ&=zjl(^^ zWkFE*Yg#X=)0c^1SnbZ`g_G{KksHIJrpAa~vGZn!TvVu2_ruBY{y|J>9I_I}evjt> zhV7g!@3t2{iiMwphWi!w*>q`9c!cU+xm;a>zv#x$Tn>a*LNcMqFJAnx9+aOn&*~Nq z`<7do>-l*Ox=1ly&kpPQ<)HYzOWn;LEztsN%Y_q`@^*Kj+RI!rJ#!z!_W2g%lFNQ1 zs*m@_1!N1Pu<~-656L(V@mV$T!8!i^z~X8s!Y1JQejig+xOj_K&J=P2C&yjH>QD*< zL)Ow547NOn5+&9M+F<+(Xy2^(Q$oH|#sg$=1cf?2SBPu4YG&Sb2L+X>9!F17+av-5 zh@gc7%M8-cVaq838cU1*Cu%4de46+-xCJ8wL4oHd?^npfopMU&9hwvPUOyfWx zyv*GR7)*NwIpEh9>bd-Rl0&LqjB)yU7Ywt}vQlRgNlX6KIS>+3zwv$~QTI+ah>J`! zVB~Q&ye|Q=TG9$0%)OBxd%d~oQFX{f!0PmClnb8rr{#nAC(3B{uj zmXU`h79irR3}eii+&1hLZV0b*AaarCO2_B?25bJUQ*7Wc_iXtUrWV zLD*qW3m<{@n}}Wer9z1#hF1S+Q^Z%@YC5QpE(D4gXctxnni2vvkYsf*Vz=tjU?Q8v z>aOVIC(U~n%c^jyUb+JZd?_CG_es`#cZX-JTAp=brOWCev0cCoP+w(wW4GEYIU;ZykmUb65ek@{32U< zK<8}H_$%^t*hId>)G9ySEp0Tnna2)awwzD3zW2|6=Jyg#yScH+3|_%Hg+I$twSVUz znPLk!JfX6>5TlDaVUtI6&hn@~EHdKm&|Ck3B|^i9#T$dt87 z5*-`!_JMC+I&3U*Y`7p!Xbr(tC_xC3+J<;+0CUTc$0DAFMSJLin@u|zvr+bZ?f{(L z#Cugd$g=~5hND7CI;3%J#_C|+**OW&Wz;}xtU-p}N~B=jF;!cR2GqH15P=SXd~6XmeVkvmW5o@x$3pr zL@FU2Q4S>yXXy1EfAYyHgDkTLEqdDcVc=QIB$hW*zf(Blx2 zoD~&1e!-h?Rmul6xqb!R!pMm)&dHz!bNpBLnt_G6D!JTGY!cI!aRS>$pPu!}Tu%ZY zzPG2V>xlLbg7rE&og(bwX`;M^tDzV&S{NDwug$G*9J2vVe1Plb9bs zdV^+XW4c2V@8J7E4KjbeZjOXsb-KA9G8GSIE;;J*uVg_DlpAdyOR>+~#SJsuE2|Bz zWC86xIOydTH#v%lW-@ytKm0QS6ip2brhghM3kx!2gyP9x@+yO&va4;3EPdhkoog(A zI@$88nHfC(cs2U*3cF-Xrfrg(hV*qX)FxyIJJ0b)PO6T$dRiSUtSo6itH>^X2!3M% zc6^_#wlqCZMQ88{4aac!LKhVjsd|GI?Z3=6A8^+bHu8{-@?UCkc>o4(oz0)xI%+?- zT7oNYQBcZ9dJ@o_znTOyzoJE8Xqi$FL`cFV)3ZN{iBp(aNc{u?bTTR*!6Rk46BEZ` z=8s$W%g|54iq1H@t0(}EycJD>fRzwdX&i&aKAM7Zc89-{9v`7$L=qDLG?5>7jX)VA zP@~WTcnmK$5^1)GRQHUJkI*XftTO$>BkQ$XWU&SP-PiVUGA*Z#@W59dv9Dh&e}wOn z@dPv)HEW%Jzz)iQghyxso>}a_xdAaDqC`Hrb?Hkiz%Cr!^7iWqowYG26R7%iKn8(T z&e^Dnz!4=D+$xy$>%bzEvV6;+%A`RvcoLg4;6XgrEUwZks3{WO7};=0&7d>B02@q2 zH7i=z7Vtm;>n6C9H>m_Gl$;X2p}V0ACRR1bb4=y{7))p>4>tJ<9R~8A;dZlFtQnH5 zQd3oeEk;yro9JsZy%Spy+N%#u>L0383Y2`@=|bgJ2upo2H9Y;c|NkF)U(N zsse_AV!Z-+kHMQHlDmhnc1;y?_ng(+@dB1$georO!DRn4Gb3H_Ib81a-8^dTg|^aB zvpT2@+AEO5KOg-+#JzP?9AA_!8bTnrLqpKu?j9t;-5Y`jcXtQ`f&_QB1cJK+x8T}H zg1fr}cevH$H*)9A+?lu5yX*Y}t4>$#v-h`mt^Uqe)n_MX@9JQT8OV_}&$L>E5w(!v z0|Vox)$hfUS#Haq-aAhamT>9db#6>#D!4mxVq3gB51O=0&b~>Jo|Xf*LC5@aqI_z! zY7H34yLK!BX=vDf=6asqW5#upi|9OEUI&Y#EcL_L6L{*LWD)M#FW4d$zzJ}kOgo5ES6lE87{=9A0 zk-K1wHER17AWb>NK&rb;$8_}$b^>T5Ibtx??959sZScboPTiq+qQt5>RjAimFm{+~ z>5^U{0+43|kTa+Kn}=#p4|VV$n_NZSb9g|Of_5R7l5+T+Jrf5_fXiNw{jZCLKZa1o zbyLr!i?XuJQ3KVmX|7>q5V>ncjcMA5`~zfv_W467S`AiSL0PI;umi4?9gsNy_3v89 zs%npdanM{rVHYFMD-ZyY@rNS@fO!0FBmjSM`2Qki9eMl|gOqYhyH*m!@ZYLt7j@E- zIl>hC`^2ZGirD#@SI4D;-w8MQRo&eY3tI|EOrp#fklirVilbR_Ne(IXmg|S$e!zyp zg^f1gN`snRg?bH;r=jjvo3Ov>PmB3mc}`sAb1#6Zfhf7W;E*V|ImN@&(|K`1$QP2; zjsV^wHwr3hGsVO+n};pq0aE@UsL1JEPK|lyHE_2GetSv-fKLj7V$H(f1bl69L1U(^ zhog>e>{Wwd;wSWbYI*1Cq++iVH4CTjJBN_i(WywEiN2a`tE4J+V02-Zg~-{mSrhAK zcC0YbYC;iJJVnr>=m$+}2-Qw)t{88)X`_#z0a1)#9T`b=H}xS2Gy{8dfKUDV zH?M8*qJ|WkM@z^RT_QfDYH>{R8kE0y!mDP} zjT8Y64xmIs`VSaJre{>;_9tP1jde7M=4)4nGPsntY#CqNB*WO+9l5Huj7-;=Y5)M* z!1rxh@`$g8WC%ifYz4@Y`0H5Bno13aK_K8$O=6wk?{n%^TUO9>-(~2q*R9mz?qAP> zGxzCl+SI|Ygz%DVBLUe0P_#RwR3ys`>VOrssU$w@qD2w!etLNm@HM~ z=L}Of*O5H>X&LHoN4R~ORoz^X5&THz^5-cMwHkugX~hc~HXK(6Gth)^aTq&Q-WF{j zW^yH=E}Fk(jZu5Fbexm92zUams9JW@s@?|9tHU*crfK)p?taJoek-#a(gyTu@clMx z*`V!S$G6c`OUEfZUqk(UWPge@v!Nwz>s<-h*nKZ=z~Mv8oumuOw8mrKfRrU}&?{nb zmvR)ZR=}dpgqg2(&$iM610=H?n!|~x>o_)kHJSk~Co_4j1}o&ZnJ`s64e}cVZ>*{! z7Tn(gA>Yc_ry+5;UX;))x`KP8R5aIZYZGqeyscbg0E6T0fmc;?11>$onWjcOnYUXp zG@#A>+Ca7}K_Ec)x3T;Utdeys;fM#)KuN(M?ykr38Q4>v%Jt1+>zuT=!W0&NN9Ono zss+>{*erk%C;~Ou-zJLFP}B#)qapZ2MSgU16t%?yD@B|X#!mLPp&afPl!VR47$HWc z^^nRr@9yIgkKCk)51xJ93mz=b@P1 zZAVGq+iK(EXkptNjy1z%6~`glRi`20WB;lO6IjVELSya%-m4Zciky1#W+)26$sfC` z_w(CmrlBeiNi-+ylRp<9pECGy{AVQWcTrcCNQ0;;dPiR?bMlR{<_T;1NNywjS5OOO z1Y~JpGdP(ZhGrushIJ$u;W^nu{kF(J%|qyc&$B&>*AQ&A4FE*Vfse_(3*uxq7x!!V zdjd}OfdGmMll^r1IRLdxqjHr`l|pw^}VRqK-;*x2#0Kmz|x0Ye4gwtXte zFhWQ~&Z}uw>K`=q%QY{b%C>aYrp7et3EPXy&z4Wn`>ozUX8;u<12Vpd<^^Y}xUQfk`t zw$VjE>hxZiB-V`%_rzOrukTSkeljtMpfKPXq9Sju5N{z8#ZZW|CuK>`j zaqH~f0(B=P@mt88A?~?l2C5fgY8I!EuP~L9cPPQY_vYqxm8T=;(j6PN6(lOfb5kv18SR>SUd=^}2&Lu@QKK z0>PoyZjM~?6f&h(sqUo7nKsp!4t43H3&;u;)MM}Ga@z*M4{opb_+0&^!e z#58Q%=LaFy5Hjfrcq@~%aOnU74Zl<9Kyr2whf2*%vRLvCb95w46_@`g&IH{)GrqDVIZ3#C;T&tRvU4iS_p)N-$wb zf;>C?6S2mg@q8CrgrZ&qofk^1q0Y(!-n%4)9iE80`JFtg)dLv*1VF5H+5uzg%_bO~y9B|sD zxEwPz=ITcc=m|N6vmZ(i9mjNA0oqFaH19;n7@PP6a9cRl+09XEa|l4e=Fcm*35=^q zQ5POO76Y(M%`RGb6IAfP=a0Zv_IxK~zv~(P(`nllnGH~4t(4g}iSi301pR5m1w1)u67D%P4t7g_q( zqz;N#U-K9Lby49d26lzCGTWsZ>DtAkC>%6!3Qvr=V`U$EKE}{sEimL#6gMqQI)HB>;g7Kud|?sy`{D*`&NMcy`yyy6q&{)A zl>Vk#b4J{AqZ613LG1cwDJt9D2_zV=_XE^v)94(_zg9bl4xWlpMa>4_*8M<9SO04! zQZU(oRh>Tqlv_X3hH~qP&xjOi_s!A)Akb5po3o05^(lvx&aJ2o96AKQ3B;5Vyx>qf zhl`m+I~@`aAh`6s0HTU6qCYhy7no3I0jE_+i>WmfZ}hvmNJnb$#oh^?$-mk$Wzo1? zkNmYM@p~bSD(iP-tL3_u^K5s{Q0Bby(k>`-oNJL0F~fCy!m;$G=ruz%ja&Q$k)ok= zBNH8_LJyM$6vo&^zVOI`Z`J!8@sX-@5CZ)%BOvl*jaeIt&i%ww18T@kfza zqIV_edeZE;B3$s^@s7;4fU)tq8}F8L;8f>XmkInc<$;EJ2r%=kkyYnNILO|8@go_+ zDewF3PA=2)Ss{$bSCSE|QAY9t9FdYMDax7lh@qeY~rIrtltB*_&A`T@YI< z1-gq8T1DPn5*-l%i5$=MS2ZFWpNW=}**pW?!aa_M>X{KB;U@v#d*DQpdriazIG6=H zIKB(46gZ#)8!j>K_O6~FxDB4HLy zLo`nJ2}-Qd&gH(B4DLF_(+~yD#3rO{N(fGHBxA{Ym;xgSlFw&|7FvHvz45A&ZaViR zazlbL>&O_lNh1EE9+7WfDf|ZKnikZKC=O(+q4RQM{iW6lZ@g0Fn~r^PChAyeno6y2 z!u)|xb6$Z~J8c+dAG83p)s!-1H(eWT;O6uh2I6qVAIrhupFzN{DL7s;jD!Mk0+ei! z3k41Qmb|6lc^QxZBnoNV3V^ywvQnwRXaF$;hU6egf$W=@!V&Lb%>ajSC6JODT$@Tg zBfF|;>btDavuCCLQfrFi!6ygV9s4$|FTtl7?vpraz)Q33Vk+mwV}MU++RzHf@=O}q zaGpb|?NpDaVJJ#3ax<`X)rX4{@c@pA!20|IxzjedC`hG+P*kH2!gN^yR@HO2XkBf~ zNjBCx1?Mjcj*?=T#kQG@cu5(4$K;eZ76U#%vADGo_vlderUYmzagk@k%wG(=Q@)0e zWzokB_Pwzx>TjxjmJ{>#LZYsa^Ve{y@o!*lf-hb4k*{7pFvj&_h*nWu4Onk9NG(`1 zoX4E!YQ6(dREmxI0e2nor2%>24e^9+SYL)a)aj?Z z{pqvS_MprZs6TyDY&OQ(*nEDn*v&KR0FY7vq&&_zMR^=e}Ly zEsHrTc)p)(oG~gIi|jaS)l0XZ>mAD8WC~4{Eil|K70t zDt)Fvt^ZV)Se;R|S&i7bT_Yv^bbGb3_q|2ro93(Sw&N*>AyqU>?}_Nat*P5Jx5vBN z5q)9rhpR1ppS#~%q@S(_Nqrvnj@lkhkAF|SB>v#15U6;k>Dl%maJ%*BbALcVHKe+0 zcoQmnhU+$}L4UqY1F~)rcvBtB8%BK7?+8QK^12v>aF#*Hd$?+))t}^jw?jmuU(iDiqzpkTKJwqe( zUMbS_TkQsR^FvS26; z8L<}~= z;a7;=1?;3O-uOVjF#~LWdeZ6mf~9FN4fz`_J;~p&JSIgIXEALMzlA*TN-9f6N*;3@;NHx5E}nK0;N48496+_yv_Yw%{Mhnl zq2zK2)H7P|8jBDo`E*GXl8Sva+5vYFbj6Kz7eQx-}TjM7~n~ail!{A|3hI?5(S8-P$$JPz=HCjWP<*`U!hY zlq3zWH-I`S(2r2W<5cc2!x&uQ5m1K>ES|`e&*8nO2mu?B7n&4M4SOPeNmTBYSO8yI zuZ`b#P!6!Z$Um&wW-hn<$_+@3eM&9Y_Y7Y?0E?mIChyr`BFLW)p42r{>6uA-)qUJX zm&&^!=)y%PY!-QqqlgQTty^Jko`yuzW|28K)40%qwIC*j@Xs;i&xriv3JWHHo_oqb z*2Ut;sptqdk=8Kf0(b~0x=^1{uz(me*bNweF7A2WE(0s8Sg=`zYNaLy=H{L2ll~8= z`{kQe=G^7QtxtL>K@m^emub!**^;^Zh`uoa>1JcQO?9?e*5C?W_}dChz7Uw$UDVnE zZe(&1qJwG)0JwI1Fb{=c_%7hCQ>`K)^of9z+Cq3RUy&^UO;7L7D`4G7i3lAF;L{pP z{ep0M*XHgGoM$|&eEwMx|2u@y10f7s2>urcFkc0jr-zzPUwo3y0nK^;NpnsZm_t+q zo<9K30a}8Pjp~2iK#w=29hD#{UK@jX?*bsz^m*ujyi7Tf2IK)xEP!_w8U%_8py+DU zK%=&P%7c_@OS|4e(3p+Rgpie3By_Ff!h)1npd|{;%k)!TB8$9~StTc0$T~JPUA%#0 z0*^Ts!amb!L4W|*gy2@8Y1zb)-_^sB8?&7h5KBI0if#iY`vqV=RbhZasNn^L{Eakf z5rHMW zLp}#%xZRdu0uL#m8nwm`s0ri?3ux(4-ns&h8$2Q80Mq{clocE>&v%1RXjV?|@cO3y zx;xR^fnj6XFB*XV_4!U%dKb1c@T34(_iVFTk&t&?VbMbGgpeYhX$EMWH>}Bi^8xZi zSqxN(VJ8{+(9Qw$(SZ~g(yj-|9kVv9-o*wMmX41&7HU z_2DnzDgfX!0hPXgB%uBS%g0?5*CHUv9q9+1P;V`Yc!a4tep^4no7!BHXBW-V#P&J3 zNpE^NqoEvM4)NieNv}x$c z(@N8pmB24Wfc|$rY{mfr>4`eYxEBC2tyY|Da~Alguh8USOdK_(ec!+%kV!+fR`bBVWihQqI1T1&E`# z_X^mXmo!=Lt9&%8OriSlUR@rBnO6xP)6(*cC{%3J!>r#^jUl-097;mYJ)oOQW%EpVBd=b;0x7` ziFP*?oUAY2SI+$fIM0@Os5_HE9XR=~JFoEBc(XOJzh6M%1>A!k55-10vR z&1x7BGTja23g8ccWFuiqbNwm0cn|6c_$$!wh63(n?$I;2U#J1v@O;!3BpNc7!EnFB za-+MB2-0N`!F%aeVg)ynIS6kj5EoEMKEFA7P$^Q!W32(?OMXEYs3nbpi%_VRTXeVc zRpoVqk%?DlK_5(+^zLSdSA!@tHo!gHA^!|`>Ryw{t^&dKZjvGFXn0coM8SWda?2rXd zpd8@qAuV&F=aq(LiuRRO=tZ*tz7s^nbqP0<`_K|Cd8P?ex2IlZb^i1;unH+m1GMbk z&?kWFcU5@YQs?Zq{snJBz~TA*1}z?tHB=V|FX#ksEweHV-OqAm+T+5 z7tAx02sV0TVvzfpo9ZiD4RZtyY}!}07ADCMb`g4)RKX;f!!nP-H$!W1j|KhwBMu5I z@F$$%0D7N+dzO_)BzriOAcIaiE>BNJaH*p#KL8`Ln?I0>{F?fJr#H*Ws6+k4$ZIlO z_R!Qii1%#{5;K(jz0+W^)C17j$jo?kf zYM$K8^3rR@MRX7Ui-5W*66q6t;JM+JRk6#)v2p8r)w@4Z?E0x}bf+I}B8mhuhd_Ht z60z6A>2Z3&TOU^agF-YJ1RbTo%6fC5__?k?Y9} zCO>Go?#FvmN~2xs5zJctauiUZH!rb|#E)nyO)Pn^=<~RXj;|YSw&R%N4 zrA4Uz!5HPBMjD!9$eM!n)06X>yjU7Qq!vxP%+4yEoNk^pKVLU*z5Xq_Un3+qp8BOC zMF<1X&Fvn1vAjyrX@Ln0^uF=|T<-D#2}irM{B5N%=4-tr%gpqy)ikhmjSw{`B_oun z>(j&i-H6NmY1`vR@R&v|c^psux~4#|tlUQ){>D&=`x#<@jF>a^D^B@F`3j~hZqpGg833x?RVoUkn* z+rNvoM7bD%G6JHn+`Iszi5KQNe`T7hR~bCIS%;oU1Tf^DAB~u&9NfhcOk^d=zc!$+ zB_#jaRXF3L`7#?C%xWZ42XI2*g@MvTvEFn!Q;6vFJX>xrlm=Ao4jsLwhSH4(nfg{J zKQgha09PAeV6L9jcErWue*?bni&v`fnaeMrEYTwtcvWCiZw*RWP7(f6mTZ8s$Z(@T z>BbWYqj((XDTg_h;;y7ZUMZ)~`O)(5v(wSI%)hD_hf@&)#8ECiwKa{&{89>86SAfP$N@87 zelvbwj?THoUY`m9e{!aBiTLl|gULZCCMB(Q*GQW5uY`l$j!px$OyFX{=iyvx?`Mb_w3Nx?W0voU8nssRzF#9vlp z;Q_V~v$@|h&~v={*|_-CrJ_#-B!!jGE|YeVO%dnF&tMRf%O1@LNVcKJ+2(B@h5ioc zj&Hh>%|9oP)okqkX4yGA4o5m)6ohHx_Eee$?zKHvI3|9M5|S z!Y%r8($Ah^L)a|X4)^7w=l@O-$4&x!i(92eO=%bzIvkw*JuQ?kS~|rC=>ZyV79TzH zRWO^DTAyEqeU}lL-fISfXVT;FvNp3}k#Zf!B?01z18=JeP$%ho!m{r}=QI(+7!&-| zlnF~}2svDh-U~kY{klJ7byTb0iif{rpbP2v`TO1HA=%t@u*!PQ##m@KKU|CpQH-%` z+O;&j#$u%Tc79JnqbCQVFXH}g*;FNDMXb5|yd4MEyV4*uG&xdk08CmN$(WGk&qse_ z*hz%zJ%*`Ho!Is~vGFadxVXM`a<*nSQ6FV^{@Z#Hz(Mm+i7^mio;jH9B|F_3Q*>E0 zV4fZ@?`qRic0g}N%vd!}MfpaVK#R`nKwOe~$k1%OUd0V)$X{R#hxC;YeF0W@%bfQSt?R{z2; zHD0IMj+4NKniB6XWJ3(CD;(SmWma($IZQLf=l}83O(-y)I3mF1{z~O}A zZzM`&KNKS8gwB4*U2v}?Y}4(@`|@)FBiXb2o{+kr`Z$Tx6fCo9^eqUq@6ITCcTpxio~h3q*3 zY6N}|jgie}j^fJF2w0o^GOf>aF5)4vOgEY z6Bqycp6fjxLCHh1(Z+>sx=7Js`8&W;sQEl$n|FS4$*oldV@HX+^I?SkA62=iGvW`C85XnHYq|a9K`=>GUx{9`G!teRs(s?&MmUH}D6GY$5^Rd$G5bLWgv| z`0o?WOc(w^@*x?Bh&mc|>2$bRMoxqVKk1iYi`NWq;*#uosuf0i7>Gr-uU$NOV1 zTrfF_V!V+Pm!16Eb#ms%fy_%OYxpY1Q46>QeZX~7kFk0TS8AqwD4Oi=4L}*P16kWY zL?-^iiJ5`CA-{!Nby(gGK+hU01_KK(sl@hL>M5yzkG~)}NaNT8-ZRQkI@}3BB50Ae z*{H=}UIM{)h+)B7yvdx@NH_5Z6S+0oT$~hwXHDv<)p66&JhO>PU`S zS>g&Aj_8DHnc~FFn`me)Sy{nX0fjv=Zf%w!KUe1LD>l}k1k}>Er($Jf;ZKSmv^{Zb zYy&F8AM6Tz$jo1^0`^CZ6;F;A-x5iN{}QTn&&3Pm89tU)KdW-3IMhLU*EvxU0=Zv&Tk5Kk{XUtT2i;i`?+=`KiBPL zBMW|x)j~y)nIl1O+)It=ia_+yOkN34|BN(=1g~d*|o$7IY+s5td*8-XAtBJwA zqoXSbP1LDMW#zCt{NbT+LcSW^BgGBpoS+Bj(#ujnGGzty=_8DSxQtgb4eT~(>!Q`? zTE=T`zVmDEVu2jp_rk)`?cyGr3fr)&(0TaJ{5F`PDQK|!g9OTw-;Y#rdPmbypYuvf z7BUMe5}GAI7w)}`1MzK!3$muyMCgO`m zdaG1yd}WHB-?q(t-zt45VHN8P0+#xg=jJF5uFj8^+CJW2*a}8*Dpmh!er-5-)}$4% zMG?v)b;>&Ymg2rIk}e*F_tpK%by9hGTT9(R=1Y%#?yO%O%R#{lzj8A!Z59uJ_5jYo zto4;X#!b%U0Rh-==WuUPcsX{2##H&?_npYjP_a9G_TwgVt&t4sJMW0Z_2Y6ox^TWN zOAdW8AiiyWU!ggH*;wi-;J2e5vc)qW5u=8-UJvi46X6{2`x=)=Jn-J#GFqjZgCimt zy_3IOBuc{;|3m{>ugf_gGj}dVpVnRQ`nF<4{38m8A1`HhCI($X%TX#&g8Du^Url0M zipsNDBhTCFS0k!OJ4a9>o%I#`x3I0|%tz0=Hec~P2~+8!&rUuTdeWAdjOFc~MASbg zh5NXu;eTqOZL1;(zigLOpa}YgQT^>Q|6~6xwx_;Zz{ZbH&9cb2*q^#= z0so;JNUHpwHiBgT+k;!h&e_1(gp8F%-o)6#K*Y{n8)|_Y*xHeYk6o8V#l*?Z#nH&b z2{8Gz*W};s;YtQ(CPG4}Cbq_akEmQvUi|e|#l*;2`(OHTLHm<)Z~%YV$vAkJx&Grm zjPC>lSimaMwC2vv_WUd?Zf zbRI4qPLBU-Z^@_Z{!?)O-|sE?@4CDH>o$}B7aEQ|)%4#W{?~v9*V?R+mET#Fe3`igQ{Y1&T zJv(q7SxfWB9rW+xP?cH}i(E38fsib<#IHWEa%h<+T&pDOAWNfr3u=#4NNRJRawj!w z#B1sWKxo2d*5*W{D&LO?$ewll0r9p@4?7ZNZfRzM6c`iTb<<5lYIjya>2WLbYcL?6 zSWI00YPdx_#ZCi@?LWoq&iuJ}f8zFae{<{d^SJvYF}cm1ZFNsom*Z7;7!=|JLeg=s zevGqfBw-v|G&e@)9EuLbzFvEWxv$ZGP(24C58PfaJKSyMKlD6SHyXMQdZ>bLGFyh8E+WX`nT`}!_Do-U6ecX%E{~06N98GnO_GwK@>pNdCKg=+j3f5n)sETNfuT@RjfDT{=|3V zd!yN?i07=o6+t~L%s{uXf`(X2=I8{6l<^!~g7+%sFcq{^c=jULN~#j;QrMCt*(^U* z)rf4V~H8QkcH-K*IRu$EEJY&^EX_xN$zP}M{A-;1EbSPI1z#C z-KIz+qfj=T<8E#M_n8P1tb2k$FgWT*TmvkXd4I_Ytyu$ZFDNr0sokDlFEfkT~X8b zpLYa7OnRm8Fr$su{`(6j8pov4e__E~k*Cj(QUB@9eTR#&gIUT}O><8H|9T7E2ZjYZmD7tniMMd$v`4GpcfV$m&G<&S+A#HeR&rB@W;3;m+8o{`d$sXt>#;@8j`Qg z3R{`R-?Y3->`bKKn0+(f4j)H_u*Q@G+!PKpXA>^sH&(t%2pNBIGi_VkdR;0+7C2}x zE0P+f-CVRz5QlDCEB|GlHkM+mO>weIw7!GM%EA0<@V3nI8b;iHHlT)TX@|QqnvD#H zsx0`o3_PtSnsdm-U@|>3V&E!_@_yq*i@SCW1POneed^3`TCsn>vsI5ItgYcz=1Sr1W5&z3V+gylrRBwS5h6UiA0d!UoSajfN>BLdfRFS1p!S3+Gcb+~rg zIRd#?Vy08rqd(#)9Ef6mNwS@OPR#Mkem6SX6e}C87A8a%{Py)Cn&VM7Tp~B4h6Q8% ziWi3Dv%1%0-!RD0ODM(2C^Ply-?MI@e~Y3Uv*q$7ym!H+;%H#bD#?ve9XdB{T%Sx=kcFYbmb1tu?**BxZat-0f&x z+xOCk`0ADSft1zuDA?F8HQKvuM8cbwZhTI#JC62?)0hgi`a+I&?}0qgi!PJ8^3_rM zf?Ff(IZxV&NLW!ye5Z?I`#*eC%?ho$cdA{mF9Uk1%pO@ctscYsPJ=&!o!a3()5bA* z1Z&Yq;Ku1lShf|SrTeA3{;1kq9&;99Uwjdb?o#)WrH8nW<99`5M9g}gj>-NR*$+iz z7a{dh!);BQ^?+{B*VmsdYb=@Ri!B>Qo6gIY)D6t0Vp7Q~1Zv`47F3&Oh7>VckZp&A zAwG{j*R9&NYPS~MVb<` zbd4O(SDs^MQUY5tv9Tv{4oAX&bCbo+vt>6*-b!4Vt-Yea|iw(9dn4^bA(h^RHtSWDAcv*l%M?w^XX86bELlzCyd=s+#g7k<7!kgRY zITkt|w&j5#qM7Dec)x@KU=I5be`yp-P#cMeQ{=}CJ5-f*N)peal8UJ0$o23Yn_{2p z{Te0CnbdZKlQ#B~{{SO3;mNNOrK37Z_4&i=U$hgfrqtshR4%Kd3H-AN5>3&bd?SKH zkE%}de{kQT_uKUnzNIz4nozmc1D*Aid#pE6)i}l3Pv9SV1*MTNIfgz`aE)JYw+K%j zZO*3-U2{9#9xUiL)Pzi-uT&=vydc69vZ;5aBS^;av^Re>3tM0veTVljE}bz(^D*M{ z2^jMJbH%d)*+Mxn-fAkDQ=Au`Yh>Tl9z@+I&htuNj9uO#6)V1PT%X-L*I1&BrfsAY zdw~V6!y@-n!a-_)8E^YEk}}gBq;m7Q(#@^ab#sW|+!TCd=kQ}IOIeGzZbnQR2JdEi z*Izf_g;937l$9@%Hb$&g)$^3n8B8pDg&{`1w*oNH*c1UEBEaxD0eo)}aQH*gQ96$dj3- zDqK2;af;7`%Vf&yz+GW8J)r)QjbhaG0w&R(zHv27Gi4V3uy~9A1 zgD0cJ;EZ}zeUu^!=@xU8$c8w_S6OMLWMhphE!Jy9x7s$~^HCzw|s4N$;ouQu& zl`cpkXSSTiBv|WA;zgz&+_>4IPPV$$+F9#F%<`Ncd?Dt+r9XTI`};NJPg!@C^R>~- zh&&BXPR5BWa<^;#uchP8+rNcXbIaI+c9jst+%*rCxGO}=o5?D0*y)meyuMoNx4vBR zrNm+P0v{F9D_AW~G1}wP3ucZ{zk58Z&^v5i%ftMH_VEn`d8x+U!sgLr-UFR+)>QtS>}PqjV5GEwj=E(!#%Y8}gDym-&LtkWI8)@^aL)1IYQ5cQeg(yM zDM-x^=h7+BzrM}0G`*NT$?DSb^xM-w-bM|wH7^Td`U@H$BXHWTyU`a_%0O>_vSG^(Pl1MN1 z$&$-9WA~_~dryL?xJmXeuql48h#JP3S(pAxP|!$KC6^0tsPG%rh@#Ka%_Yi@MXPbY zup^7q80~%B{m+yxjZ*5$nK3%%usj*d$ExEvp;)Ff!^4jmkoQx$-(JFyg>96 zECL!sT{!2u+PRQ*87tL&tK#nmzb$qnjJ3MVJrzXheLc7(lX5BLsi&xg$dydFCSP-Q zYD1c8uh}d#XHU~qcWF;-PiwR~_51KPdI9}rYOPpVkt_)bO9x}sl|{h;b2a^C=AYZX zN=KxCVAn`(e&-(>@NvPxe}d08(CWsUcGA%T>T(~aiFM9z6n|v@kjoy^&1Xp5xs1!C zd>~m7WY+D;Q%~mj(8(&QaVhC0Divwn|C}^HtU5Bi{ZP)0#z>Y}Gy^x*4-=&B(`VmfjJH9bb1de6#>diek-7gOqTfbiG1OssmDG)Z zX=KfsSYU`TOn#ND+pI@v;ea@4f-MJ^GWRSeoNVYoN_9Dhmy(syHRXGO4ztL3`tHKmYLY#39fwK;keX$PunZrY8ai3=Af?3sb^}X zR#)P_Ox3WcZBlPpc#GRtQ-^Psng4VJJ;LEX^Twd4`%gtCc4)Vdf7bktcJ}`d@#jfp zanj~ue`)~&C_qoWLxBJPG<|3PZ=1ez{J(Ab&iD6uZuT4;oXkM9Y(QHRU@|VCp$edAv2p#+C*x-4|M`M)49JyrAH0{BN>;$r9hAIM9Q(0=w)8fO#);pP_+3-jJuiUL8^$JB%j zgp9Et-pP&!vx)aNy6@lhZ2DiSxtx+mu)M9n{~)IBrUBN-;0MRA`dqAEGteDIae$2% zkqMHX3@$f0<~8iUQJ7{9aN-E^1P-qHR>*dy>~bWVC1;?}(EYhF-0NLu6R2 zZ3A*%E}}P#MRorPObjhybnLepFl#^xQv?A&my&^sP%^bjNP}Rx4tVz}L6|Aswe~n+U{vP%RD=V*=Fls2hmVU@5 z4KrmfnGG%^P6%m}{lW0?LunBCP|Ootb?iJc5&&l)>dNd7ywV~0UNKx2)E1#PQriEq zuqJs>u5b)IQno66yL=QiLUp~3ZPq=wTQQGdV*6rw)d=5HF}hxc#h27Nl!>!Zt9cEV3D42JLC0in#r^r%7O@ zj6{CKyVu)M(mk|<^(-uu6(&R$5*IKLg~szvJ5GeO!>R^fvf+8!S&*{&)3?&M*eUew zJ1W{50^340Io#3__La((qp?2DDI@!qFx|#dXp+qozW8Z+k*n=Uj-l5>X~E5WcpmjKze6I>LKUmNjOkYQ5m!;ig|yQuw9XEmdh206u{h{I&s%LALKjVD)Lru;Q^NG z61A(%FU@(U%b#^M_v(5|rv(2~Ec3U1o8L(zjV_ku)*X?PB}- zIc^qyx}anngtbiv11FTrhx)caJ&_BPyLb7`FUExc=G*9l1p1t$P+j%f{@1HpCrq|y z%i>~6(!Y{QbjkhZlq4g`MRw5LL_y>0CVs(=VseoZDsnC3QlMEx*^UL09gmw&=UQ~6 z*-Qi{>lA-h-{v$-jY--eEq?zIc1hM~7D5M4>foj6%j8KKQq4q`NkM@f$}OJP+(-*F zH3@ui8r*w8!)c%UB?a2l#CY3hFz{93U{uO3foD-RYN7Pf0AHgxx zB~~lH6@OO#5)Libx51hfb8+Xz^23{D7t=P0QKJRI3+Ac((+}FX`?pkHrmrI7qI}@9$!xPGvDS zle+Wx43)ax(y|VW#^tkNcf|%9P%*{g3d+buyswhhF;@vB*b+)#ZO@n6tyfKVU3Kpn zP1wI|>XcT?FmIG)x^2{+Sdb=CSv}IzI!F58G6TQRv_W_*izUfLdMI=KyA=U@*O(XC z{QgF`Zs|dAD3p!+6)J9v=XBWttG$uJ@`t*80d%46qV2;YqtD})MgCJY(NyT zFGj-4`jHxAfgy`C8Sbl%VA5ya6)bQvARTnHIr=-SXp0GxtbkE|B?9+noiuNRqHeTK z5#w}rJqEX298I0LWtz;LL-hSiJ_aj<=*{_k(j~uW?nJYV6{fn&S{2_5xnaMMxybT9 zBaqVl{h^gq=!L9mPzB>RrOklwshws@;(|%`$-2D5M0|w4em);IeCp5$rIGPuEE!?x z1*M2t3Cri+)^!tE*DXTNJ2L$;o^7t8mGgVFultv?eDuLPE9|~viQ_tsRxC1MibOqX zJ}OEiReFJ*My8!tuwaahOyKW(lG|8dd=ts&)fiuS0*Fffe?=vKcMU*P68hE*^g_Qm z$DwQ}Ps-oaB@PaMZ&Wj<{%2A}(3B0w3B}z*x{JtWx83wHq~VQk+RiEXmrIh`G~5vJVps<+n* z7oJDmfh;x{8Wtt7KEm%QchBc|W`pr1-q5kf|u`TxW`|fZl|0ZbVHx0ZTqJI^i<$HnIUcws>pV zWM^e7&(k#?C&S03z3iv&RVAVNCI;nzZ@$mOKq&-|BnkodUHSIy8{NXiL%OCeq41&4 z_L?qnJ;X^o4%8S)5pAKTos~lAsnaW>6RzphtD@X4?HA9qcJ6y;9%crQK##5Dw?w3} zuvXpN0};aT%)>k_#M#SxwnAF3QQ1fsLTe&EuEjBRr}MK>lcwox`m_~BhFie1OHZP_ zeB~Y9!*R@ZgB z0sR^+uKZ|3dQB9*Wx0x3Pq%6H`;M|E%-+Ta<9yb*D)+ARNAL63tV@pAe2$VNdpTA< zTg9Q;I(3@oxSnxXz7J$Xa8d`ww_5mu&KPQa#t(#9(Sey|772U3TgksjYY4zS&Ox?S zvi(UI;h%CR9m&jB?2DF0Jf8i)fj7Q7yp8|p`M`60Lv-=ugs#!6DxTP<4 zOthKSJWH-U>>mCXb?*QqS-WkE7P@S7smr!)+qP}Hs>`-*yQ<5!jV{}^b?fiF@7ep_ z*k|8!V%1?75~7h`~qokN9Hl&zb*-2!3HFp!;Onkal zHx@F-5xz{S+~wET-u-^3xB04^>TJ|6s;l2e$5-QnevW?za`ybrrlzE&wZM-^vsHPMZS3Ds%~f+adr7zA>+Q zr9*8RUt9ewhU(_p4Rn#Z!P^k#P-)+%tC@X`(iC3tz!DWlY?fh(P&+t-SU1z$+zT7U zleNa#${r3%(Zmh_eha1y-$YSTVgcFt@?^Q64MVz$-H0NWm|%Xgh+W>5YRV z1}7;N4X(b!A#6sPj0E36`*`_#YXzCi{rLs0pc`x`Da-WUHcNuMQ(i1~O^h>&=9XPE zT{b5`3L6e+NPcT_2$Ldzsd36y0B)X|z6POyZH}8`vzDAB~D0M{~;aP*} z+DQ8NaAtq!#PorNHLoEj`YW}F2S{-+Gd>iZ3b9ltiB(5@yuy)2xf!H15O9W0#$(A| z>J+=UVCL9BO3fYl)&}DptCoHW!6?dSM!`>+v8*IwZCg)-gCk4fq8^KB!MU0{?}#yk z*v(#lsp=_|TR4>=)L*hn8AvwpYpRo$jbDr*h!MhOk{c zxk;k{p#tP!9kr)p($6g3GL^EU~Ep0c$0|@Y0$jJDUvFv%t^COa}3GDN!26^KB z7BJ9zA&1b?f;XBfxX$ADJD|D`#WE){iH~$lt30SdzcM~hhR}C>G>6K^!iejn>2_p5 zKBPKCzOc+nLwFXgFjm2sG5K#|wT*QFG0UUqBr`#YM8R}6b&(%Q#j2fU6pB#RC#uf!DZH($qI)FQs!kKHkQF!P}CjrQjrGKP+raXs_cy zR=ZSD$8P5VOW%o=V#`aTAFsbtAl3a28~~uIUb-9C3X(h`@!O6x;?0=;^NAXswv_4m zec*1rfJ3sL&t9V5H&;LORSH%Z3Ewma6789z&v!B*Xb{{!${Z{KKACsZBkN%ObGM`? z2JfjUSDFb!E+4lwKG>80cG&&IN7~}HV6oMbSxfcO#oX zCWj~5Sm#oOMU{at{~l%xDKJ5`4Y*``qyq{t^JkyAfX2ji-~Ib7jc*X%1!;#iIJyVE zF{^sV8d2KDH8o(xBPh|gp^BntNw9eq;h|0hJ!4Zx)RT#Fc}U>kq~2g)eHh56-y@us zrd*hw&#OsSIr<2iy{NWGll-ngZEyvMbJ`lIY(%bj*OOyrWdtWczJeI1K8ctcWCcmT zqb>qEecgSAV1p5IEqTQtj_?OT@O^?&ndw@U8Kh5tWM!2U9Q;1wl?qxpeSf5avxUwQ z+zd|ZQ-s5>qG$W#^-gT50UuYzTSnc0Ymaa$N2Zmpa?sBDL4~ljycI;lA&`kN(q-;| z$o9$$5+nR~3uC+#^H5+pP}Ji7^(DSKP9(LwwRt(^5rp6FATR{v3`?~^KbKB$ z$`=?0ZGh!GqZ|Pj946$3|Z#H_KN_{lBA7ODSfXat~Ij0Wi~y5v+IIf z)gR%VAd=(M$nxjJAc7OjbaH@m?bxC}RWYaFa)LYQE<+e05K5pcWDypgQ`=iYFG)jy zgrfV=_6VgBFX;d}ENOGdp7=1=*7h!sPeIxw0121UGI$ZhF|c4B*s0bDhytInZ}wa_b>QwlyOi1Q}5OqiV20=n%HhEMnA&* zDwvQH6HVtK95W1!sBf5rMV(pDq`;0*);9?kT}9FWdBQV2MqKYmCXfeI;8e25j#VR% z78MFNW`heB?-2o^P(Q)9SQj&b+wV~|s*XQ7Hxt0sS^7ab5W0p$wkK)}iRUhOCFvQ1 zdk>1wG_8Hv1t$nu0yE3YQRI{grNmj5qmBaQE?gvb}$` zN4Mj<1Fq@|HbgRX%K}en#HlZ+fKC?z?jt>vBL$W))`bxSVM$bob=<^XxC-nd%54a- z;%4O(=g43B)>`OGDc@tN0+2yL+excJBTbTy#1sr1URF~TA;SJ#3dl#MMiuU3NKywL z5@b-uDJI^A??-Hw387P{0U{ZS_sr;Qd1viRKk^M2>zq#;8lTj$04^uEmFHL#v?mVl zgwzus9s1)zz5{wGZ+Ag#enpDGP<7F?YnIc^qY({?IqhdNWI(@L8PAL>^xH%+X0*e-e75{(JND;2vcu#Z9X6inmFc#Ni*xjsA2L?dD#?X=cG zz2A^Oj*+Y=D{nQ0-8U9{^oZ;^!$Qk~WApZ+A{!4-S z_4tQ0_;*TFhCeg{&3|2q%J7GO_?INXpVj;mM*rWgME&E2e_Fu*lZxaFj0{Xn%>M~N z@W;>p+eGwVv;gye2t#QZshR$(Lwi|Ef*@W~P6TEB}9^ApXJB{7;1|3mx14 zLE(C)A{D>NjM#CivITCf#&`|$VjRstO=72cP1*p)0j9oVnj$-2L27iiS^MgL+sbEO zQft!Du)On+O++`d)?K2#-sK^D3+sA6CUK_#i_~l@bcH9lFcxffCh zC)nMtqZ?D|x&1D1hYjnNzjy?-d|*z3DnV6?M*O!b_dgy=>o1Q@Q9qD13al=egv*o7e%aSQceJ;)jd6}AKNlBSwOSruy_4x&x-3_h zU!rbSqOkKK2R^u60ZHT!I9UaR4V^cr&)Dg#R4f^KqS3#0w0n9zD;vsE=`3h@l|;Sz zKlL35zs)Yz2w~GF{vgxNOp}@bl~Sp910M`29Bs(5E}SUYgav!M5eG@8;xgNI*ia0< zkDO*6$pY6ZsK$>%^?nIa$Q;=&)y2{(8+8-~qPV*PoUS38PKn4vl4U@}*Q_xRD z0c?%LiN$|i=JF&_DN5H|>;51uUo{9)Fr3I2P~MX6<^Iu){CX#*?aVP#eLH#rQUTaU@vUlobsvcbFv)}*Z^BH+94l}f zpX}?(y-Z2h_i!?>d`Jri?MEZKKBXK}h<0kUNz~;W;cId)@2PWb?Ld&LsJ;2gz2L5G zaxfG??MNzI2{t~}LneTxh2dDC5!vOr*|NW3B>lpoMMj;$*zVEQ#ePFn>|cL2_6Jop z!3+el-s(#Quti|6AAsN60LJ=#JVvXl$CX{WG*tkU%cj1-&G<{}Ek*yD) zI1w4}i(09`S~fw}gTIZj_OS$oz~=+N%?0>PXY%kAqd+;ws&$+jhWaI7B5MTK5yFEx z1sYe$nK6yCL+(mhBqm(#C9UyhjB<8$HD`=EQkH8WTZqseqhI`>+~tiN(DaeR7GRS& z3sxshQu+#O3e_uSGI3A%7!?C9&ayC7pdw`PQGwtSl?ccr2O{kuH;&|`8!NOmx7m<$ z@!z>si7SV%J|HWcT+2s>q|IZ^k)ihu(IVT)5X-Su+%}6?fqZGkdBxOLqqzV{fNP#@ z=Gmjm3y}mXptAyiHSPqupDj)Gv*XO9g%m~-2FVXSbW!~*em)@cnK>7PQiRl372?9q zgVub#ObwY-Bu6)!F*-w0VYRdT*>XvzbLxS72z1tPW_Cw3FyAVtBY`FUYb2>;y6z$h z#w*cYt6`_PctenJoi&}RNA#xg;vzZRsZHlI>mlU6vu!e|#I|mkc;;AEF8jwGoxkl= zW~4BYl2As2q;-9;J6&C|Kzu;f@bE5-y4dq|g0NK(Hgancn%T1BPTW8>LnHysCU3}2 zd=i>ytFr8z0#3VTPgc#xZrJ(U;IMu$23tR<-}IA=XEMZ#{46)TM6!z<8n&L|Z7smT zWy0#`2x8#*8B6MYOh@`wm@}In=z}+I65T;Dncsz$_X%|2J4C$|2`$t+wh6+Olog7~ zFw%BtBe-DIKUTzppM(q|7oXdefMX_>KmsX^t7c<*u6vn}ek{etB_O%@VTpdgBj!#o&ux=2x zVQC+;-aUb0=fPER{yP)}b0_&WRX$cGaFtQu@lKH9hdSbN9>)u`Ju9}OtQ|9-MOf$d0o;x9Gg+@?>rZheQqKE3Py%^9 zh?eiQO};8>xp}C-92Sa9f#Ddv`_rDBL`f2z#B+I`0{BDB4z!Elhg0aNh^g_8s*Cu> z$gU8G?(oW1G~}Hw-?rWlt-Tl`9@f2Ci%b^J?Cu>8X9kxGpF7kfEsemi&wtG78Z}9E zS#jMkMaJk}VPi1#Q?HP^efv(ds0HBkOn{EL2X~iG2rgb>o#vhMB9&G#x92*UL?(w% zzCu{i;}Ki+J91tpEB}-@{vF_wZhPM34rh|P5Qzgn5PTSp>la9-gNtbtlL=8QJ-Yv6 zbOrN{^QNPJRvH;py6JMi>OSxrtJ0YuGzl2`4+)HcwL`YRRbyvs4I!0_2YkNn_y?NmAw)_WXXfuWgRNikvfq z;F&22F%*+RDRCU;S4bnl$OkSzL!J4`iGjx9&T1l9H8kf(r8 zae~f{RtE?Z-cgs5tYhd$9_hT!mjvRIXg@Yi|Dw;Pg0xw_AW1x@=!#|EI!0q=v*4O( zpDIn2m_ij1-OjwQ(TtC0R!w*Uk0u=wjA^OGHA`B|%hH@Vv`pd0kJKRihT0Omv=f;L z@t3I)Y~qOF7zDk7psa-gqXlb9@T49wI+P$Z2|!{;K$za<7}T{I%3>62wx0r;_+?L7 z4K;Udgej5rQ=paXW`-?ap$XbzVVJwoOin5DleI--i|zL}Rv7XY-Q|rC5QMlQzMa)IcH(}y3*LOS zr_E*lNx9nFE|wIgQ8~o>Yb?w-WSG~KqpWw++*fOD1$%BmeTL>(T^hN1!gH9{TeZF4 z67!4cP}X2DB^+C|F;52WBkaUa=iiD0qC_F@hWu%B>1==eO}&r zUd9DzitjIROl6t8P8`XF9Tx8#k|<7|xjh_IoQF21$ohpDDNqWQ00xW}33fEhm#jYZ zN+~P}VNJbBelB2CG#Upj{Pwt%@;tqeCV?Jo&8B8{UDUnb3QEkwg_70lyuCQNpSp$n zfLW~V>K!e^9?t<(>V`}d?c4D#sM%s4K$P)pBnc?owpDMm3>$!U#fS+Y0_mWePmxW+ zT98dS#*UzKMHai1fujl8!vW-hpSt#ZX70syNGOL5p60Ke6vfS?`v)_BV+H zePq6Jv16WchV#I?N>&@`(M4=@Bk9{fwzZ_G|1F9_299KZG-*e{ z=u0ICc;=JFOKt?U8HYj3))6!TCT=yhfKq(o*EJbQNoVSC^V)a8S-1--yo+(yImZp2 z>iG*s)E<_l3?pWF){k(?VO2S1p|qJ5JnIX@&mrLTgX;L93Ga0jUMv(;8n8v*MEh%C z2V0Ogrc{<{S{JdETqA8SOeQ`fkeSxX{$60&sAlPhfQ1+z9$R3ou4u!dQFu@oS}%Bz zEQ=xx>*lMs&p3&dN91;0WjqIm66)_u#=8C-+mDY8{0deQ*asA~;I)$D%F_Ma+6f`f zfB**(7L}%#`*#y{6jO4yoN{PpuJFqhC8IkgEhAlpDDg2%8E6V*iXYV{@#&@*4cWEd z1|dD|XO*4C-zPBqo1jG@B7F-~_0WbA+p;^5MH8vt)Z**~r)+A}=c~3&T2gkBv>5QwO0hC$t9kM57JLA1OLhs z?U&8W+i~#o8lT;RU1!6qk{#jRzCAA{K%sM;Vx)JANcp1sha6%N`=)s8w}JAPr;VV_ zRAH>8bL>lDJOj8bJa{utI~OMCuoG(a9P>@xa2F~TGrmiK*7jS zgGN?Rm`2ga)lrK^$kkCq;jc*XuaIpK1v*?hwl6X2Umh1xp#ST|AFz-6>-HZp;eS4n zzZvm&q=J!!mi7ODR8**}+W#e%JX9Gch@saT|K8onHac3^-{@?>?j52)miCRz5RVrR zZ|wP%Yn=;#Pa>+ku~Cso-@S-t&g+F<{Ty{lr*pylr)Ek_Vj;O_>~qeIWIAWl6H{Xu zxl;*hTOiq>)!}jX^TlnrfowWK$cCv-3FglssirIG?~^7;?zv&ep}4Iey~58O)R*Q=kSeC3%;I7HIbS*NzAJye4oTrjRJph2s1SA9#$u_B4|5|^PDTKl!zP~$NkrO~3#a#b4*3xtMF7e4t$Ov}@Q@9T{B^gijDgencg9IoRp^9Ok{505PvV`* zoa#gZpgk5z%p-rUD;MIm zVDz+2xT_WOQ8u0=Rg}N?7*^SyIBz>8w!rJwPXf7W$c~O5cuV^Nx|NbtJ;Y`t`B=x0 zBpRfVjO?bSVzLe{iL~^Qxy{ww2ni%=$p$V z%zdY}#T6>J zy~EW%;}v0#N4CLIsRV3)-s1k$re%fxgHfwHZrNHAE)PwUH-drgdnBO!U5c?OBuHT> z4SrNAl(0HY4V{|N6lshCAmq3a8#HeTQx;Kh8QN4%L##6vpzbu93`%3Pr8Vl%K-cwS zms>xej|ny(@o}C0F$Q3JA}9GU0kU_?kC%KR3SiDrY&$k}$rKGU1ZqZ%qTzMyk>`(3 z^9H&?R}F@}5#g;uUc3p3A6ArFTMUCJ*Vt@ID0$7a%OR_$KIU{QqD-s6J8by$6f@L@ zMT{m8QcG<4y7FXpuWIN|{IDwI!KSAQf!NU*p)-x{;tQ&|hxqDdqet3+p(0h^8u-6o zm#9)722|Ghb&p57VS>hGmlEZNzI(~q-`i)&zi=WFwW{-_fHp!^y%5#MZxRe7Ctd5v zqU1(o{02-`Odkm46$2NwQKZIn}m3&UT(EKQT{^Gw< z6XWb4vs=~|AgXcdtBkhZHq19ma4u}PxX0}d1x+TL7`n?XAF4<4l{r#uB8z7?_RJG8 zX>cUu-VUV^WY8fiusJXtxD zVaBq>;4kFn%f4C!j1q&B+66|4wC>u(mo_KVY4R?o(W0Zmu-6waq~U3-p7yv%#HTes zQxVIm<$J+u_zQx>MC{T|UVKaShq&CD_JL;#Ow8M`e;=|pt-4E0(W*mtyz%1X+kuH9 zU;S!6LGj2?+6!NB+1WfUHQD(Qmr_XTUPidr7kymk!}OdY6!(aOILes$#(P~ISI(kH zGgPlN#*rZ5C*64B`y?CmjRNMdvS@GV4NP*k<%5rR{JR?MggfD}(rca1> z0P4luyVLGJfdoOsx*ADaExST%wb8b^ZBDC#$G*r zJ;&`*G)weJ$YZsgw3Ox_iolc6kf<&r9jyLHPd*Zr23iiG&@w! z>M>dV^XI9c;`&>mc|W&aGIKNsIAn&&h#izRrLt0hQGxGO@iSZ#)Rc$7&^rY9e#ZilioYEd z9IhZ@SDP=Zj$|R?LW-m&77wSo37(39UWh#vkXkbJHp@Q;k=f%1_B2l`osB#)#tFAISA@7i|6i}9~zBe%AglJJ5iq>_mMe>kvmb%6UDQF<#Aqw7blT5EnL-_m!-d}I?*;aTp{ z^xRn6f}WHdn7QpXEcL)#G%r0<33-PQ@`SvFcH~#!CF;a-AfroF=t|F8{G?1Daw>2U z<+3@&Xzm3grfPRDkkH?q#EZ`QSfRqMC5ukGaPb(n4MFi^a6ogr@_pbzO@H5M%F=A{ z0W%9tFIfia>mEyND+7>rl?pp)YA$PtXuNjOR%qM*Q97NHGoXyX#lv>lR2 zJGxC9iyna3mV28kNy;+#0FrJRgSOIivKi+Cqg1vNwTGI$EA|}aaS5=mHFn@$rair6`m|VC=Ab#%UKJmIC2^><5NjoK4T zDKf{^$>=)tNYK+TQF$)(=evzDCaC-GaP%~9pAPc`ksm_|hEdPlR>H<#7pg_K!~Usm zt`n$hZDdU>bt}U~#r+QjFbH6#1TZ`DSk}09K3~3y)VFC46w6FW6ft6~ znGT^oBF$9rLZGqzfN=_v$R}iUAb=6eIrtJ8o87E0$6KMuNQvChoJ0fMxT>EK&o-w9 z)iJ{Q`!PjM^Ck_M9NH$dsvn%e`tq}x9VtN8i@Y$hGrE{u%0A-A`F-wOP6@LtPV{xP z9qjJ4;anL0a!**((u{z;R-EKJ=m~^&&*(*LZ=H z2aNWetL!=Qe-~N8+JwWBR9Vl=w%Od!VZ5}l&Ts5Igyb!xsAmRH4(U$b%I)ZIb>Myw zD3r$RT4V@Z&sV_}izbz&njll|&&Of6BpKaqQd+J#h7q1(FR7J+V58lG=PvIfkSCl& zmY&=4E03``I2E~@?#xb$3Tk)mAn>H;=qBKm0vJD5UHSD6#RK}C*77GIu8+WUeZ_ze zsV9)1kj4Z`0TrF4E-Fc}F#xFE49K^NiEc!H z=6IQ(>82p-QQ|4QmZC#Clty2>54wF^;5s%1Tu7t$dbbSFq$!A=nH)eZezKmYI+@d#x2?}Pkb1wTC!#|jeyx+uBR{Yw;% zcfxz3BKjnpOvu(BCZ3_bi4(BMU?P5DR}262?~Z@rs$sna~=rkBDN1H%x>(z-i;JYaDhV~7Ivk7$2}l$_HX z(Y1D-GunmM=8l8splU10y7Ar_`98cI^m(yQe%i?#9TBs&qd1CL0lI+O6inhaGrg^y zEx|GPez!N?VVco9sGvge8|qqu?13r=q(O>+#6j)anF9$LIKVw|@&Q)_D*?-*ivSS- zK5xvJYqBia39rw!M7JLPmH_t4q$_u$`q5Vq0ayU~CG4G-V8Rb|%}kU`XAdjVtb&9& za?wdzrT@2vyZ#&?mwFK7g+HzHbvQ>p}9mDdo2MY4JqkJ6$ZV3lcUGRqW2o_OVTVVT~k8qLn+r?;S`k-nlN?Y zU49DRK2t57N+)FkrPDr>x(|k8=i`YNZmSfUs{VYa!>sA2ygTe~JX<&mnySss&$ubF zL$ls-7bm!hB)+WX?lZ+%O6dWR%&-=7WCwgPMs;f9Kyhr`5(h1<&9(JUcSaQ}gsoG} zc%v8!g00csr;%ehS!^!?_lfx-D&x$VR>7~3Ij|w3cqQ@*Kz!=>00Oif1it-C7?SZX z>Du4%wtovl{$1MkH?-^D!jXSdsQuR^ZH#|Za{n5R{701j|2J{(f6VHs-WMO1s{6B)78V(tQh~Aqz zbkQ-h_{J$vdlPb$N{FjBwZE_ZDH3}r<3v@bmxa_`H?ylfd_V|s4mHK$R?v;zTe~{F zyu9sd@>oMy>BTWf^xv?;jGerl2nN>mjOwSw626kBs*AqV(x^01c;?G6k6Kbbr;F#S zK1cIdDjz0)1$?(?8Bx+91<7o^7&nF4xnYUeRb(t7t6E2uclUoW>}&BK8_zkYAA}YK zj)vcr({bL7%#Ln`wp=-bP)@*R4$G?2Y^|tcTai73E0 zI6)%lEUHk5O>r%#)r~&I#;oA@k7ZL=3xUt{C@m+vwp%>z&|nemyypf`_i!i3A%>I> znQPSX=ZCV}Q;YSh3yVi8mm0=Yzk+S(h?(>@5Rtu2o_gx6K^4Alq{`NQelPa#In=Q$ z6T^2MH4_jXkTb5MOlMt)*xZtJb-oG3v*!}L3zf^m5erffXC0P4^FcnIy3k9PJfLc6 z4*oEU;c>*%h7i|2??YpTc=v*nz63)WnPL_fA#;l4_7br#aj_@9qrz1=}DM+MD9a+$aRgNF| z*_PM`cdpCa`;Fx^(f`NXa!|Ow;IwU__GrmF1# zZkYdvpMc_a+E?B|`0|Z*WIV!O*ZtOsv(a;j?rUiGlJTNLQ&wo-ms7B@1G$&c0(SI* zP3ILBFonJ@1SzB9AOhO#{IP$N71&lR9|uJ;$`7JriKR<23u`f;nptEmqtQ*sV%3qI zxC;G1OzRo9Y^BReu@FDNJCq+~)2WCEFDOz$@FiUZ0hilN%JBG$j-cm7ok|_T0#hqQ7 z+NCN0D|0{*zW`~n?1=jxkZHfLWs!qO1Fv*xudwb1MvxnS8FhR!O-6(KEA^ly@Ru&j zkqB?N5`>*{&bVrbU&C9WE?evyzr%Eyc9~i=Z28Zv%dS4&F~cB0?J-gyT+LoJj#--6 z06q*h8^AhrA$SNN00W3ibk}Gj$xbhwPJL0Y{)-HZ?J;Y1BeIh4I}qMNjCO~aLHx=km(lpN#91BUa13nwOJT1`i1OBOwsO}re*y^HSR)}ewcS7-?w4?Z*XfJ4C**Y-9xAfIS zsbrV$G%E)Y8$^)8t)XK>E7^!(vOHKmb#CNKmm7y*?Aa_I+f2hQhy)a&KZZ>2fQbp7 zqddgFQt&^pX;F0|J3%bFR&;!K+DL>^Hj@o7oJy7*6pSS}14NW{XuZXA+)LnEw)898 zoj@JPmhz|=quRAYt@>`ApiL7PGpgtgBp4+f$AzsW!T&-2xNweY=SmrN(_N%T?iwx! zuts2d`S5I={1e}ci_vilg~WaLRV8WgH3vx8sI$5}PHH*4Y*`s3KVoZ^Po=@qWfu#5 zLIid%{lRGLGcL5Sbi$8CmH5)TAKe6gLF4|0Arg&#*u@oi7Ux7>lV`eKVWvG1mzao$ z9qP0=)xTd*Ak%o#taCTjgfa!KVkzq60-?s}3a*GuXih9=@o5KWEc$tVW>Bgf=q!j< zPCJQptqJo;ga;E-kh+xyPAPVA2W_@n)F1>yKgWyIjsH5Gw$2KFWpf$;SgL+2 zdkIRl7K}X%1?fp@#y{4bwwqo5WSxXcW)Ku-@7AU z?;4Ycn}a`gQ!c}g1I?9C$Ig``;aE$Ir%pG7UKf)2PBZ5Ia)zPO#eS7`4`^l`Wnfpk zej;Ym(%hdD`Spa?0qtSzTQDUVAN{Cd`?auS?PNMlE(^^v+-DTSvQaY+br7J&o^xoQ z#FWTGT!Gg|Eux;yG(TJ`K6=yYrQFCF?NE4X-_R9q;Qftj*5mB8MCAm}LG#{%(@=Io zjKQkT{j4?xA?M3vh8AR&+WKe|0!Gz}3j` zoX*LBBF!JVpvVo+Wxk7}b-LcayadUAJdQn9Yfl&;*px0w#xY>8^3FaI*=Zd>sR=Znf;93Hku@MIQCWcUX-)7 zF5GxyYQ5zE^Cf36sB7nTfZ&a)#`m?aU9|}0DkW}b*0*^vOP|@v1pw+J-ljmBEl!&p z#EfV1vrw;vRlZ&8v^+Jc4r`gr3b@rU3(?&+{16PGQ80T^Oa!8{)6RgIfgDTcuEtv^@7 z#p=~CdRL5p2)VZ0H`)=(J!y66V~gSHFL6D3F?d3>$EXOORn&%{0#eB#x)9CMkwJYt zvMnq)l?B(wqRMe9WTakvl$|6*CGJvc&>q2=8NX*lz=D32khjfA;S4hi+ck<PRyXX_Ppc>dB+1{aRRN0J^XmG2;S&et2-;+*hU9_R+*3!&z zsJ4zCB5n@hWG?*NLM_K=*_0tp75X8tT1=a6f{A{6-c=<>YUr2-wk<@_w<#%Qhlz5J zoAib^!Ex+;W=n^f_UN)=iC>g?p9pQtgk7UR9j}!fl>aUg6ol+fl&mN2a94cKy?<4F zzkYHG$^`eV+BU5BiPgi&?2vgrm$NBo{kJ=85(z*BpFle3Tp%a*LexI(VU*^Q`F>j! zW9?&Gxs>?hJ02M3!Oki6zR!b$n@l?XK^%R}Zwq&ll47iqEm(vT@)v)l!i-hb=uvvEEwiBuFiCOI35EMTlp$o*C^6%^|t3eCe-` zzrq)3qR8**`|E15FNTL&UUItaD80m9ab};X%NAKUS2#Hia7IoUd@9Sg5gVlr%$l1ypmeA=cN{o2SOd8JOF)pn_ zm42N&+?h>h-eMOMnxzpquPRctzO5!I z17DH5R+oET(0B-|>H|;BHx_XxK}>DRDM#%Ge++>~L;R#MYh1z%XK-g?bL2 zEB`slV5AZ7e9yf}qtpH|(k@??P~5~wliC=tf4?MOL>@Z|iQUz*ei27x6;A{+Ab=gO zGKq~LLh``M#GVakp`D;!yj%{8_E@u8mOM9P<+8A_Ztf9oUiMo0!pCtfeo&DL5vB4M(T zHqE(FdYp;`@hxs}(VAH8SFX*(+v9W1#wXNL0vHr(vSNsvV>+9w4p8hH1?JVYla%#R zlZ)SMc-I5BoMvfC*+%lG`vM#Gj|2QS#f5F(ZP%lAN9SIO_Fmr4b|R^dNxvZmo z8Y|myBIsScZkV=nd3Nwz^~hTHl#8gAy8)@y&vLwalA?dv_s35aPrQ3!gWhLO{Dvv{ zq+_#d9TN+3MEusPCa6PJ)7o9=AWNvaHQ_8*UvZ}tQw|!LIw{G(E9{<0H&h)U9TU~d}sE4LJ~#ZOnyr7=y>Kc6igqeQQr?K+Ti!-!kE@~%?( zMVsPX;ikal#NXb8a`Mr-kY|Qry!_%E7Os3k*)q(hW-PgCVIP7u_yp2_rTFsA7NqU| zeGU9#J*~%qpwDT8=;zNJ)NNJbqLQ`qIYCkH+LG|hnd%y=*#qmtI)cTQeaLsqYGtO% zQ`2PT=d?~EP!O0KJ{BWMm=-C6Sns|UL+lZrUs|)se2IcN_Lfc*florf*5taC=K}@1cFIt4h1Q zz?lPG(dVuR7jqhsgkziIA)Es`B(?(2+PB{j=LuC;WS86iC9lHArO`V%_NlW4GE=Eu zPyWUS+rYkdSHq|u_quHHK6C$a%l?^c-O6>efB5yI>{em&xfpkM^R8B6J=F`3GQ7}w zYIy7v{xaKbpc~zGGWK$Ia5lv-Ub|3KH;givF9bH0dx%JZ1=E95cD_6{^{93KrUepn z%H4Q_{`FTg)rem1GW8jF_<`hc&tqzJugJ8Je)9N9U4Hs2`==Xf`Rcox<&OR`MPbaPPpkypTca(45-}*o<)yQ0n`8TBOHcDe$$oDcK-B?>i8vn1oNx76YucQOp73em|zQ!8n0J*Ua zyZ!3~-lgJj8`)k5EH2>#$Yl}hWfj_AXD9~$c5r;Zxd^-dI=CiCf|ImDShXU2@x(x8DC@mvsquJC=P!$`VTv0*)=Kt z@@^^GCGuY;|FgsXc-5;L9@&SU`iL*Z$BBjn;T|8_=$mS^la}Dt`|ETvf~o^cXm?tA zZV14kon;t!yXR&y0+ur77&xys%@F1c*{;*-K?tF4ixc_`e)|8Q?k!;RYO-umGc(&U zGc(7`7&9|7Gcz+YGsNtenVFd#L(I%}^1kHnQTOXRJ=0gZnyV`vOJAM6t9I2PS-bXH zE0nn~#h4m2UaEiw1jks>p-T{lE$J*#v>?1ZLBvN-WHC`V395LuAv!!!us%FoBHxpe zB%Z%M8jATo6&V;HfoK9o4)|YzSm54~k_5;(JPGRHi@Br724p@}8>(YC^=M9M&Y;Al zjA5_viku_k$rShY9oQRYH)Vc2OBAHt*~O8DbcNd9K$+H zqZRRvYH&_!(N5H0Tj(TP=)yTL8g4`SZbRl}LYq2F+d53Uq817ecb-O?<^VSL_8!T#p3r#@C{;+n3I~Az>wty~=8Q)I-;;#3<62}i-t$ZW*Z1yksUB@TvE49d|PbsA2#ftm?N z(M2Nf1QK!=OTWHtLDEGc;vtc87Yx1*gxbUY4lN#&0*=zs9nYGIa)-`0C|!Zus4jUqvremrq-@BY zJCLy~Y}yr-`}wjak!zHOExcy5C8s@XJnm3KWak^r^9y;r$!1MbGdP;4F6J)HGYC0W zTEqY|?Vv7PPm_r=%Vmdpt||S-u9|2N3|f|kIGfISQLcPDw25dWCPwTLeFG6ZnMY;d zC7(XQdE#w+BAN2iA&5>>4v(8GuJ~JtuRGi9J+ao@LhMReQJRVCJPl&AEvPg^-_{V6 zTfJ#G4db}JHf4Z(KPWX$q{imZe5)$N9wP?Uu4))x?bVYh5S{fJ(jUlW7;>~JrOZfoF+0EB19*N<6w%6$qfSZ z&q0*B&;aF3)$APLGAiWZZQdhnKEtw8h`~FUF`nsjSt6{EEjco_COJmpkHkFyt>Co- z5?B1hgt*)m3R%pKU+RiZ@&zI#O}hDbceoHr)|_fRss5ja9u2`c4y$9w`{Nrb$+6K+ z9<&6>eBG^1~LcZW@B@F?xeQlIA2x@k1CZ3vs?30hx|Lz`hxiWaNiY2*_eU zkPWysaTyW=ga!qu1@H0W=9q;$IXKtxdQ(Z3)YW^jph%N#+>z^5KBDz7@VC+pWW@WN zE09#1S9vp6jXVTZERDoQUY#SRAOT+4Zz16^rT)K{FWH{d2w@+6j@2twSaEe<5U7<0 z7epx9tJSeIJ%_9`X^s|FB^<2Vxg+CZpv0ot#w3|;Pcs!|DAbuHF;otJRMg5Sh(gYh zVZJ;{7kYxNIln$y!E-8VQ+C@{AC7$L3ogt>90~=kRR2*&k_@Yj3V&!Q++noN!tR{X z*y8)6-G|PUfm?OlRUwCcNp?r0iGNvST}Jq*$;v<5l~+Wr!#1ShG;)hdUlwjTID?#> z*+p;#e6a2+g^I?oP~p>MwB-UUyUJ}i>B$nKBMs=cdW{R;AL0u&Rir@;mNDl}njglz zW!Q0M_(pveiCiL(liO_jyvM$wJSL40UrHGX^qgoIbi3?T;zel$BIqzw_2<%C4ZKEg;gi%R?SQ^j1D}yw_Jr7buL`kk+gEVn#JARdC*J%P(5KJ0- zxj$n;@GKn+($1?t$zbl-L`73kQj?W;+VoHV-R(YqFbK@V+EYGC$1)r{4m*!*&K6yG z=x2hISt>J%`F@paxv1Y(u^}WueL^9TF9Nbw^G`hQ2X$^mqHzSWPYa|q&*S3mgFC-I zxH>=i8LO`e4iNJG;4q(1W}7qW0%E*Q-zJ~rG50K(YU4t?p4B4tOzt&S6NS5>!Xi~5 z832_+we6qk@_9xAf2rgFpF5tdv1<#jxthA}0kG7(Q{$We=UexG=fn6fS!!7S@X7<| zO8%yg$o2>80%-ri4gKR*{$D^MVLMxA6I*A1>>+>v{eKTx#P*l4;vb#Df6__(GmC%N zN&g>57X4ZNKTP2FRz5Qu``@?nnSZmp{Chk3%$&>||BA}x&*lAxIILVO^Z-pnc0io~ zCxE=3gP!xZA|wldtmfZ~#lZ!jr2iKLHGgj5Kg9aICN_X1BNHJrfHNPUalppPO8;BS zQpv^8*~8w1LB_(?>Yqd<|IU)n!uc=xY5v^UzXJV_!Lpbb0l2I`{7C<&N)`S*&ws7r zKX6&>%>O+u%O(!MAoKH5uP<)V;A?noVYh1%{Jd47?mjVP;(q@6dbQ@py5_d+?bTHV z(SxDa+&w}V_%ezpLSBZ)(Vl$7Oq3bJ4$V!Qo_Ssvp_JOubQG~#J0+ZNb48^TZsyR1 zP}+dmnR)-oirGpR<{5s_7aFxl5Wf#k0KrW1@}UY+O`Xsj=*&nfTiQUcP@cE$i_i@R z-mTC`9DodF#@Ixp>(OiXIc7~xD;J| z%ewFe_=97;KLqw)f(ANB;#PIIQ@;9U$us0k37bT#S8AU@Tk4m)GZXE9oRknp3#NYQ ztU)~cTyT*Bq$30~;15O>t^Xsyd{@SnN0`XTld-xoxk!z}4*6hmj9W>{S1goOd__{> z`;IROGea#Em*8bw7@Pr}Ng8lj!UmDL453JAlM2Ekr`)d;5;)vyVnhP-9#8EcU$!qSG$QjzYu`4>XvfR=*_7Q!az?`Z4X2PHWeHf0H8;GniA6yn*_ zK7ICL6KNztChI##)2Cd6D8W1->PO$w3p=yK%)LIXvSL|pd4u&E(Cs6PQ`;Sppqg7L z5Fb?}P>o|;FO5MxHjrtEJqpIWjIti1-LXgN!w^B?a2|(@L&K`74{LGm zE>)L_jz#ps>3AYg&AENn08WT8q6JR`f60mSU#*@vAfo`;Gx?HeqE*TTytupdVO|DB z(tQDLczY73F1B5QqZuoDzeivgHzTUcb>@=nDcZp`Fmt8@H~QcOcJT4=X>s!j>nD7r zNu?qW42^D7To0Pzz`N3cKhdfyB_ht8Jz?NN1zIzN)1tg7Cz=#Bsv0@|9ko9z{k+(5 z3Kw=j4vg}yO6USmD9*)dL+!W$LM;2b4jG6!ZZvBD1^CfLq24>J-!Cl@S}1oEWaUH{ zbS*WBUHWAON#ufi@f~|U9HA3rh1hU9`@v@}sUYXQ{Jn%98zDJYKWodI5t!B^spPQw z(olpn!IBsu&OBn-O>T<_O$w0D#G|iuJ<5mt6IeF__Q9E%lg!VY@a`oAQQf|X%~pW9 z^Ctzce6Ci;SW`_BLR9)PNvXu!yp%udgu3BQ`ThQuk_U!NjHWz1$Z#zX_S?8LF$=+@ zFbm<%Ttc+)d2Nznc-mc}Vp#?h=aSSuYpYJfDdhPV2RRaYtRp{36)^0KZQg9OvmsUh zq5Wb}07{8}tj#8I_X5l;A`a6uWff1kV*_J1qE<90XlP%r|IK0)+sZ0O+i67AkCH%9 zWf&AK(Jqv9u(gj+6a#T3v2azj@C~nXPUn$L!;CVVPlu9WNQ|GI*_Dh?-!i}Jkjz$N zHMzjE(WF#!79@Dk+&~^v7=raoZa#*% zDMnNb0t*MStJ%_oiZ0HFAkUV{dpWP${fD@!yct}o5zeB#m4r#YQUo2MA&RCOofYlfkRHK-6zTSNeo=j9(D zb;xWkc@zlTr>(hhkR5vwjGf7J=06;T`BORz3BbS!q`f_MaM<=9G#Vio34 zxdNu`x!u{W!u#h6+RIJ1CraF}*|i;b*CG?=eYf3qvr~j`Y}7lpFFme&?hc{04n!q+ zR`eFUoLuPnO=@1u-7C4NLexL*s2bsy2AcX$k)12b#_#R@psaiA!yyi6>rB5bl#Wq0 zkFt_A*tME!)YF3xEwE8!3x}rG#RFY&G=o8&%6o;jWr`%VOp%x^QO>Yefbmn=4h4sq zKFgQaXKrDE*%V6GcE~P7U8;6k*~fN?uCp)`C3q`RlRjz%?oqRvc=FJfadw~`qc`~6 zogRI4UptRb*$R-{=HJ|L3o~MfjmueOg2RD86VM;Nn=aOCOxON_EbsQD>?nq^@kWD@ zK!T!3fsJzzw#HYXh(^5c#dV!yj;oT%cTtpY9cmQnpPles@zM@Z&-~1rrWHRCJxGOD ztD_78GW@wn-qwN-o#5o&^^+RI!v5!e+Kw=&A9p#=q;~t!h&QBd0WKtJc@5?vKDb}d zY{A5qO4djVHOYf@s%;PI8SjGZoGT>gFJ5*>B~RsPlczL-n@gUl*EPWT^muK~N1K!K zx9@^4XIxP!9+=vz!I^qNlN+(lm<~myUO=V%Wo%E4WP5a!(Gn4dRd?{23V0(&+T}(R zuu&095xxeeXp2%ouxf}Q6gsYYxIXjA>ic1XAvIWr zhx=Zy@)sPoXIqxbj0@K&5~f*8-apXeqo37Y4@us34z+o&)Le}^y)NFS`A&X0XM=gS zhZ2AkLNks8;n+Oms|^3K;#H2X0&`}<0|RQ?kc3myTZz=$uGeTHIzl+Am9g50U2YN2 zE~|bENdj*t^28s%r0nFvbJj2fvHLM=KyciHO=~<2AE}Epzs+VUvECWR_s=SB|BjIUYLz-s;1uTE=#hsHOfv?%{juQ;axe>89!8 zlT)GHb-BY@ffoX<#Y;-aK%LjyC1(W7K)#fsD3%vTn&RU5Wt?6D#O+xm`-5XO*`>&j zkf>^YSM3gTHYqwP*t{3X;^YY;g~m@gndw=@fnYf45iP-OaV^aCFNjx5-!{G>iv;jJ z(mW=B(5i0gY`>y61oI^GlB>Q{wRI@#E1TM(Hf)y|kR6-KKe1y~qkLZFUI`aMF`gCe& z%?Y*G{_9lZoXsk;=Amzs6c(N)Piw+h;(ho>qNmt_ze!F;IfKizXp4~BG>Br&6Ob6a zuZGs`wd?qu@%lKZHuH`#Psfam;D-5NBe z^xrr|#|t|-Xw#nU+K3(g7GL=*AqO;r?TP!8Wk38s=eml$JSPpGm4`=JG# zRK;~qz2bwGi4jtRwlQSbgPQJ)GsxXaW7VDqL^O>+r57dgbyzq9Z)d@Xb^H2$ihx9l zBH_ZzV_~ZLOQcYJn$oHEyh*uV#N%pE(>J7rb&D%kMBtKy!Y47E3bN00qvtNyvwYEI zbVhve#jjG1vW2G|%4+YD#9tMSDQu6&=w&s*j_OJ~Bw> z5H-FCMj&)~Md|LPEF_Q5X zLY_`}3kaqoNgJIXqvM0;xt7ReP9Tp__R8wjg;8uW<_DUO${|4ij2(y4Whi`bL?CX% zHL+kksjM)Sih^0i4v}Upe(likvCX;CC1_E%mrR-2!iPZDu(v-Qy1M_wMz3ZLJguq8f1%L9 znc$F&0_DK5MF}O+)M#*iR467t?6;D2Vefh`9y6aBy6zj-Xh0FVaP4lnOPhF*?}p1A zo~Re6V=v;Qcz(=1kg_FWVO;+_A2<3(u|)--Pa7f zgA42d3A&I$9M*1hR3XPyzb&riqGU1>ryECK*eavi-O0hqbv}g-n?a5EOTtgPR42*G z=q1}bQLMZeDjuKRMNE+pza{c_!jzQrDTHJXA?~G&gTrbQ;pc^Z&0?VU@kkew^qtiN zRHjEE7DdHCY6NsQ%ZX2VGySx1SeDd1+QN=Mwa(+{R)+O^fEs{yzq7$&CujD72)*S= zIc9u%=YyDRuvnpObYRFb$|rq9PtvewiEx(Z+NP3@$U~_q?0r84S-yA>K9p`h87ug{ z|Fb}odFsmH-f^LhgFYNr#P(HjT)oxg)h>8{!40txBFb?|uL&thlBsKBA`ud51C7&i z(NYLO7uOj7e9`0N-FK_UR!VPv*E10*>BG=*d`sW{i(-XOx(Zv)or;?;Qyw+&)r#Bx z(g1DL_nY&QJ9-igj=-C9AeO^!?QzV*q!cNBInbAWu7qpUh_P0N66;{_46%fzt%wz4 zi`!lAOKa_{+SNmvp|+_yD%l$?6eDl2#zI=|A4BudK~Yl|w+0dXSzG_qPxWee3SF+MQuBk6D$3Z*zC+5Q$ucQe9xr8NxAbL*$9Vt6+UtXV6medF z$UW1~qr^VlFLT%JYH1YRk?J`dgvEi@pak|KF%cV*!wV|HoiI|i;a1z;0Y9-O*PId68J)*)He@yG_W0h#a8}k;u0+jUcWl7c9C48+9g{h zq&Dhj*WJP+#2=;O>a5IXsFoXs?c`{Ex2D(uH;EKsQ^DG%4x3`bUigC-5?|C$#Ne5G zH=<2rPk;OG19y!-K4bS?mb(des1`cJv}@LQEDs>9ht~!`<|CPrmc78KMRTWRKap%Q zMcl0Va=F1>lVk;du02jqlt;nEB(bjYmzHicM+1#gy-4LB;52B_>Vt*LK?{H!MQ5nv z=4&8aR)*C@8acT}XesO%5JjG8K(ZM=U<}w2(!H6m$SD_%AuytInv+?IirJAYk^2zq zC%4P2Xhz&AWwel@c-%Ga0ovQWG@^OOgV^HY!pY$W#eF*^BX60}*;gxO(wYBSSFHBI zNIEl8_$&v&QPpry=KZJ1Shl}YMgNz{Sb$pQA8K&6KPajHGWq(K9O%D~qXN)T|IXI_ zXUW$;QU6MO{olb+{aNlmOyGB-l9iPSpx_C}RI<|pR6W@MS<8PfBg)Ll!pzF?uQH<_ckU*I*dKg3Rd z-2gEbfbU<4a4`--PR_r107l^a8}rlu8PX`WzY~J}Ejh`_!TR6PTmSz^qfiVc_5J(K zvy4RP&Aywjlzv}#RHO1?0$~?5 zVXu+a`A+2F?JYx-o@bo5lI4;%EzRRMo%+H4VRG|v-Z3=ENxL+zoKb}qyNq$UjzipS zTg#St+TElPHReuf{up*}y0p|RMa1o~=6X@Xb^rNc%rwNa#m+#wLE1%?^z?ARb171= zD2m;whH_EcY&cps!!X{iDA0|enP$O5l&bL9rBQ2}%4lFcgxSM={H$-!Ob^;p!#F&- zkt}DNMbGn!LXd8R7Yr>S#~NCMUTBa`Faj}TgsKSF7<0)V?IP_uT&@H$x3e|q!)1Px zK+#-M(_^EA%Uki$4mleujCsN3P^c9glRuK?l<=}a7TBOc&+mMBOZ=ggZ?{Y8QZc&{ z#ibSY4dw?a!>i8cd{n0u4LMdR2lcdjQSFkCQQv{{6^#t9W>pq7v-Q0%Cd^Sg7n6H^ z+^sRJeN$7DM9~jpffJ6#v-T!V^hTgMHKTBjiPB^t!>Hoe;WYm5ic#9D+1r=_YzU#S z2pS<)Cg4{<^%0j#5=0jxs2dtnVc%1xS{c_hFf*p|G%00O50!afJ`4?zV1^LZ%*#r- zOm_xZ^UQ|8`Y&1qhQ5WOjWt`g%bQ@3eV58QsZ6H@Fx;iBReBp53@!%Yy`#C9*OP^K zww{#1eveR+KEb!FF`WW$pw=m+YbFM@3dMlP)HDL-poOP9Gv}HgL?+4wl13gtp>4!A zA(V?sG~KPC*)#@b_QtPK7hkO+KEMqj{(LB6<0G#nik(7mv7Y@&O73U;DUe73>yeR7 z=PxmrRWgUwaWKs}WiadK&P@n3-A-^AJH7XC#aH}^o&ZajtHq**)8^M}=^9lQ0WCHE zA_2jb>aqqHScudp0L67IR?s~XV;!QeLwo4ezD6?8S!7}b5F)i(15j%?LM>WwXQhAa zXmw|l`l^((Wdw^TAsb{B`?0C5>DwCrABt-ihd|&a4^yCED=;hRHyByC(@Ahd`6)s{ zG$P0ndCGK4zJ=dT29z`2VzfIN-@hI&5O*~ z8HW=Z(ibe)tG{1?IPt4#o0^=lG-n+oB^$1a>pRgzZ?oT&$YO;HNJ2Zit*?8 zCh9R;6E%S$elBZeK~wr=zyIjkY_u@U2mv!6Pd+*UZpoRsLDG(;cWUr0BeZqv zBUm_L2g^RyU)MJXu;~x|`eO!==@e{s_{&|@t`4@;xj55yS5S4Y#(O`rt6R;P7pruJafyEP}(Lyx@!NJWrp$ zTz&jq8TY;A!c)&oRtI~odS5>G9)z9i#j(3;M9oWnG^ktb2~p)_oFI+N>`yG*;F-`n zFX&&YR8tr`nQ~K^-8MMBR=sub@o<^@d%iRn+Bb_dAmVk`-tcV^k@G7Rk_gH9*xtfE zh>!|(+jk-v=LD@bC^9XbUkmxz1k}*<+en-}??G8feW9MwAuigAC#4>q-i0)tbjTyO zcbaoFC5!V*`_f=~HLt`OI6$f~5m&a5#T2|DNQN7p_W}zvn;@aA#o*+R$Ce(z2gVMy zXfXwVm@AE2_}0Wc1TP=T z-TLFWaQQwv$fF)=foW2L>9-n>c(Voz0IWL_}0$yqcL_7%g z&3TuPl%F@OpFme9*O9$2O)cpYsPAE~c=Z@kGqK2&^dh~Ydh?~KRVa*tqi&4L z0dXNKAKBOIUp|}WMXmJY?VRxYoUjz+1w}7DyG615t&!L%Of#U0w;-rQM~YTI4(UqPk7 zkJtqf%t@WeSj|#rLz;$rC2OG&*W|uJg0}xeiZ9-F^&;5GZNg_AfQ%w0pojVmoNjOIit3)eb4 z*oK!nJ2%iIq8OhK^xi-wwC2x1DD`Fd=N{v)h**zR2e&I%zVBzuDX|E3Dz`_{&aK92hzgE@FAZtJ-0@(ypD0@ z+lK>QaOn2*^%6h`No5EL&z(tBl$&K3=_I$WX}3s-Y(T53!n{2b5=Id72eY}Pcqtqy ztw|6oBw)iJ7nMOCgj`y#Fm2X!8nj{;3{fu}K%yi-PS1<{-cMlQ>la^8u|*)n6;{P@ zkOk98DkH&9AB6I7e1j z&7L`y+1y9*?3Zbew(IxSSjNs{rTauMq4q1xD-a?$TtW<_u~FhtLmpfy)HsPu7S=w@ zvAfdK#fqNFw`>I<1~{l6Ap%nzTYgX3(mpPR1domR_pFQL|b9Hr6Eo5c- zi^IJ0V@Oe1c4TF}7SfE~ysDI<9_Ha3f}Es1mx{uAY|FW-CyWc$xEiW-Sn%SwMyl*N z^BqZ5@{Th7dR>CRqxA&hPTN`d2``8%++~FGtVlY@za?-CQfzWpRF^)6NOqGvBd zjXEDKW2n$6kc{I!I+~7b*u>rN=As&N++{dE4k6Y>SBhl_Q_6m|mvNjB9{&)&K}idK z{B~P6@ZAd6z7j_#3GT_fsKLiO{=#WMIEj_UG@JagFR#BKX(ur9knjGbXV4SL#EECF z+OA*D;!sy`?BM)-N|V!Bb;{N4txaanmKcrmZ{p&1mzXD;v6k zMP#b=+cssVopxYW5Y&tPl;4JZZe@ENW{t<99^cqg9&D!EWo-NGnLK*DFnx~KNw!25 zwJv5yCR1v3%zf~P{Fi->&wP)eM#JP{_G`&R`!EYqMazYLb366vWsV|6n4i86h-DTT zt-{P74d2n86)p0SJG*r`&zzH120kT>!oWcW5ZLaFuoIn}j1-*sUKvcT)AJ&Rkb~2W zt58fdr%-VVMm?B$D4XiN$Y@1qNpWu5^EM@7iaiZ{hd|}g_P~aJ7i0t z)6}%=rxYT#>G7}Vc-ktfo*MV?b@!t);W3ja@m5sPTc8`1X<3aS(T_9nUc)uqX%!_| zZtZpJ^R|0{aD=Q4#oNixn+)3>sVAbhv`>Q<8;^Azdj{{%*o{K9+sP`0XJ7J!?QnCQ z-exxP_usaT2E&-PdvrM2@t)y_31zpR5Vc-hoi@iX4M@g@o_KuNOswVJc3d5V#5IoT z{G8vl9B^Cbwcde2+i{qDcv?bAOKDY@ z6d>vK(j!G0f4!5G!LK#Qxs9kppb%;g>?E8ZKz@2NljLmc&&V~x>6BbkbG=R~Qsims z;=2mckP|e!Z#2y6&-KZF+D5zOt7Di_e7?@r``X?aG0~QKm>u3|ZLRe4*?pZ3C$1fz zLa%A_(@4N-;1|3-5v7zhutEUln51;KoFXnCH=yTx|jO!eTId^N#d3n)#{!vxdwNzpFBW1y#zK6o2 z!ws_S!NE%u-KPEhuoY`AYqn)R|JF|;&zX^0Y(0rBE(n1ZN8l?=%Y4fDq5M+>>;fzs z^GxUI*{sfj!~_P`!cA^YOy)XuGH1LL8o6ho3F|ASBAb;JCZYrnL3yQtYJV9cn*mu^ zjLHI1dz5*<)LSG)bXAp%Anm&&i(FsO!Ie;f@A`>2G|!L-&gFI8h00Xg(A>|7k*LaN zk%v%r;IHcel?HmO#+IjJm7!p(7&9GFZ&6paipe|7NEj8sHhdnx<(MK)@ zz|p@M>S-_fOu$<*DLad$5AR1I=MFehB8ZfCSg_<5o=SyH3McMMNPg_dw{7|ov&5t} zyz?YKWJCu|@uOE!n!3waCXi$Hx1gjk$9<>=}Sg*D9$l|nS^LL z47fGQ@Q>A8DxEHN7gDLQ_OTrhUTIASYjR1Xp^9Z?!~0~Gq4;uBdUz8^G9%xsndX*|w*qw{1;$4{A~}Fr_S1x$;wAz45-^en!ZNlI&H_k>oN@ z@O|2|PWX9!Dc-QQBjNh1z^_(R>%HrFrDtOQa=)X;w>(O2q}jE$+3V|;)rGFr6M=RI zzc%Y)0Adrw*W1ASS>^&K(b)V)oVoQ58CS3+b?D04Vbb2ydgQMo6PrO3OEB<(fzje6 zB34b1iaKe1vH6xk`4S`)WaS%TO|}e4c!Fdcg&`ZcDT&*MP;;8yGOi+39l&%);bZZA zLzGl+tSi81-Xxkk35i5WLr}AcJ#emID2#<{1YA@B5a&2cz07N<=%7$M{@KX_@M6mF z6UO3%ut=@I05g4bIeqF_Y`Fl?F6>}27|e}v_cv6aGAxCV)g;A2Ri;8!4f4+*Ggt~= z2`Pjj(nv&P8)euHFPRD}=dy9Jbz+g4XPDQJpGPG+u<~#-v|~{m#sI-w&_)?&!(VQg zBsCll9fQkDQpdcH)VrEJtsa(7zbx59#@Y4}y$AZVT`(hya`AN6{&-+rUEX&@-Vj%qaS-1cf zR*cHb5pEzlQGJB@#pHAc))HMlGmj7|Dv-Ol($YceA}U$FWgurX{wyz6Rxkf>8ASpbOch`?ECdNiW2F!#Bu7fONU$Cpg8{RRw%6J8_vb{_&WC0D z)qZY1{mJI46C6akne|AC?GP&4n9H)kq=Do*6~$aC2vz?}L-MQ4W#3_Ci-0~A4>ehQ z;!H-UOdZKJ1{7_`ZgQ_=>OzjBE7&%3VQPa}iAavI+k;smo`M~=yfhJ~aAC5y6#ELW z`$V`VOdEEgVnau%H=u7Sax+>W3&45ocPxriIwW2O8`R=!! z{5YI@>=I`Na8d2BEtqhaxP%ua`6%+vBRpZjQiYghOlK4+G`_fyXUqUz8dbZm+nRs9 zMJ?ayxb5a1X=!S|LQN{rEk)K(Av-Pd4EbYA`M*$_lJ=gM=Q`BEjZ%WJ03K$rql_>t z#w!v1#JT9?bmJo`2pJ}rUDUzS;+Zlmf7yGM#R6<>W@F*=#tdO#*5AKB;yx`8$j%S_7Aclyb=ESQ^jeOAUi{)nD85_zCmx* zR~w8m)5gj+$wL23FwlLd$$GIxWK9fE0LDOIC{J=ZJkoEX&|k_AT=_V|IHr8Mg%&1! zeC3Mz1mLK=@CoIkCUV+;w56^FIpHc*6d2tOLZl+Y7<6od76dEDR6wLH(VFNxdlU%u z7+m;I<}nCnn?@{w#TF3iZkYx#WlOEpTOm%W3rPz(DKEwKqYwW2LW`g3KNkO zwzxNFjZFb%%@FZLw?1p6rJcy~ZPk5r{d-mQcjRrvP&JVZ#4F5}oc-2FbI!mb{Tc3{2JjrTS)CB_IhZ2G zIUXEH$gbFIHmILOhV+o;Jju5OM9xDr-u3&eksZLq7JIc7Z3Gp1GtEk^`aOpk1(OO!yA12OP0)5mZMMb8W&NRGi?d z4w^m#awa^f-2#@tqzLjign~7JQX8D0a2LvcF(Otgk!Zs)BV=|M!9k2*vzTzY-vfLj z3{UsJu101GAOnm528J|-naM_+*^|%YZ{AH@eJ#}~roNZP1A!c(7W|;?&K~a_ERMY7 zB&yz(VFrsGca0^osFgtZ`76(-QRmA6~aF zfBLTSdhThJ++c%;7clrq&l7>5V-lcxr_h$X#ecx@bY-`fOs7C z>tb`8Ye%QphyOvzt#va;XSL(|u{sDqLjYGy*7k2K<7wU^^xXB}~*ITs^Q?vuSi2p-2a{LI=Y zY(K2OfJy|Vq{Ij9^L-QZraTxnEs^&?s~k8IJWMbTdu-55B0g;9if;^0Y-r{ys2U21 zvX(>5r^UNXZV4HjN)F~J7^KuEzqfs-hsA(+KSvWLq2|;hZpX!+)xfv{g9Jv4;psf% zmds6-(K22gB-n0bNfRXtk3VR$w{*K?AR>58BU}e?N#0QLgJ>6m?|nDGy|-0&b|rR4 zr|cDGt)^_hxe<9$iyZ5WA12+W&bzy=scEoR=u{(rj&ja}>_Fsm3R)yt&T)vo4Dx_$ zn1n2O%%a~_w~RVPcmb|(Ia{P(mPiYm9MYAlw-#M(Fo{7adeaAlx)ZGUD23%rU0-wE z1XVo4ltunh&w~OY$?Dw-a>Oj^dC9$jq7n?}Tg}w3s3Na%3ZBdad@;p?Oo9yWZBcZY zE{QTW2;0l5iCf=rgE>_+UH z8uxV5df@FM;P13)Z_}J%FDoN5kZ(l&Jvq7=t+#=Y-*Tc-R10s5*!;2FVv+d>i%N5k zX|&J!BCWWT=(Lw>)aE)7;?&MX zYFFz!BfC3%U7ft#H~3;Bb4wB&C8d-*f?&$^N}%IPk~xrc(ueJjo!_3hG#$(}N@HX3 zJ4-%2-ft84jTpz;?YM@Tg|>CsVVApL2L4#soV1e2C+A^JS`}3pKUY8id~uEx=7fhq@~I9<_L^Vgq=qo zSGIPW)FfWRm}^&Z;DB|v3OrD=z!x#We}&wV8lhu`77Lex0u)<(_6j?!VZQ<4aV=srEk03 za}5jR6(Lrfi|<#_lhkm|%yr&fvCT01zUY+vLd+|iX~s6|SbWsBxsF?IBmN(6Q>^8lu z$=m7)i%%tf%L)^?io?1}45D<1KCPFsJ0IeCQotXsSJJ;U-J%c}3rR}oS*CbPhLuH( z4kE~yqSLWnr@p8Fqb3Y&0;s)3S;-3_uPVsmvBYF#x~$1VYx9N|TcRTNR-y+bwj`so z3kK!Me*R&9?$!d&L~ z*UbM`D8&XqD*v~H(>it=Vi-Ovwdo@U229zA({Kbzb~&Zy>>bU4`Uz;THKZGs=40p; ztuIermtDeA4KWz%v|!-}_D9nhPBS0*3N4Llb~Q2W7iR3qR2AwY4G5LgF<+^}s$66j z%0E0^SsER@FRU`{e?Bgm5G*!~0MxATR6_K6Hm5CX3u`CHE81_zXW;=bPPdt`fDqk~ z2I!PMH};g2l(ZcN)7qM9W=jVaEB7$5B_7ZT%%?_}sH%qk{vk*9vd=&oJkxw9RfY69 zzFL#A-9B>`Vc91_;#*pg$C&dZ4M5P8tZ@z~i0Ar@!!G+cw^8*(*jDbMg;iv? zM>KK1tl9j$QKO7}PsT-Ya#6=1V71r*!kKd^)}St+PySjO?0G8itCSp0MwKkHrPxDh zS*3{GQgx%9HAabPbzZ#o(yZA+iU33)i&@_$EJ3-wk`E8qpE|=rJLQEy-{Vm)|@U=F&5VkjR~M4dvO(~L29VNmIgN?`pAtHSj|p5Nj`^~oya7e;5*`i zp5NUXFfNlq?Y_h|ru5FdS!7260iHf!e=#f00K61qSS;vOlO*uM-rmQ3wvf z;Ul;c+}+*X-Q8US!8JI+-95NlaCdiicM0TuUX%-sCnlW}q_59M}RyarV#g%$L0gW|W9_X-2_#Md>LFl^zyl3_@9{ zhxz1ro)F-83Sm=_8u_CA*XU5zN}?!C82i3VwK^)pVKb&&`w)+JI`&Je6e!Qlq?FX~vO$P0s zBp(Y8z3VYua%H@3+PIwb^)~0i?7Kn-A+bQK z_L6dTuW&`29oiWs-BJFb*U)X1@a=9^lGj_1FWdww74Mc48{gHkX#{%E1*2vF9Gq(G zX_)rnxa!E%t1LO)!!%g)9JWGcAFAYYV}AlcX!cm*gI2{#<|t_fU2pUH4mEwggKB*% zvi|k~GYl&P_{LnS^^C=uF-0alKhWY?aK zZ!LUCbD1Ts!Yq8%a_#GY+luT4uI`#PtH#EUpKiDDlNbGuLtJBs*Fr1q8;Sy;0%u)Q zKEp?I)vp*uwQR_MJSqh?e;QED3+JXN!!?^u54p<0i2q>A4whf}scXf*BQTq3%k*mP zc8j?swtZX|CnG96z}>`lCul%>?* zc;#1h*xa&5LmDSKjReW6F)O^y5?H_NCwFahJd<*%k6p1@*-P5k6;$pXfOSC{Y}efj z6Y4mx666W@e^3MuTi~vt_^8}lT+xxYpc>!0ba42yfp{bSw5oM=hk~T2Ztc6$*il_wj^hY;f1jZ^=edfiQ>mtxg_S%I7rJWY~?qI zkgeg!2*b08Yv47P&sMr~=!rr|yD{6evj!uM&fW5}FW3^~YvNkW zat_ZSI{auM#v!{W`)rY!%t@%osr1|>0T}79Rc$WW7S<q*qc%zwAh*LqDlHV{Id;k4Fp5Pe~{yFU^`Wy+f1 zA>oFe^*MIDSB9MBSuwqM?f^3V+52`^)h?D0!w8=npY4tAKxJXI!BJ@AmFkh)#Zc!Q zBk5G@_>1&1$vOM@opYS5XsoeRBy}?&gZXy{RF{uJoYNXF3FA*vKr1^t`(i8K&dz-U zyl`uJltcg$5q4K>3&`Z5aBajb1fGYRM{irV-sMMd3E%2Gv)%II9K+nDZ#*2a(V0PW zY2tuVTet>!3)&iirE%iE(S|fD%zAFZF9_@9g|$9+-h`FR6KyHyPyVz!{+1kyUoY ztt6l&`K83h6H1;xE|)5cFD)J-EThlC)#)x#f8$x5vt;L`*Z%exY`;1>#UgAy>b@WQ1HGUcHU(t@>TDDU zg~3hoClxYWdVWy*bsF_AsBos-%(?4m2potXjWm8an!t$;c4)6r^F@1n*pe%q^L(v3 zaggJmp%gEiMJhJ1r45Pe9g48=MKl3$Ozq_oAR0)^1ZA&`}u zqGp?%b}h^)VXcw9J6ZEcXM#n(F`Vruv9L{`cvh&{{GqOiGn657qL`p{s&UM<{3DoR ztgqIn9iS)npvvJ0!F@8n+xFp>tuQ;`Td|ng;&#ZRjAe>gK0z837UbvSZ&w2>qjP;p z2WDTfomF<_mkiP5d4CcK`zHv3OIs+TeBz%|5QTy9PS-VES>f7(Nl3MVg)dM{Qk&je zh~WLm7;$7B_7*r~(Aesu9$_!Y!zlf*h2==#Cin$Me^DtZn9tB|O7Uk0L4huHc+Pn9 z4M-pNo(-GAkkKv0!8A$iN!RMH*9f)?D$D#i$Kme7lMj?u17B7nI@YcemcD`C^npu= zl)xH{zn~Z8r|;yF){-=ru1$Pp|KgZ76NDDQigw0-aqflNa@UsOn4fwgeP6 zsI)xgK2kxeu=OK3&#j9BT=d*QLAF4h@i9g4Jv z(QW4iFHyE7;2V@(zee0dC(SKD5#meRb4PwV+1zjaLS`hEEV7T<9ACC+*mEOe>w$B= zj(!vv!%1N5X$>nywtl#Q7@?zAk?fsR4ukTx8wWACL>vMIc{zJTYkzn7L;- znGQy9^?Uoi^7oL{&vX0f9K%%u4fB}LI|(D+5w1B({A0ItC{B+?G!)ou|qZ=j57&?c8>nlmXba+0sRNmMO3 z-9bb&N3>3OwG|dC>?eY~6J)Tn5LVl+@8`)#PR&vmN|jABnOIkCgkUlO&&`#Cs3{oV z)+uSgEw~=sxi>qRAb|d84;N?C}?V&m0q4hX=LIw38ho)!7-BArVV!V#Hp~2x_T+4|tQt*(C zw+P$hPuf#gwtR=qd8*|;m3I3wYgO{_qVsjwevwZuR6y^Go{u$ff0reQo*t zO4{^YdHC%RtmO}03ih>Q@vKkyoJi1qjT`I12fWgllVT@Xb8DWQTBnArtP#I&(RIS9 zk-nvCina$1842}4%+Oz)G)-tHvnvN8?orfh9AP~Vr~)`!EtKxk$^#5Tyz5&)cRZ{` zH2r<3s5*<3eOzZ>IZm@ppx7*2cHoBSQZrBi{B9z8oPvne-g2K!C|rhvxC`B!s&%rL zDQ;#iKOV=bT-zX>-0ov}CMyb8B3rSb&p%fmC(SM0-vds@>8HQ3Fbp^14*a^l7FJ<< zY%C?<$IcaAXomUF!Q(JK367GvaJ9rqH(}uI*}?mCX1$QdezmO9U0{J85^~`jc}=*YU*QQ4S+f?(AaBUa#+K0Hu#So{(;6G) zX=!=9zUFBM-&t4;qRh_01YGELyu9r39pZMSgMi5oJJh>?!9`iUZZkb^uRD>9aP!}^ws4THG3FCzHmRmh!2k3? z$0xVh+WfNDtnUEQ-T=us!l8!jtI2oY?5am^IEN3h!uKwTnojyZ z6Sl0k2IkQwCZ`8eZ@;VTnwjUsCpH@7Jk(Lq&0x`4)j+UMS5kD=WT4r`{`O^2>dA%M z4@-2E!MIAEcLt3X#cqD8BfApU?Ade6KSmR%5>OsgIbnWa!1|f5UQBS`AOupe49DLn zN|1tSAdVG%{(~DUniOYFnPd3sZDON?>$m;Wp1DpOo=Z!9vcAW!Z>iy+G|LTWGBjpi z?yk;Ocs2me)<|$yq+3KFPT(%VC%oQG|vrTl=AFjNHYY(5@kB$6tb|am^ z1a#?<&oVtX@B1V@TX4?% zG%ID18Dh%|D#1w@FJIQR;;V=De|b`o66UIM7Pda?A_5ur(Ow%$wf^Y=-StEz_I-P} z!&fOh0a|a3cM5UprYqWSzI-HjSDMOeFRCtU*SUmZCH39 zvz~=1SjT3jrhE3F6k^FSWUD*d>YliA=5TkSf**_4K_;Hc7NPKW?%j30^X)bJBw~dr zWxyhd@ZO>J?;ag=JVE6lp>WHp2)7=cC}9<=HWd@)VF*W0L*<|lB$ex!T&&agUOmOs zlJ=jzpM`qN#phA-h$}A%eY0%(s}lqzZtt!Fwp=v1H|{o!UXeNM#rpU4XyY*jK74(c zR((+q&v{rxLaQO6bJsoEb$GX@AHaf_up$JJ|MhOgd+zk#pRE78yDC}#r05c`u>MJi z{_l1v*#4Bn{6E>H_$w#)zucvG=lUN$-v8gl`w<_YMx!ZjGFV1E=6T zhqQ>aXw7!Xjg%A$(r3|R1W_2#C{Xi{e!Zr9VS|8*r}i=RQNkSV(H>;BZtiKE_0|A9 zRLxb~V|Uc(*y5Ayc*z~Km&2DztFVuZT6Yh_kT>A zs7&rfU%PY5;*KUQEm-Q<6xM#2(5v0M_j!K#H86DYB` zrTDOD+DEqYGiC{Y?`h>miXq7Ssc@;P% zj!kSzIn#0@nWoxdgQnfC(2+cjBVoXE0chRJm}ISJN8*%|^DxKtd6JfgizifE2ka_m z_7U|j!dXt85oU*4+eHvw!wc8-kxvDLu@?k|Snp`&5BeY=z9{$Z4lUuHB064GWeG-5 zKiRO2ZN*n@uxv6rkTasE%Wauz8-5-SBso{%fC#Lqv}K#ySH&sgS^1^*&Po{ORf?a@x z0w!16uEJ%txRNqMP1Phba)wN{G?9 zD%ql%Tyf;8oP#}Y!L3s;`A7SERK+7P>fe5fM!6Vb27O#tR}b3dWWKEIT?R3ee%B@T z76Ltv4Pe3D$Q1&JkYF#xbh;3aZ>bd7&^N$6 zQN}St0kv#Utr#$^{Cu}-_vnocshR=`ISB{@MXE$9_o|s7?9r6uZC^Vn)^*=;a^u&I$jlKDOw`o6-@g61lC#~a;H3%R2Vw~ zpegS~t^c{A@`6@KIWd0b!ycHn<;4p%ICHG5fM*e0*UwwIY&}5f=WOo_<~q0vm%?2lO(%MwOhRx3zuS z6NzPE2Xwuis5R)|b(Y}>--&dzkr8BR2QLXu;y`|e$8Ec-*`m-ML36+=1IE6d zS~tLxF=imaz^8!E@^686+0Q~v*id2|NnncUNg?2qMTP9&T1<_-Df134FX&m+sX03E zWyH24o+xVbPO6EDY;cI$Ub!Ie76MN$E8v#O=!-;mmZ|F-{*xkH}21z2(^c_n~W{$zbbh&J}Pceg$=qo337{z(h_>b z)6&_-Qub;&G-IZ7pHuQyh1jrflrzFttOm>kE{~x(^Oq;>MKIu(@bniQx%;>{FzDwM zQcT{ZpDq^n_(ldvQ!go5D~ric`Vee)7LdgyNDLjBDwWc*QpvXJK!AIwoi@_MjTIGv zi_}Ai%=m!`pzJxO@Vb+0$(WDfd$-M7Hzu(`F)j_)Kg!S+%Oj_#4HbnK7wtI;q)dA} zS#aK{zs5feK+WAfKd*Lr#=wL-LVKm@jZP)-}sN=92#Hlz4n+$Qk)qCAB9WV;5P zrAi_76@?J4o>J@Yg~`#J0g~+(F!f2DZ9ZRp96WgBKjIZH*3JaVI;`)MW7jZ)tT24b z2~cpOwy73~vQtG5Rj{!&s9*GXORZW(8KMUpw3MS(LP;1B zzuYS%keEgK8tI#(zjVJF&epmT$J+Jy5OWQs=d`d!Y=sMf?+{W1>cu|O=&p8YExoQc#kX5Rl#~yVMv(nw z{S@&JnhbMM%*O0!5R(DFe<%`iA$yvFpbTMytxVOLPk#uQPOu!pxeLl2qbZSP3Ss9i zm;aW{JdEz|ZTWFgtMcj4^$Af^_id>yX*>9(53uFtxQ4^&*h9W+6KyRsFcKz>gXpFC z_=Vi+{O*OCCB3oy*Ducc(f&o$+oMUOuOylZ>aR49PFq%`c$Bd{2^^3$aM5=%VLu9k z514I(i0(e6;lLK*^#oXazsLHbwr!9^YZw2(Wyu3)O5LbEex3Yb7}7^Nlx5}d*r@A% zTS)>UxAa7)d3d_Y#XAYXTAVq#Re%46hqat86eBLbH&@kS;>oPP*H+RR-_q7OrUhj{ z`RYOuv#XBLNMCeI@GPv4Y06U@tY66E)U)mQyB8kc(2MOa>RomjhE7UXKa6q<^Nx2fY{#E@Go&Z0|Px1)BhrnKUI^lBW6QsKT*3}#4Y!Hl)1;-85)&k z9nv4b+l_$%_*1V84=Sq0?d)zGpfCM8v?T@wcrEeM>$`N4>7hsT4N(3($M~_X3+MV( zQ+&l^BHbaQ`kR}eW9224%ErTKlg27dzEoCy4(QI0AC=bvX#EUPoim=D^r;*+ zE$d7@EU33g{a_202t63j#;xm)$Q=?J4w!sC9<}awqKrRn&jH?5lT4_h`p;uK{zi-! z>}2cZ7*d}8#B380_^E6aN6I&qI^kPaJUlh77F-K$5ZkFTWtU$&IV#6P=ywNwrgR?j zmkTTx2WIw@O}T@RS5_`-ExxurVP%FLYD9s*35WX4W{5N`j-4ESr{5)ruH@n4YXm4* zwdggro^|M8asOVfK#cagFT)1Mi!oayG{X$`j3l>`JNXq*MPR*{;b8CYa!Opz)?dfQ zhyEi;)U87;oJQociNhR}+ExCS+lL)30p90+%=@$BCLevp-;snmzmS4=VH)T;g%A@U zMO}s=)k0;-45tviZqLWOz?)gdO3`zh2M~skbY`m1-_GnbtkS5KaW+mT1^@;wV>v-t zrAr0+LEwVM>E~R=(bczlz@$&`h=KH^gqvKZHZ!dT`f2A}wNZ^F3t*y2cWB4Ve7D7v z#^@U8RmOIq=qAVm(ZuqYyKBNB%0d1DC{u5GzcM%UH)SR**W}tXdtp_Q!byXu9v_3k z>P(oZMuP%T!kq6DLW6Y|>9p~#5EN4KgEUV>hQ?+d_Me$1Vtb|UUCiprb)3Zqt0O9B z+Jv8~35<1L_#;2g7m5<#XcC``YZ$0Rq7~KmBP-Amk`7~?M<9RDT1IAa#V=7HHW^(! z&_Uyq6XV5mD+c_^MIsXLUUZ`6yoO+MzD&Y?qzcG%#9;kEoL@_rpU~0T?JulK_Sjvm z5wn3MU?=B>ZQtR6DDS8%q-e!M`_oXq-L)z+Dj$3s8qnUXM;?#p)6%X%C zCEM~a`JI0`CVJ*VGy{R!0`%bBoEy879@ra9X@TcJW{T-X4ZhFrDeG=lHUzn&R?&_? zXzzzyCr9>hMIt9*PSYkd99-0$U~Yuk&3r}%8{>sCfEbiU)E`4Bff53bVdO0N{%A(k0<$pzX-v4$&g7bhMaR2ZPoKyJSY_r z8XRgKMb$sAOKFWko9f$JKjz-?E6bCnAbJWwxHlxW8xauW_yZEZy}{s{vaLGQXA?r< zl~ZC>I_g=XC-GF9m~9*`MTxqGj9W+NYzj9~XiD_xIWXlq_XDr5CPlp?clXuQ#SB{J$l*MTpeg<$^dvZ@Q4#v%?lI)`UIEGR62zhH`h` zWnfg&?>-n4fenQS$9%#0VTe1vn6+P8pe;hP$CBGEVGS`SIY=^io<)vKO^Km1TO+nw zX~3W|kkgArNvcfBd2aayg)kzeH*IhaKHTh-vb5m!Y~Q_+t4@$*LdY*)mof&NQg~{l zR4}gj+jnJZg)rxvDg!yN9j4Zmiu0u+Q~kTJ?_56&t1;D`C4NM2w7(tqq}i>1#i#20 ziKs22*u)5yl68t;%2%)az`BC!#c5-^^wibxvU29>Vw*H*rfklcFg^BU;DUfi1xQE9 zohf|?((KK~(ZaC{@F-?^F?AV1;8R*^=Q+h(3N80~E=`@eY|*uoEo$8|Sr7q}%9oP^ z6r1lYM%004giD!kBQ>AFsBl9s8MOOwW-cP&KwQ zaj+qeOdOpS1^KhjlH=1?sQ6-Elg~3)=RHza54S6uXU_)e%LZTh>1C9r0z*GGOdv9J zv+4EH?;G+2$E*5%(C*XOb--9??RjQGmT^LfgbT05P`d6p`KsrAGoipsiDpv#AjzBB zmO{2?BxcI1m~XQRiZ6)ECe1d`+60>(_i3(*(%J2S@a>R!+bfhq@Qc@CAp0Ov$SdI~ z;hD&wp|jrd$Z(E^YX!dQ%S+Xdp7WwM!y+eHXxAp}@)=}-A2%=>N_z|gQ$McVIamvv znMJfpDPr$KUgPj|q-$cz>*9-Ut}MI@neE5!li&?mtb?)LLgVtnXQgwySehwnj}B+P zPykos}e{krv#8{U-trWrDE!oM#6brbGrB?_ zhfr+ivwj+~V17DUncLixI=#Y{CFf#BfivCD7#6okZ!(3gUO2Uo+YT(z(G)NVE#K_h z8tGHOA0*i+`jErZH73eaBn)9dQtN%Fgg?TiqBx5p&P-hyE&k&L8D;;J3}=q(J47kb zo&1>Y0^0iMXs-lQ;)lrsoaYp9c9IkqmatkTA&2R21t18YXPd(3b8pr1Aix~vqdxY% zBW5RI_H|{SV&tLcL8mRlI2GdTt$ixppj50g?2n$eBDXXQ{6o;Z)b*rGvBX;RWDbpm zMQ}SGQwnsleKe zfGYpmn)y)o=-+&y1+=uL9L+0OSzsFf9-y`hNm2^nj%QwpE+wWUhuxyThKjn_%>!L) zCx^ki-FW9E<-Ji6B;6IQiG`-}bA?zPIdwV?A#i#oNrALzD|B!=lnoH!XAS}Wahi$} z-cy|sZ#uUZxnF-rKfATZ5L~kNiF7c=+`)y?zli`Z?n*9ztnnw!7gsk-Ar`47<3?8$ zrY02WLjm5wkjt`F5|e8LLm`;xzArvi0V5Y=Od-WTRU^zKR^u9}L782wAsC)cn#iFz zYt^kpC^E?yhfV|ZY}ERwnXnY8iLum{VFwT-R*Qi}g5l*RBqy5N9-u>vtXn0q<<>A1 z)9+*zYJg^|PLOf8hJTjQ77Xtv-RDpwv3X~+ z#~5cq`_9G*6|eM$meXyjIbvML`TR$P(*hhRjwH8=b0vtxTtZbboXlJ_z&NHJMhc!J zV?|?(iY>QH;duC~C5wfxXSbVGyhztuQnM%JZ5N?+KAQv3?AQpg*$O5B`aA-Y2hbT@DW5w6q{-4C7^^?=WH!blEidw)EBg`-&xZ1Nz>uP z3xG3bn&pdWP0#1ij4jW1gJdWfW++LKsInXo0s-Kk-2VXkk5g2Qmyn?t+gK6m{|i2t zz=3`gxQY`V(L4u|vn&tSe}>5p(@{>+J&CGIIS`Rg{v)hSIt#qeB(QX!TkSgANwVC@ zGO8E&AYAT5aELP+o9#4STYeBVj+?>7>E?Vc;U+up#2DwWKMtC0DVXYjXg=G309l-l zVx{>VTwlZqKs3)zs#;eH)8)BOEnIFdS#G9+>N_{85t?lWTW&gs0%F+Uf>hN)QJttF z0iw!iMrddQCNMOM4Z``3Z%uHhJ}2xO&H;yff(1>f%7UD2Fy0NWqGSkPmI_j?KQ7pt zTG$J#$^xq1nI061UV(DFPzvLFyhp88lWitht~-bATR)-^sy@a)NqYzBo5(RzW6GuJ zT6b!`G*!d*W#nAWd$`8)q{0M}S;sqWb@1pc7K_fzAe#kDpiMsv{O%f$=nxb78bXfb zFzEqFV2U4!-knI`XIn@pD?A8H02xYTaxD`796u6+I*~wMTL^TEsW6l2zIdI{x)8Vt zQ!ZhES%~=%uzlH7SP60hVJguB5w!vv+7MEXq&Njgaf3gG2}p4Qhyq*G>tC2DUT(hb zL>kSC04@>0fx0IIe15n()tu)f*XmT0iEW%XjNAA}_E^m> zUc$8v_4ND)3F>pRC}ApN9--=gVATHs&US(=w=4|<*I3bn{tM?He13VBWMYB2^pH>& z1fZNJ5dJd^!&%f@`aVp{aiQLSfz@DCDm;mDN;xLJa|6w*EH#z*FZ05IE`@X=IZOu@ zxdIMc?2q+$O=ra4rKcNF0ZkZaIyVGxJswHs^9=yVKV3Ed%`(eU|J_Re4R)6GQ;}hb zC9u+h0|i=dS?WLdf3Ye~y+NuT_sh0CULyQYFulP4L?r45lsTm;z!T*x2hDL%z}2Lp z7N>_IYA1_0<{T%;I?EvDohm8I`Sc(^SD@GeNKusE z73V}yjKv+BZ3(o63?PxsFmRO{JfdX|5M}sOab~M=L6G-mpq;%pAEqN$q^1y0m3kl| zJCBE|!e`><{?m@d&GoK+>AL@#;vbQ{i^&5h`ehCzW%zw@d6o-8|8dNz(i9GqiqwaM zyFXU*E_|M|@wF`Ds3>pSiM@kax4naemM?ElYehayv?l4*xz#HUm6+_{5BL zOmZs>NnD@tc{xA+wo!;^j3+8c2`NN-HcD0?_^U;*bdj&kuh7?vFGC%tvBAoyAv~0X zWeHy>&f8ZzuJuw_-eQOb+qDN{Lkg0z#M>8H<<}s=lp;aDI_~9u>FfFZ<@u!NXo7C! zyZfVk9K{s#weZl_yDW)ydGFMbUYrqZ5!M%SIsKJLijnw*LRH;}J+s7|1goWV{Ppj@ zUp-qq*4KG|xqDvOOESKQrg--#uhX5_&MgIiA`+evY(<26hKB%@m)QqP`X>Fq76sS#rCB(Wv#9Rj2Mll2ko zd|cK{2~(w3j>NV!#r5<)>Vf6aAFGK=%yxr7j55L*;r>zL*=J^xqG@gIZ<51%DYVyK zT7bX?vCI`IkL5eGg^dvkGY;J5HW`m9QH(O$`jZ4fnigf#$2yO#S(w{qwHVtx2jBj# zdvU4qj{U79^*&J-9XiCAas<4U;A?4-ty&n?%m7EJAukEXqieEU@6xQ(a> zel~BNcl{V#pC)8=kr$3M)0KwYGNK(0Emwo25=G}$~%bi{W?SxxWSFnlwSSZlO^-&&o&7=77D7c4V~ zDO_UANnUQ=={HHAdv3BX z&)kmEi=9!>FGj+PxltieNCWXj9#6A5$Sfs3KHRp#*2PBt0t3A(vkFrRu9b1h*25`c zbKhGx_}(~U1+pkuHYKN6U6dOl&kH1kK$tDu8To!&C!mbuW(|>Nxt)3Py?I%hKrqVQ zcvz=N)#8vpoL!$%0)v$ljT>#zA}x2TvE6Wsd7BuU zDb8y9`UeJRP+CM20Tx2LxGYzW#oB4BS40W(gA_wP7-I#e#);ZR?ZQUoHp5Uz@7kA? z-5JNHosrH?pY~)E0R`SBRVSX13p*#Ln`jI>-Hx{ooXxZCB=qgL9*t`fLi0j{6a$pB zxMs=ufxTanm8a2;?blBWH+lChe5B2-7Pb~t&G;i2yZx`pW9LcH;nflc*Cy=yCO#Cg zP^+Y=uQa< z@4MomrWLdr$(}9|{%KfmDws4r=e#J{C60CZacDsF(2BX3zH4NWx`ZRKM&%hw;^8Jk zov5}zZ%u1Saw7XvFq@`msiu(vwcJdK1lWU6k<5MAn08K5hD?qG$W(hssc^W7VFVnz zD3T-Y9f5I38PlDys6?86UbyNUl}5OqQQ?vDvxjh6?}Br5xt9ncs)X~%khlp;E(FkNH}nF> z3F?cps9{0Ckb}Slmf9K^T9~|t7Ju4N{*xuAL)~&0)+*iDgHL# zvvfOXqpje~*J+rj2*M(+dS#VjOwtu)^f}ex8v*o(9tD8FNCf+De2>2U)`xj2PI&+x zA(&vqRJui`p_*C-Es^yU@n18tfawPCOnAC;M|cA6sE;*fF?46oF4;}F6f09XKhGGJ zxwQr`=qTbbyU2cO*hKE0<*2Tzuuw!)3aLi=^Xzv!)idiyVEvOgumtQX@)#=-0bB8&uFE zeyDi~ZE)nO*rMu{@B9f)2QIVBU7jZ#b)vo*_i^~Qvz#I zQ6r8T81QQd>MUSA$x+Z{s#?(M&A9Xyv=%V&KscEl>JYPzMqt7c4)kRogvDtHRA3AU zpX?Ke!0bT!C(Hpr#a9p(8ItM(am#4H50~G#i1(usvkkuobTV0T>HpN8LIIRFAXS;O z5j-uE(!_q5OQfP1OaZHdTTaZ@sSom{aoXH+6oZ?rSQhAt;^42>cI20@SSA8NUjdEZ zi%X=}0OG)`fO-MpD*gIB54k|I&l9ql8Qfe?zZZubCr3;o4-{Y_f!S8vyCbt!v;6Yq zhsge+ew(oXJmqgj9(B25(43;vVu*sG+iT%?gyNV(9iMXqhQ-^o6^OwZh$W^1Qe)lQ za>c?PK7vQrT@sixdv)fDg?@$yiLRT>w!neCQPBX;wj^-R4}#Fx5!bB-lCR>}BChaK zXN}q;i~wq;&4%PnT&i{;vR4bhBN)RLN9f6I558VojO^bVo1ZPPE#79Xkk?BLgZL@PxcGfpX#El+DQa9G^H2(`wo~?IFDQ zmnsbwR%cLLY1{*=oCyxGMrr;w1plh$1K+aJ=oBK@d!2`zApLvLMa z0W++s1x^n%VAjUEKm#~DLg_VG66@9?Qj~_x=J3DX9Jau9z%7`}iG-MDrRiG<5rG7> zT?3~HP=Q5%F&M>zKm#W^slQi%!6I-tg|zOO52x;bEt=Y5y4K>At*QMlSe`N&sKGY4 zbP+C0GJ6bUR0hEQ&`R(9C)Dwb3N5Jvf%BjTzU8n-y_o=iGYuT$S!$#OX#p?`s&mGm z^-<$O1J{Y9Jm=@rRIlJ6fC|glDR5;79N|=1RUVmPm!^IUtZ0DNKT5B%vOP*PC2{^E z9In{PvrNHlb>J$}?hBaq%eJCVf*D2sTU^@)PGgL{IiDJ`fy)@UV$YXYZ6GG=vlaZO zIe-$bJzG*v`FrgEAB~L1tyln(7~B(HuH5hH`FM;ui-9}q=!`R?4>c?y3FSYH&iL&F zSzrNZY41-zXHcAJJOi_xNpv|=wYGI4@CeCq!;%m(!L|*6usE(nI9`5KZx4V+lG}wq z9G9C$U=e6=MF=1z0fnTx4f@Ewz+t&m)q(#(&p<64=bS$GMl=;E~~q!p|=niTN0jPjMkC zL$f-nFLephNw%r8Oh1x*v=gXJiUoeu%rPg4VH4hG7ZSKM(4JcPrp}tRnwJk+HgX@S z+!Lh4BYYpOTk2L(44!TlH~M;11iSbf(mShU)U&cTp6A2;oYnp{Oo?grPzrjvN%pYe zh1dD*y`$N0Y$uUn@#7&H1YV69vgwrUh31g{} zi|?Zi7h*cL9@W*(4tsNnikei0T0ds?ST&Os=dp2Kw(i@gdZR!hD*YIiko7Yu8JB6) zGOsJ<)ugi12F_!&#PCXB#6a|x z`k3j?_a%v!)9k~A;a5F;WH^tayVXH7#0;5dA`Ff#k)i8Z_!-bEj(w^xaTa01h;VPI z1Fd|TG$Wl^K98|^&L)Np#!;*)OY`;{D~!|NNC_F7TUZ_vY>UE?D{B`3!aH$Sgtxgw z8yr=>gZ5v}4{1eQFT+RH7B2U8zkkSR3^`pT!5itd%i&*~zKnigTVI*TX>29u8)&n= zc{8 zT8sO(y1zq?q+gs}lT5}N^hPEe85^=jV)|Ez?%`d%P-{AW>D1%1>voH5B_=lYo8Bg2 zQ2~@vgKD^k89eZLimrK5f1ey2(EU{BMqv|PKcZt%Ew_BdaXvZCv(2jNvw>f^F}8~) zF89qMgWeABm1?qFBO>X~x6YYh|21Kq$DD+&ir|~o78zU$(Z%vlmJh-fu&5S~p90@415^+IB zJe)tTP`d!G#|77Q`s1$UnvbVke;NV{7ncps9JR^TzbNV6yK^hOYK{xb_v@@t@3nF?bnTpdt+SeG*L>rV6@SmpuCwFSf;`&ZZhyqMsNl@b?(6T6B0f7* z4sC$fGdadG{)`P#=j-#<*^8T=w-VW9tIhNDJ?M%o+shftSI>6mA3m>h7>Fwy8_p|C z<2H{L*xOrac3Jn^@XfuBO>{*~%m*|qT-C(<%@>LQuBPP;gh8LV`YY(_8aa>3(fK)p zl2MvwojK3I+nYXK2ILjc1~rMB7gqrzq{$^84KEkhhLHw2{6sLv*3vujBU;x-R40yn zIgO%PQXiF*=J0`ei`3b+Z%OqFN8AK2Diva(JQA*Jx%(as4+W`^rwere!di5bDUI=a z=cFP59-5^emOO&gFFU{^NLru=33D@XuchOBe3fd`fPG=hAB_Ef}esT*tKczb&S_ISeKMTtq9?zkI9AfPq&Kn81X- zEsW=TXvOZ^Zcc0A^N>9U2}+Fv?YW-_=AQ`>je=(TdAfFO2IWZO-8Z~bSY1WArv~~| zds!sr1!i9!H~=AaI_mu>@TEHe^jUBRfjmH;w^$2|rn>#I&FCA!d4Rt256#R!G?CB{ zKzN+SK(Dgr01)KwU1|QMt9sKWMM!h4z1h}ycSev`Nsw2Y)ctewh$1`EE%n`f&gc!Y zzy$D(4lB0Jlh;hTUVDhV9YoxO4j;Gwz+?WC03?X`D)Xl!f1BAGV#LxED@rlP6%`_f!B50HF&U)5pZb>_0d_ac)`w#mTex(G74;y{gevYfo2i?;4C< zn{lE_gClM>V#XBNP;iqS%6}GTaY~>@nJ0 z>hCjFPsq0C8anyJt;n7{Rr?-!1Uc0tlwi$`b{kON{-p_O^Pd5|2ISy0=7IDMvV|<> zZ#_QEx1tWh3hwX0zAK67s}FVjVk|)*$tV(94tb3)bxbuH|M12{MM}#-dIt-6mAHI4 zAR>p)EvvM0V8O+Ly$(Dp=o29`jyM)w1|I{?vj2o&6cQFfe#2bDClf3La9%hLL38#P z*8NF)f=i7-Xo1-Nq>Sm?)UQolhUjFhHl7U3c2 zaE7AAJz>Q;28y2t?6~mjDkLb+JBO09QExM zUsY!i36mOyIgyyi=}SJH4(Di$+ra}9;0ZGh{btkL2&7W{5&VwCQQOpdcn#eskl&0z zSB?mh(@4tp$0uTNLEv^CLMfvBIpVf$VFM zcRf)k=&>bOHJ}wXZQnVd4MsMf*CZ2zdx8OpFic{nIi~d`JJY@^$L4P3xbfwPjjMC% zc0#Gl$4M{^)8h228MF;hqmy<7RhV&7AJT$w2rseu8&X2h7HDft{6UuC!C7w-n7~=# zI3zb4rF%^|ibxD=W1OfsF4It#N8_ag-@DwVp)QUZ!SbgBp%Ko$w>wGx)Bf);Hner{ zZd{isp&0ZlHjfj9%}{sPS`<=xB#Gdv_Eifdzt1A%ashpdEoQ;ZY$&x&9z z_(m*SmQ@~>^o%Xj8&RdQt@KGVRcRTgA{8p=FYj{z8g9x#l_iAVyJ>$yAiy3IQm^%I znoh+0aLA7IX-uijvXA8pKrx}qJ|%R*F-o~YJa|HIykfV@UiOel&U7xkVe_4Fu+xVe zkB-!<`7;5S~O zRZ|ABu$M8I*L@DsoH0Y-oSHWHH`z#%z*!?W1UK1`97M}ae zS8vJ^kFz&tYlZ8)%eJYet9LG%uP(Y@ZS1H9Wp89{Bfqs)OTLuXsH~(Dx;o-6>+sw< z%4vq#u!+l(v|PMq_K!F3qz|vZXVS{y8K4LMZ!n{ZfB`jO)5_&EDKg7LrcxBJFE*y2IlXQ%ZZQJPBwrzFN zu{*YH+qP}nw#{#K|MqwGzW3StoPF+d@yE)_tT|`Z7*(U@O2&HM@zTYWfaSE|Rjdq; z8pQO3)}Nn7dGH#YoZ`YRJ!G5bB{7FhvyzF79m3Vt|2okXm6{n0@L$HBRzaMTIl%&y zDjiF-kP-Uy(@cnAzZNdnT+`6#gyT~YGPJ09t9igDG>2qe^_H_-eNAOm|MjUQVoAi& zK#%HjY7wm{Hvu4i)bl9W;`O_8G(BNWxhq52fcvUaf$wdeTuwIWPJotGce zWEW#NbF7pi)@gG{EA#qg;)^WDoCgs`DH^&HtfCYo(Cti`l27t0xASt#@qj{R^k*|W zG6y4!*D-fxbWM)?X|gL-8)n${=EnFKODz_?+cKc8#4j)j)9(caSE z_Kg`7pC8`$u=-+nszsG=Z1x=MoeGR11&ax(3YECW8jVeE4j|b0;w_hoZc1VpJ1l6{ zt=riwES$(O)`s8rKI-+8&E!XpzD(Y)^sGqMl~^i2sJ~d6sUIITT z@K;%}9%zUr>Xz2GjY7@C3+0;6wAf$2s|fSi60bu)Y`#VDBP_Fzk0hLR3g;7Ic@$S2 zaqEjMa}p^jg*uS0BlgVrxNN9rZojYZy=QE# zB*n3!URryjb(y>MZmOq@!{}eg>7j^RA*Ry5$L*M+TdbTWo zXM+B}(X;*I?ms}c|8OkL=B zkGT++CWePgNS6hage!TlQDBS$1T5x2-A=&`r*b+zemi=5&&_?3TF4${tR#9O7_P0% z6f!WOC2q4uRSGX;EC|QU!Z+PhucbkC8OGgI`lD&rn%tl%7^kC6gOgjCFLI#xkI(t*rz{Ns?{ZcLt{j5)%Gon4x^~SygQz7G zT+*sTd^{sVI}j;9#@JrodPK7Y8Amd|FQwd{W{5d1NvgNz%|)?>Uq6ueM$5b^Hc3uj zK@9d@vZE`-czVU|Xb|$^rg~M0g)fQqUZjwddr$YvVCe4Y=l6iz)|I?PqM|3ul>I=_ zM9=%pTj$E8uj2!D4AgxlQUNCCqG=%Ax$4?1C;Muc^Bk=$yTpBz34v>puhd>rw)0je zz-jFcZDe1dM}Wn}t9}&j@)T>!-i?gz`>BNZ!6x0R>?jyrdbV6T(PHc7NL1R^T#D!? zLO)Up1M>RJ1LP2vfc#VlYO*)H5_iC?9Zr3|?kT6^oa*~?&i=K(gk)88N;MT)I zYpWnCyX*GzL8%!`(5xki)6&0&wxe(}jC0e{>Zy5Q1(O{w_NmaT;g0ID(~c*)ifv^b zfZS;iQk*cDnkXyiWX^W;A`^bD?2gP~zD>`O;KUPP=#=x#`ZQu8gi$DOIRssLw=Dfx zCueX6VF$vYKz}SsR)J*VOMc)=^SVEUPzQ5CxEvu)BY=Bp5w^F8Vf}%J?nbmtD=w)46LjvdJH&@}JJ0FiC$$pPcMQzUNt46xZw8u}GMA}u zUO8U`$YQ~LeqC&SqXE@B7<7TUlu{@EB(V8v0rUf&y;cBmcN`e2{s?kIUl;R&jBUaI zB#+Ihj?MmO%^}K1|HTO{t=t4{r`0D#piptblla$}XxcAH5%8HQyxt~oKq91G_P3)r z2MooAE)jTX$&dFR$JBEuTNFjPTWJ9=2#n}RO+i;Yhc5W(muR0T*)rw3|^ZKv9N z*DG0_;u!xeY`bPSC|1V`Bg2E6tJ^lg*NI1O1-D@e{9|$kjg_V>6%}=T0L%YZmtR-s z<~FDQamN{p@TaeJh+k!ff^>;YITg?v??)sAT*c7R7-i5JLKYTnB0EZ5UD`eyOO86b zMK4F~-bFXY%}8F$2r0T;(J6ikNR2$h!g%B3+%LE%DhDaYt zL5HL@<*Azfu5oaM?UEhU`^78*LuCZoqGxiX^=HN;r0Jqbmt>x4rg^C zUv-|jq<|#qr>8 zU`g7vd>-7l>d`~N(8WtlbcEH(o6IH*>5|ZO%%y;2=?e)%6SV{e zAYO|PpFB##mG9^$kmriTzRi}epC2PP{ptdJ11}Vf)stgE62e^Crcov6wh6EYJ!&H4 zSup&NnbAmtlOPoMWCdX}0`&|;0>jbJqNpXs0@t5d&(}y)U z4(=QfAeB1Pl8vLgS|ghUIhfW-eS|ry zN`wLz0im^f$6FD)DFXITt6fCOL_j%IVmXEf2A1kyr%O5}fx@FDW5H&yV=xPSKeDR? z5!%Tmq_o-c`C+IPENg-T+&EtiLAYX~bm90t3o~&vkU$%Qi4A#hT(Y!f8Ahxtvcqxx z;Vk8^rak(hapdfTD31cYzUC8ni~XvNMvcgaKi}JYF-?%x@qE4>82Lzz)h2zdeSP-j zVP|gIBR=5Y$7e1eomz5ik@~UHBcAR@N@tI$h5+HQxHEW6@5WL&ZM6tFW7a7z@Q;QBf7*j@Bl@kyj<&A_)se2 z_l5u#xUdvgv8hzMvjhfrgtqkC#&qVU6ca&>&_IJnPh{y1@WlS73D&DVB+3Jeg1OMM zVAGHsQt8PB#H-5UBm|>Wq@7L7@m70P-P1OX>6YCwSn2N~ohhuQ#1=A5H8sRI(w_{C zu}iktv-(fKuINMDVI@03!uy}2fSHgnyw-&)=UKM4Iu%moNAgQ^fF6Mnry-7k zFS?8kNFy8$cZYjh&*~;A(&f7;=s$5$UGCJkN#*6DfZdCtrQF}guX@M6#l@oUBBPq| zgB;P!X5O+sJ$XajIVf0MY~m7T;%x&-RY5u8uQ)u21>FuI5o?xTRfwj$W6RpD)-}Y^ZPP7;*H}Ks-HT;_Os> z{b+mLyO^fgZh+!h)lNIzl9ax=b1xKffhb*<>y_5-Ta$m^b@qrG)6Q?rv*a(JLA)A| z=Q+V!Uy|PL2ze>8s8jI2__$wV`S{_A=RDudd{eTI^ z#(X|zXYmMLO?;ECeQ+o! zP{Sw!7;@#}$DGuI4G+Nu!}aQst>MCF>D6PSt&@X<%Y{^{m^eO!T9$&1L#b*~QG0jf z4Q}a%itB;lr(z{K(z{p67%%Qc&r^RuMqEtCQ(NMk^)i{_keuXLAYJTX zI&2Zcf^WHMZfJA4kAhlplZ?&jUu$ZQ{e0c}8AlSBQ*vS#d$wSc@OpoV&a$)N0kgkw zDe-U-t=!Q^2F>ADSsj&7@`>#dy_ zwwVNMTJ*reEO%}Ap`5YR9&>Pr$ce!T%M|?)EO20Y?ASuiR7KbjBJc%8$pog%-<>wU2n=Y5p$#fW zwpB>Z3>h8K&Jx?;hN2bh+5B)ik(htZj+sl_N85vmRPW!n(TR>Lv_>TiT0lTc3E@>@ zO*TQ@#nJ_2!}P`D`w6wg4FX@plM51ljvVy)@ZJjs^sxlNkPuCdfEy<5nRYkro$UN_ zvrUZ06={thl&zN>+XlhvX|fdE>H7ZX_FRnJaxAB8>eQ8VM(n}hnai>$A}#S;=m{Ji z-s`ER9kfs5wwbA1u?|@% zYwn-k_KY%UHa-;ZMLpx=PPDH#CKQ$5@}9RY0*?1j8#XkU=LTld&$w1x>mMvGmfrWO z-JR#Z+DhdDp=bgT+DATQ^<+2WqR-{|0h6ad2r{X2W(7wZD{{u5W-01v&J}T1g?IZr zq8Q=Ns}aR3F}doI`4z0uBJx@xt7s~oLfAq=LAKr^RBn6YRwfQ1g2iz*b^9d+3Vs6% zQ@nxXm8UaXq(!Vc_r|R;)504h7s~1boXz_NmiPOt>QIf9k@qus)nf4yVh9E2oqtl` zCzYT(rLlAs@2x8^S${NHB|T`R8j^TJCr;i%U7xPBK9T@91sYwV&gTSov8i}l<9izSEGCK2BV zqzvucz^f&N*9N1u@cGAGJ$+%45MJgA)x=xo!p?}8Y_ZEV>{&#nFAH5Dpi<-HYoVP- ztPKHl%}9ZrB?ajEIJTcAqE>z=KP*_d5MClZQ^?ex*CMa?e|Ewv;kn>a7s2`zl6X~@L&+5da!W3p=ivl0+jK%gZe10Uf=b;f~YwK zsfh4Kj#J(PT@j8nCqUqr^j0SW`c=^_0`yDSDMs0(dg>+k4MjRq&nZfu2xXJfp%dY2 z2+0?Q*1(^{u{(qgUfvQY(zYsFg2lXW#%V*p)>S#P#C?-(erNzd6Uoik&CPg>{1uhCOEGhsU zDF=5j-$iM#c1zdWio8mqcmVOlU<*K%QqWUXh#;941cV-$t`J$A3hLZxP#iqA^rTqg z)UX6;*wQIPT@+{fZgE$rnm2BE;z-Q8GiR3M`T9s(f-B8~)_L2jx0Y7Ay*zD#ZJUkC`e#!y$b1>3Hwv|@kaP2pTKO1znZ@)qlY?;Qg&45HG8V4tyX zxekBtxes!0Y7RSRGj!)?%0r;RhpQhpu_@jNu-R`~YN)t}Niyv7TRFFw}j% z9zNT~hWy}ks3k`mf|AhH&7=rNP}iQ_hER%;V~7z_MuaQHf^+qb%f5x{5(Dz8DEMCI#ri7UUZK>iduTArV ztubZC`5F63U|NuxE@QSr%t7r{R?mPUPan8ruoFpC0|Q zk$x|;K?F&WnbEu!`V{-JM*8jePSDxX?>)Hc+Vp#Q6K=1sOHKERqF!>j{6TyH#j_nB z6>!JMQE8SPM!l#6n&G^{+cdw}h@->iLqj1tzej+&?rrdIMD+us)mY)-J320eo?7NM zpM6IMS1Y=#(yoFt?XCg*0c_8zY(N&VXI91$IJ?!Pow`=3_m=WjeH67@n0?spAxPsI znLijYSdn)XO0?o*xoW9C$VW-p`W+Rbb@So|pW)n{Wk(Q$a26yN$s12vIkhx6m=&!K zCL1DCnnuOf?tYpvqt!W|`0jJ$3kNf$l)q(BE5C<#kL?7+UHL%k()A+pzMYAo_0lqJWbK#VrYm|d`2OA z9=^Cku;qjtI)f8sS|kmjK`3}JepNGN%)0~gqA?k2B~s$Quapbl6kS)`srNbRUQQVpp?<=gw6wGhJ0Pr%zEQC^N0!`vfVuRtP7yo47L{p->e}oGKjH6tJA>0yf zR$?H5!tSi`dlzdhuUNMMBlTqUTkzcWpoj_;mUj7K#anRR_M(Ui`R{|$w_y9<2PA-l z$)X()L0eTqB2jww4B!fGP50(!ySpRL8fgcQYVOgU>@a-Yxal7o&nP+xti%ZOki8*- z-9pGVVCt0u-40Qe+PGS!_%NL*MDg{?feT-IUle~`hf-DbbzR6N;k{5|(u5Y|V;1BPh{LXe-TuKO(Q+Mpxy6K`CUgyh*WSW`j&Z(deg(XX zQ^N$lhD16dS*CEgw-&OU_1;cmxbuRcnot%dVK7I%W69jN*gduoa ztE6NU{@P7{4KRaCYIX(>7~#8Yk!fV~>~J~wCiq)J&|yQIn?JneLjd21SQqy6#u%q$B;$gpfw zWfkr^papsV^O83S0yDt5PgmK11^K4PX;}kjO&RzNd0(Wp@K&I^e|ogvo3;oJd{Z!w z2ymqqGfHm=eN&^~-mOz0peS-i#Uh~K|28V5NihB!V7jXaDakpZyR!k) zZ9xef0x9W(TVxyp@}~oFLao_hf?f=$Z9zX01RAqF`0YO?AO?M_yZ)l9522zEOu(x@ z5&!l-_)`S%N7I;Nd3+gQ{hUaLm1MVvEfR)$lAqIWLlQB3-*yYnKGh)n&B}G@tJ*Pu zCAeA&fY|ex?&()D!dq8?BS!ucZlN?zfE#&R3jt5Nw_K7o9hB|g4mekcEJ|O+02?9A0#LMAFh5M zZ6%?Ag*@oV0v>pOCN;g*-?geRPWTIk_~e51T^ zI`Fg#3F)!Hi&e7R|M4K4RQY=l_D}yk2=)IV2=)ISgv{}O4?_LF2cb#nKMz7_7K(xB z{CB*MmVCO87MOcokN2&LhS{Z9%NhRUm^vouS=OcJ`f0D%z4(&(rE$@kH^Yr7w=sjg z<%!KnuPAYi3JLshW31Fpk?zIER>j$K57cSo@m?u50T8`vk$cNplzIUbf`}3JM8vlB$lbeibqoOJv_lyDeTccBK zp7+-=oOGU+y9a0N>7EsjmWQ`itcs`mv1Klg+pQVL7x8tTjn5pF5~8qjJ*?|3t=3LJ z=oaSrq7mBnvJX_`I@N{=c9BGFJgWP5=>>u!PeKAUz9^eDQEZSeC1&#MS*qzWS0HpF?3SgjvslBU~l zURX1%JbYy-Q_A*g(+8Uiroo9`c1@?3MBA_~``-oP+jh@I^~2w6@!1HTE%REx`Ckdl zznC^#h%T1h0w|X#GqV(`?5-p1$fiRxb#EY5b`=isoMrj7R`+;z^!e00Eb)j{T#d)V z8I*4)nc5rj>yu&bs|R}=yG3=DGwRS5I6NM&TQ{vs774Fs^A&48XBV#Nxvv~U_33SA zV@hiqA9gS2UFo+eWYH7-(jV&_nCvODHxhLj?3%WVwWc*pGiBALylyj6 zPn=v4?WV|>DN3KQQk1%?O#NadDUz%IyyXn%+j~ov>@L=xcjYVETBxBZGM7bGo5lgI z<}{pvBuh~e0^Ia{sg^HXk|O@kiFt6{KPQH&OxJw=+)H0F1j9wn8k!mXrYBTl$(gHn}nIx(X85r+4=p?OLCl!(oZUcsS-0=hb_I zHrGe{GmvI_$_|)&DRN}1i|wvO`^)PZA&(c#{fq9p5*paHkN?oVE>G&*E(tZVa~P9> ziek;)*WXXc{{7OW8W@{7nXdV;L$p`{acOpNEUQp{mutFWg$NeT%_v4tsK1xKy2zk6?JKoPbLE=-OphqGs8mHaMh0r=CF{WMX<&J$X)<}s)c zm^-lx2`wUehedz{kejbER(<|9@m>zL3m61FgUMGlu!`iMp}1THp)qIv2Ki`g<-}Q& zHEAwM+GyG4Fi044GLe(=BJS#NvqUpgWAd**dTs}tfDo&3Y885a1ej@Qo7&FdWhKSn zF*3t($fALMvNOSWt6ifj_D>oiVd9sVCPn^cQ$l1I{#Mfl`rv9nT*r;Y|YiDh5hQy3>;> z5zR)LaZ^4q`VV44?IY=lfQoF>dv9af8cpT?wl$ zA8s_7Pg+g$G4q(7%U>6jOJ#6ZeqnaOzC<4ic)5W9iW(Dt{dHspJu1v^encKpcnU4q zc%D=A22iiDT-WF`hrHCRFzSN;t75%qUtUsEO;xHSP*OH!5qlfq(r_hsa6c1lLK*dqz8>(?bYJf3k+yg6(~QY{H9$Tsx_7hW&wXxKrI@1 ztG3Uoa5Rk0N6lczS%xQ#{yR-4(1Fbx+CRlT{sGMW8@NZ-zbRs~{!I~^^-r1C{|x`g z`rlQ=2A~K5V%z@||M+M0e}EtUU)P%Wyn zwNSMrD>WMcD#^;kLM>!!Y;S9*U}|S!__yBysuk4IKkQ7ct#mD@g)DT9fBp-c8M$7nOT{r0b1RR^o-ODtN_Vw7C?XhwMD{g)C|mwER1abYOKE(pZ#yjU;KA5 znT)sq6^=hqAjAp)bN&@ULTtFKY=6SWv-zX&ylk z28WyBW>hBlZ2Rd>ovglx4_Q?e(pb|7D#}=Huc^OcT2V2Gzot=>^cxR`IO2E*wke2W%%`j z3=|q3LgTP&64dY4ToN@ZWRm<;WvO?BmR8&6p(4p+g<%6ISTdsp;_Uto4}xiYXWntL zn0F@;-V*V9vLDB}AqF-;ztD_}zfS2xJ=0L>>Qvj}+yv`s88KG~P@pI+Nhql`@)`D{ zRjI3}8s?J%sTqBmcR(d$NLzXO0<@clsciqvfgRG$kF)4&glaYB!RVcymtDJzRm4V(8 zP&+3^msWq2p%YFP9Zu4Is{VQFV_fVt_ga=(ryvskckz2?Y6gI;w*S>WiWBmQ>G>jj zVwOf%tlXnR3^G`CeJD{t4Q|H`JQ(u{eOfdYunQaUP_>P&RM6z+TF8`?R`_ExRIgor z1a}xDhOG%3@f3|GA{Y08s-a|7>#X?ln3;#x1t%WOx_4v)jR)WOo7dJxrdqS@wk+yZ zj?;%ZH8ZAXG)yK$eb5mv0VMe4rhwMA2*Myk)WP|FA$5Tu_C-=e0@IZDsA_T$S3D%}@=u6Cqob?IWWFQ_AT z1STEd`GYaP`>by@P|j%JkgQ%Xn;5iX*5qX%&x&7~^@rT7SX{d05S}zDJPizAass;! zrDWI7Scff4HZrKNM7`d?nGp~(c)DmKbzdi#h*832q$Kh=RX+LC)UZr>GMne5ubfpq zVuqWRY-Dz+wnjq7$3N8t{nAqo-DHLC$RnKuh=eE#1O4=pQW5KiF+TxxwHLn%HIiXQXZ0lwNslm^$oHJ{mJ2#qXVsxAGN6e$ zlm26THR$O8W!8>090w+>p!!nl`+^+SbtZlv=n#R&8JO(+7@{y9yo`#aM^LYlO z604woa~TKuNB3k1oX!&Bhn~^08Q?pMmu8-sIGvpy2w1quIljPKntl&jp^YZC;7ZST z1x~X-n@6%CSB3pOji%=SQEJajY&*}9{??AlvwN8fhok9VUgf%40mgbJ=p6%lR6vF# zEMk%cLd3mi-AsEt6`T^c9f2RaOP+o1ZI-@2Eusw~pZU-%CAy>1?ye70!WOVT2vv;* zWlijW=p=5gDj$1WKHL_qv?RTuz-Acsx^u7iz#NarW@<6|OL7`bfz{N%1RH9wLIX9*TO7uWjvYzA*qygXJO$tso)GcZRMB1=&=CuiPm z$C*i^MEFSk10om&;m{)Pz3$h!G~s|4&w%u&08o>B9WR*DCXY)JQe&UlPj zAWS0!`t(Ctx($>?j~2Zy7s74Sk6{-*0=8^NSf44j)5B#LUbIG;_8Jaw{7!b2?KSV2 zbQ}6d{ob-o_?JX^i}nMsy*AtkfJiA@??98o3%jWt;o!`A!J-fQPFOa6s%|dGURxZELKh>5-81j9N%MqZ~6U7yhtj@`tsZoPzc{BP5to_Jw zDQA#RQbiB9dE{;~lSjBY3zD`XTaKH{{7%zUm|T^aBS>M#1O<#U5$I8VB#uA6P0U!q zYD}eLwWpx$UPiHB7Y>DGNSJ2EIi|=~51BXnoQ=F=_xv<=0;mh!=6Xk-HWDG|>89kj zh6LdHiYIs;k)t-Dbnwes6K6@`ipKj#Q@&PP7Du(YcR6!U* zS+pdv2gK8iD>eo5d9YhENPH6~C4nE{Z0?Y5i{1}Iv?JwdmSv34`PRcnQMNj$;$KnWc;HrYgBg|2~2P zdj_AzFu(O~XHit64>}j4GA0a4j=BkU)x4Ueq}WK~x8Q=Y`^;~FV(bXQ)pEjs`)L;7er40LOO(@O#R8T~JC^LL&HhLBVXwi4N&B_Bly01`KP548mwh z2S@ukHtjbo^9jBec%R^?xYhgNJY%``WsOk$-k`j$5KfIC3GvMR#o-c9f%o zY&0|+Yic7x^L(PZU`mMx2Vfpm_TF;uDD*Nsw=sgz9`&uBWgvQ%lpe@K0_=x64R1o3n$XHR=IhU6O@M z7Mexo_Dh2^rl97!$>Cf6*Z>woJ1?d0(&S*qh2!%R1l(D({VeSG1N`<*Ghq|KMg;4?!y|%np-B6fhR=TnY=gevSYX=p?P$;EYe&4^u z(GD>)R!~)tw@oX74Cr^XV1M#G(3=l?n~A!P10zV!L11(Qhv!2aqq;r}p(bMB3sZ)THyThsEqUvj<4>-FL3Acj!n5MQTBd=80 z6Z#&Z2==Hec-c5bbr|Sc6w1;iY)4lX9(}8)%e-NRH?5Itr4eF{r?V$972ew?g+iB+h1HrF2H^sJ0A$>qz<&qU~g}Z9qODZ3$up3zk%dNEf9;jINH{Kdx4QUOZ6Sg@n(*n|Wjts3oz9gv=IK~FuN z<8v@1CR5Cfl0)CFZe`9HHM&O1^Foz73d~hm!GddPCPm4a<4WNBC*_%1m2D!ivkbcA zTguw)-ei{uO`4h5hY2+(Vj2c!43;*}7@6^suJ5ON3aR#skliD}Xu9Lwr(-~z&>T4S zH)u~DjU&G64n+nlI93f5kFNzDn6koc7~4i|T3kF`@|y0xx7nJ5biP4x<4<1)fqKJw zzJted`9%^RChoofzQN8oEfH>UFpo_faz)bmsIOO#5nPVtiib5ni|A2eRRf-_wXj(^ zq2|ms%tv^LwoP>~%o@@#q4yUus#7A+FVUTrJx+$a*-7E6gwHiy@IvadWfM)Y)et{r z|3VdGnTK`qeQOdre>pv}N|rQHeh=K2qA2}Q03c${5tHVgVN@f1ZNyAGZjsDZgL+@r z>uZiJ95L3piYSuyc{@M27kS&_$qfE&w^+o1(9e$OSWfiHR!y|M6_MhiQwrpmEPnk5 zQJGnh6;qWk%4K}&U~$h9ew_RL$3DS%t)k&IOz3Gm&G8iIcSxri_LV5wQc2SZYGGJ% zGMniaGoR(`Iat|f1n*SUs_UI( zSL5fA5IDGUI5|(3C*-oKSI%$MfZM8iJ=|*Jt@pXP*TSXGd8kpGuSl2zMqhn%`o%8A zRTt&ByX%#4zr4kL7LE|5sTaYcgRUoToB^}1Xj#i(6`*2>Z}szpS-2xCPa<$dskriK zo`lT>ACi4%6EL}6ZdP~f4Vj6HqK}BpknAJm39)VNSiG2EuZQ;*;+W^_G#*bWle%1Z zz*%Q!j(OxGnm8|Z1}#zlJ8*j@a3`$nKM7Z-SPQ?goBV?Ls8 z+DVE`PaF(i->(gsa-y$n>EOZji79sK;zg-EQ0unBev{3odaF}Vj9LxfhJc>DJ{KEg zf~`K+tQD>VO{B(-OFqN>7@J1$h?LM$`nZbC7H?cK*(U0&gZeh>U3i6}ZN2QDV9x{; zn>zsMq+fxxy~POzZu9gMf?zl{bYb=@eDkAZ(r&s>LcF#TflA?CFW#hU%ve7NrFuBz z-QkM>f)+I5o(4T4e6jyV-#dC<-y1JZ2AfkS*Xd<`aUX_Q&?2cdcX&{rY@>Ln)qQV& z%x*oTW6!8d*q33ybcB);9DKY! zz6CVkbaZsT*#c@Ci277s1}7wLzi~i{pn;~@giJy-*ZfF8N$Q16sIuKw9=+LvN{x6! zs*?PbrrQFt&8wMZ-04;qd+5JqC3-dHWcICGZ>02;)nb6*7IP=#q|6n@MJBW|oG9hO+M|bqA$YbURk6tuGLji1U~K zSgy+)X%ff+EhsReU&-CEamHuV7dH@p+6hn~j*V=5Xo&E9{H=Q`fmfV(EnCr{1`h*jNl}yPXY)vvW4G@&9#&lgkcc=|^crXpqHY=^ zeonYw?g?JZRVzCyp=yG~?Nn$x)Fde5)HYuj`^k?RDHa8g}p_-iCSF zonaIbI?~#;vK^)||4H*bk&~M{AA6r<$@;`$E$;?oqQh|HjQGv;YV9GKN-*;|4deb$Ei{fnN7=5iI2b|p2SUF)H|>jf3d7XWHW}iCf>umS zk0-xO6lVThZ;HVp&XVBbVq#vZel9Sis5A>Y0X#kd^-u(^MaY6s$VkgKFQlc3E!~V@ zG0rBHu6Oz(oO`lbLgTi))mMui+!_25PpNyslT9_|}uEv|Qs z>pN>CB}fHs+4mGn%HK(v7SEG`(+1Zz$@y}00_=I)D@zP`7Oex{*eR~X%H}9zlVBQm zB=;{{l0I=Z0yXd=u38ua>rzUi@WuP^_Qc(52tjFf8GTO8&GYu{M-)a2r0{Yp_M@pS zcu>^|^0CG9QfyRmasm&Ow5tH~vtvqM92Ik6)iNFMn;M@sqV}}PqD`6*y&}CG>c&M1 z23~7DJr6e$e%=esYoUG2Fp=Ow3WG&-QVTSC^!8H_(SN`V3NW30;Mv= z;Qc=pOK=PWNn7$7ZCX|8Rs*3aQY&lVF18_$N6A#iNXM2V)Nv1A&Y38?T9G6c_;$H{M$MDXk# zJA5_~hh?QiXwG#bZ>2<48F%QEVb%M3*rlU`b4PTD&|YIK3sPG1NfsFU@kcdK;}@)E zJqX*2Uoa!Lih(Mu6YNX_NdjKUK8j~eNoS=QZy25*7@wt95Wi-Y;eY<#C{)R8kTK`W zoAl`lXeksw5DeJM7o<3xt7;%1v{B+>d%7vG;zQ|Ykh*ULu~<(t&<)eDiD@6}HqCt) zw4ORDN-9oh4hD7Az4q7D{fMZCYLs|9Kl^nyqcq~{!lNs1HWka`3tF!bBbn?VUj7C#)a(*l~ zM8v#Fr;bf$ssSjnLs`*&C=`0Jt@OoV87aGXRlLr-kmR9sqVY)>*~9!y8AzVV+@up?!StePy8fhQB>!nz*F*< zKA$9oIh#?5a7v=4&ViZX_ULAK9^2Z)9BF%X#o~Q9Yi?&1$HP3v0>@rI{q%nfK_t#> zu>VYI<#34*#>$Z)MqX;m#s&L=0yt(jOw0O4tD!Td$9;QTs!HF15YPq+v@23bK8mfKC8`E!W`*| zx&4&Zn?M)(J)j;guSUr|!S65|2g{q}R)r`V^&!B<01a_r zx~-%}1Xt*d<@fc->~m>~Y5WY3kuj(ZPkX2SMkKGVjK}=4OV8ES3r`fXJH_9AT;GX; z1-nx{fAsV|q&Lj>f+7NQKG*)*OxT-ei(+x?RSf4b1h8-nk{xNw4HU!^ zY@yHuFi;$M5=8)2l$Rj$gxqfDG8QcPW4#w1f-`I)b7kBx5{h|yGVju3z!BY!<6_ag z5x{pkvJVphRSk@b)3_Lo@@05C;7R`RJ^C9u%cZNa{q8gL2dtFVC);X#5qHMwdH0c4 z+4OhcKhXGbxMZ44HBR=z$l_NR5Z4>U7ama~8s{7}Q}MZhFCMkguu1z>n9@V1<+J%` z?yE&c>nleQa#@13`8dN+GUG?=MgeFRk&uta9R3Cs*d@k}u0)2XiKY0`;34Bnbn@kb z&Ry6!&9Sufq$HvenL|!uFELJoJlP0w7S`m|j9>B_qmgp+=A=1cbo#N6|qr~LD3KJoXAEY zloBZC+`}?@w*|=L^rZc~0(l&8e&m1&q4jRXTKyq76MJC%!*bWDt_>kZYSoUA30Qxp z_1xPNb4w?ZwP*|(uY|$K#dfvz&<~IG@{+>cWq5awyeg)kxO{{5z0<|>n=68B&+`R} z#a5jz+aODHerJxI4BWO7qUD97b{_r#9Ccw$h-;j)5Z+s42K;Jru`-HdQ~T63pA6T) zh90u3NpvnAV%-uSb(ZWp>`TRrvdg*`5bU2a^B1m`>;bsMFNXWbt{nItGv+%;s}g42XU=p*LF)|01;s~0t=zIrFcc2KCwwJPXvIBQRHAZy5Jd5j z^u*8=p1G(-7^H|l;>8Ir?UhOb;_IP5CUXxPIf9#t}QyYmE3rH8u)HA1}oZR)L_+Uo7G=lQv zWj!UWu#WA9$>5NTv<&qg*YlsL=b@R42yGNbX={;)+zYe=s|54HMKcP{*4?-1ws7~{ zP$fvs3hm9l5Mw!Ic`sq9hn&G%Hv-axoASgmMo%tcb55xiU`u6 zdXUQ~Y%L5R#QOg9qy6HL>2agv!)b;T^U@B_uO)H@QX<>Q;b$PsxB@o}El(&_kmbrz zoy%ZgasKGOeEgtt7D_N^X0_3*inSP7t@AZYIqP_+Cz%};WjCXrM4v0$d-t3e;+b~p zLO+0{W2}&bd#W5G{?VM9+H6tO9BJ-k|M7|S_z)4v;EQf{%CU~)sPJghJ!N!E04tR) zk%e8%UBvVx!}hCuw@5~!EA!FX#$0j>s*e=-tUf7-_J(I2f3WBJ%0D1pEZm_a`MSSG6rEF$S;@f(CV+u7z@? z(xB{=UX{!T$rzMc3^1LF?L|2~$^?iMB~vmrB1?&%Ah>#FfOF zN2Zn@^JyIl1M7jGbaH;DobMnyWXix_gCJR+S#H@dJ4mF3%pz@k++9OP`Mc=C0`BM zAW8iTo2s74((Li6W$RJ1wznPDII^<~U%1E$0*1~aGvIFimBb$cV~`X@VpctM&)=XF zT5eLEcHjO;RHeig$PruyYG3*5mmMV#Ek}?uha%=f` ze8O}S-IqBL8}4?CMvRG9FO&V z#8?qd-P^+<_`KV3z1{3RSh>9E>qd~rv1DH*SCu1uR&8G`PpI2K@4|p2=Ni2Tki^u6 z!nTkMRy{%Fp|RVe>$V~!aohdLEr+#Jk~gEu6pW%zGp?2PL->VdL9c?1q+B?Z z1fV(M(xvTN*0R;BK5lR)8}w*1f~*(+&a16iFi17xfqsZ|jB^a>`WZzz37EX3QKIJg z;uNNv%l>K8w>fSQxN(iku3Ep$!$)*aOn|9YG)d~U7*MM$5#@#&^W2Z5tZG(rONjVZ zH|#LPt0I@9q);#$)X^xbuO47!&BcZ(W4+e7H??IS=t^x$PY8jru-$s%5*%^_T9Jcm zYeA-c;9BIhZFDwt%WJ`x&Zv2r0|GhrGL>JR0`3-wdF!Um8&%wL0hR?Z*`W`(O|F3} z($GWrpr6$gGX;7ry!RdVz}ny6L`x~I=`_ZWI?n+g5X&Qp6R6S~SzvhlO3T-~gFMrtwaVk&p_DN4igq8k%PDvOd6^oFB(F<{4vp;79VhuIpa%(q zs!NVUa2Ly{hC1HA+6>4U(WoYMqc>SP+IU{ByK?taTRa6Cat!PYO{Xq^$9e`X)MDYs z>-4=Tm*C+_fWr3q5;=~%t2xjPk}QQgHMadsSp#NYF+KH`&LGcy@lu+@Qlw$D(L-3` zj{b-H$2Cqq`KHczOKyf^KS3F#1_8K|qBw1>1OUnvV8mn$);^wBID<-EX8>Sme5VNK zvrK-4v2y)62qXx$1GtbSp46^Lm}p-3S|EsO5So50^x*}Os?Nan2z=#`Js>RTHZ9#k zY|tW`%jQ@Quh;wC!~5&rlpJ4AE}9%*oh)>XvQj?`do^Sl6IiWHY2V`E7ht}`Kwdbu zOV4xX;ZtaLyQlUiS`T#(wSOhQef3huP^whmvz+y>gB6~78$FF@1Vjw}+HKE^7Xxmh zxuGJAkGf6#*{OXHOu$xOofj&@t)woj-mUTs44kLj(O~{+{Ahtqz+znknY!V@{B7{( zogh8T^SME}Mw$=rUKYFZ7oHj&ylZ$F+C;V@mWmhS?fB|3zIjP2mAVlt?|t~elsc!f_W z+iSe%uYj!A*i*Dvxt~-MFi%(QnmS%zHYSx*fLSez!57L_OpK6 zpwDYy$7KvCyy%_i{yP`g*EWO%EAm_w#4l#%4VK&a)Q3GS>WX>;uv9t1K>XZn-#^b7 z0Z=s~2ZXpDa2GI<7t&JX$%6o%Z?i87CLUnH{j|XHBB|{A^`K+oRgB-)pPrGD2I+!S zw+t=}1TDu<0g{-c!A4Dwj-KoGK zZzZ&jz3&RrI*^xGqZicf{i+_j;0!uEEWFMAQ%@cWego)xj<8_hyoy%4vH<1N-zy#H zc!BN*;MxW%^Z>6xu5ojUZM8P3KkqO6b$mRU<;9%A z3nxyIB|#M(wR}KdrARU0PZccvvamQzDP8azup}WIC}<2@W8tity*;dk2m1FkC}eJCrQHcA^vhR4Lh z?H4G+3kjvNYIE%PICq|L9t$_?`2gnG(zg!^8^eV0b7q&4jJBU7e*AHg5d%$ldTcKC zkoOeJZF0SJcfOjgUs&*LX<*we9r=@drBh&-s&m^saqsJFy8bvCP_nXGnq9oQ6w``V z&PG2U0s1S%S-5Z*>QA<9TEbw!`?;unP`_i==#D#zINR-5%E}oR^`tBnRXu+7TYPc; zr~oHAqo+)4BCL*BY8Wa>OTx@K;Y4`a3K%(dU2wWh@X2W11a3)b4EXgimy1M-K+@*W zX0E92Tm3Tqefnl->Bt)hm}z^grDnnNyL=7Hc_NLDj(U>W#0*v;;WlqfHUry7aP&&8P{h5+HG>6pYMBu65GzI) z!xZ*3|MTv+xTG<9LJ5R=e2SLTv{I{Zdq%@y_HnV@{ZWP(3#A$}ZS;{S&2*}aqFeW7 z1`s34%GH-AlNbr2NuG0A_9b`9Ca)@eS4G}hoCz&29=*qL*+<-YBQwui#a5(9UJ)Up zFd&}>n7yQ4w3ZKy7X9l+a5FAklX)eQm;lK4^d-`QA)gptrs(`uz|Teel7QzqT1xt$MbO42Z8#z-z!C?92hOdG2j?hpfJ?; z8732p;jJZQ_(^B>jwfrdVAFj9zI|IwL+z$0m%H!*X19L8etPb5jIOWz~5*6r)m6uyBzTMcK>++e*^4{-;;TU|H-d2 zGBMI}F#gwL`u{foJI7xy)_+_tTPs@!B|CjXV{UFbAxBnx1`d|LdGGIkzAR!RekLU3X6dRJ>=sCP?N!s!AjsF>J{&mRNTv=)Zj3kp1(k&qxW z?1^MQW2cULnu7eZlfkB`b~~l5G9o3Zs~W;5o9)siqnHd@>KNsuQvjQ!87HgtZ^C6S z%0U4X=}I*oBAqP=+XZv>L#$I&aYwSMfJewb3~H^?l=!rWs-O%c2OP0?gVR~>1WTS- zvKB0UXug?4SYIHS9!fk|rN`#=(LBgRsz{_TW|<$Prq~;=Z4ob(DTToWafcowCQj@A znL=Ir^Mn&|RH(YLv>lo7p zw|hi!Ak;3@>=&sG5#SNyPKneXfDv+2@;6X^`rR&(uRTml^15_KiT@W+E>efzQ;PZ{ z(>Yys%-_#5J+Z05egGZb;`(y6N%oY?>)|h;e1;&Jk!yd}L9#%OFAOYqp16fnN#K;^ z!i1%#*0qly)zxlv^V=o5AxHlOxA?maDYieXW%o!=sb2q6g42(5#Y7sufgBs(Pf3W9 z67+DCVM-nuyExn#IMyAN-vZ05FhM(4qfQo%@|t=|A3&(Nq0=Fy!yZNw>w*>>h#Yws zL;nNFvFkhWw}0#F2mu%<#~mb{*n7HC3d9cWb~Ij${C&r&EH*>l)ejw7tq%r3^Ng(kK`!G@TO9`L(24D40vD5Lys* znoMvrnp}4%j{rTyNI_37s$wk&={Hbb_zjeI0L+zP`*aM%7`jH8398@y zn7k&P`@K3Tpfr*qT2X!_tgxSRI6x4xW`w{(gQ1C;baO0kx7@mp(vv?ULF|EM@g<3% zbmZz>Wm&(5J8m=KxaL7*6B*J@AfMh&Fp1K#v-%Kfv8iS~58IXcsd_aeUTz{SK4&G3 z(*P%~wyt>Yj|v#I7AhogeX6*Dgw5Qg(1XL9vS4SdfL2%@{mXtF0-j$T3@P?clBb~A zY!d@xu-zd!{RVKX%+~DZ7yy40?1QV$3n+~n&E-S^-)#!%Zn6sTtMyzY>>q38At?*E zW$(FE=4$ZwkzI*vn+bcaQ)8ujn1K?DQ;zxk^kVZ3S5=&9#fwMhHmc0-4ei;hfu;w~B`#k zO?nFlWlnunpYy~M!~(*+Zoofokl+`)#bjbEJP(J6ILr*ywa13nXOxc|yxj4|dJ%dB zY^@6b_wgeOABgbMheFn)g`ANf6*SD+?#S_M01mGH-)HE1!e-=X$dw+W+g z?qCR)opMirs~6+GS$8-M*`9qD3@;Y6+=VffP#8FQR{X%m%unG zDyr@Mv%JH{yN{Ci4|Zi8FShu6CD@(-1|ogbwR)>{e;ApjkXRs@EIFgZk0G-PAd@P! zN(K1Zu|ndcCX0@8UZmjG#K0^Gf@HxU^OMFp&?jUYzBh-e zH1qu`WKb+D(4)XYDImck0gk?!W*!rJ|A{j$Dcwx{H!x)AD<0TIE7Zk+RYj#g`Z&6WMz zxDxvXr&8fdV2RXZIKAg0o^*nNf|zDy)3QWh!vaonl6MP~FfUD4Epaj8RxzVRDa$|{ zOEireA$x~&3(tcK9Ot8E6!*%O89|3z8v{~E>25PeMjrWzu>x1D2Ly3w0oLrXO4EDS z3LpnmqX-GlGl&%Z3o&tSA#CCNnBKf0wT0$PVSDwN?M!xd;(oI!QCTH)GSZtOGZKn{ zvz;k!jwS0YwOb+cH9iobnLyca;fxRA=S0^DSa2vLLRh>DI7v86+8EC=x87=Ik=!@) z)jPqGAgg>q@-6W73tqY--Z%P}r60?f4+1h5U!A4NGr>LBi#ZNO zT$fMBe4NZ3BR>TaH-n-3d^Kv<{``t3de`X*z$+$H9Xq&8=(H`*1hKwKz;}^HHb)>w zy(o(($tBnG`0AeNJcp(zmW2<+N9D-M{{C}6O!pq_s;FOksdW@eqw?Za zyWe-_Rdd5*SV<1%0m zn)A`oKtE6Fy0#D3^0JL20P&_A3{{Xb;@Ys2x@Vf*Wn?BmH;f%Pcd&D#zKx2O(|73m zZ2jrmleyn=dv!E0i^nc6rvEaS5@eR>+VL4P?@7Xai4JeNZ%2wfJteddeyAP*oF=>< z&dX!>f@N}I^BLKc${JUj}zC9pfaz7sijY`}~OMa;iYmB@mKOUOSD$8*)| zM=kKq&ZFO@qE`g$gQvl0f^l&XdAwDiienJ{s4-)UV zdf$Yvbc1ge_2BnS%EY4K_f`SSf(aseApoOdu(&6{#Y&3eJ>CLnlh7xXL^T5^cj05q zu9`BzBnNsFCEEDfzf%_u=O1OZ;mhlyv3bTrF^>+zSBSU#`4NTGBKCX&LDdc5f0H4p zU6V&=p2<`|wGp52U%dF)C)n@l^v%X3`)aMlNTjR6qgctUQ$y81%`{&@3zz zMpbXvvc8C8{AJViC0U3Be&}#LG=)FajBew?1oGR(MKWX;L^90hDJ?D5elYzE+9Y0; zrz_%_MzaqVt@hE_`#g{f>s+Uc)7eX9J6N23LW5cus&TEb5k1tf5 z#8ikGdD*o7JUoGzQA5Aj7Au*u9cF}K9x69F%+N19Fi|w?FRDZHkD1s?YkbtEeT5_s z*xjLaGTC}s)ppI*vmJC!7viRk`qV*9V89(BoMU`F;1?ao32af}+FJ&u=53bvRqyaE zoLX>lK-=IoLJJ; z#KhzUZ2Uv=9!r5UV+Ik+OV8-m$RJ(*G@%~Nv%OCNT#pc5 z8>f2@?X(vYx|vpA_g0OSm#IQ-yjFJv^No`MsCl4VP$d+@!MvUhuEo~Xh@ZYf`Bg`H z5xSeLO7x724OIi@>5FW5MRx@HYRq==i3vqU9>IV_t->oa`*rzvDfPVas7C$o*n(6y-%%m@RgY_d{cq!uO zy*%GWJ%0DvI4-PT?U{Y!)VOt!ae7PRu{3HNZA?_(geZk)Xs$%P3IoJ>`*~+Ut~%rr z>n;R?dpj+I@nS97beRlNjmqNwepXNz;WkXoHlYGT^8Pq`wXe@J$i(2di%kvGbs8B+ zt6p7N(mfsm1h(h}JndB|E57sgk}BXsgv@HHp&ZC<39V;fi5E{(EZ=*WikuQ556%IO zC{VkA&7uDWIz=6fvJ(tZsj2JyJsuv;vp99p$g#rq40xPsX`PC>4X+J`LJdulvJPh` z*g~PhJ6KQIYLmpC@=xOwPv;Vu!frC+-b;rDOjbGDZDuWV(I~xCZeIagL^(AYV&N|- z=2e?J>GOTTAzmQ>INJdaXQ9T(ZEpWf$}4|BGzThluRtD>caH)rNY@tI-Az}aSb@_n z1G+(~DE^Fd#sde-f|ZLz=RK9((t5foEaZJL^iT!VF%XT0D_n0GiMd}*3Cr*)#Mgl$ z=FX&^vLgW@0!8{=~970kq;wIN(s*4{1SU44J+k@)f0IN;~FGl zi4hEN3EVw=2~IWppJmT@urR~T+d_3lXT>+cfJ649rLqYriMzmCWg-}uP?9GBNF|B% z5~rseJuv{64cO{te05zU$ET{&s0C zdKI-8hq(B_gtdf5d|oEh%6Iq<>2KHOxYcu6;%X!Q+rbpC4pXOHSpkxBCziK)=L~o9 z$cYTkCf=nI1!yN93*)CoTNa3bB*tjv>|Z!qDPI0#3eYXuCyZi+vUbcBQP?~WGbykK zQaldyHaI)XL*md3m)I%>Vaj{q(U9Z-Kqj5`T$|`3+wvPAywUv8k`P{1_kF(ThZSiGS=-?}4W${_^Z;#iK2!&EX zhF8%dqQ@js(W>PX5aemIFEk6$>O&jr`ay&6t=C7_IWItPEwe&6?GfWUg#!`%h_*}w zD|Jg$)W(a>M}7i{Q{RsEt$052^?kaz9qCbko$Z|csopOi@S#;udH*moQ=9AC>2>${ zz(N7&!$ZGDZEaaFeka8sPm^6qzZo$49K+Y`{dBlEl6vL)9^aj5yy?_hLQ=J?e-XK? z>Dx>Js-R?Tt|Y^PkZDm>wAO?q(b-sp#7HV2sTO@rGasIYsEk;ah3aq172)vBcP3~E zXJ#V{kYcQ3p!rv9<|<@j@*VXi=@CR~7A$k@HB_SoWVYLhl`q5)gV?+CWlQqwRwmpr zoov_6u9}TtOboK7%KvdCnkeKB-D$uip-t6(D1+ z&AU~;BP6!_}e)9He%;aIp&Beta(jYB=XlHOk@9J5of(c!exZ#O=g^Q zRkR@1{gA)rDaWITVVmtGsS}I@dCgMFOPmH57;i=Nq?t;HkbDv_B%~I;?MCUBz-H5p zXGVo@MYqbdi%u#vpP$-L+Qz3K7pu~n4#iPFZ=CkBwq!phql!o@_L}Uz%Qi<75;ZZY z#EMw&8{N)+r9%WH3wth#YZkCFR!)Tv#vb2)Kt7ss+Ltoi``)!%h`kt+b~aP?=xnh7 z>gehajzY~sh?^{CONgot4^?YEm1-)@o4WLj7Z?EZK}k}MaT$Qdjp|4T)F;{lg8Xr|lwJ&6#XkJXfs7;j?MM=4tO- zy{N-RRox(>3aWCbYVN_l(72A#Jx7+RLc9Dv6-3Q;d$VZpxLd8DNn>M*qE)3l##HB3 zpM9XtJ=4g|rc0yhro%mnm|7aCS1&u!j`rOtX#P05GKtYiqdQ@s^Luw@Y+yj>blWH4 z<4@BRZFZ{LCy&-e%L!d1o{23g(;fqIl6|@>lv1EbD{Jpy%SW^5yo;Ro(m5k8l*60j z?c?S;JZ137e#M`~P~#rHGCEeOh0?!D1ql{4;>#)x8*5hc8H6+4^^h%Um<)%@q#0IP z>;vW0)>2P4;!*w_ecaZqksBJH3#M!5+|q-*?_x>NM8c%s{wm75t)i@~$RKXU?jgt@ zJ>N$X+9wje4_7D%E$F8%3 zcPD4U$x8h$6uYt&mD(#0| zP>*-)CAi?Cd_o8tUmSsp$Fya!VnuUdU_|Td!LcqDSme$#TU8G<82s(H;AV$ed!Zbs zWWcpe6d1dk(p`p(e%?3VraK=n0TY#=EaYbt#OPQ_h*AY<$bb)Hl;$Y9m9_D+kQu1F zN^7m5z`!JFvYQ1A1E{JyG{MX{&JH38G6PeJpr^>EyEFmjppvxtH+Nqr0^yDh|8%ww0CcGg1EjBsucCQTGwa7U(k0|)5du-b2Z)pRJ4Gh@WY0xNJ<$>5 zWV<>2t`xM7yj~PGcRSkY4v7j8%p&^S?V#v#wNx=4 z&Q``QV#tNtrh)FxKz?jP*=?AH#NeSk{|4$}SZB_WSsoSysZ0rq0ox@Z44Qy0oGu9< zRU0kbcB%1#4L$GT+p(~DzohIBPAxPQUx|4%_W-~md0vb96YDHxGMM1ZtdhArMpmI- z+`L>d8X7Wq;N*G!vr-WMstJG{`L9YrDINy;&R8xO;6Ug=|GuGlbk*5!qC=n{Qy1SrF-j*TaCWA`J7aXdO0|=VI%@q*Nw9Jp% z170F%l`!p*4ZBgBOZr;!hq{Lu@vXg02Dbi1HH^X$KFB5ADQ%5!fdTmRaHZ)3yA{d1 z&IMd60N|CZFc$#d6}HBN1~&op(30!yd85TTGO15(O9+HRF(ooMx(f+EKH z&%)_t9A<%e*Et1A>m6jIm=D-1`jo)~n2%OUSON}KAZ`M2izHorghw3bJ3>@Pc|MVS zhk8osuAgB$zhj)Q7V>t}?6WX(uOSX8_w*D%J0wx`ygOh}?}o8glrPJUK)Ljh@Rf(m z0I2dl&5E>BC&zEJQLD&TksQp|^*PEZ^6ug%SLC>qBlx;FCp0MIUc?`kxmC9Kb1H<2 zNz)21p$ddYPQ59|{L|>MT zUSo*E8t9-co{w-j@bM^=Cr*h~Aqf5)Oe7RgCZ;sQ+3RGHAYXX7SJ0bc1jh@cb!W~Q zI7FNg6jNNRN@!3K5>uGt8bgu*O`RP0$|PDPh*-mFnA@kaQ>k2l zB{Rc3Av=kSKjuYy{SIJtFrw|D&Pg&PohqC-*AECh1dV6mSpXl`_bDi(7bFLuWK%u6wd}ys@_BhNWetV(cqpdE zdh^>D9yzI8Su`bROYki0ogxV+kX$i7=(ive5U*E$ob=7$#a(usyn1*uG+A*RbDHxH z2c6_2r%@yRju6n4tn3RN^I7%@eymso^Ha=q31zxGtju@}yCWB{uRZFBL1(@o&v`r! zTSSjLD$KE96pEd_CJ?@$CUy!|e(4C(VaMxl$8?CPw>at zLc5IZYTv?pJ(mcVoZ8u{2l+Hbd5wCu4-Zi)QPaAks0LiMO6T5y@_`t7fh0j-^w6)`@Ws#1}7 znBs}SxzoCpmBjU1bub<2BfOcLK23+i^cq5+0oigvmDURf8qez$?PPInoz+34=S}Up zuqh1L;TbLhC?@;6GlJ8s%39tDIxxj7y;_$_(f;Gg^U^RxXj}r7CZKmWo6FVYa&N=& z#^BQAM%X~&-hOtT9#7QVZMa^naANn$H4=}nt7B-$7n=jxHWrERZST`2!?{Nmc(+e^ z3|AL9VJy9xuH6ESx)KK#S$kV?JwkJIlu%~odv=PaMvl)bq34TiGRhtLGx?{4?X|8j z9>_@DIP1WxAjn|NpLs?MaBeagz#X63e@7=7&LZ~Bp4FXBor4m5eeY?j8S**GAz z--O{^e>7StMEU~UA!AvSPHk zml&CIG*2$%MoKERTo4%!=9)a#oToy9yoAO;t!mCquV=j!R_Aqtsl0<%tb%Y_p*yQn zQKnOr<()L%uTj7p4tY}a0n*po3(%>KiuU=XFODLlEQ8j!b#(xRcysK`xDb zpYjP(dYdruXk?hAN!}r5N*AEgP^DlfGO)#wJVPWtmcx7iIY3vTWC&a1knA zG-<`oyXFjQ+{<7FHF5;@TuQ6~4T#@pXpfq)o7g-`o*s@sxi@U(8(Ke3_<~OykyO}! z;oo~H@yHd%74V$u;p-R^^iKB_OoEUCz6pI;jqGWsof5HA3;zgDu1aq*;4X9`!Ojs4 z0?RZf*A8Es>Y#~$>%AszwW4@!B+q}2hY!s3h-S@Nr6(dliyfb{e{eZ2Ld*`Ro#1=^ z1%_cjhvk{7(&L99+Xn35YkQN zApvN{2+K9*RbIx>9@Mn7%0Kw-NVIC9dbvU&vVe%vBVZ%{aO|XP*?5U7KS_#WPxawy zg@FX%5c$~`3fmbgWwngW66m+;C4O#Ou*l%tF&qqI$NVGi2h0)tQoEs&C6TSmuY(_$ ztGqZU8kab(V84RMu&iUNrR#v!cIRJPl!iwCT$HA76j_L9-5@kZ?s_tg%ukyZ z1$m%|*V=>XB6{MWJYQW%4@YB2kYjy6GXl1jyxXyX0h8IAIVBqx1}e5PBoqx)-eNf2PbdLWCmX#QDZs z3rD7$WBMUd0w$xve$}Z-&7vQckP!4GPSU*noN>3$3yhQiXEsYl7M>iVM^VwM2)V4v z%`;Z?M=vOtH65>c5s^&7I`qETuPbjEq>Qt?ND`xzdWYJX0uV{zV_dUQjgqG6n&-WK zFhE$9kc5BCJ-t1I*H1(9-EWs{atvQ$tD&e4Ar%IR=0}|hNoZ9np^`BHg{!yhuvm`P zg!MuhQFvE82r)nJwH*f0tr$a1U#L?z1(|CnJ{YG2y~x*Pg_r629WII%_9dJ+uSu4g z-Zg?=?C_-9HThGvs%uAHbggYLJ*_x+vzT6NfI>eU2A`dJV30~k>?G=Op(I%wcF6%I z8KZ#BUBov`p}}3H!kD~RdY_ivFs%_a1!SWmn)suUrrFBDHhxUkRJwojj)s>8&-o7x zY326k>YSLNJ7{z^2{3=c?81V z1;nhZ3w;!GvRd?hp|9ZpUc+oj7WtLU=tTRTki{|l0aT&AYu;`?J4!ugXs6WW-hK7+ zjN2nhG!XgQ=XbhmgxTSdwyN*H$?Rj}ZdJMxW{NjsR;L}eb{0TGKk6-MmM4=tAnl$+Uk%_P+cuuAsqbPDw(30y{~h`(*N*=>bsH}{&OykxU^`d8uHEgUR12wU9a;(B zc055A=GWx}vYTZBXeP9@1G|puK}$)@9#PZezxA4r4N@Wm9G z8~;g2bwo-Ly>K^?E+F%SVWlM;rp06?Tj{GL!|(dXSA3BtLtFey|Y*lJ6mN*!6(X)2-@4~!EFp8 z3C2Gx3B3-2L#AHYMceU9jfsSj-|6%~(mj^M{s&p(tdGvuk(e>2;3Ve1^NrFp?Q{hR z$g|*3I^%&Z0t2=iV$d$VsO$YC?E)G|2YXPRE%~z`~q^twg-7TG$hfLz2`J0m;Zg1bkCoVgl(KqL=?^?br*`MPu^C8 zIKHOHn9x|fV1g7@I_ zPMa%!mk!;lYClgvGGsU^+epz2xHogoUPwaJ<1Egoz=0d)-5(#Fl(o<@jH(;awXTI{ zXdCXeX49UVEd7cO_f3{R8qAAMuGyGzo>R}|^MGCNR8OJa6yW>^>Xq;B?kGvdWGyjc zFSRi>sEAWQIF581ak!y1Eu!xq}~K6Sx0vu2x~Cwu#<;!L^lQ2=0Dxq|~F z_=6(u)ivym%ugho?9%S5-eV&C zR$UvtT)dvE<@G1IiQWyt`3&(hGfkw4UCHc9f-H{FRZu~914(f0tm&+nCcqvb=54L_ z)mHBD#$$^B!B@8dOvR*K&Yhx#B>sRbV=N_&teK{l z$#&~IG(A8EP#x&M5{y{s|2@~r_ptw4SW?7irT^Qt@_qZa)#Us3Z;#49yIB4apD*-n zl`yt(a{PY8-%``R?_y!4|3~oAzi_eqV-^2UA^whf`tKHy{;~akTEJhSR;&z6|CBYw z%ERX`#*Xd77A2{Tu(0T_%mf1b@F8B?Csf`A_bdK);$}tAEbVNzImF6YcwEP z`418BQ!DIW?@Thyz$B-A*AHw`GHgXR%}gkQpgA0g#8c@YgY~Q_tC0MfI<_eidRpbo zuzP(t7@CeefeVzSLKF7y*>vJ@Pz5==tw64+6PyE{8EIva3-u4?ed)Xn-nDI?gvR0P z&WY0Po)Zio+br9~q19b-$Mi1x~iR`KX85sQ!Z z#@$B|4X|Pi{5mtyx?EaxpEv4}@d0H-iO1pb=Nsak zWqq@ymfNF=j5%G$dBsjhkd*|n>7?YgeD)~>x){l54dl>ZLTN)D@zBTG7B z5KHdh0OqofVwL-SZz1DlN~8(OkaWZXc-Jp^B9#Z#S(LyDESPc69^6d(p#Lsn!#9uD z=co0yhEU?9N1s`6R6>;XowzxkJ|`Fi5X=^YX`}I^t9e6M-%hs1glV>A2imJe{Z8B@ zu?@uGHa3YPJZy^Jm_War8-sYQ@h2nr$Q!ZG&u|QFir!IC92xyziJN5X2f?EtP%7#J z8thxk5jUX9wRp z#W+#-Z%9MV1w4jdol+dkyrgqIKM}3GY4681Y6=#q)~7Ihv#axkXl?gmHzJ=$+w>Uz za4AnH3vfeGZHLSCpcoGSQE~sC@-qG+I8+D&{OVsNvd+=B73-MmxqV*YHWcVZios7% z)~Is{2Bg6N)Fo}EI2@PXDxTx`Pq}d+#a>9D(%q^ z(G3D&p^zR_*O+}`jx^5!rHG1I_ZfyzqK0cZXFxpVn(OW#21C65rk(OeoEOeTMs%ty zndyrUZ04$7Mx9^f8GK!wnW|9iXDIp8%WAL59wt*+jo zd0Z;q{ra=@gVR-6p5E45_i9$dRNSOZY$ideqoH_uUb4>i_^CUu+pK4mwPT1>h$`GP zv}A~*1LoJ|0U{nY>D;0Qla_3QAFE|lWst(#;$Vs~o2=dr-oBUv9<1GS$Kqfb1nwSS z@BqL^oeh;DpEyl@vSIzHzUjI>*RO7BaeiB~{XE+rQ?#}!c1$Sd0lQVhTd0d}sHHbs zqQzGGDD{bM>sxG5zUc}bot+bv+N@0KRfc3W?r!LGb0~xcM+V*Sr=?wk91BtJu+!wM zR(0UpXQVP_wYtX$i08oTCkCU+F(gAUBSjDDoM2eG@k}Djd{ry^RFib)Pnm!raJvVF zYpinyIQ!E-wyMp1=>seJu~I3|Py=nry0noTaey@gpf4XEo2Orbu}la7+Kg8&_2e_J z9UQI6*?vr{+5hGSV|c*M1J?5Z19tO9Kh8=1He=pffkjW4OwdhyxarpQMJSTu31i8K zlF1t9=1u9m!Rye!S}?bM(*ro!{6$Lmc9tuwVZQ&`+q;(ZHbj{#ysXugz^;bO(pI&K z7nzh{rto83cc*@^t*GhI?)aqsIhg>V_ibzI{5YDZ*w~oJ7_&emAH=}%umfu%ARso4 zt(w6&vX)-4p6mqR;tC1HPLjBGi?)3&65`8@%N5M&I7tnc}`KQAx+^E#Nzyo zTG|dt>o6+Sr{ASbpR{KpXD~I4$;Z|HNJ~Bsq0Cj##|Rgos}B1bhBvZcqRGJ%+Xes! z-*H4#b;mfhO~)GAn8m(jv0a&A({)oh?ZMCh)RS1C`JC{upmmHMqCIqFvAA|U>$5%j zoZ*Ayvss4uM7h)!GbW_^dYadRz$CFi*mtXVllm^rTucvJO&;0AZ7h2IJMjVi0L0C) zCGxPkV0o7#{hTDL>QEupE}g4z-FIQCtF^nWFw)LY9>kkAPOk9ppOH0}QQ`;PU-Q~5lR4Aud}UD=4Q zhXu=RY}BaD*j-66xX5cgzleT{Q%;&ew(&FO=-i5_>PKA=+rD;v4%9wRN$b@&NWpv{ z3wSM?MWMC8a5ypSR#O#m-Leg-RKt7Q^g}QciaRJz{!PON58_zj`r(%lZ1M{cmG-#L zdP1IqRiA}l)H)l}U90&r_QX=i8ukZzw1wvJP}?k$SIoA;7?%Qyek68HS^7-A6FZ(2 zXGc6Xq9r|8-{{%qA4aq7RA z=k8Z>sb^s;L#0`k_1h_DU_b=3DTud{alV^Lx%*=?O95YB7LTW+{kMbnhGNJKOSV&^ zL_Wm%>_=%I`N4c&^Vy6B<~$!;wuP^VvuBD&psf8$i;J5yN^QOHc2fR5P4(e`K{;oV zfsbfh2a*FGuPZu5rB{EZR6_nHyKDul55DP&rWS{T2;b+L)EGs5DsLFQ$o$@FBdiVM zu8pR75qV@lnmI$5BZRhl_@~kPWB=?d-7F{^q%Pq!$8{^8 zCsjm<*ora*3CkzbmSwl4VoQLX6aM*NEY7f88vPAbz3lY$FuOA{(Cn4#iz}t7AHPDX zbve+}XN1TOVwmG@()sf4?)hT+MnqsOx5x+`2?5~g2$9UZsKkKC07RS|g%&9Ek{vxI z&E=~PEqSN>=R4WC)({lK*~upY2P-?Evsnb2^Cbqj8j(hbV@>m9x|ds5!|H_ti?(mU zpvZT{O_7Frvg7AlL6#I~9>n5_;>Vu69CA~*RVFh`0@$#&SjkkQ9p3e`g8FjfxNAV8 zSGHLd7nSGSfkJeugDD|!SMCQ*ps6>qtpX8U8p)cK;`r>Ft{rxG`mu0I>g9;3_{$$+ zNWPz4zzMEju00yc!r<8H?CxDRv>QKCUu%Q=+_$dFMZoO={k(0sUh#Hh_x3<_O8Iq@ zC@ptvQYsU<>d~qj61}XFie6heh&mTHBh0nw}(BfOvtjM;BVIv3NgjF_PRVIdR`&A$X(W6jJ@BaybNw zMV_PX^kFa_-RWVojs5u0lrQT>fVUz;_pFi%l;{FHgLzzSpp0iEhw7FU}^5Uo3 zA@ZqY8~BraUT^war+*LPNnY)=&)WS{d?JWQ`Hkoy;l*b$Jx8c-`UhS zx809^5UmFHP1}v}oyP``@{W=Avm;kgc}|X;#i`K>!=Kg;shEmW=@Ott`||f#lSCnP zC<;G2u8R9r7J7Xu6gVZr9Nm*-ejhu&Bhqap%X%z%a!T;%QVOVo9Dy9}f`f1?OsBgi z5_mc&);y7Qu%iwe&z-J%iMY!(wJFkE6u`^Y9}<^ASaZh=C{dI#jx=F1y>(Vhvq1J1 zh?Ln%RqKJ_wkRp?sh(B`4<+S??{AloRNHEEw2H`G6W<%on%Y{FF`0nNFx{{o@^$!h zm33hDJ5%@;l)EYyZ2Ri;ZFO{-@ z00nE)M(i%7>Dm;p#ps5(cQoDR-Nns5H)+C~rqN>Oabwi>n8#9R&fLyISpnrvnt0k0 z6DSgehBPm?q&O)`=RA!Zmyib_Y(SD@T`$8@zE_1M*>1Q*tm32{DmUu7OIpnIAo{w0 z9$C40#DB1J-pRA09Z~lrdCC4$szSs*`wlb$Y;fu0&m9b}W&jr%TQ9|CwxiozrqKxI zf)p%48h4e?F=ya^T07=uqP8DoFL4zo=2>JGLx|+wX?`Y%K8y*5r72y;E>?H z3x#c;W~)d0x%B%~kd5J8EFc5f}Qdi)PF zjUa&rWqqK*dsBSlRw8bb<|60a!`!_b=S6)&xu{*~soWB~gh0DfR{uQ!;PTTRV#*{C z_c_%Li7^bj2EG+yn6COpm{1^ zBCLXkF0W8HfF%-f5d>p55iW+3^6XQCmmY$8bV|uGa_V=(G;s7)S{zABa^F>=%QG_= z{n{RyKUcU8=FLTQQhKHY>?9t_kk(UGlEsCJQZvufGnUU@6h{nY}FWCmY7`HJC}3# zxBHI~Dkmk0fGp;P=8o69tI4)$++hf3Abo;PX~e=n-kAr(AbzJuby<=~S4wj){_Wrd z5Qj{qUxt1nP}4I^#B<|eJwhYHFSR?u8gk^3SM!@%LBwqTdy@1~IHo07$r@WG34zSn)LX zADxEaE+0V!G**?RtPYvyOPuBa@u}O2G*iqKmVu($+5PYryzLn0@j4ubYjLmev zGGfB&;^66ZswX`_!-=V0me1QT0BP(!Jum>4)j}GJgJx=eY7vY(`+G%>xS%mEi1s5w z4t=2V$q^2+GP)T6-5(W_;GS@r$*m)r;}_`qcey`bK{DxX$2Y2=GKH>Zc>WsMK3+~} zX)0c*NAzhTeM_dGmKFzMl~ZOEi%W0RaKksRR*$s5OcfA+?R3N`NW2O=S9pqK>gtPVVkEGn={lD!vg zTjQ`k3Wtn?rnfkPW4N*#1G2;~2rgbBNC?1EBLx%+7@5R;PCuUD2r7y!wg-VAB2Gxd zKA2~8kxBA%`fxx93&P#IA}B;2UjDg}8{h6fdtUnp>x|=wi$<)GRr&Zkzt1t}F$LzR zC-J-Y6m4)VCPQOx?0maDpA9~dfOGXryQ5E9+mEQ6=fBjp6=&x;7&PH`g9A-{MD48( z5R7RKn)Hc*hyuygb2u2SMu_y!qENWJT^Iw>Ay?%N(6Q93ocLm3A6aF`*rNh=Ouidtc&b;Cz9N$2@y7 z`dx@vASj6vm?K$47@)$dx-!OR`+hHyW>ehDIH=C*$eay6eB(^aE74-tvMKp)U6?ma z)VaM|I>e4>HAz1|b08}E5Y_GY5M>%Iw{pJsWHL$bgm+-pZ4_A|WY#f44!nTE(R1Ws z#QK}qZ3-D>?{pDpV<6r8WF^6^r$aTaVLzgv392Re7b2)tPj;wjPjSrM#arr**2Xa4 zJ2|H&7D#2}hy^W8m)y?6L)UjL5cA6uVBfP66#ZO9P)s z-iUGD9-VXJ2&2w!Ww}K8<*0bGGxMh4qLq03^L6Uh4{g?Q4S=@&I5s?UBuy4%@bt>P zqVghwXBMm@YQN0~YtSeE`MH36c3=~Wc(k)RA=t`oI+z?efb*STULUxuwfW@r< z8@6Vqo2dvhUQ^V0*Z5#&tFt8yV}tpS?$a*H17{>Iw(nqV?L+y-X}-y$}uy$yGkWiVAHCpIOiCtG8{70;tU(pg8(ZFZje&b~QMhUoC|jh61feBmSEENWdT zuL6-V^ZQ!m!=xpB^qkC$fMLHWltIt-pIxMu=(B8(qN-lv}o1wEu}xp zIM=&riCB~;X|9U0(&Ms=3jK8XvQC-vS*0oIokNg4eGI%?bnsCHsLLKW1j`;wf*x3T z?8;zbBY*9CyBh_ZJ^L1}5UIieT+l-|kdkpvMYl#jTK`%;OWf3L^v~-C4%?%uUUj;! z6C*Qyl77e-goztcP#c&p%%yF1jd6*4HTCKDam~sbE~1V$=PH*WX0F08|k|`v1A$_OB77 ze@2gCW%xTr_0NPghQCSZ{|#Y{;U7`1|4dl>d$oV70MDSpYKotF+x*{MNQ zt7()sbZH=8Go*0T^}nk4ARIV0Nj6RUZP+wy@1iMQlCX5MTGHQLfq?djOf=8xf?2RM zQLAy(_*%f7B^wUxo#t!dDw9F&#QjrZWsCRK!wxKEv#*YyFpNTcwot|WfsmV1L&AiG&Zt%~nEc-%wz>(a9iba}ox)x09Eq8uDYLaFWiioJZr(>23x=_|b+Ry~e_9&=CLu6I(bq zl8Q`plaHv&ULWBgMNG|V*oApR!gL)HPx}(pi-JRK4u-`Md}V*UC#LizT+rO2)wg3I zW)fQA;>5%7LcZI;$W97nm-oNM+D1!pq%n!mKiA8`3$C%X*eq;EC^6|@9|T=w~&YsnT4vzelu2r@Rn-I-c-`fip&T5(aP>u51cLUe)inD*W%h1 zNY^^G+=?4HFzhYBpfKC6@if;BXlxf^vPdX#VEm$*W{p(?xj&=mG;nLnfkjnEXLVOb zX+DD6CK%*wSIZ4j=BQewgYsmzTa!UTn(i-T^|B>gDVsRm9Xm}3pCgC+T`I~IdR+Eq zIy+9y(g1&50?E0GTHD`re2hffWR8)uq0GUQky@L~+eG`*)G)#V(v|!~VT6K}tbk;b z`UGhqLaOi(*Rwh|8`?POU0H(@1w~!n5>Oru3Tf2<(p*>gkQ_-4As#3H!1LR&}QR6)rG|+~?snf0&>Zb;PD>4V*QBcz=#z3(S91#grj=2?$kwcNRW5PRhw_nKcnR{yXY zY(Yf3vKw21h&flBwo@!c=}s^%UFRS0|620~Drmets;tA)%F|TE5D}Gu;i_t@EqG)i z6Orv|tc7;_j!bn*A`DuG*DIxglc*0>?v!nHt@!G#U=&{)1;&>OhOc*Qg|nCvb)}6O30~H1~4yZa*1~1 zuuuL|<5{>1td{kVo15Z5{QkIIS|=_zNGRLyyIj4yGDK3_ZNwEmCztQ{do{{Rhi%rW z^e2HjYqyo#j~qmVq!wWRzhqsAhjf>Jd+ub_xp||w;r9I_ghz#Mh{_e+k*KL8q4`XN=hDfm8z9q ze{MBI8x3d+0kBKJq|4ffIWau% zt1w!mI+t?bDIIgWv6^!bJNv~D z$_!|RGO%zX07{HI>F11ccbDfKEl;N~d07oyl)$|J5yNyXN;{mkXLgkVRvrtR4eEB( zI&k7StP!}z89l_O4cfUJw$Xbd8Jy;R7c80_DPP=y)T0=!+}_x;H(SyEO!VwRz*a~n z%c1sxC65T}t?PYg3xDu2B&XIkaL-b2D?z;rJBsIBy}pncpJnV85Lfp8x%s5N@v2YXf6_vL)j-k+|8GlmI}DX50S+_*zmmPpFonyle9Q@LSpPI zpPpviBa^{;30P}n9igr(?lWw+#AfKZwv+V@ggHvGp`iVd4!vECVMKpv2N@I1jv z=Q2Ewvnzy*j3j%ZGE8Cj_iFekG565}XBkKENhfJr8^U#veMIjiW5-(kF*t>dx$hK& z7hk`{WKP!;{t@IoD&!#Tx-P!gTSe7Sz>(RuN6#H`6nyU3F5?G$R*@Zj-`;Lyc zSol$>QzWqz*_`3`p^%Avi7#~~9eTVYt#y%KULN52yDkhpiN)&^_v*Vl0rNnr2v#+u zp_`{bp7&94yG{86NzP#mc~S@TE(sG`o!R$g8HSo(k^)_ARb`IVUw6-F2UAUZ%V0NZ zgtweqR{0oO5=0szpFzt}>6>GOH8_1@-aWf$qB8nSgUbaW{q(oJ?j`cIB6zx27!!GC zZEl#7Me$cQf`vl0uX)ECQCKlhNV-vJZ&p4=_MV}ZLWcX=+mLuFkS3MMqM6SFL z%mKJ0d9XeJfOw;gpYA{s841+IC*&@=ZUt{xLLfrEQTE;iW zP0$rIIG11YEKXTBDy$v6U+RpK3`bS!OT|x2*DncBypMUIYhG@(&zI6Ui$3P*o1B4m;pkQANV6dYjScO zWUEAUYo&ITi;gTm8$lU<>oSTL8Z;5np)lztAV4^|LMIht)}n3Gb!3BnJHoV=<`rJl zu=Lqa9Vfl@j{vq1U=y~AYN2#`VXcNRdYf?Rg9E(YFW+pH>Ws2Yd!jlxm}g%!?r7G1 z3WewRi$brgsMOMA=t9;g%SLEi@qHJ)xL6Hbu4>!BYpxI_1Y0cpg(DogNK1Ark#vp_ z8o%zc_v2=fAJE@u}b&P1&TQH!_LXv&xA#;*97T( z(`{WhkSR$*B56bnNJP7aql%*z0M119i4oKyP(?L#`OK>R<65VTTX>6U9{5m3Sr4q? z0c2M7ahnt4fM?WslJ|@!pUwrI`Re@oK>FsZ#9}X#`=c zm8I;3h}aTQakbAjz;Y4X^qU&$Iy?5sS}BVr$bdo;G4&0;Imy?It?oS1QAC^x=Z|r0 zjS%Ksq~_7Joma4~REoAEIC!R5%Y{B&w+0k{90}b;w2Xn-5iK9jpP#Bp%dF-2>V|GF z%FpUm%;q)uJN`JgGH(I$J`4t}E!gCe@Q2<>?J_{D6nRiNsCXm6N>ZD44-^gK4MbTf zU76z%8(~TsZY!)S>yKPVpI6TuGTsRYZF}IsZq>&wZakU zN;#yA+V$0w#91evFH>Hh(L3J73`nwLU@3d3L12OKmrgioP#u_?OJ8#!;F@TvRlv3H-jyP&^$2?wo>^m(OuT&_O*m`!AGw~ z5XZNar>t#+E6HHtQ3?|#m1h@Bz;sR5?%rbxUPw4!s~S1sVQ=muHi&9|iQ!~w3(iqc zwlU`ymrN@s1-1&lHCjTHNkt0{51ypJt|aqDMzi0|=UX%ttMm*lifBndqhp9&6BGC% zRA?4h9DaegL%DYQ-9KR!-v?Za!vR*;_@fbeQ^y~9$2*u zLJqS4R`dN5T9pJ}+&B4rbch$am@7!>RksfX_JwbVq@^`c5Xva^;0#n{pZG-(@YM=Z zW&s*WK2Ye@Eej}-+bILX%j{=SUX`lJ1xlaC?o<%X);eLj=7GMb z(zzdu;?tZq($dy(Ts6DT+b2uP!Xu8YdVba;ipkX918r5qT^AR(q@`_}(swmAYl+b0 z;Z{jqPIR3|WXVt_;<4o3svJamprj1R!wpjl#>K0+3!&7ffU5Q!VI@iE3M-Og%JN1; zY4MlN4)sZ_=DaimH$V|@pQbzkrL~u2E_z{YoFi+M!Xj!)2qRdzFVgLhARMf<&@@>j z(V~eb@-#Zz#mhT>i6G@Mju>*E6UVFbT9H%2W{|^%gJqvE5EG^y`(b?CyOGp&(@yeg zQ}jxFd6TRby-!qeYKt3W&e`OT6a@S1=9V1J;}ZXV`jDlvoXL}$LzBetwhK4Wb?u_Y z@C7V3R}iekNoe;OOKc|l)1abxmS_(aPVu$DJYC`;lZ$wGj=0v#z2`_1e3aiy2N}y-v7sJBG(&_v(3VCE>oYwF@)w@`+$3a=Dr&4gvUDR8>>k}fetan@`ef<8k#jugwA<& z!>!}`N96ZZ#jn=pJ@I?e*FW~W7kmJ<@t8=@?SrWfht~$ge8im#Ag=t%{c`Lnt(fw;JPoSeXG60--2*OTWzCJNpD%p1 z74n27rr+dCa`0MrG3-GR(a#|_ zW~CNk025Uti9>r_bk+%d6rrc{La_equ!zo4j{$P)82t;T=~gQ2r=-KFo`xgR!4Cp) zcMosl6eN`s0zv7t##cd+(rBFpWcS1M_^ni2c8cuQr6u zWd75!D#Kr~gMXPH{uBBJE5rYTy73?L3Jm{E>IN&r|CYM(kJbLMtN$~3g}?Xq|7ooH z--TST;^Gt}MiKrZTNp8+&s}0=OlI&*qi-AA z3?}b^dl}dhPls7aW+stOJUnx#Y!MgD8KXe}0V1!ZoR=$=&{hq8Tn=T#NN(Pkamqys zx}PYeL{h78PQZLNQA{Xap0905zZ`z2Oc6{5iIH)>ZEHofe zH1bY^SBy5(Ck##nl{_?$)Al)Z3e(^cYET={*fh!OS~HTo1u3v=dVhaYtqq)#O;=mh zr;i`OL{LZ8&^!`a7e`yhw1-xnA1UKKvOQnHh;*03`<%3H%DWFpxb6muxlQ8R;`SH1 zw_&;9I`cK|^s@dmzksHf<*tQ+_(m|yvQ7b}sCU9?QiwsB3N#(ur5^Ij6!T>?uaP>1 zKIfd(Z`7{-qz2#)fdc&Ts;zHf;9pNOI?d+~THD8UvU_`WOC+1pCV|=`r#X`)6FHC0 zpjNh=P!3uV!KMV_!`G!yXhhJ3)T7#Qq-pL&!knsKr0Ldi%|GO>KXmaJeN*+wN2v1D}P1OlxW z{ME4z%|U~pLnJFXVH8dL!%FrL0NsXmdi)^!cX$*&%Aj)cv-=A)myRw((jJxOIShnN zgaagwPT{s}#=3TdAGcPtn4%OsGL+!*Au4Bi&BVjIuZTf~S)*OXcBu<@77*Yw9vC&o zq5(Q5CE~4pw3YlYy*+uy$08JZehV7apT)q7wnZ{9;{~2R>+#9z1akZqlKD;%H1H>S70@^hD70m>3TIQ8M-;Xu5*`w%Hr-%gc_)|7Eim zO3fp8heA3bit#{8WTu@JEh*x_^3Oz6-qi`&0tmspQg_sSGk}%E%Bm&=WQIB@H1NuE z;@X(`{I&BH5(Wx511~^amT!Cp1-BW*ejfzU#sW-dN~9mYt=Dil5@*Tig(1_c`f zvO#;;`PO4u<$^H#ZL^<8@V*l-)G)}84xaM(#mc)Lr(l|gkP*Y#@0moT1;eR0{q3^{ zi^(iB&nRi1H3O8{B^{t5}IiCc2Pbkr_zd)!! zZ|*@Gid1x6&!MHPwg{bydTzWzy~-k$zl32GNfxEbeW=o{Fqk3NUf^W(f} zz@B5!9bBHxTV2IpHTSKNhL&}LF^S3dd3rx(=tEQ$JG&0h=4z}Qq)UseXXOMAp+>-X za1r0CQ)JZFK?tZ22CvI%C{46GBudB1HM5~+(oxBs$2L7oHrY%|XHKy(g6q1V(H}1? zC)Q)Dj7^uCx9Zw$F8cIY!LnH4H1$Xn-271C_%SJ%5<+P`E%VzXMBIAKn7#UdpxO%H z?rU{a$1<%iE>PsjdQXD9XzZQMkB@ASS}u`1@6lZseEja#o%zmH#wjPkap)D~*KnCB zz-6SB4~sX#-^`jk%rB@`LDBI#0@Z>1Z8vx$2D(u{>X6v6mI;WB-A+Z^*w8iIAq<6j zM(OoHSNpFHV&N%d5iVB>89frJSvDCOE^F=yWCvMa!4EF`+mlKM&CzpS2SX+0;4ktd zN{;oU8qb3kBOoMsIQx-<0z5H!2VLMYgLwc@y=$=A`L=4j97E!F$t9WC+jF1nS&5Wy zfLE*#QgF_&Mtc$0w?{ns^t2qKF{AAeiBeQH)qaqBUXV4Lvw@jBA}m;Wt!@TD-~{`L z8oN4I;MYmUn;AD0k*N|wIZ~WP^!t0D1gy%#=lF?>@%{q->45QZAb;hV3AKXEo&+o* z)&AlPsRObhv{ReXPQ$Os#ynuoH;A(pvv=G!a`xVRP9b@mNK`vB&S02HHwg*mI*5-K z5MN-oX7iq&Fvyyh3byRr1Vjyks5g1de+B?La#0=5HCTU@ylYO|BgcN*Cg(3tma?tV zQnt(T;)7KwH$xY*wtzkXqo=v!!k%1H#eiXD$u*u(Nl&VWg7NM)|9q8ID%!=7!3!Vb zWNI7k7m65M`Bp3xMw=44g&P+^(-Abf4L?=6$+qDY0*(3;?diN5_ug@~D~xiF)-kz^ z@LC{iX7zq=LveNgnwJHoRigt%Ju?+i&O0v)ojBf z7CbQr(SO18xLN0mv5W<>lNnv&QH%4b~flMKi3lE z&eC6oc;{0etg2`T;|Re(k}~KQ(SE#>j*B6RPYq;6ZZhNwb=|NR$qr;5l*p|2bt%t# zR48-;eD;}p^g=8Zs=(m_&_=ZRukpHDLS07N_RQLGh>7CMQ;t6U#Bdo*@^g&2JkK-v zlzg40A(@CcAPUP_)O01CilXEcpx^ZuSI77px^-RLEoHbu`c4>?-7ESpsel}@J#r5d zBPKvI1?vOEk}TLzz}&npq+CW=W%--2rYZ;vmo&{vbNLZUy?o?Q5l}Qxh(YdcSfryV z>e4CPy3z<@ydtVc&Oa!}ytbuvy(p`HQH5qnZ5y-(=Fj2|Q#7S$3#-rKr$$8Q=W34l zOH?@3?B$DRztz+M5DBt#qe1(^fXbR$*w<1a7TZVrEXg!% zJYP0(n3MrQ4#R^&>a}TwXABZDj7IQoxOS_53n(9&oz-uW+Ddw!)rTfdQfwK9ioH>d zR7QdxA||>MBSGU$xtJnp!B)s}@_lgOx)WZ}AeIx@%)X_z2gX=m?<2Q(?YQhibp!|E z$hrw-r&$M(1fAx-T0|6sa}hLw&sjqvG#TucImLs!-g5XB;{>OvWADHcaYm}#05m{Z z52HT@{t#{dqSE+fkPH;w2zSYJ|AW_$v$eO`U@N<*R3VNO*&cZ~FEmYV`(%Z%JldJ< z1gzn#W>5!-*VXH7Qd!kJprs ziy3}XuY6YTIKK2rW!t-3!~5gMlgjc2yJOJK1JzrcG_e5jkWl3Jysi!$Y#Bq}&1 zgm!;0Wiz{kiIiNJ&-FCi(`Ax&DKf11vDqw@c?}Ha)9Y4{pF0f{>hT-S1Rw^6Ekp80 zI7*UKO;%coDl+wGs4p?>ZrR?kKNJ=WulpIB!`?Jj@bEBVS$vWC+euXu`-I`iC-nhBQQ(lPQXb+@ z9>SnH1!gwJR`)52{Fv-`TUMhp<7iFFT}*`u2IhwyD}TB^B>W%l6!vT^jWD3OLbHAr zZBKu)9)GusFY?(xskL_yK!S&%4HA@-1qSg8D3Jij`W{nTC|uF0=ZxX^;<%m=oTr(C zL1gox8?f^mRW7{F+DDoZ(jgKoW0eB&p>_(Ob|~(m(_zG01ZA6}+wO4cnEBeWst62A z)UGoQdp9c&@9ObifX<2R&T`r#Xq0wvU`PML^M~3|2T+*yw%~plaKs1md4uGlMqLZT z2`B;%(!c2_hEm|rJ2zeY z;`b`paVh4g&2&SZ_I~~Su{;fJDkYBiV%^O<2YjQe9i8RCdnmmZ<(Mw)Ia01W=AKwv z4F0*oQ{D!PmOWlUAzk2s`|;^Z@eYIUMnBpfXmk+QP9<5{n%8vMJ@r#jD7h zd&MpWa$}I5eNZx3CE*zxIF%LTIfG;WEF)k?Y7ho^L}sdUj0h?Zm0Q$nxf#)Z7FF$m z(3PcD|4#dJ+d^_!W0`(NCldI*Ex>2)@>yA$T?WA`|7z&EKezr5>144cpJJ6Bm2Z=w z>Mh5d8ne4(Y@@dCp>^%nPkE=3Y13)<^R&)lPI_r|E_Uc-jbrDW()Tz~Y#}HcOwa-u z0V2-cvL~s;i=gUq$S8Ah_z?~zuG&RZ9R(s)k#6AjhTwc-O}P6$fBCpg3ne_*-|O}c zMg{|`8P!gTG3~}w$$p}onPvRYc^0vEus6*~x8jRI2JUJ4S7H>_zuw@-Y&{titK4Jp zaQTikk<9G`J?}k*HH7ew%MT-vu8^n=3Cw&?Ce#;hJu_zUkpC=(IA)hZpS}=q`n@Gi z?v`_tE6*|@HD`1${6tpuB8S%TW2Ws&aeS7Y2iGl5F?PwkQK1Ej#IMm`n%ZfME|N1{ zO4gK;zyE-nQdrQllySe5r*7wz9o+Q@4fHiRgVzH9&zT^+c?yi1O_o^1W2%7fO}BVnS)L3 zyb<-OeX&&+q1ky}g=Xxdo5u#sZvW9-+Sb}xiws?m2Y6Gyy9{$1dN$$L?%Kxr!4~G1 z5Z?X4PLRE4x%TCe*wPd;i}0mYcK_;tVqv3ANb`yO^c}uqk`Vcp>#$1e>8OBsXqxFG zT--aon%$}7c|#X0c8X!uz?ok*SwMdHn8?cM?d%PH8v9`;c!4meEjCeokhku8_fE=I zoaf>jxxYyO&1Pb)qK%pby`eKje2ar zI#DJkPQz{H8IzbWs?AL+{WGuf4-GN3v~tt_V67>?ZV#S$Pg!tZHk1Dn94V#8e@ ze2C@#KnR>7S(hk3-1>Z*X1!js$&|{O77%L!UM{qdoF5Mkpcj7gEYZ(lkLgZ-Z6LdT z?bGqd`w3`^W+jk<>tcr&aY)G7*$-Z!+CFfSWDbxiS-HX$3FoKY9cb&9-h;bL(Fu)A z(*T1$ZmwXoG({9a8p%d@Yy|rob5du_XO1IP1f!T1&ZK&7)5{zT7|zlVG<%0ITuQFy zNPJu+kIUm_(m?mIPBtF2V*KN4EwEqnDwK8`@oTrE3%%mw(xg(jpHFT=3LS*9S9v*- z8CHTI-1Pl+7g4QoDzE15y|k-!+9V=~dK#uwXT zzBqC$)jy`{nAt=C7Z3ncflB%BV|lq@zH)4=#2D|&Xr`{T$f%}fxW7%~l;RY=hGs&R zh1A$Xd-}W)je(0l>ag}I|?v5Z>8An*v-M|O|%!PoBMV1ZV6RS z3rlRT5N^ANoElCT2Z<%MW45ctS7w4Yp*)}a=+Z^|vpgkFm zW!FbATbZ*63PC$fjP{RpfS)&`J}5>w^2E@iugtuy7~qAvF4vT%OS2=M?;2y-YYhv$ zaC==ESLD3fuM7&}M~xbfZDpheqZ^mDbDa`J#6T1!JAx*egt#olP|q;p`hGr zYXtTCzI_|SMlmmtCxtFOL|FJfBY<0@xQ5FS! z$@zuC$IA+C5&qJ5I5AcuFQ1>CT8fn3^CwU{q(2hd&J=4R+m171YhwS=mmU4^c0mL)Cp7kVF4JVp&Anl^YmW-cJ{g| zLltzYssMgzdOAy%x;jhEdER5?gCPfYQc@XhFX$Vl%$_eJA&Yst*A6bu0UykKg}Iv&%mnzyE_f!OHNrM;D)! z@xOFAGyZp7&W!)W<@~R8E&qqc@&9W6{=Z9ozAKpiwp!CO&@wWAmkE9IC;p?&`rrGf zg-x8C$;CKmnbcp+oGa>zS3Xk`~Me- z;lFTk|4%u$|Nh1OJGFtCo&CS&Xj|EBjG}y0>oM#|(h`O|?83B>L$OZ-cc_!uROu@i zqd{vH)7VldqLh(;KJz?J#}*rtnYRQ3WMEHkj&LR?H_586sm90Kqae(MY-+1 zSaygzxTld?lxM0}-L-Sl5?bvq-LR8=w51g2yht>QrwvkeR_VSEFcu8t!ie(S_R5@n#4|#`u70<@-=0;e@+|zBL;LlA_7%Xa3E@Cap=tJ<7=4 zJ>WX4cejv$x@e<(zW60ST+;u;ZT_PBSSbM_nK-TO~fW{zADGiF5QTq|b8@AoKY!O!z{M=Lbx93ak}7_VfZ zXe^>I5s)skjU+GZ`V>y=2u$*OfND07p;ihSa&;|6JpLVz;E9^HgEAF&B}eSgHY|_4 z{Fe=3$&EzXH7FM`f(D7xxpxb8sv$~=6XTT&I%r>M&GmX)C+4tcPkS^6v+Jrj9PXzM zP}WKPx*91Dv0D~3RCE-63ieB1b;A3IugEH6A|1UjJqCkZVLx^SO5o>uaDSkKJ+4JS z;$$Cj)XQjql%nyBdm(q~tYGSV_*dCEMbWSQF=E(Zw0_>@!H8@n#~S)E(I0-_RCm=) zckzi-dpq%{$s#R=UE}Bb_v1}9(=H}l4Cjt9Sdv$g(B!`6&_#XCTOr1s++Y)1BUFmt2e<+@Epwd6&{juz9hKahI7Vs*jn$lNsXXo&s}Tebq>Q*1ho-l~ zG4#qDuqwQ@;tQcxX6`-D6kz~)Y{Tx>8r zC7xrIdh)fImwtKn!qkP3f&SMgjIu|7(rs82{j4b}^c{Z}N?5N{*QlV2VzS<=mVN8V`{Gu8UzNCdC|mARBNKpdcwQE2$?739N(gdxG5b*}u6i z1ea$ipVU+38RpyFPPGRrJ}X+2w1R31lR{h&j-(oAwY~PKzTfIt9NReU2h9&putFhSxT@o=e|Ym5kt&EeRJ&$#C7t5FflGY1r~Lk z7~){SW`M?&?tTU+-LB%4f`)5O#LZ1m3{4)mjWaoE+P)GlRFbqS1Rh^usLpdJ?4Iy? zaOx0qr0>z~kEe)85Map`R2b`mT#V1cJUzGmUlrjsEQh9X&x)7IPwLnYwFb~DoP=67lV@PLsL++qCa9$=9>)E84q_URa~ z0r{{V3jxbGVW4Q;yAmAO6qQkzm2G7)|GIlVDS-StWh4fFHdU_YyNs=#D`bqI6fzSW z*(Rc{N(PH+;fvGeWngv9(CXe?E~QK?+838y1iuz>{ZVF&k4v+=1ra;7s7u#n`AnI{ zNA1n-Mh6^ta&nPr1B}qFqyC3oUF}|$@VUEFBW^s*^G5?Yg}(a}ecp$?R4R_yVfV7y zO;}vS&KH&osaw>g2>rcIwfYZoU*drCRyUu=tmhf&XjmrQN(SHdw;N=b?HbwmKE7lk z_E<6>7!Mpn!5@y_sh&-El^5xcmBhZ=(I_NPG7ol;mEMi;x@;&{arHTg+-xeYotBm` zk{9e^@oogW?psZ(C^Q9@YL!#U&H|w3pU^Q_*wc8WlsP=xW{Y;S6@4@k5zsG(JWUFy zV)}E$abb$9#=cZ3uW#NE@%S=i*eoMf!hS=J9`Z%SxrU?+3Kx%f_H`aVM_s=o1_~*C zVG})RdvCG%GIQK73#5*Yhu@UrQNBo53C5g;ER?A*6%>GL7o%)3VXTypfGPqXoOSGt z{)$$G^b-$`tt~tiTqAgnzVX`iA8K{yUdrw+ohqP? zS2Yt1bdwl<=0%$$G{W%yH;L5YcSc zn3~*sIy$SV_?`&{9{o`0SM~`>dlx4Zu5RNO5;JoU;&Z|D(~ID!$*wahGcQg)T50hP zOJDxIdn*Guci zoN9jU8egxo2B`%j#hH(=M31savI4j-k&(&;$&#ncESV*2fu&f~Y(6AgZmgQb9x<0t zD8bIf3M#K3*!*tF0i{l&qLdW$AS@>nRAAP9R9K}CW!B%|clxeuyaVIK<0&oMdK{iCLNvpJsZcQ&A-k2?(A^?nHs0~Tu^i?@eD!#EfkLcK?y zYz;*e13o?`u{+19yp8${#SR1x;@(i|Ov}Y(vGoo7g**9D5myR%NSBT=V5h4j)0(5d z+^a+D_G6s^XOK&%bJj&6bj%LqcO_2EKCk6Elb+d!4QlNrRVF6nPhJ=5e7pC{HAckC zuVbN?1Poa8dBar5`IHZVKw!T`M84FMX}#!?8*K01+|{Qiznt7$boIb?_K1xUr;twP z;VW9*I8lBYMCI#0lwY%WdLSI~5tv6Z8+>$d<}5;Pz|8DTEfX5tgn^>nS-^RA+YQbW zS(JWswmKPJHA72G^YUJw-yM5rRTsAQ^VGSKi>t^&4aL+Z_s;iDjaH^^G;(1GoB|*H zzVK;@zw3=RYnfg?*5xWO5f@X1xFX$9y4JVrqbk@O!6dev{knS`<~%fA3bUXlGkVq? zxPzmrErF4|s<&#>#Y{~mEIa0_OLem!@zRrI{(8dsR=5DpEj(Y`>ymkB*uBkCS0}(O z4a8*VT?L5bnulxFn)E1(iMv{KBlg~ zZgeC`j;ThWBl7P>_3lN4LnAsv$Z3rV#IP)yIt5k2wc@$VySyXeZ{wK3@wJ9;z$&B~ zxR3`(lrNo3$_8liP3c*b+-3qEB}U4@m1?dW0<8|0suXRtp}lzv6eYVs@pFt(U$uE( z?9^vgTKCYS)maEloW1=E(sU(9f`@9p4Qs${BL>1l-l@6nW_>c?2KVmbk%Syd+UK#+khz^4A8qUIVEy z+AtwdH5=7GZEEbvR2!rDIdPc;fYdzs%ffi>3&-T8x;_gi1>6#tWm1jBSmZr}op<}W z(WV5GxJ6#$$w1)DHv|GWDS%{c1FQte-e+!l^DI0;W(HJUV}BR_ynAMK*p6VUKTDIe zw3J$(PbfY~p-R`vGZXk$!a1%Wc`wGS>9HXuV^7X9t^}<)ob(8t9JEswg7sRTyui_^ zY_&}SgbbfG;z1m&oqhw<-b<>XniYD*Y4^$cc}Wy3SldQJsak+nV6Q7VmHz_6L1Kgp zN5r7{EneJ8WvNe*TO%UaZcoGwv5u_Sdggm)i1(gRJ9T!@XiU9#q5HZ-HBDMsxU(@BW)&&`9Q~UMy)TSK(6zeT zcek2;i7Z%^k&i2B2IJjsP5!)OC^XGoJ=u!db zYPH+t9y)(2QT=UqNiK?1LEHU-n_a#PEgc##T=%jEr*o$PiCU$%{IQ8h=*;vuk?q>q z#r+tp-ED081`>oGvD++vhTJdIxFR_>QxjcpD!bOJ*7TG2^6#Hf?_ zHP_9P5Oo-qWZ}9D9|^UaYUgrR*guFe3NR+2L7>Bp3#8g9$-Z%(Y8<=yo>5|P)>&V~ zd^Cj!^C2(j^|3}*!<5;f* zyf{Mhp2UO1;nex%SMOlQ!oWF+7Y|1^Y|&Dr)gz5xtYq^{>lzW^9dpA zY9CJ@2yb?C$QHo0`&Ns(BwtO#p(GT6%IcEBQsl7@7d9}*z6D=5T2;L6YpUqrsr8D; z18@9_Hs?Ckr{aw#zHVjz1&>YvswQz{-z4* zbT%Em9z4J!jW9ogx-=hyor%NlI;bYq&bmCoC#bVLOH8UJlEsjG2|67s4~uznc%_vZ z+xxj$9nBD~Hkn(GSKy+O$s}e`l1X;+Nj$47aR>9^*nh`=?q+)KNqM1X-wzSH9)loZQ5I>f!s7IXkwZTRg*S)Iz zph>Zfp4m7h$2z)E>$=0(;|s%2gQmxd=<1XQ`{9nto4WvFkGC@xcXfu*X*!ASI6iRXGA;WXXdK8_jjXIO`FbNb%D&s z-h;}k5#6X9JHB@bY{+3vKlf^|QGb}6O!Yx}FlB<6-Ps}FhA!IjOmHEZ63tFu*f~C5 zd{7x~*a^`6v8GLlJf$$xQ35d1RmhxZbiCO;5GHpO6^bg!T%RgY| zS^gAd1M|%)-Ua!N&Ao<%|EC;D6G@!p#MM`v6Qh3j+YapOu+|feUcP z%J#owfc)#^^nb7HKX(iM-!wQ2H_KltSpJcmX8H@`{(nIm`PZ6Q+1R;Q|0~4#KhFKz ztp5YBBL9kT`Tqo#$e)=0+lqf+iEy$p|Ib(=*MGsx{~=#4`&JdvUG}~tlb#=G#J+WYYcV+A=(?QQi!T5~?y42w6a5eV> zD?xwQ6AxedUhJZsAO%T1G0HDgVhF`lj9tTu`!Go?5OooAIXSstkp=gcs?FwhLyMb_ zs*Q{5!1ZNXFanC{IASyG5YK2TE4kM6u0TAsVG#a8ygvuWhVxs$nN$+{w{ zBD}ocK&JyY+J;gI+5U`Xp-UON3t&PBY6%_ zE(g89TA93cpnC1%iLvvoxU}}SuhP*|augi-w($|c`_6uK0m%;j^r;2$N5U>SN4a25 z`GEa~^|iKq#6uzzv#88+sXjQq7_G^0YD|%L@(NRQp{N-nSHyzZ4K|Wd#l#U7NLd%I zp_x@ci=<6BYk_A4&f{v>*cS<_%+zX?&CF|$)DxUJ7{LkeF8IfgCk4%$BriKmkg6}% zSE<*zQ-?X%#wacMofPjSLt~2yAhR33pAXp2x%Co*kTJ=MWZN8YYb6Y^%--e$ZnD{;wwc~{TY}uhWM+Qb)d22`!GvA#o~-3RleMJBVO<7u{Cqrf(2O3$vTfS3f^*u6E(ccZmBOd3qJzrvWyvVg65ry7L|ZAzXPdt>;4_jBt2idzR$k9^ zak$Wc0sy_mE!PByxCx1ku|`EWxUZ!=@q{8Vynbl{g?n?;`S6Z;!J-I>-#{-H$;K3u z9p>g9;jhN92T7yOC-r~*P|Z1wb_!B?Tu8&E0&Kb31{S|e@vk{moW&9vm=7@ZEf!(gJ}|vqrDDN-3E2{g zb!dx}DXq(KTtrcumGX!#RVHf=@FZt~u75=(?~51{3DaB(TZgP%aU0GXl*~eDL&0yr z*%sDkZrBpiqt2^yQ1to!O{4hZ=#kTs092UXoPiPMA-ki~hYyX+>!dg(p>*sKMGp>>gH8;+xX!QKC_~b> zJnylcImgz9|f^=~+OHfurv(Y(1)oWcV zg1pgkhAP}}ji;-S@9pjL_Wn*|Qek$FsgF1NLv4Ai{Q7RS{1V@lwZuX#2gf3MOG1hQ z&HA#7lAoh@^b{s?g!rxIJtpNY^XxFRdc^ok#bO1c*|mUfHHBXit_0(eFomF2qizdC-GgyTylVc3%E}H zB>nd5r*%V7)oj_VPar7@t|TxBtyP5_sdM=+sF@D}Gg_OdR5+5Qr!f&TC3yyia@ZEb zCD!6X%T6B16z>v&N{&28E)JVVcR(ff)5?|GKtS!fK|#EvxkmAD9Ckx?+VA8+`yjq; zyo~;l4Z$@m60v9CjL@)5C?gaq;>31P^dDr%eep7i2%TtL%}?%JXnmmBNaOO61pNrR z)aETjqkcOuG+Qaf2Hpa8nAzE>)RI2aV9Byyl-vu}GxA{%3!|x{qt3M9C#Bhqe@d=) zZd|8qKEJ*mVOg>Ofx=C4UZ_0JSjSvg;tMnhdpD|VPV>-ctS6L5YM$$wP}y!RVW(mxK@Zj}chGQ|mN{Js3Z_TqB%YTQ6@3#MH|8hqOfNdYs7zZU2pBD5P~(pMUJEVFXPzDFr+ zR#%h_RNCl*5fcrSQozJhZxi$uOB-^gy@#;yYFBBtmWF%ow$O>Dx-6h%5(QZkZ~1AJ zSwRojQkm?}i5fI|Jgm@;;Q7=UuO34vW|Qv+fCR`L(K$|I#a-MK{d;9=j?EFIi^6iN znO$ko*q78i`B|^W@g)IG4Q+F|rt~n1JYp=ibVPd(SPN&} zNa*Jv2r!t7JpPz?(IiHRnN9*S=*CleFznHQ=Uz}oF#5PEM zC&LJ3=h@c_Ue8d-4D5qf>gfA+EMrNfZBV`W6 zUks{XK&ItF1r!tsA!%Pa1VKj-ae3l^^)3>~#Sw)C1X7}at9fN-LP94naix?>d|;oz zyaK-TE=$dHf!|whCx`wu4qXO|Df;{!npjfFzXYKEuLAnOpt0}<<8xaI27$=|_UT(7 zLHrps85}}3gaKfrs1z-zm>XB&0{~;PGeiF}A&vBp3FJ`uJ3HaRy($D^Nkq_r1>7hU z>K@H@z1jMJ8TsW2&7XNhtaA}iAqaHDf#@2DpcKjUbBv_t4QK_2JifLGaQFm-1vq4C z93%0&`Nc|;$e!?}q2QZ90}lw9s7N4yPLZVN0BQ!496q3nCKB|-1~LLRK^#rZ#3KQ` z4!D-ilGH#WS;Vghn2A=B#6T5r_yakY+JaO_DY?jd`f_7?>`UAlK%8Dccj*QomaxgD z&TbX_6>S!cY6-;{|673n6J%9_O<-vMq=ZK&H4^khP2ol~G z_yEA8Mk)RuvGql6D)1j6*|N3|g$j`WApG~!2>9eE9&|v(mqV?a?Ggi-RF@{mXDx0$ z9yz0~1M(aJ?4?7;PKM*pxBZ95ml6W2*rws%>2>^>U3~Dz&&TuX2pOjBEu62p7`%hd zlS?;k@vkBtT<;&Z52u77_x8STyGis1=gwVgpHJWMFJ)!#pXA*e+UIS%hQDW39}i!T z3;1$(zWlDZ-gCLHqIhTV7>r*|<$&tw=pw!2$t$}0Ccy#yrj7y(GnHPiAx(TX@#&WiY!lU@cb{-Db z?)4ciZ64=}vQGAuhjWaO^(*t~4HIhZev!PBd{L=<@B}N-jlZWIvM*2&=KaaM>LPhZ zw(eMD3Fw|IH$z!UPFY^h*|#q;$X=#BBTb(eIT)V|Q_X}t46`m5+i7_iD>^+tk*yQm zT9gtt3s>G=Io9!8qpk{kxRN_U?x(ju3n?y3?>0wbeO_C&Azo!!YKqajnN!zoG7z{( z{0~F7-z6#6j!3G7TWgl-5!Mg1^QmYZu#5SM8{WAQj_AJ^du;ND07!5+t7A>=~!l-G6VqVP!Pq6h5-_?7og`26zD`Otb=Rz_hP zXVl!Sv;w+ltykp4fEY#cgw#dn`-U@+-B;s5%0-jV zz8YVq?KhiaMHA~1$jK=VSOKm~#YEdvhgNecHn8RoCgBwc7DGdZ;}w_EJ3Xjk&p5Bc zjXRdI#a$aHm!;b9tEZa0%R{Y@N16Ec9S$rJvF>*!<~8}hl|bt^^RjNH1d=DTKaYA) zzOLtYqqs7z@;>Ky1y(Bc&vWn^#xl-3-XD)0vOcQi3(+Mv564@(6d&p{I5gpbr z(CC8sU>b^XLjPV;h|hIL!C(yNvapTAc#QnQfDhc z4*}(BfN#}F))wUoEH)x&960}wXjispgNWOmWY^KpoLjsFm5CF|Eg!IQW)bbu^q__V zBnmd7l5IdERe?;zo1+6>!kWQZ=3uTeK(?Uf;XxIGjB3EbxPD3^`SAAH&8x^&AYmLp zN8*jZfS0Qc5Oib-Hwwmd0l)%3b5QY{pUCM=z+^Aj)37)#HpnhA#6~pUO@v$u7-JDZqN7HE4i@3HXFS%7j?Y z;*&p9D6N-L|6lmCzzJLM{4yc)h7yhiEpE;td15i4sCduyCnelL)CZ;HMd+?BcYdQwruQmq<+yoou~(m64PfW2KSTV zpPVrDw-Znpz{64kg8G4+0`0LgDHA#^kZi!AOMn3Z2G0hW-$b;l>1U3oA0vD&C`Yyd zo8F4aJIjBu2eiRIg942isLKEm1_4qMAq>0AXVXBs28SdA28d!(z}M6YAy&1(AktnZ zk-p>|g?M{T!A4xNOz1RRGQf97!biM0z_SBzd>0d=X4;)~!q6!TL!cG{@`Z4Hy<&Pg z7;jzyNv~s^J-2WzDjTQx2jlDF(t0zzsQ;JWYA5kD`XU_?2U~N6*!4}PDJ@tbhE$4b zR~>_{NbOj^uif|+J4PJWiq&URiTrFbqQDNr#Fb-$z_P+7AmegTxu>yi zk9kQHxKU7?NmydzOHso;f`kvn#pPqdgaP*$k(~+eVUr4Rqd3XO_zCAh4UiI@3LE~p zV)yqw#Y5r?;hD!wP9eeo*$d$#e4_cXWZBFpNu07*09##T{aS>o+Oe}w+IGU5$n zA;xEPU}c&p7VM#%_UBJ1b(FaSPtjL!`a5Y#jV1brA<==YMt|oLJ17hWVvw zhH0Uo&k}*rvoIskYfgVD3P6L#xh$MQ9`EF}z*N?tO(w2in#uy}G2)-$l5nv&1R_?F z>5?iRL-0Tg9S>xeH}AKZDxh~?!?PGknQ|j`P87RJZ@@;vWns^)gKC#B#$e7duz4=<$NqrhCqJ9nq!- zuWbvj&5}&qu&T+jZa2vbt~iUa`Eb#B`|1{fEM=314(v%+53u{Jc??^+%^y1l4ZzI|Ro*ySYE5cyxc9^YO9 z&!s7{mdFTy%Odaxi57c}Qf*2_y0H?IZE=ujZ0@I19n*KZC}Y?P^0VX5kv5cxq`4$V z{#uRHGyCrCGSW)=J{uJ?FmH8&OjV!jGysVo_vV4J^q^#u$KIs$0R=ZAe#{1+3G!J| z!r+=9k73Kugx0%9Ad%~`fx`I3k?GS%6jirj`+AIe*}C;S;{LI^T`Q^HboqWtW(sl4 zJWP?Arg>s~n{=Pb!If!}wrE4Vzyin`c4{;CH@E>!aN?r=*Ej35)Xm5Ceqe%k=fTKl zS)n2*O zED5)4CF7XZjuA`&tconkX!rKm8)L&mc2Gn_4aX%B z1=UTRsyj55BIEiRm7vFwyE%hx9nZRrKIb;5yaP=XA6iz)=E=hpv4WSrVRC%#wrLX@ z`&iA@x;pW>nt)~4h=L;>Ha9@Et2a z6AMXNyeAlmDwotM8uf^!T#juWtFwqx#Zo*TT~G7;wEP9kc{FW{?&XP-mQ5P%)_o-* z@B6TCH%SQEAB2ibUUQHPpwVX*)up@WuNA`9@LCL1*yd$>^(^&uXjRE$!|1_Kd{{I1n`)kkpuTq5nuH=CA|0`k~>wk?H_h%IU zybu3>n~39&`TsP4ze*0+xB!h~|50(k4%ne*WBIqX<^e?jT+FQhMa99N`TZwFtQ_nN zOl(B#On|}zA~paY2s<;^zuOA;-z)mdf&Zk4jg^T3!1eJDMVuTA+yJfrzAjcK7A_X< z|H`PpJo~q<|FiW5+yK6kKLPvySJ&I0ApU#g|G`(n!OrzRV(iH{?GGV$-m6U@+lQ-9 z=re&*l~h`nEvcMWUCbr-uR(@^iEt4KCg!%B>*XwOf`%0?Nzh1?Ne+0sbYJ;y&fgzh z?JV5o&XIBQJktzr8>~)l@p;dkoidGA)oUnX(8yKIJ=E2hontSVkCGQjvDf?{k%*@2 z(wW%Wz%5!d{6ec?SmwCpzaM!?H@NGOURZW(SvO$skS;Pc7`bgPJKoAk%J60(YHYm+ zCmMNuSUYukb9~~=Gl+0ga3D3$p~E+Q`%bR$wEk;(Ft&jZgLNNJ^SF<4jn<5TakK_P z@_|>wp1#mIREf>P&l2#?-S*w>Q)mzg|I30-XHAH2O}0%!Sq>t5t?;WlrA8q^%w)N# zU}8AOE&up}Hsz4C>JRHAyTE`P!p7wQcV^VbowxDk! z%9kNnn)&HsuUx@0V_Oi%L0kvn44J9+4SHh|+NxnK*XLLaQ3#Vt%g%CV3vgKN=BP%g zkqfo)F5uU~ZtqpzA= zADM93dPiN4kcajR`zWcg*a$3YOd4kn8wUIm*^(1yYWGDT_F%-imii#q#_#EBdqlZ+ zdTH>+@hbx(WteJ!f}Oc9SEgWoyK~RU0{BWuq^y5eg>R5CkPjx#sG%0fQ$6VydH+V$W z81&=tWuS2%h5AQmCN0%*q52{JY)WH*KX@<(kW3!4KWd8Kk%%Bdy89i{rC>4%;ZZ5e zB^fB+q+@`81OL4lYMer`FweGKbT&m3YJBid)KnYKY_g4$+br$0q35Y z^W!7ytX49(pNG^$B$4D-NLQ-XZQmxP`|kXvoOlr-^EL!MfL_k zj9DXyP{0t#7<12zG2Jue!p7M!601cz-n;wAZR5YQE8v{)?N}wvlAziT=v8J+z;X!4 zs&fVupd!TM^eVJ2I&UWZuIxxLG29Rt%3~`&M#UlzW}HTO)r4`-C^^9XqOxK!9gJtw zl}Ej>AO&5u5sU`0pmIlKDm5cpLO|YYS&fs;HLkY1u;pEN1(7=anV?gJ%+rJshoLj% z`UH(L{FM5deWB$Od!!AdJ035pm+-qz#6guOX|R}k!0Exp>P?I`AY_J&qCCWiuVe|V zGq1m;7=wIPVP)boc7x-}_1!nOlc8MAxdp0TdtuldZ&tV53+H&2_d$W9?URTl#Rv0= zU9R32FFD##_95~S3oa%g0Ulc9dST=T0(??*pFfbVn%llGrfiuB?d$8Iz`-{`FlsFn zP2u+Dt-X;V-%p$Ojq;)moRXH~VFG(gY9}KFl=g7!cUw6`tU8vvy!e1LMA<7 zJ&Ekt=5@~%PAL_9*GI>l5c_F*b8@KA;?(yJ8G&cZG~V~v$i9S1X`>$Qj&Pm1 z8K7G~at@+dz9`Da4akLM7d?ZD%sZ~!%8uo`M6J*6LXzSx?m&rTa=IPh;=)7Y19c3F zQdl;naH1!OCyZAr1@>Oh_o+cAuV+H=7@*GY&`p$99( z&LE5a1}bnz2HsRdp$e3Y-pI&*+=Il=CPK4NaiHP4E007N-jv;vBVLRnVSd-!oU1P` zV`+;Xg$#zXbhx$D)V7&*&)GbS97SpddWzUzlaA|W9ZrBNr5-rZf+#VGyAf2# zQaEqJAyZB`)4k}I+*FCR=~Rr>Tit0(Eh5LJ@|M2A*GQXA^AAg+wJU zQLSRqtU-BDZB{SLk_zx%V-5@gcEi7Q3-jhC&=v0e-nc1Fej_sF(qQJcGa|Ggh%hhr zLlHKHuaD`*WtkFwXJnpwJz+e%#ZoE{&T6bP^3&Ue%TWh*_fW0$s5E&Rc+90h0YeJL znp8HGM=HkwcG=~*q{K1pbrb7?I$wkzCPm5@$PYl!=HMg&8myQxdFnH7WE4`i6h?!g z4Q)UAT_Z@t@enAi#?Hqx168qz>|7aQs?kKx$P}EC441;JD8pYNbWnAYTf)0Gw<$e{ z?qb4AV#@=9S5KnfITpc|)=|!#V2yLIKQ$><4=dtSTndjCon_A2r^I^8W|mFCh)Gkj zmv&Rb=d*pSBDQ?IOpiX8z;MlW@MT+hK`}~=dYb;nggCeI5^f%>zc%4IpcdoZ*&?DL zcZHftwz1wLzWitVZiVL0#YD1{)C|NUMx*lOZ}-|2T~^#M+WNm^Hj-l5U6fre=lPOS zecKb;zmVM{p*$}*;Uvb!YmJwKMmuQjZhlQwk*r!}isL!OQ5OF#kA&i1>$mt!mvv?S z&8-pYA|6KGgJ?l#`U-{L#Nf2hb^>%#7E!7zk_|h z&mt(vDxu^RPy|?P-v(i@{&qG!szXKIU+ZbMLMwE*XYYgW!hAUh+ z?uO=PDcnr=uqgl@dn3YW7|CLfnLC&v**+udmDsEGn{~@K=}czgBeL{FQ?6Q4TdXw& zh(`h;f#!~`y~&IS!~g?(PS#*rY;tAEqncMzy=^bskp(An?h~QB%}4yPFzJ?_^^j_$ zOUCL^Uojg5;Ifbz#K%ow2i@mXHJU)J&~r@grgG#4PdV9)hUFn&5~9{C$}#G}ei7y1 z_4e&WujbF3O0`j2TnUuUd)hN`gaOAxMU7;TBc><16H&&K6kx*25Fx2T;ctbW;?NXz!PR_$-HrJ@Pk8*zTz^r&xy(e@ zl0vqCWB%8DVJd?{l8^oA$Ef;uD9iXfYbnmn5MqA-k5iw*qOHleVG2#4u(+bTmMk=M zYi9gGilucDD9g37QX$1%AXx}?OIX=%2U3$Fggol1_#w_)U5Xm4#%}!>TsGW18f@V{ zR0CQ3w?M4nGiuc_REr?)X|W=_Uq{_tJy*&065sYkVkJ*HP8nn6#>ZD*8EaJyFXJQ2 zdsJh2tre`aJD~5VsL9PppeGxTp;PN8yvay`>riAI?c+UF2~Ogm7?AAz8VtKI$KE^% zLvi^oe`RSZa|LHC*x(UqAEs+_jiT|It*6n3r5JV#!J5ILGHx<9->xfmU8D%Xu%2Ce zmuVKZr9<2?(E7ct{kIP&)pQ9&@ zshUPp63_>39_y3HfWk)V@CD!{;}2SP_B$-AYfegMcHRs#Os3myUFtYA92=G!es3s> zTHHOIW}T~$7t>yszRq^z66aJ_^ra^ri?nymwKc28%Lm^$^c3X-= z9$dFzMY)bbB&cHrZ~Z!@3i^dyPL7H)s@3W=S6Lvv;Alc$-5)HjiRfI=alss;6}HCz z8a%pr*Ct#`Mq{~oea1O^^XoTSbr2B#ITKWi&%~}XSPZ4FfzkF}iNzu-jY*aq(9=Sx z(dD{StoIvU#HD7E8 zVWtd;&|E2sS|b`Tn?AA{+e(;lYUP)sSc(JC(x|8`BFo#-S}nLjovF%Tx88oajOL%; zb6Ut-s?MJ)v4X;@s9>N`xmoNEiT1+^rSSeX4mw`-)HD@)96-ejGC6s!E(5)g1#KBY* zgQuf?3a0jTr|wd09=ir630j)RRGiF5D>XAjerL?)>I*==r%jOJ z^KIV{n!C~Y^oX5u=bV*i9YTjt5tW*u&)o(Y?y8L3bgWPB@DZ>m@<oJXU-Yv$A)`${;c)GWNUj;N`*OXSK7#&m1b@Mh1#Dk)P)A8p4+A%`4K4ZbcR{ znjUG|2Jras=o~v7T2|`Ve7#G{_!Y+U`AWNUp_uIi6cyj4#jBv*upy=0b+Gm&YwFgL zx}XUYE{y%(nzL*0D}%n=H=e>U-C$(3-|yaH{Q6p(hrdzc^$Z^9t@EAu;$#bgj|}`b z3RW(XdVcy433bFy>kmB80p&9J15yKXNi%^cU9{a#Jdy80(vU(?zicZC%%GLDEPW__M46AD)sUhh=6SEV%tpIZKTCW@9 zV1JO=)QZ;$)fEv~yP5rt0Z%5-Hgz;8$tWV}$QEbUE8U8MK50 zyK)_Ip^Et#5g+1kB{Rn2R8g9k zeUh}|M1OLFLtz#}@?HK4O^x1c$W9|^t{Z<{*%hP^%WBlqoIz&BxA<-fs0YQCi*87K zGP_(Y%Vk6ZBq1nAC#s9YHgZV6u8OlWXCSk%p#wOGjJ1jab|_|B1d;c2oE?*SE_WI~ zbzqy`!?styc^FZFs;1f>BHd2xHSEb}n!z_ST6Be+%rdne?sbvtlP#|c7uHfmtR@xG zGQiT?HJnn8tTILB%R>e$R#MKklLc#`o4+lvhg!yPeXOH+`v~I6k)vzGBH7tiGq;=_ z)g1`<(>R*<8zNmj_s-hb{vYbzF-Wp+UH2{9wr$(CZL7<+tGaC4wr!)!T}GGfF5}d{ z*V=cldt>dj*ST@;jyRt(=Zuj##vC~_Bjfiz@AJrYaz-I*!zmhMH2rY?$QnU)5nWDI zBu(h%@7XH~+xA@Qb?0+@`{mVdP=D%Bq zG5_547~oj7yiG3rGM_MzpecLf~D;LJuJ1c!yZNa_@Q5qe+6~Zbw&Sz z#vT7FFGe|1Okqf{FCl3tiAXh}VZ(3R+|2DNrU0Q(RM4O2$MlaWzPqXODx}s#b-UW+ zHeQ*gDa#@!_PcY>eVg__m?*SDa)=l)R~YUS8g2yfW6! z<=3FgUVFmauf}1X zr`G6+j7Ak))!!9}zekWGJ9Xu5QAIGa^@l&+z3<9dco7PuY8aG#wPSH&coJZ7EMU&$ z$8qYyR;oDOU!DRB*y=LdC0p6X6HXs@h)vxz>qh)!x1->!U&VW*HGrM25zQ)DRjEr8 z5FlGw4B-8-(CUZ+97M`|#Ok2uB1cw_hFq#!nt<4U_5+Oil3cF%hi7b_>QTKbu;h7^ zvoR!4oZ|0FHgi`t5$9+w$rRHV8ujdWT!6yqbb9@t);X(VYJxJR9yEa@f@!g(5!|aoYYS?a$gDSkSkp=h^N?i9vqtRUitkH>HNjj3XB|lpJYqSNwe^jc z;!EZ3K-(pP5S$(3Osy!?s2gzXnkUqoepdmL17UH#hM}BuW7YtSkKWTDNeFr1PVrya zNVQDOg6NyfBAH}E$%e41bK72zuaC8JLSwu*(Ql9HqGn~eh&)d14WreYq~knuvHcy< z#W^?=`3f_Z`3=DF{g8ZdBNpK^pvF`QL4F|Oad&yUNQ3DU#imbTr6mPil7%fUXrUOT z8O%wAmKg^sU}7j_z*sMYAbPTCPM3)sX+<&*sFo=UjA7AYG{px>6U5gG`OAV_kNk%f3Iw8*-xl@{abZPIf{8GVaN?2JLYA*q zEUc9M?(9{McfV+@v$VOd9Fhn`Z%B(GrVJ$GrW}_hNK^a-E@;WBE90TdWbxKAMx`0o zyfzUrG1|2kIdLDQ*`aJ?NV4Rx&Dga*X6}u0nuh97I9*k_DT+irM^*0ol_4_x365?a zsMx$SPWiw|JiC02)j***p%RxHd;?P*LYVslwcSp=ARZTG%X#s-)=RYW=yz&2JGHbciZ zM=%O_+nx-Tu7*>}ikIQvCwcPSgnQ(i#9S;A?Q;7gWG1%-J=wF98(Mv=7R0ijT~u>a z*|pwH3vQf07}2?Fd28WcU02anr{UwNMH*4q`BdC8P&2YDB6#BmP7@le>0fc(;F5Wh z?0~reEZ{I6ZJ*;k?pl5qQS?orP3H~scoeKqXkt zEuHgvealu26njyXg&tsWJBx~smaE>F8p`Q;5ipW+O_10Xo*K;V)jN};wfM7^?Kz8> z6F`+M92boaCk}6Ymd`0iUAiNd^=|#ybNzte$ElqZaQuLXi1vtJ?n~S5G19~Igp73# z)Kw9gp?C+M$zEueJt33)NlQ{jPW8H!DxC|Kk`hjSaV4wh^N*i(qr6sY%0MtuAZ3mA zZiD;}N;g;LCHqjmDfYpsHwDf>UmC}GTJ#oSW_>uV7pL`bw{BP(2l!}-aocA9ipjmH#cqDv-(7bCS%2SRfIHu7Zp_P zJ(CqC%?`+S=;}jqCT)KBHg^%s7dn@-T>WfI-3^$;U>6<45mO0o+43q!y~xl)Us`HC zHTvz+>`sEF1yT#4p@MgTvPABP^-ssQrLo zvIJ3wI+!}N&}yc~U?aGXHP+nuFKkQNHSy_bhALw_G zt4m1SoOr^1t6l$e8^&0z4krRe2X^CVIohBs-JG^5s(^k`Ut3Sn zIWv>2w*&QJxXwecII&eHbd%K)NE&t)VRk!>wL+yv<6CNBBgOtkRXe+Z^oXYoS>m6T zRV9|!e}g}T=kBHXc6<*0tYoX=mbUiFb-fz08__#uGfX54KgNVbft6)kHD)kzm%xB0 z-Cc(#axj|-PBu81_Z%j!DXefj$| z2LCYW$D+699t?kf3|z3l9xW~eu=_z;L3Z*)2avUj0tbqkKcqN7>K&#CSzo!PbjB`p|k6KC1>Q53)>A&6$3c+RKK&ELPDs4bop$cEngWWUO3~M z6_#(ZMr1gf$F4JAHqV^54k?>v51uvIT9D*5!#rhz;HbnVVVPhtgcuf?P~HfYDAPRT z@v(&*aW)aAGlP$ikvNws(|kYckAV2UPMyr^ip03-kp{&S3jcXR>V73zc4w9R1&q{_iJGTx!UlYs^sVlU>iB zVb6bomEcPHw;1OxqTq;HoyO3XpmSW$u-KKG=T7~W*?^wjZPbg6Q8ja zBZpHZV8y~&aDV1^uX%2CMIXO@K=IlFSH0cHJ?dcNGk9 z3cMKm>@PArHWIcev;}!&d`N~6CMCcJj(dnvi0QyO5;zW*{Yy34q2(TG(;M`L1pOF> z%K`fik`=s1A@{9nn8p-`hH``c$4utDams0!M@!N+V$4s)ILpQL%;ntQXiO^z)=?H1 zVit<>SA;7SeDW;yS#%^H5$u?7(9NFc^;h>IE8};I>XVcBpTD2k^%ojJTjNHcj$0m9 zXv01((EVN?l3&gql%KV2MudcI7N1{A^JjXBdkNO>1}w@gwXF7{f5SSEmJqv(A0{}! zRUvZ0Kv=?}#rwN!BW5WM)Ibi|goQgM74iTFI!PMCXe6nx-1$3L3VDa06ef%#Edeyz z4ALt|lQ1x(IKx7U?9E9^;1?>#H^pG+i@6Nh7WaGMnM>b6k))*YO{^0I<3f@E5$`%? zhVw9@_MbpRzy&ucx~@lfLlv=<3M5e#YT;GtBC-b*ZPXYg5jt>xk7HOMbjK8~7Lk~d zx!@yIDJMyV?Fe%d*4t2UD&N>38+x<7u2UzAYz)_4<;6|^lD!4g72Q!F0&7nPYTh}U zWSm`0FU*v!`dm4DSSj%-Ghm7YuB{pIFJrk>w%drBs@8d#{zPSK=E9-}VXCbkzUQ*S z3y5;cE}ufYYHIIcQU*#xBpQ|`7 z;5SP*hL&~Q7Rn#bFBpqWDKXBO^ET$qlt6}=L}K-_h!E>2;Ykmu9xEjhMJp(b6)Q<_ zakBBfWxQU2R>~j)Y@$(L0})y%fqvm3{>PvQn+Sow#_O;W!LOqP)XAO{R(!n^vjT@} zYdwFh?FLtNbr5qsA&&4eR7|@*D(Z=~xDAf6%h*UUk+khu^h(e@wypdbu;S~(>fP1V zr-$gCI;)#W@kj&)B5FJ!b)^j>c5U?$r>GU41EkN}$v!EI`O0fy^$b$DuY&-Qm?)=R zWO?H~4{o}8r;_d2c(|ulQ@>!yTg$^FlN8!N{tY z^1WIH*7ahDu}a=gyevL}aRT+QczK>G_FB#TUsR`M?;Si5TeOw}$D2gC z5pu7N@xM{!b{kvS6Osydl{>?h*b_u1uqC5*(c=Z4L`mXriI6=0{#f`#L!$IUh`<|- z2>!}Y{1orut9xcYd)(TxV9nK-vLcXQ*X&y{uUW#GvLrgtRvKrm!cY@yqS*W6;kY{e z^$i{$K+S{yMC{x^iPeig1Wq+?msgvIEYa=0G^}K&pO0`!*ivwBMzHpJh&=;grLZfD zJfGhc{HL!U$CWjn?}z*Y>uDJdCboBpkxtL+=U`{9pVwWle#YgFgY(n70sIVnldbHT zTHD(;&aJDLXebMwDg`^*Pc(1gGJ}Dp0V=^M6CP1a49YG6FO|stcr8`}9xCeC>%D8~ zAE&^W@b1aL&B)HIenSl&v>@P3&*!V;!Qh*}74LPVMa|a51DD5?1A+EezEdu6n8KbY z%R#_nl}wdZrIf_9}zP>Y}CjWZK<<-B8=#14?r5T%4D@lF|-gR)IZ;}QTDIkEg302R3iOS zq@D;(yYlmxGAy#*9Pt^x>*SMCP$QEw-VI-RjW{yim6~Fv&S~qcUEv|=36wCmN^fha zU168;$dTac4bgc*7P)mk7DEb0B$}^X1s@K!jjY4oN^kamdKwtL-U^gFH9 z(WZMVeBd==(RfR$?6)U*&L#CBoQEIBpm+7O!@>caLmuuHnzjP)oi;>`d*R8Hc`&%H z86RvDh5?NP^7R4Gjs7N|-_1R}8`}=oL+kd=tNf$i6&zlrz=1g18vSdxv40$*w(fbKGIE zS#Tl!whY?3l|7N(jzxSs6>6}*>=b0l(bgz!rS4NHGn#yM5_XNgF~g7&yVSkKJM%90Kfn_ z$N8H76ri&Fo1R)^}hp7|E7TbXC7LAX8i}8_&*L#|6J}r zEZ{G2`v0D1va&IAvi(=&^glhc{!inX|HA<*&#C4z%}6{r*@LL~0_@ z8$<(s=XtU*03YkK0u7cRq55Qv#)jnhtdjRfCpyXThQuEVnD? z70m3t9vvMOA@QTi2M5 ziBrg%#$X8s2CJQ6j1B9Z&Xc@DB8zImQnRw&*A6sRs`nOpXy40jQO{t_V1U|!inR)8 zgt^d|7iYg0F+DYJ&|8d2KEh#>Q*quNE>yxrJX$zMjvS=O!DdjH5o#9ZlQ^rBa)=DU zz6ZjohNi}|eD5q&3PoxRl?;_FPd1{FEtyQ0la9gYatvo1!PQk37{yg|Qi8k}F(^UF zyO>p+0X<#8@Kpjug=unbf>6*3fIL?~u`o2=&kH9EwgBxwG1SPLWGRHbW}&BmIcIH8 zm+1?g96&RLaLoq)5wBRcP+Kb_lVW7wZv9|W+eDwB3Rnu5A@uX2*LXn0--f-?GZ8W|$&&B_3vsc?SwX}v z)Mj1whKjnmQl)$p94w+&oq^=bS#6b8M%BV5iHJ>xRt!g3!CiyBN0iUYzoTW?qt7$u z)glF^x#VS!!Ds~{^?bwFt?Gpm_qYpKgr)l{?SRRZla|uKo=0d02rLrTS;@An9$Jvs zJPW}-+0t`lGvcA%?_*|f!ih+#EK^_8pq9kuADkLiA`_flkEISipFaAIYOZ(xtWulsU5cY$|c z8E9A4d0zgY0-cskViB5N=Cl($Opt|`BDY{0F2?pehnz=shY<@D5@V>)bWKrpeZRAogZA( zoN7S!u6KqO^jq?{{;uJ=ne$NXt(fSk?a=iDX$lrJzRM+ef0_0YX@6QK{0T9M$-Byg zM}69VB`u7Ae|FJew4Tz;VLa(Jj)SvzRxwz(J2e`X4*)QRc-x{&*H10Hmsqs(dJRm_ zc7fwLj}pgQYmp@SnUfiz!buO@Yjl~Rp82{7uZCn2{t4G^^PV~u#9!uMJTj@8i|4z) z!=qx6WJuyDyB)4zr(oYBt_0y4xV`SmedTA(wp1g1%z;{)dTuID9&J>gdWGTZbAnWvae^N?eh(mw1OLz56*Jib0lf+2^MC-fI;ei1#E5rtn zC>lK(30xA5P)_%!|C(Z53{+|hHjMhdoV^gbUM*5MQuKYdzzv^kP6xs1#$esI!Oyl% zp<~nD+KnC1uHkki9)qepi-&5FsQ7YsAQ}@Os|GWSEkt|}aZTdT)7bA!&at6NsD?O3 zU5C3eSqzeXZ^2M@Gu}xKC*gkA8(7fe-(6HB2H^T(X-9Ix&-O{|m(YnFK*^QtE;Fr^ zZQP34!#Zb8ZRe4K@n}z;!l9j8U~CY(e}Jm(4Whvg5QnQw_CQ^A6p zMN;^pO$cE>ck?Phdk^%hBrdH#Cv5rbg-dc(!swSI%!g-RajESPV6NUzyumnBkyva*i7wkuIoIrel{9A5q1*u#YAL{8YU-QN;cB`ifYKNSBkg z>Z!2AFQTz)UMvzpWT^+hsxdPQPDuna_#o(` z(wS-A_RWL{;ZNvbZXT#}m)8CsIFW0>2Sz?cc$lx#rC|8j^Qx`qQy5gW{=Fyv=K_7_ zONkb4WqcH0M;F!&amT4sxEK#ryrOL^?xD}}7O95b?0d*nDghZ(&uS7oNoNlXDlzs)%B-Q71y>mM4SC5lM?`e(cpz zc)9k|q+2RwT_WZfN#Y zR49?iCk+@_gov7&IyIHvWnXEiCU&!CouO2w3!o~s_YyAD5+s$)dBLC2AxWB|1C$xv zrg;dyL0c`;As4l=#C8xEK(?#RF(R)C)Zkb_b&lWPq(+pXf^~`TLTPbX(LD}eYm7%Y zeU`2N=!Jj(Zsn%Gto?v!k$jgM6~z=u^ZU=5PShq_GW9c#Bspcqsij$qU@zba9++M) z;z$tNUsg7t4uSx7EoZ}1Z$7WxpJ2AS3krUe6e%1!Xw2On8|0S9NmxuHYaA_LJ;R_A zi7keA13h677O{4AeQ^UZ?pP z$d0X>SE6CAyTB_=76-S9h&X)#$OPydPkwR!oiuZJl}+E*@WwhW-GlNlJ=-)drZ3wr z^)o8>1VcX`GnYJh_GhW_vfntEc%6q0TUMu%7r8~V`y_*+Wb13rZu<{2Mud0*4>KAT zgyP)5clbi?9M|U6nzGTR_IqvAr%uq{*!TiKErq)PrV_`7q%jW{9d^JwB_!V{W&IdZ zVAh@}M4R-|eEh}^3&l;r3P`w0T9yS+Dn!NKg)bSMf#~2MNs*9$MK&HWk0^MDST&Ao z)fJ)9$#YkkxkgbH1mQhDiN zjtGc2J2N}$zI@oX+g@9s#}CTB z*+kl-;|Phjg$_-;!$IISq_PQzgGxU{2vWpPvQ?7k)G!e$Kd5$SS2Z6QuS$@vb`0m0 z571_Uwz}}>1OXWS?%E)pOrOrtC9528>_F|O#3`^e ztUcXEE+iUnZqLSeL4ERX>rs*R91C-Z{(_IVUEpEIs0nfmauq>0k>3WLCQ4Xd70EeN z<)zm_2P;X5)@z0H&);X1nwgy_ty^NG)Zb+vW#R1HSlDe_UnQstjg9dXN*oGGB(ZMS1VqYE8O#-a`-lfcWgFc`FR+fAmHgz1W!rgW_o2lYusUqs` z$&k;GV?V=;Y(;>Y_0)lj#IMO1+y@#Q9>Kr>9GsK<(EL>%+=;H!c?qw>ut`M7;l>&= zY2-y<2UL?QXGVjv*ig`R+QdDO$kpx6D$!-%B|nh9n5Y%EJYvPyP}U6{w?4jrM_Twr zsAP;4$oR84JUCdxczrrSa_cnX>~SnBvz5V8-z0m#sqdG1afmyqu%urE`m@l)Bi|4D ztj#Oe%S?y)rQ$NtW5RGA0R`C;wxaC*_hWJeDZ zcxZ)vypWQAIJ2Yebn}2L^9F490_(Tk?=j6ktu8OwI08ld*31yusP5NUcjyU!kj&W# zx4HKX*=o^gJ!75ZhE^i0cIss+a87F@?xf6Q)&W$2-h_&3j0IHhnf$0o%C`WOdDn6d)kq*VqR)%iULHa3UQIG79@x`su`}w^orGIb^sO4c00AyBj z4hIa1O1gdJ@sM|@HY<`hF$g0i1xZL`+6twgl!pciA)%AfhG4_PU5AqP^i`t-3VdV* zkE738a%gUd-Mz!FTkvo1=*&7LJ%lQye`$$OhM1gb&#LgVv~p?ni)<_6I>Bb}JjtcT z%Gpx~e`*n9gc#>7_)Vhu&l{Ci0d3(x9N9uPqjp$c_Pjsc-QTk3shKMF1vj87fk5Po6u}5( zkicHl;dWYCodg(eG3c5lQG=?PiQiaTtW{>0ij!5MjNwM#jNEo z$f!5T^HaL<@QD8FUnzhM%ggvK=F!FO3r{zkb+&P7#xS5GF@~1)^ znwNsyfdd-)endyOF(5GVCsG`mo1@$@ha35lDNfCtQyd;4^}n4b{q2yHFrK8j@XvdE zS4O5BXVgTo%mr^OUNd6$ZtxAX*+nxBF)BDYZImlptM2Ug9 zP|^$E7ZwZxEo5Eg^O?-iGPA65a7Nzm*}>&-ku`J2CAsgbHe)ho`R!3MLwq0-Fss4& zVc~A_>~%CmJ%$wgCyuE94J>umv{H~Vl-=RV9uDFVVaUhpo<{!7AngE}Xg}p(!da8a zP%8>QI!;y~NAUR!SL%sj0w@1?ysj>jEu}(_aIr^o!jeOKe40ZX*e(uY&Gskm_lUK| zRUOmosEk&(CiVlSJFQfS1_3pp2FWuWdSnU2iUGq?9x_Bsu~1e@BYm6YU1y`upSVO1 zvAB;b@>~PEq0#5obr~eGW~{G_+Y!A3_Z76*G)}o0XbTg0;PHUu!DD*}4g6>5mJ{<1s!p|%%I5PZ;Rl*L0wqJY3C>XBSX)iOP3j=v zmIQJH)0#)&Co(gW2Sf9W^=3HZV^~W`Lt~C!f)ZY$_C&C;!V=ssa>&ZqNmqDexwnIf zZA&DFXVkpDqdm&ri4oXw^II)3Nhhl8qdOcxa&or@VY>nhw@SJMia3xzvMO_lV~BUY z!Z#yCz`!N!C$4DkQaEEkO4ELE2?g#!aI7%)0RsJ4AB_DfEx}6nyZ-ai(tieK|4VH? z%ilHmtba851Z=E-g!KQa#Kii?UFCnH#KihHG5|6b8zWn}p8BU9EB*2Um_ zmeuc#nN8=;xu1`vM-CP}vztv{34Z|7I*de6e#J@gy+XBGi z;N&H@Y4Z5qsD)?x=KJWS5Vgf|(?{r>6fit3l~hnUSfDLKE_^Lz>Y$U!JelJO3$@?oMblR$e`FR9%arzC%yN zIv*)U-Qd-#m7=bF4BA?!8eQ5mkhrpQ*zT6fsXd;)u~;g$_C(P{Iv4yID1jhzYUi_u zb8$2#6=z62^Nu``HX7yX<&fc=<0j%F)Gy=waf$v~Ms#LGVn^NqrfHgYfK+X!P<7z?%hmZ=C38kxVex7KerO4@nfCd7)!3hti z`CXoTEd#s>+kj^zwQcLWD1kndPpE|1&qFB^G2yse}P0 zhahAQ(~|&$BN2P1CXS1jLQQpIZnAP2!tu}~o08Nnj&OR;MQQP=bvtZo(mW|k=`!{^ zj3I2p@<%1xBC#pqYlq^haNHCJnY#O6Rb zRqNKEWwO_~4I!nIa$7qH97-{kTQj<+7Ep&+w#t`Cp9TJ#nI{5<4W0FKQ>jlLu@xvo z@&Pa4^MKrubUsv9aUvJ6K&GRPP{}0Eils8QWC<~bfwZ6cuJa|dtNEfgB_>OvPbPQe z5gi!7?Z$B2x>3ZfZ9<%fc7qkcN=G}$kRk0Z+!&SJVZylOH$|dDuLQ~ow986nNb6OB zjCdabW4iR8S+5&nx76kP#_d7PJLn+@0JNM(Ars^1=XK|HzjkWP_-KL1fvBCYag+k> zxGe$WqK);TNTBbzQvp_{CY`0^Kn5n$NT%6}SV{Bxf4-NMQiy-9LC2oRd`6q@>sI6+ zXo%qZB6)e!-A-uM5-(9V9K!I|x-k;WY!MOI<-TLHK0*)q`8Ug;4rSNvCO=&~3Rz?L zMZg)1*>ER)>Ga_cvKPv~bo!2q3T766bo#-@TcG68k#%z(rawq#l>wo;Chf8O6$){` zJ*Z;*%xjK!Ne0N9!yt!Wht6FW?R|p(_|O!g0dPk{R7s$s{#g^(r(0DhfS7+j+L+Ie zbvsX7fP<)=H~iF|3U(q?Xei0E9+Fe~sb1SmXWWD;oZ}6m2Nc#sA$wcjl(TGsJkJSb zgqEPe{}Q%94c~&{P4dIl-#s8|iel|WC-tQ&50cv)NrsA4j=wMf+%xSjp*~qCUP@NE zV#!NRc|iUV)!ItZ++RX{AxKM5GzSA3ZTo&T4*~`!W4utn=TI%CjFs3#r7-ln1f`>N zin%2qL#!3JsTa~J<&DIlG>8J`9XdVEAC5L9s`r8cxygv}(MDsEsO?h{=ItYI9W}Bmu6Uq2UH|!N&zl zsJjnnXkRIP;#Dk^lz7^!fW8qj!8KyzZmO;W zI+KU9kr#U26SMcUVAwf!9jx#i5dzQ!hnfj4FNRS<_ZkQ2H;3cYipE+Up5gH=327C2 zOJ~B%&}#KK&IYr}X!ZEwyr`(&Nms4lGF<{?JVsPt_~UlclT_yV1^TjJY?KGBFf^2@ zaysuXyON)b$d+g<+nJ!Kp@y;PY_LI=bf6(cg&gHYd+I9^Z|~xSV!j|0+@=qy z@sY6y2MmT3|F}lMfJ{1P$P1RdQ3jwkAI6PZ(QlY=EZs&d{@aC0{1~l;4lDx}Ivcij*g6$h5kx$K(gj6IWMv!n zxj`P@T)wtS&K9D}MGI?L;s$d@VW zw(|AjTrs(bS~?v?Z86ekd*MQ{7S-Q=-cXiX)JI9#NCscC>aowM&FKup8rYZFWq^R< zBmym)<_x6A=Y*1IgN-qMIKOs~Hrn`DKb(2-iLz&d#*hI?mf(vNVBpT0wav}RG9mz1 zbHmZ)PsEkP-aenXCFxSD?=F;sS4tSAS(mTIrCu?sBEaMhseXDsXI)Fu>uo7f1mfrk za;(V55Ab1N?H~$RBJ)+;45Ww2S-Z8`G=6&!7X--bt_L8}00M!uqTjT{n=5 zt;aW*f?prvvW)CH<{)3Gl%`eXTai1*5D7q2vS?P)k4%gB+ozOi{9hSF z5oPd;FJmj)_XJ0b!N-F2csGp4@7L|Ck;?M<5IQV+TQCygL#sQM$j=FNyTsZ+WWlNB zzNfJ2JY(PZ9aC$aIjOg#(ZUtFHXS$Yh>@FaV719^>OobvxR z)dUH29H_IXkRm>UTsb2v-(E~5x>tgp)21ZQ<#ld5)IW+3ePs^)#*nHQ5If zPenE_-0>|CyHRX&Yw1yP?K~WhEvrHrrOyPh=^31Xp!S|cdRCY5@B(1l*@*U{Eq%ys zA3jcOrFS>LAcDmL^@vXP-enhbsg3Xes8v=!>46B{Y9AvN>Q_hj2BrlK%DUcpC-Dr) z`$-DHf;C=vhdd9&O^c1Z&o1dAB)|ZQ!UD8oNSO^XdK5dD5nm7W?7gXZ_U2T&^Ca=0 z?7qV&_&}G2WT#2Sd_?lRLNt!YhNfoplrrOcnsxMC`z`TOMSPMHSPsxzdV%6S^e-Iu zqRx?pj!};`$`ARjZw3odOHIT+vQV$?^+bQ~4}QYcr+ z3-%%X`Oh1K=1Jm8`d4;KW2C1Z$!}Dbaa0|Jx1UiT=MpD2Ha z8!jO1Xy0(dXYbVh#cBdGu%>vQt_8&#Oh2d5z<7{~-c9k=HINP@G%mjU5z*@7_FTK1 z+KcW&2GnJ7T;^hR2?;#8p^hd3U%(xrjsg3yB*t*Dho*D%d-@?&G&2eYAPrD6)KMRDajKoUc9aiBTbsUsMBt=aEN66uynPH&h1OT;*s}@Dh3r+9r3$S`y zL$*JAlGTcrsB_bb=XR5q7o?KuZ66X?^1qQDD?eYFV~SYOA-_4Ss zDFzzF75tVNMd^SWMVYo~FHX~E+9cfSqfJb|xvnr;Yb?O}>^vlhW~2#6wUgBEXl4%i zKuKxhlSw4lN@CMhAj#($7y&SPzc+a34vukkP(vW}Y$8&q#wpt~*K{x}l{Anewov4d zC9k`Yi(%sPPU9q105N%Bb|Xm??$GtwgwJyG5t_Ie!v}q%?+jNQL*iIVflhq%R~X*a z=Jn#$pQ;JAccT8vCqlHuH6!u1b7je8dG9Q6Yy7OEe3DTS>G2k~S-Wg_Z302I4!zgE zMe>SEm4OjhQOgJLaf?`L>>X%nY*Ig7+3cw%x78J8I<`uTa0KNGnU!k`Rp^)=olf~!lUUQgXhR>K@Ir`!PqT`RC+nf> z3dA;R1RsigOy^u!L>4^wedq!y&^F2a@(3jTSwYvXG434GXNN+BD3j#oL)0JM zTDdn53I>oA#pf>-BfgC~sYVkU`EB~pY$4es=Zam&p@%!&T7OK!Wrm&|9fnq?iy6!1 z=f=X98po|@0%_HqzV~T19O$f93)ApENs~tF@VS=}5ELJi`o@@+f>~p zJHOueU%Y%*0xr5k-oN~CRN_D3`P`01XBZ;*3raqNVFEjUxXE{>THwIjQ+~c1AJgHz zpUfP!czFdmgGNk?rF+AHg?Vq1oNx7aV=FYCCrc&EP|-aSf>tY$Bud=}KWi0BWJIu2 z)JsbhOOc_%+wz}kRG279=1J&{Dv`>P8c1ta8kZ+XlIF!0w0xZy=BiV(b2*JDD$gne z^c4|x6cW{Q{GdhJ%R2KOSy-W|K{y9_&h)(kxaV}3+6n}XLJe?cbAhIkmOFHc7(pXg015?kZ9-P@_1=Q?`;C`C-Ya!?dJ&E z!xAeCB@@i%^*3UXa6j!PbYlYnN>3+Q7^}dKCLNIs9MCTBbc^RTbOKYsgJ+qGgDMzb zwHP0Oi6$pqv>HTgA~(l{^(Ntu>uqE~JyZU89N zk?B0kX8>YawIY|;^$c7)33ztVh41 zN4XqVmcQ+ZwVd_wtJwvSUYYSK=r z9DmQ_3p>JzHO$JPi^Vu_29pr09Uzx`mCM7+?RRr_3ji2>9##Z503#rZ=$QzyY|z04 zpxDJv5P!CatZB>Dtn&49qcFOtea-$o$fB<--!zVx%aCV9KO#92*EdC@kCL@59TYfj zVgkql-^cY6kbG>7^2L4O`7Xwl54Iw1a7lA@y8&ZIGVjn9cUzb z7&?x?g^d<)wlIYjE8M|4IRoaAa}P73;OBK*Qb@v;S^fx%)4;Qu+$FduIl!j9vI3w9 zRq)f!m#7EQszD(zgF8R3DG@jwXnsG*x#W0J23|giNdZX}c9CaV$3BM)9h}H9rL{Q% z!n{gWA2FdpLC6ZtN`{#nC(+T7fI@z++88S4X0M-77EDTFTHvcGX(ucx^7AX7+)j+O z?Vkc~*8lX1{+Ga;^-mAQ7wG=e`0y`5_ut~h|NT62*1t7h{4>z~XV!mYzyH(b;D0Xn z9~SUeF9kCj!yn}QH*Xkbc1Ajme>1q7m5q(*zj6ovvkU)clIQ=dnfwQN=44_1Z;Z63 z)McH%!n(W9)OR4{L}6|O{ikephiYV0$r{5OI86=-q?@K8MLi|CK3KLWzw@(9vyvg<#w&)s(KVo>7A#;x(R#t>|)K5?v?ZFj&^;?T+&Q_ z2e}ZZ8S>t1<@5NK@&)(xE~xLSa^LwUUteE``hC)UD>FM}e{$yZ7I$98z12UP{`PE~ z$CD13rTZ->&{PMpjHYm7>{qR3a#=qUp*s>p*vdb7$9{Ar0w{WAwL zJEXKK4aoN+sOXSPw4`gAvW$lIu7L(!a{7pu1SMV9no)#LNJKjdi8l>NNz471%~mMl z?daZK#siaxvoqi`WMeA^vT7`1`-MbU=1al1GsLrBPL&0JVx}{pnN1nkp{@!9aiE~z z>f%Lvd4_l;w?z`?_`j%o#~@q2cFVVH+qP}nwszUJZQHhO?J{@ScI~pwTkpTmjXu%c zr|;WeI^urHh+L8R%=ye*D^}!~<2RI|*$sXH;Cd`SE(OaS*0zxrBsld^m1%IO+~HrW z4Ed%UYf@S^+=*85OmpODec-F%!7meA&w`L=rHEriC4uId>d!hCdz2Ri(Ap`%%FQdu zNfiw#g5NL848sZL83lOSKBZa97!jBS9bu+wcrZ1Yx z(;jlUU}EN`@M)zd57dKWU(U12Uud_hnO0~DxTm9!)zjwxK(te#=~^f-^EOmCn>5mW zXnibozlH{)Eh4TI=zg};XC=)e8Kh2zXznj6L&3AED@=u$ro5|YHbQ}+C2Mh%Lx+H7 z*B_eeiOdKh%R?l9{8%Z{izZo`;BFQV55cBA5741!6;1cTA3?g91DuLcE{r1vQv0i; zxO6z*mw23$iC)qFu~IbTS{*FN7Y@_0!ks&tQ%Ax8Vta1m0zsMK`wxUQ{Zf?rt^X?D zjHT@9Kws@n&G(%U@d-7H24|0f;w<=>+*O~y$N3H@ju^h24;~cY^MGClH8h|9Cx!?ndL|4~ZptEv0s%mRGVAguf`7Vf$ix$#kXPmQ&hL!QA?ij|#KQgW zUat@~t&Dx_OO%g|_sx_%^s?;q9Aub`?6hK+>JLNPa!zlOY3ZtXe-^9h2xxnLAT4UZ zw>+f1nn_4(3fDE2bFSzCIn_{}cWE}bD}LU;R|>+g1>{oYcn+z0zjiO8$s2Y0V9G1g z*8cT_eK;i|%0#jv>472y8iVF3Jt?|uq!#5d+e5Hl<@f~Gje33bcB^D;!q!D6-%~(h z!6Oe1lrCXSz`YE(ub+nW2cd0QpzoPSD+8SbofJ4eynx~A*S3v=h_N7N5FjOnt2P(? zgV0|2av>+mk^&{CEsY*L1E!HXpm+4)x}r!Hkh?vjDaHVn9AVD-Xu+;x7|diCG>35& z?MOF>v}heH{zLo+TE_V<4>B_=(IrrFcEY#1w}!F(kV&59nAqV9d%L>(MN?;c2{w+y z2%-G7MArNTHC_1i5jTck4K6(_@FGTI;<9R^a+pL4SVIGIJWO}tvbTO!;IA}g>t!z6 zG=GlWP;1AIFaKH(Hq|<=Md2iAu0^ZXsJ}(wls&J!LDvDviO}ZKUgP>8IPJ5bK@0lU zmb(}GUGp+If&YMiI4^PCxk2@t;9`xwSLlV{S^d_dwYrBh&U>1Go8bi!Tv%}OHrvu3 zA`}bLeBy5T8Z>gZ!VM9CK&^npc=B=!N||uaejKSOB|oLRKJ?hV^+!>EHb2cGOj0cH zy)=pU;YA(d$9eB)8z|XY?|O{ON@P=(r8uAGD=4u2!ypf9F|rlo!*1j+xs&vfLQ!u6 z9NK|=uwlzDa_3}}x|wMRr&3BjT!1z^{ zOH~dZ*)nL4Fgs%WL_hqWh#~T(fNDsH<=8pz72pq{kv80u-NKqd!1#;6XM(179zG_P zHDbND4ANWW^UoQ(jOT{gyKtfDtJR8;I|U<7OY2RdV8ciV-$o~+Kq-4ij|VO^Pc-$i zAGq*c3uK;aBK21OJaW(hC9O|qh1MJSht-W-WaX9~*xyQlzRxCN7r;NHRG8(-cTxHF z91m0SgnzuDG)A3?HKcGsWo+Ho^8aF-(YsTVNVwrG?=TF#%=cWL3n$~=npBy1ze-J` zBz6Iv_-mu#6nv?ILLU;*qK~2F-*pGRsmiHsv@1JoKJ1N1G;O;DlNKZLY`buP5zT2+ zo}UxAprcHc7Ao89t_{4%YE%rVIyYJbQZVvpdQC7D!{b&}jVkByY^z0<=yv$R5ntewFUCV8r6M;Qq~;HjQVCf77iVkVzs+gxm(O_HP^Xq zFzQ9(^c>jc6FP5zI@o3skSochMHwK{WnKCP;xIFF-Xh!?EqX*}+PJqG9NMo$4?@qm zdNVRzZ;}LDg^Sn4$C_OrOv30xZF(TsGA^=0h`;8ZhKT%q zIf5XHuoEM5S+A+gd0<=6SMefL&FMnYTMZ`w*Ws?nc1gMFc@8E zm36IB+CT5lZOH*CJe4CfEQg2-y&L(NdWMkpM@<0*KC-C!bz$?9=2!n$S6tQtbRL<7 z3k~_7BFZMOOb&CRJx!w;gz9IVWl;1vNAowDK@81bJGV<{nfb#4^fu{SEfFiHkCD9) zQ-y|q{>*dyjo2&g!si&yw(oY}!vm|@9a{)_^IJw%;rz>z=ww+V0%TkB5kCviH|2tz zNxKltHNvVz8Xom=&oe1gOlW~s;IJ`#NQ3RqwJhRb-SNq``LmVrRj=48J`3nq1Eovl zY@vkQeN4=|d3oK2d!ytm&yXt@x$6S*kF=kb+4*-o=TTNEA`@l2HV|at|IT0 zdMDuobHiIe3z$udghaRW^4gyfezpQu_;R8RbpNc~k)_?iTWV9@K?@umiUktivCnsF zw_jz$X?qafdry5MQ7VCv4o&Bg0h3y6 zGhXZ1KthAX%gpP;>7#{&ALCSGqnNChhe;{`^DH-Bg6t@n3aUnOZB z`>?%uhn>j_0`mgIly2wtTicy`rgz!3KzOE_yIeMEZV7!)EACE%RtH`KKNO8>I#<($W|tetAXk_%C7Uk7173= zDY&kD&X>%)@xB7yXXVx&L@$YjSC^875j}E_`XSQ|aIyy}|1h09xZLd7Tsh7eSK?Znm-(s6F4b;CSzR2@ zR-~~T6I6h3FKXVLNt89pTk)CTcQR@=ujT`IXdo39V*+*dpuKa8FyFp{g9`)uG14s< z6@_wCsh$)s@KEjXKLb%FPuxM)f~!xqdE?yDqdU!nnW6x5 zua~YC`f+@`6-Sk};fl{MHDL$@;_6g?VoK800W{oHk0NV)yJP$5(893-AD7Sk*4U={ zs_jTXqGoy>3~{=L9<9mKX)J6B1p_3t8VBi@2u>0y-qUJvE|s*)EZL50d(TX=$+o0C z7?TYe_X(s+v1OlYG2kv*SvC8BD12hTkD#4M@q{5bua>Y#%=q?c_=0Dp)0f__wiH|o z-A@L3CD4|(+m{UqIo?5Z?+@j#11CBP^3}h4qviEx-PMs`ApJL}0|=R^GYx0r=~?^1 zw)twY9{3p-Canz?V$^tF$4N6#|JG)_vbNM3zh>O2;%P0 zbH3e-d6yC8W&7=PyA`2xD#D0a@x%or4eyTYVC2W!?QAzi{dlCwR*|WgxguT28aYP@-e3 z{6qEQh8Pvlk+9A45_DoMAbq69RKkS}nf*q@&TB}_p-6v3E_%e8jKD-2A<+&YX&1C~ zb}D7Vj&Wkg^iCT5K4;7<0Qv7)E$6Ib1KflQDv&bO+AIMtoOn z6V>!$1o2^w=FFpLT-$Wcf(A~CYume47fEp6*?9z#y$+4YZ}hI&Qez^+6O6vxEhO+Q zYk1*FE!)sj*OuQ93)XqyX3GU7$#mq>&i;FuDBSi7jhNBpfpHAow>YNA^ZTjJrvO~_ z3)Vt}xu#b_zoPe6_`{N-b5)LNA0z{#X>7s=s-Ej=g`xZI+@j=k*wyLMb$wOTk-Blq ztCB|?siFI{B{|NNeYQ+=T^}ugbxe7I(qTUvwoX=r^L%8}#=y{>v29x*$FK{CVB2Fl zD94FgdsC0{QJyE6ahW6b-R3ujzOFqvVH3O}UZ!Rw^zAWy;qT+ldKcQXw@O!h&;~RR zmoAP<-}9~1iCK@unxBszB{R16cbK>-scD=wb@QK1&XKR@(6VkivGjakNrRsAad+(G zdOf$**Y2xeK9-j;K5tl%7^(cU{W4*YFYomo{hjFac+BN+d&VZRj{4GB#Ml-0%R~PK_-fni2(zc$vFoa zFFHs>VU=+I^Wkf^Yegg!QcUTeH4&r~0!$a4#Cfy|)*zC(TM2=@%5wchhUGxD<%;j0 z%Q}RRyo1`CVfXKPMEW&^qo*o6`xEqB>F#cGqI!G90#bzo*idPxZ_s^HYbK@RaLTa^ zQG9jkSmjMWlRV~U*gy^d6LxxD_Fl7oBgG3QN+kh`L;}SG-p@o$Ue~^cIL9(T!z7+w zfTUczhBGIOrjglTq#T_}t!YRk5d;wQ~5-TcTn2r*zPZhJ^k0o(T>3~MWab*O{gr6osg7)*0_^IFi@bZvGTp{y>!__(MO1a>!%9H5U80@sN^G{CR zMNk*}@lWwcWqe6US|12-W7LEd5^S;BX1CwS0rP-j?w@x)&W3_ZY4F$4EdMmk!=I?7 zDHh(02vNnusWPka9Enu#4Qd(G@y#FN`xtYou!>nb7upJv;P&9u_0vWWM&wUxQ#c{k zF;|Ys?!)cD5~s@kWW2T?;oCnTyUVLkSshpZjaHQM`siqNe;WuEi+8^pW6}ZF?WCz3 z@&pe80Y-upicuEBE{fGNSQXyE+x!yS*u!^n{|@FBT=Z5|&)bv3-pWW82=J_pe^G11 zNM-HC1+lc0)WBoS9yl%U9}+s&E*PVVf3NiC00ELo#$%x{kK|ecFd%fmVnapP-%=8c zP_&~_+b8ofsa93f-zpuR5N+?1_@xshPxBhkYae5>MrI7!y2O$;GXi;n_&F4oK7Q9( zF9}w^Cs7x3`!oVEDMLzEch)RY7(2vsg}7a6CWCkz*RXqbNS+f=nUJH+(F9c1P9aF= z55H#XaL2bnGCV;!QC}za@O0y(ocXJs<|LeUOwYKO*Ei~XFY9+4(kxYTNva$?rNKOhI@QTXN~a~@vBu) z@NSf_f=@5#q=U_T!A*YvIUK&T!rk;o-bEUX&W{^`{6jjAcAzm~tCj9S#u9{~>>J%sjjh{u_dsix&N!-zuQ zSW(Fq_xBYJ?}7)SHCPx?3i$^f_)sXF%PSZP(9pw((#TQRw%)J>MIZBQgcF3qGNF(y z9ULfr?z7fSoKY~eJ>Cs33WaM)B~v~;Trd`rM1K}*KQePhh`rLZN)ee*^et=NRGcIGaihFTqf$e{CD*Qvqq8GNabvChe zb|PS7`#*Im{AeQoiXHf02{`{${ZIPI|8=LrKhynB1NfJK!^p}`$Mj07Az)JW_myb#_7zq{2{J%*+uwcGaX{5pe>a$~I-kd6*bLz&o-Bb(F7on&}$|7b&- z5^p4=66!jnskT0a0=i;C=|KIovv#;ZbCW(ee~c67>WG=mmt4KnH1i0tor zF%F}sb!crYLW{n81NOHL%!b*j%JM3uJMN<>rI55b^{6zvfEk3=?7~h)Kp}1Y2D^L+(feUYU6z0XChJXCb(;Bd0d)%HEr!~p@|1C+`^ICGgD1*!umW9c0z&{sF7 zpf?UF^HeMWG(X*=tgoX_XQR8AO};{4tt$O$(NI+(4P*B5wUx}Z@Ke?(q7!xoICKfG zWjNxT>}m>WWzW?G?jO<962KWDxc0BEekeJ@X=XG>{A8WvoCMpOq=tTCisdPK^B*ZW z;`z&UOc$S5mI{9fw=ATSECjIVG$pO6K%-&6u{}?uH|(v&MF(O^q3$3B*}Js{?O#nh zs#K_vzatIpKh-T$b|^&96D@gXt&@EK2)o^r`I+n+Tjzzwm~$F8E0z+&+nk+s@*~>C zt(YikD_00SCb~9dj%Mjfj7`xZIeOjOJ#5A?e8Vlzw;+=n40+v7+Lf#_eUab_D6f*W zfNP?_Ap|Nnpr!yh8e1~!K*g>Pb%y^7^WOqnuP9nmM{}bL4Am{=gz2wPL*rFznRdKu zS+r#W;y*C#9$W8)=G2CP{@uUxor(wypl1S-L*g^FO$yZx?Q=*$tny{gwi%aMSRJo8 z9Zu;mtdgc^nGB5%t39xm`}z;)pAx^37V{2;_-0?AxMYP<%#JFzs5$B{MTF*Xm3eaa|BBPJVTc;#HbyFiRj2UT%AHP>NQMs2dq-0Dk)+p24M% zw5tmPUpehRp(w(R@rK=e!=YXmSsFDERkKRl1k|JnH6%6vG7$Xk7g<&8LMT*Zy>pGi zP2w_*Kut+BUYh{C2~U>*CWvjB+Bwx%;wq8O1Ygf&of$Q?CcEwQ(D~~;Y$ktcAI>D@ zCo3j-B2hz17bo4L{ib=dtXNWmhYctQx~GMK)CJ&0w#`OjC)rbBjRj}uH#TR+g`Jyk z%m_;4Uc7@9C>?F1vB@92Q;X=pMR~*(#f<%yutqZPJjMpG`k4?{5USpRXqr?M(9|$~>yao+43e+m#wmFyXYCjU5SsnO{bZn>x#%Q1=t~N90cXP;xnNZP%xR(8fH!*Moj!1#Dq@|3N@Bm0&+yW59y&#ihVM)j3nMCZKM-K}}2KFU4-g?P_yWT~N3N~)lx=*~MXIkgT^1#!zb=hUQ^01Hz zLzcB(fd6Y~q0Htt2d~9bvq$nZMfw2{LBm&53S{o3A{(mZ zaT|`Kvn~ZC&NP->3ocl~`N&pSiR__z@koWX%sx3?Zr>TjxV-l%Vz1Ku`}aK#D6Xj= zhY#AyPV~z>V}i0f$*buQIeu+KW%6lJMe_d8T8TarB)*HEG~UE4gZm*}^LR-x z0@PvvNY_Qe*Fj&htvoEGGsPLp1FyThNuCo>*g}ct4ntoww`u+k- zI^Kp4JZ3yoe>5>;_j=RfY?rseIZtGjSC0R7cz>?!pB9D0yC2p*@l~i;nsAB_h9(lDdtUOTb z4ZADoidTi~T4(o0mb-G zL87j62n4VR%;$!>QsZ^HLaCn|H$Xba+PKQH)N1+>2)6sZ?1!csVgAKAQw^sN@68@2 zjtfsB9b)LsK^pCX#_Ga!?JDpaM>^8|ZSw>on`f|iP;cB5AV*Mf*d)ILK8c$UFlo5F zAboqg^p3s{o(RH0Fc_(ENT&``MO=cgPTjr}I)2W_x5d}`?7-c<`|kabDwTpdT~>?2 zh@08Ma2xqdLukJRFuh$=@AleA7VZydHNXs2&>{{%CZ}-+ox{1EHAL@Re(X$!F;hvh ztZJS0-fA{556CQkeicqtD0!kp2p#U?m;K_vk5kI10)s$P)6uQBx#n?^aCtl1xbMHb z22Xwht)mU)fTWD`43lDRRj5P&7K8>3JM6VBuGbF^JhtaD3a9yA5?YgY-^Av`An7~u z#w470bGAS{%QCxGg`^gnSBh{VAPz3V$U!%fZ@MD+sFQ?{w9)-q= z{7z8DUrydpeT2*So*v+}VTW&yz>IVo=a0I+IB#4H!oI`g46bVa)$lHgrz__yEWT6t z9es9oSbX=mH8!<)ua~E1gZ+%ie4R!~zNy>~M~GAexR?(h%Y4_r$;j345%}~5D)H
+ + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+ + + +
+
+
+
+
+
+
+
+ + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + +
+
+
+
+
+ + + +
+ + + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt new file mode 100644 index 00000000..670d8471 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt @@ -0,0 +1,12 @@ +# Output products list for +fifo_xlnx_2Kx36_2clk.asy +fifo_xlnx_2Kx36_2clk.ngc +fifo_xlnx_2Kx36_2clk.sym +fifo_xlnx_2Kx36_2clk.v +fifo_xlnx_2Kx36_2clk.veo +fifo_xlnx_2Kx36_2clk.vhd +fifo_xlnx_2Kx36_2clk.vho +fifo_xlnx_2Kx36_2clk.xco +fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +fifo_xlnx_2Kx36_2clk_flist.txt +fifo_xlnx_2Kx36_2clk_xmdf.tcl diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt new file mode 100644 index 00000000..86b8f03e --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt @@ -0,0 +1,55 @@ +The following files were generated for 'fifo_xlnx_2Kx36_2clk' in directory +/home/matt/usrp2/fpga/coregen: + +fifo_xlnx_2Kx36_2clk.asy: + Graphical symbol information file. Used by the ISE tools and some + third party tools to create a symbol representing the core. + +fifo_xlnx_2Kx36_2clk.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +fifo_xlnx_2Kx36_2clk.sym: + Please see the core data sheet. + +fifo_xlnx_2Kx36_2clk.v: + Verilog wrapper file provided to support functional simulation. + This file contains simulation model customization data that is + passed to a parameterized simulation model for the core. + +fifo_xlnx_2Kx36_2clk.veo: + VEO template file containing code that can be used as a model for + instantiating a CORE Generator module in a Verilog design. + +fifo_xlnx_2Kx36_2clk.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +fifo_xlnx_2Kx36_2clk.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +fifo_xlnx_2Kx36_2clk.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: + Please see the core data sheet. + +fifo_xlnx_2Kx36_2clk_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +fifo_xlnx_2Kx36_2clk_readme.txt: + Text file indicating the files generated and how they are used. + +fifo_xlnx_2Kx36_2clk_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl new file mode 100644 index 00000000..cac25efd --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl @@ -0,0 +1,84 @@ +# The package naming convention is _xmdf +package provide fifo_xlnx_2Kx36_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_xlnx_2Kx36_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_2Kx36_2clk +} +# ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_2Kx36_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy new file mode 100644 index 00000000..ecc80b64 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_xlnx_512x36_2clk +RECTANGLE Normal 32 32 544 672 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName din[35:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Normal 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Normal 144 704 144 672 +PIN 144 704 BOTTOM 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName dout[35:0] +PINATTR Polarity OUT +LINE Normal 576 208 544 208 +PIN 576 208 RIGHT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 576 368 544 368 +PIN 576 368 RIGHT 36 +PINATTR PinName wr_data_count[8:0] +PINATTR Polarity OUT +LINE Normal 576 432 544 432 +PIN 576 432 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 576 592 544 592 +PIN 576 592 RIGHT 36 +PINATTR PinName rd_data_count[8:0] +PINATTR Polarity OUT + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc new file mode 100644 index 00000000..618ccf76 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$4::40<,[o}e~g`n;"2*413&;$8,)=;;.jli`)ji}~$ob|jgdl,phv(Wjm$jdh`_ynm|Z36:q9=S?mck/ldk4=712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123457<9:1:"=?4200877018775833:99?<<4378JJUSS2mce0>;50?37?60=G\^[YY4kotv?70<76;184i5=6:>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0956>0><2<25;:468C26>0B<22?>856:HLSQQ2@D[YY4rne\ahvsqV~c~h}g_ogdeqc;03:5=i56:HLSQQ956:HLSQQ?=AGZ^X7~}of]eqijX|axneQaefcwa9>=87;3744@UURVP?bf|hUhcx`{<983:4d<13E^X][[:sf\`drfWje~by27:1<2f>?=G\^[YY4xr^fbpdYdg|d054?>99B@ATF49437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>`9B@ATF410;255NDEPB8=8?3HNO^O2?>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828f3HNO^O27:1<;?DBCZK63245NSXL@[WC@;2H^>55MUR]JJCI63J>0OL6N2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;169GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;169GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JVF494<7IA_A=3=3>BHXH69245KOQC?7?69?2ND\L2<>69GKUD;87=0HB^M<0<4?AIWJ58556J@PC>0>5803ME[N1=13:GME6=BFK>0J?H?2:D;6>@C;2LOO95IDBG0?CBB;2LO\95IDQG6?Cgk{l;0K>5HNE08M54=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN>5FOC08HL4RB;;MWW73=K]]9T@95CUU66?HU@GG?0AXVLYb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A129M5520318J4733G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<2268J443<2D:>8:4N0050>H6:>>0B<<74:L26<595A1217?K74<=1E=>;;;O3021=I9:=?7C?<859M56?43G;?86@>4168J426<2D:8?:4N0600>H6<=>0B<::4:L2032<86@>4968J42>;2D:995A1427?K729=1E=8<;;O3631=I9<2?7C?:929M5326068J405<2D::>:4N0470>H6><>0B<874:L22<595A1617?K70<=1E=:;;;O3426=I9190B<7<;O037>H59:1E>?=4N310?K43;2D99>5A2718J7143G83?6@=929M755;<;O157>H4?:1E?5=4N2;0?K27;2D?=?5A639M37=I0;1E5>5A9718J<143G32j6@M_CWPTLHXX[E[_:5AEUULVN2VY8:<5\129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD11?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?06]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2346YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1232ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678>UYi~{ct002?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?4;463\:$kh!rg-dg}(ddbr$~iQnup\flh;978:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7>3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`33?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?0;463\:$kh!rg-dg}(ddbr$~iQnup\flh;=78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7:3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`37?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?<;473\:$kh!rg-dg}(ddbr$~iQnup\flhX8;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU:>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR<=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_203?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\076<]9%l~k }f.e`|+ekcq%yhR~ats]amkY2:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV<9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS: gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?012263=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;=?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234475>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>=269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567:88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01113>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:8>?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2341403\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=:>279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567=;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?04312>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:=>n5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123247X[^:9o6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012554YT_88<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?014163=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos23427582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<14>S7'nxm"h gbz-gim'{nT|cz}_fa?0;473\:$kh!rg-dg}(ddbr$~iQnup\cf:26;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm38?3e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]35c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[47a3\:$kh!rg-dg}(ddbr$~iQnup\cfY59o1^<"i}f/pe+be&jf`t"|k_qlwvZadW:;m7X> gsd-vc)`kq$h`fv re]sjqtXojU?=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS8?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ91g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_63e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb];63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>;:73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:?6;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:<><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1>1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=3=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj949:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf595><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1:1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=7=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj909:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5=5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb161219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^214>S7'nxm"h gbz-gim'~xT|cz}_ckm[4473\:$kh!rg-dg}(ddbr${Qnup\flhX:;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU8>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR:=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_403?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\276<]9%l~k }f.e`|+ekcq%|~R~ats]amkY0:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV29:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012362=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;<<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34575?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>>1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?010263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;??94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234645>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>;269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567<88=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01713>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:>=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos23434d3\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=8>1^QT47e<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;?>_RU262=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;:?<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34515?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>81328Q5)`zo$yj"ilx/aoo})pzVzexQhc=2=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`848582_;#j|i.sd,cf~)keas#z|Ppovq[be;:78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj682?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*quWyd~Ril<4<14>S7'nxm"h gbz-gim'~xT|cz}_fa?2;473\:$kh!rg-dg}(ddbr${Qnup\cf:06;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k525=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS=?i;T2,cw`)zo%lou lljz,swYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_33e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]05c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[17a3\:$kh!rg-dg}(ddbr${Qnup\cfY29o1^<"i}f/pe+be&jf`t"y}_qlwvZadW?;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS5<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol050=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ65=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR?=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ45=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR==5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ25=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR;=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ05=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR9=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ>512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><1<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:0<0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64;4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2868512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><5<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:080=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64?4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2828512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><9<1<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S=<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X9;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\17><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmThS7'nxm"h gm2-va)`z8$yjzh{/SCN[WBXMGUM[KZ>_00:?P6(o{l%~k!hl1,q`*au9'xm{kz R@O\V@AH]]UNB<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf273=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb>64U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe3.Mk76;:1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?=9;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf1)Lh402_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo> Ga100;?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Umn?94U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZo5m2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^mq4567:o1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h]lv567889j7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^az8584i2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qly=3=7d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Tot2=>2c8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wjs7?3=n;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Ze~4=48m6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]`}939;h1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pcx>5:6g<]9%l~k }f.eo4+tc'nxj#i}db3-vae(EziSjPdb]j[vse89:;Snw37?1a?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vf~x1>13c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~7=3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Zjr|585?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\hpr;;79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp929;k1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pltv?1;5e3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;.sf`+HurjVxoSimPi^qvf5678Vf~x1613c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7<3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Z~hz5;5?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\|jt;:79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv959;k1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pxnp?0;5e3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;.sf`+HurjVxoSimPi^qvf5678Vrd~1913c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx743<6;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/sf\`fYnW9827X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#jPdb]j[44>3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_30:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS><6;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/sf\`fYnW=827X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#jPdb]j[04>3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_70:?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS:<6;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/sf\`fYnW1997X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#jPdb]j[vse89:;0=0<2:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaVy~n=>?0=3=77=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ|uc2345:56:80Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$~iQkc^k\wpd789:7?3==;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/sf\`fYnWzi<=>?<5<06>S7'nxm"h gm2-va)`zhy%kjl1/pgg*tcWmiTeR}zb1234939;;1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%yhRjl_h]pqg67896=2><4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>37?11?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS~{m01238=8512_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(khxyuck{<1<1=>S7'nxm"h gm2-va)`zhy%~~z|/b2,gdtuqgo0<0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n> c`pq}kcs4;4956[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<3<17>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4:46;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:090=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]3[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x>_0]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$zQnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U?Sca{012357?<]9%l~k }f.eo4+tc'nxj#||tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.abvwim}6:2?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>3:75<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64849?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2=>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28685;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~86?2?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`5*p6W=Uecy>?01314>S7'nxm"h gm2-va)`zhy%~~z|/bmnt5473\:$kh!rg-dh5(ul&mym~ }suq,gjkw9;30Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\m66<]9%l~k }f.eo4+tc'nxj#||tr-qehYbey~rSklPi^mq4567;81^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789::>>5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu07?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs9;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz=259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq55<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex9<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw172<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~=>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu510>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|1827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?4;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw30?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2>>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6:2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq585>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}949W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8685k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<2<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw34?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs783Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6>2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:26Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}909:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=4=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<6<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0:0Pru0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0=0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5;5>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:56;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?7;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<5<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1;12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6=2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;?78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8=85l2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=:=[wr6n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:768l0Y=!hrg,qb*ak8'xo#~ats-`kphs484:j6[?/fpe*w`(oe:%~i!}povq+firf}6920>f:W3+bta&{l$ka>!re-qtkru'je~by2;>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<4<2b>S7'nxm"h gm2-va)uxg~y#naznu>5:4`<]9%l~k }f.eo4+tc'{zex!lotlw8286n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:?68o0Y=!hrg,qb*ak8'xo#~ats-`kphsW9;n7X> gsd-vc)`d9$yh"|nup,gjsi|V;:i6[?/fpe*w`(oe:%~i!}povq+firf}U9=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T?e:W3+bta&{l$ka>!re-qtkru'je~byQ91d9V4*aun'xm#jb?.sf,vuhsz&idyczP70g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_901?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;?7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:050=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^215>S7'nxm"h gm2-va)uxg~y#naznu]g5Z7592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V89=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R==1:W3+bta&{l$ka>!re-qtkru'je~byQk1^615>S7'nxm"h gm2-va)uxg~y#naznu]g5Z3592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V<9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R9=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^:76>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]`}969<;1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%FxlPws]geqgXkfexRgPst`3456Xkp6:29<4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Snw32?61?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^az8683:2_;#j|i.sd,ci6){%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|dSdQ|uc2345Ydq5>58?5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Tot2:>508Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_b{?2;253\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Ze~4>4??6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Ugyy2?>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_mww8483;2_;#j|i.sd,ci6){%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|dSdQ|uc2345Yk}}6929=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sa{{<2<77>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]oqq:36=90Y=!hrg,qb*ak8'}y#jyns/et`f6)mi$A~{m_vp\`drfWje~byQf_rwa4567We080;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qcuu>5:15<]9%l~k }f.eo4+qu'n}j#ixdb2-sae(EziSz|Pd`vb[firf}UbS~{m0123[iss4>4??6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Ugyy27>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_ymq8583;2_;#j|i.sd,ci6){%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|dSdQ|uc2345Yg{6:29=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sua}<3<77>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]{kw:46=90Y=!hrg,qb*ak8'}y#jyns/et`f6)mi$A~{m_vp\`drfWje~byQf_rwa4567Wqey090;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qwos>6:15<]9%l~k }f.eo4+qu'n}j#ixdb2-sae(EziSz|Pd`vb[firf}UbS~{m0123[}iu4?4??6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc28>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_ymq8=8492_;#j|i.sd,ci6){%l{l}!gvf`4+qck&}ySio{a^alqkrXaV:8=6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR?<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^005>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*quWmkmRm`uov\mZ5492_;#j|i.sd,ci6){%l{l}!gvf`4+qck&}ySio{a^alqkrXaV>8=6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR;<1:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^405>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*quWmkmRm`uov\mZ1492_;#j|i.sd,ci6){%l{l}!gvf`4+qck&}ySio{a^alqkrXaV28m6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR}zb1234969;h1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`Uxyo>?01>2:6g<]9%l~k }f.eo4+qu'n}j#ixdb2-sae({UomyoPcnwmpZoX{|h;<=>32?1b?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[vse89:;0>0!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^qvf56785>5?l5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!xr^fbpdYdg|dSdQ|uc2345:26:k0Y=!hrg,qb*ak8'}y#jyns/et`f6)mi${Qkauc\gjsi|VcTxl?012?2;5f3\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnWzi<=>?<6<0e>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*quWmkmRm`uov\mZurj9:;<161289V4*aun'xm#jb?.vp,crgt&~y"m>/bcqv|hb|5:5>45Z0.eqb+ta'nf;"z| gvcp*rus{&i:#no}rxlfp979:01^<"i}f/pe+bj7&~x$kzo|.vqww*e6'jky~t`jt=0=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+fguzpdnx1=12g9V4*aun'xm#jb?.vp,crgt&~y"m>/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$|~"ixar,twqu(k8%}=1>1229V4*aun'xm#jb?.vp,crgt&~y"m>/w3?5;443\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q9585>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?00?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5929:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T?000a?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m>/w3\0Zhh|9:;<<<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;87827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm|vndv?5;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz32?0:?P6(o{l%~k!hl1,tv*apiz$|y} c3-`ewt~fl~7?32g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';7<3<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=3=66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1=1229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?0;4e3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9V:Tmcj?01226g=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m=/w3\7Zgil9:;<<=5Z0.eqb+ta'nf;"z| gvcp*rus{&ida}?=0:W3+bta&{l$ka>!ws-dsdu)z~x#nabp30;?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkWohTe?;4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\m7e<]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTeRa}01236a=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUbSb|?01225a=R8&myj#|i/fn3*rt(zhgT{Qjn^k266=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{1368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr5:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by==4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp1433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d9?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov561=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}=986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at90:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7<32:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=0=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1<1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0>0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4:4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;<78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?0;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>6:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2:>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1812b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<5Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4>49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az828Xz}8j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8585i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=3=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2=>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?35:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|37?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050=d:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz525Sz>f:W3+bta&{l$ka>!ws-ttkru'je~by2?>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<0<2b>S7'nxm"h gm2-sw)pxg~y#naznu>1:4`<]9%l~k }f.eo4+qu'~zex!lotlw8686n2_;#j|i.sd,ci6){%||cz}/bmvjq:368l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4<4:j6[?/fpe*w`(oe:%{!xpovq+firf}6=2f:W3+bta&{l$ka>!ws-ttkru'je~by27>0g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_13f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^32a>S7'nxm"h gm2-sw)pxg~y#naznu]15`=R8&myj#|i/fn3*rt(yd~"m`uov\74c<]9%l~k }f.eo4+qu'~zex!lotlw[17b3\:$kh!rg-dh5(pz&}{by| cnwmpZ36m2_;#j|i.sd,ci6){%||cz}/bmvjqY19l1^<"i}f/pe+bj7&~x${}`{r.alqkrX?8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW1897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><5<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28=8592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R?=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^015>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z5592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V>9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R;=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^415>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z1592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V29>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1>1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>2:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;:7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90>0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=6=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:26;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87:3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<6<16>S7'nxm"h gm2-sw)pxg~y#naznu]g69>9:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U;><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q>209V4*aun'xm#jb?.vp,suhsz&idyczPd3]164=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y4:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U?><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q:209V4*aun'xm#jb?.vp,suhsz&idyczPd3]564=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y0:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U3=;5Z0.eqb+ta'dof#iazt^k\440<]9%l~k }f.ofi*bh}}UbS1^<"i}f/pe+hcj'me~xRgP1034?P6(o{l%~k!bel-gkprXaV;9=:5Z0.eqb+ta'dof#iazt^k\56703\:$kh!rg-nah)cg|~TeR?;169V4*aun'xm#`kb/emvpZoX9<;<7X> gsd-vc)jmd%ocxzPi^3552=R8&myj#|i/lgn+air|VcT=:?8;T2,cw`)zo%fi`!kotv\mZ7?9>1^<"i}f/pe+hcj'me~xRgP1835?P6(o{l%~k!bel-gkprXaV8:;6[?/fpe*w`(elg$hb{{_h]1441<]9%l~k }f.ofi*bh}}UbS??>7:W3+bta&{l$ahc dnww[lY5:8=0Y=!hrg,qb*kbe&ndyyQf_3123>S7'nxm"h mdo,`jssW`U98<94U1-dvc(un&gna"j`uu]j[736?2_;#j|i.sd,i`k(lfSdQ=6058Q5)`zo$yj"cjm.flqqYnW;=:;6[?/fpe*w`(elg$hb{{_h]1<41<]9%l~k }f.ofi*bh}}UbS?7>6:W3+bta&{l$ahc dnww[lY49>1^<"i}f/pe+hcj'me~xRgP3134?P6(o{l%~k!bel-gkprXaV9:=:5Z0.eqb+ta'dof#iazt^k\77703\:$kh!rg-nah)cg|~TeR=<169V4*aun'xm#`kb/emvpZoX;=;<7X> gsd-vc)jmd%ocxzPi^1653=R8&myj#|i/lgn+air|VcT8<84U1-dvc(un&gna"j`uu]j[0713\:$kh!rg-nah)cg|~TeR8>6:W3+bta&{l$ahc dnww[lY09?1^<"i}f/pe+hcj'me~xRgP8048Q5)`zo$yj"cjm.flqqYnW0;27X> gsd-vc)jmd%ocxzPmdo?4;7f3\:$kh!rg-nah)cg|~Tahc311<2e>S7'nxm"h mdo,`jssWdof0 gsd-vc)jmd%ocxzPmdo?5186i2_;#j|i.sd,i`k(lfS`kb<07=5d=R8&myj#|i/lgn+air|Vgna1?9>0c8Q5)`zo$yj"cjm.flqqYjmd6:;3?n;T2,cw`)zo%fi`!kotv\i`k;914:m6[?/fpe*w`(elg$hb{{_lgn84?9901^<"i}f/pe+hcj'me~xRcjm=3=5d=R8&myj#|i/lgn+air|Vgna10c8Q5)`zo$yj"cjm.flqqYjmd69=3?n;T2,cw`)zo%fi`!kotv\i`k;:;4:m6[?/fpe*w`(elg$hb{{_lgn87599h1^<"i}f/pe+hcj'me~xRcjm=07:4g<]9%l~k }f.ofi*bh}}Ufi`2=5?3b?P6(o{l%~k!bel-gkprXelg7>;0>a:W3+bta&{l$ahc dnww[hcj4;=5=l5Z0.eqb+ta'dof#iazt^ofi94?68k0Y=!hrg,qb*kbe&ndyyQbel>1=;7>3\:$kh!rg-nah)cg|~Tahc32?3b?P6(o{l%~k!bel-gkprXelg7?=0>a:W3+bta&{l$ahc dnww[hcj4:;5=l5Z0.eqb+ta'dof#iazt^ofi95568k0Y=!hrg,qb*kbe&ndyyQbel>07;7f3\:$kh!rg-nah)cg|~Tahc335<2e>S7'nxm"h mdo,`jssWdof0>;1189V4*aun'xm#`kb/emvpZkbe595=45Z0.eqb+ta'dof#iazt^ofi929901^<"i}f/pe+hcj'me~xRcjm=7=5<=R8&myj#|i/lgn+air|Vgna181189V4*aun'xm#`kb/emvpZkbe5=5=45Z0.eqb+ta'dof#iazt^ofi9>9901^<"i}f/pe+hcj'me~xRcjm=;=2`=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY3Y+tfe'x$z?Qaohljp+tfe&n{?;v<6/pbi45<]9%l~k }f.pbiZtcWld:;6[?/fpe*w`(zhgT~hi`uu]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!}d^pppZgtzlm9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh<1d9V4*aun'xm#}{bmi,vaYu{}Uyij2>>0g8Q5)`zo$yj"||tcnh+wbXzz~T~hi32?3g?P6(o{l%~k!}su`oo*tcW{ySkh_03g?P6(o{l%~k!}su`oo*tcW{ySkh_33g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb59j1^<"i}f/pe+wusjea${Q}su]`khd6l2_;#j|i.sd,vvredb%|~R||t^alig76m2_;#j|i.sd,vvredb%|~R||t^pfc9699l1^<"i}f/pe+wusjea${Q}su]qab:668n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX88n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX9h1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG0?RCF;2]NNo5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl`?djjgz~Ti`~{yc9bhhit|Vl~`a84b`ahqubbf|hUhcx`{(7+g?agsiVidycz'7(f8`drfWje~by&7)e9geqgXkfex1>1f:fbpdYdg|d054?>69gflrbz{<0hd`'0(48`lh/9 =0hd`'11+4?aoi 8;";6jfn)31-2=cag":?$94dhl+51/03mce$<;&7:fjj-71!>1oec&>7(58`lh/91#<7iga(0;*2>bnf!8";6jfn)03-2=cag"9=$94dhl+67/03mce$?=&7:fjj-43!>1oec&=5(58`lh/:?#<7iga(35*3>bnf!83%:5kio*1=,0?&7:fjj-55!>1oec&<3(58`lh/;=#<7iga(27*2>bnf!>":6jfn)7*2>bnf!<":6jfn)5*2>bnf!2":6jfn);*2>bnf5:5;6jfn=33:2=cag6:=394dhl?57803mce0<=17:fjj9736>1oec2>5?58`lh;9?4<7iga<05=3>bnf5;32:5kio>2=;01oec2=3?58`lh;:=4<7iga<37=3>bnf58=2:5kio>13;1508;ekm87?9>2nbb1<17:fjj9576>1oec2<1?58`lh;;;4<7iga<21=3>bnf59?245kio>01?69?2nbb1=:>79gmk:46?1oec2;>79gmk:26?1oec29>79gmk:06?1oec27>79gmk:>6>1ocxz'0(58`jss 8#37iazt)33-==cg|~#=<'7;emvp-75!11ocxz'12+;?air|!;?%55kotv+50/?3me~x%?9)99gkpr/9>#37iazt)3;-==cg|~#=4'8;emvp-4.02ndyy&=0(:8`jss ;;"46j`uu*16,>bh}}"9:$64dnww,71.02ndyy&=8(:8`jss ;3";6j`uu*0-==cg|~#?='7;emvp-56!11ocxz'33+;?air|!98%55kotv+71/?3me~x%=:)69gkpr/< =0hb{{(4+4?air|!<";6j`uu*4-2=cg|~#4$94dnww,18:flqq:68720hb{{<03=<>bh}}6:>364dnww845902ndyy2>4?:8`jss48?546j`uu>22;>bh}}6:255kotv?658?3me~x1<>>99gkpr;:;437iazt=00:==cg|~7>907;emvp942611ocxz327<;?air|58<255kotv?6=8?3me~x1<6>69gkpr;:720hb{{<22=<>bh}}68=364dnww864902ndyy2<3?:8`jss4:>5m6j`uu>01?6902ndyy2<5?58`jss4:4<7iazt=6=3>bh}}6>2:5kotv?2;19?2ndyy26>99f`l`5fnn>7hctx0e?coagVmnbh|ntnp\r1Y4$)Rb`d`w BMQA%Abflxjxb|/11,250=aaoeTta`w_431|60X:jf`?>5iigm\|ihW<;9t>8P2bnh(coagVmnbh|ntnp\r1Y4$GEEI!@@ND1`7>`nnfUs`cvP500{73Y5kea'jdh`_fgmawgsg{U}8R=#{b]kevYnf}Uh`f3?,b]kevYtzz~64)eX}gnn~kb`w^nls86+kVbjRayesdokr;7$jU{~hb`ae]oeqcikp7; nQkotv\slbs`49= nQbsfmm[sgk58&hSjPddrwl836:%iTdl}Puoffvcjh4:'oRfns^coijusWog`0>#c^jbwZtt|4;'oRjnt`]`kphsW~coxe36,b]kevYpzVkhg0>#c^wpaZcdk4:'oRm`mlmm[fjhkb7; nQxr^c`oZjh4:'oR|k_qlwvZqnl}b65!mPurg\br`sWmkmRm`uov>4)eX`hyTmac`su]fiur~59&hSjPd`vb[firf}U|eizg=8.`[jpbzofd{Rb`w<2/gZquWyd~Ryfduj>=)eXx{elShctx]w}uc:8%iT{Qkauc\gjsi|V}bhyf29-a\twckghnT~hi|=0.`[air|VxxxRxnl<2/gZnf{VnjxlQlotlw95*dWyxdkRhzlm]wlwct`Vdnklzj_wco9077$jU|~Rjjpuj>144+kVzycjQjmqvz[qnumzbTbhintd]uei;2$jU{~biPelrw}ZrozlycSl}|esv\rdj:<%iTdl}Pv`nj`86+kVzycjQiumn\pmtb{aUj~k}t^tbh8369%ida}aaeov\jdkb5ocmcRvcny]657~4>V8h`f"l_wcomaYkg~7; nQrho\bl`hW}s{i0;>2y15(fYwzfmTjxbc_u{sa86+kVgnab|v_u{sa87+u;l0jdh`_ynm|Z36:q9=S?mck^djbjY`mgoymya}_w6\7Z~t|V;?7k{cl69jjqYddb20bjmmuhng<>iqm{lgczo4psmd[`kw|p;?7}|`g^gntqX|axne&?)068twi`Wlg{xtQ{hsgpl-7.9=1{~biPelrw}Zrozlyc$?'>4:rqkbYbey~rSyf}erj+7,733yxdkRkbpu{\pmtb{a"?%<:4psmd[`kw|pUdk|h)7*51=wzfmTi`~{y^vkv`uo ?#:86~}of]fiur~W}byi~f'7(37?uthoVof|ywPtipfwm.?!8<0|ah_dosp|Ys`{oxd1650?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdzuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5rne\ahvsqV~c~h}g_`qpawr/; ;i7}|`g^gntqX|axneQnsrgqp-2.9k1{~biPelrw}ZrozlycSl}|esv+1,7e3yxdkRkbpu{\pmtb{aUj~k}t)4*5g=wzfmTi`~{y^vkv`uoWhyxiz'7(3a?uthoVof|ywPtipfwmYf{zoyx%6&1e9svjaXmdzuRzgrdqk[dutm{~747>11c9svjaXmdzuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=o5rne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntqX|axneQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnki%9&1c9svjaXmdzuRzgrdqk[kc`i}o#4$?k;qplcZcjx}sTxe|jsi]mabgsm521<374psmd[cskd890|ah_gwohZrozlyc$='>3:rqkbYa}efTxe|jsi*2-45%<=4psmd[cskdV~c~h}g(7+27>vugnUmyabPtipfwm.0!890|ah_gwohZrozlyc$5'>5:rqkbYa}efTxe|jsi>;>586i2zycjQiumn\pmtb{aUj~k}t)2*5d=wzfmTjxbc_ujqavnXizyn~y&>)0c8twi`Wog`Rzgrdqk[dutm{~#>$?n;qplcZ`rdeUdk|h^cpw`ts :#:m6~}of]eqijX|axneQnsrgqp-2.9h1{~biPftno[qnumzbTm~}jru*6-4ga:rqkbYa}efTxe|jsi]bwvcu|!2"=n5rne\bpjkW}byi~fParqfvq:?294:m6~}of]eqijX|axneQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4g'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8k0|ah_gwohZrozlycSckhaug+2,7f3yxdkRhzlm]wlwct`Vdnklzj(6+2e>vugnUmyabPtipfwmYimnki%6&1b9svjaXn|fgSyf}erj\j`af|l636=09;sf\gim682xoSio{a^alqkr/8 ;;7jPd`vb[firf}":%<>4re]geqgXkfex%<&119q`Zbf|hUhcx`{(2+24>tcWmkmRm`uov+0,773{nThlzn_bmvjq.2!8:0~iQkauc\gjsi|!<"==5}d^fbpdYdg|d$:'>0:pg[agsiVidycz'8(33?wbXlh~jSnaznu>3:46028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;97jPd`vb[firf}636=0>0:pg[agsiVidycz38?78vaYbf?1yhR||t29qwq1<{kci|;;rppp1=sz|o27x`kesdokr3<~hfbh;5xr^c`o3=pzVigg<>4ws]geqgXkfex%>&119tvZbf|hUhcx`{(0+24>quWmkmRm`uov+6,773~xThlzn_bmvjq.4!8:0{Qkauc\gjsi|!>"==5xr^fbpdYdg|d$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<{UomyoPcnwmp->.991|~Rjnt`]`kphs494:<6y}_ecweZeh}g~7=3??;vp\`drfWje~by2=>028swYci}kTob{at=1=55=pzVnjxlQlotlw818682}ySio{a^alqkr;=7;;7z|Pd`vb[firf}6=2<>4ws]geqgXkfex191139tvZbf|hUhcx`{<983:46<{UomyoPcnwmp9>9=2}ySh`9;vp\vvrzHIzhjh5O@y39B?2=9rY?o7;?d;4956410<21?;jj4zl72=<63g>=57:4$545>1073tY?m7;?d;4956410<21?;jj4:Q203<29?0;6<==697;>60cm<1X8l4:1783>455>1?36>8ke69g146=83;1=v];c;73`?0=9:8=486537ff0>pS9j81<7?51;3`e~U3k3?;h7851205<0>=;?nn86*;5`8202=Qv{>5182?p7293:0q)?ma;33?g3683:1:<4<:73xL13?3S>:69u=9;0b>`290/=om557:8j4de2?10c8;7:18'5ge==?20b:18'5ge==9>0b0b0b0b290/=om55168j4de2>10c9j7:18'5ge==9>0b0b0b0b0b!7ek3?;86`>bc826>=hbb8641=i9kh1=>54o5aa>5<#9ki19=:4n0`a>42<3f>hm7>5$0``>0633g;in7?:;:m7g<<72-;io7;?4:l2fg<6>21d8n650;&2ff<28=1e=ol51698k1e0290/=om55168j4de28207b:l6;29 4dd2<:?7c?mb;3:?>i3m>0;6)?mc;730>h6jk0:m65`4d494?"6jj0><95a1c`95g=6=4+1ca9152;;o3af?7c32e?i>4?:%3ag?37<2d:no4>e:9l0`4=83.:nn4:059m5gd=9o10c9jm:18'5ge==9>0b!7ek3?;86`>bc816>=h1<7*>bb8641=i9kh1>>54i5;;>5<#9ki18ol4n0`a>5=5<#9ki18ol4n0`a>7=6=4+1ca90gd54i5;7>5<#9ki18ol4n0`a>1=5<#9ki18ol4n0`a>3=5<#9ki18ol4n0`a>==5<#9ki18ol4n0`a>d=5<#9ki18ol4n0`a>f=5<#9ki18ol4n0`a>`=5<#9ki18ol4n0`a>46<3`>397>5$0``>1de3g;in7?>;:k7<1<72-;io7:mb:l2fg<6:21b85=50;&2ff<3jk1e=ol51298m1>5290/=om54c`8j4de28>07d:71;29 4dd2=hi7c?mb;36?>o3090;6)?mc;6af>h6jk0::65f46d94?"6jj0?no5a1c`952=32c?5h4?:%3ag?2ej2d:no4>a:9j0j3:1(!7ek3>in6`>bc82a>=n<031<7*>bb87fg=i9kh1=k54i5;1>5<#9ki18ol4n0`a>76<3`>3;7>5$0``>1de3g;in7<>;:k73f<72-;io7:mb:l2fg<5:21b8:l50;&2ff<3jk1e=ol52298m0312900n9;6:182>5<7sA>>46*>c6871<=h9k31<75rbg194?7=83:pD9;7;%3`3?`43fl96=44}c63>57?=::0o6?9527811?432;81q)?l7;727>"6<:0m=6*;5;725>"3j3?:>6*>b582f==hbb8667=i9kh1<65f53294?"6jj0>>?5a1c`95>=n=8l1<7*>bb8667=i9kh1>65f50g94?"6jj0>>?5a1c`97>=n=8n1<7*>bb8667=i9kh1865f50a94?"6jj0>>?5a1c`91>=n=8h1<7*>bb8667=i9kh1:65f50c94?"6jj0>>?5a1c`93>=n=831<7*>bb8667=i9kh1465`52594?=n=?o1<75`56394?=n==k1<7*>bb860g=i9kh1<65f55;94?"6jj0>8o5a1c`95>=n==21<7*>bb860g=i9kh1>65f55594?"6jj0>8o5a1c`97>=n==<1<7*>bb860g=i9kh1865f55794?"6jj0>8o5a1c`91>=n==>1<7*>bb860g=i9kh1:65f55194?"6jj0>8o5a1c`93>=n==81<7*>bb860g=i9kh1465f57c94?=h=8=1<75`50:94?=n:55a1c`94>=h=bb862==i9kh1=65`54f94?"6jj0>:55a1c`96>=h=bb862==i9kh1?65`54`94?"6jj0>:55a1c`90>=h=bb862==i9kh1965`54;94?"6jj0>:55a1c`92>=h=<21<7*>bb862==i9kh1;65`54594?"6jj0>:55a1c`9<>=n=;h1<7*>bb866f=i9kh1<65f53c94?"6jj0>>n5a1c`95>=n=;31<7*>bb866f=i9kh1>65f53:94?"6jj0>>n5a1c`97>=n=;=1<7*>bb866f=i9kh1865f53494?"6jj0>>n5a1c`91>=n=;?1<7*>bb866f=i9kh1:65f53694?"6jj0>>n5a1c`93>=n=;91<7*>bb866f=i9kh1465f59594?=h=>i1<7*>bb86<0=i9kh1<65`56`94?"6jj0>485a1c`95>=h=>k1<7*>bb86<0=i9kh1>65`56;94?"6jj0>485a1c`97>=h=>21<7*>bb86<0=i9kh1865`56594?"6jj0>485a1c`91>=h=><1<7*>bb86<0=i9kh1:65`56794?"6jj0>485a1c`93>=h=>>1<7*>bb86<0=i9kh1465`50694?=h=9o1<75f55294?"6jj0>8<5a1c`94>=n=:l1<7*>bb8604=i9kh1=65f52g94?"6jj0>8<5a1c`96>=n=:n1<7*>bb8604=i9kh1?65f52a94?"6jj0>8<5a1c`90>=n=:h1<7*>bb8604=i9kh1965f52c94?"6jj0>8<5a1c`92>=n=:31<7*>bb8604=i9kh1;65f52:94?"6jj0>8<5a1c`9<>=n=>91<75f50794?=n:1<75f57a94?=hbb8641=i9kh1<65`4d294?"6jj0><95a1c`95>=hbb8641=i9kh1>65`4eg94?"6jj0><95a1c`97>=hbb8641=i9kh1865`4ea94?"6jj0><95a1c`91>=hbb8641=i9kh1:65`4e;94?"6jj0><95a1c`93>=hbb8641=i9kh1465`4e594?"6jj0><95a1c`9=>=hbb8641=i9kh1m65`4e794?"6jj0><95a1c`9f>=h1<7*>bb8641=i9kh1o65`4e194?"6jj0><95a1c`9`>=hbb8641=i9kh1i65`4e394?"6jj0><95a1c`9b>=hbb8641=i9kh1==54o5af>5<#9ki19=:4n0`a>47<3f>hh7>5$0``>0633g;in7?=;:m7gf<72-;io7;?4:l2fg<6;21d8nl50;&2ff<28=1e=ol51598k1ef290/=om55168j4de28?07b:l9;29 4dd2<:?7c?mb;35?>i3k10;6)?mc;730>h6jk0:;65`4b594?"6jj0><95a1c`95==;;o3af?7f32e?i;4?:%3ag?37<2d:no4>b:9l0`3=83.:nn4:059m5gd=9j10c9k;:18'5ge==9>0b!7ek3?;86`>bc82b>=hbb8641=i9kh1>=54o5f3>5<#9ki19=:4n0`a>77<3f>h97>5$0``>0633g;in7<=;:m7g1<72-;io7;?4:l2fg<5;21b84650;&2ff<3jk1e=ol50:9j0<1=83.:nn4;bc9m5gd=921b84850;&2ff<3jk1e=ol52:9j0<3=83.:nn4;bc9m5gd=;21b84:50;&2ff<3jk1e=ol54:9j0<5=83.:nn4;bc9m5gd==21b84?50;&2ff<3jk1e=ol56:9j0<6=83.:nn4;bc9m5gd=?21b85h50;&2ff<3jk1e=ol58:9j0=c=83.:nn4;bc9m5gd=121b85j50;&2ff<3jk1e=ol5a:9j0=e=83.:nn4;bc9m5gd=j21b85l50;&2ff<3jk1e=ol5c:9j0=g=83.:nn4;bc9m5gd=l21b85750;&2ff<3jk1e=ol5e:9j0=>=83.:nn4;bc9m5gd=n21b85850;&2ff<3jk1e=ol51198m1>2290/=om54c`8j4de28;07d:74;29 4dd2=hi7c?mb;31?>o30:0;6)?mc;6af>h6jk0:?65f49094?"6jj0?no5a1c`951=7:9j02c=83.:nn4;bc9m5gd=9110e99k:18'5ge=m3:1(!7ek3>in6`>bc82f>=n<0i1<7*>bb87fg=i9kh1=n54i5;a>5<#9ki18ol4n0`a>4b<3`>2m7>5$0``>1de3g;in7?j;:k7=<<72-;io7:mb:l2fg<6n21b84<50;&2ff<3jk1e=ol52198m1>0290/=om54c`8j4de2;;07d:8c;29 4dd2=hi7c?mb;01?>o3?k0;6)?mc;6af>h6jk09?65`47`94?=n985a1c`94>=n=<91<7*>bb8610=i9kh1=65f54094?"6jj0>985a1c`96>=n=<;1<7*>bb8610=i9kh1?65f54294?"6jj0>985a1c`90>=n==l1<7*>bb8610=i9kh1965f55g94?"6jj0>985a1c`92>=n==n1<7*>bb8610=i9kh1;65f55a94?"6jj0>985a1c`9<>=h<>=1<7*>bb873<=i9kh1<65`46494?"6jj0?;45a1c`95>=h<>?1<7*>bb873<=i9kh1>65`46694?"6jj0?;45a1c`97>=h<>91<7*>bb873<=i9kh1865`46094?"6jj0?;45a1c`91>=h<>;1<7*>bb873<=i9kh1:65`46294?"6jj0?;45a1c`93>=hbb873<=i9kh1465f52794?"6jj0>?;5a1c`94>=n=:>1<7*>bb8673=i9kh1=65f52194?"6jj0>?;5a1c`96>=n=:81<7*>bb8673=i9kh1?65f52394?"6jj0>?;5a1c`90>=n=::1<7*>bb8673=i9kh1965f53d94?"6jj0>?;5a1c`92>=n=;o1<7*>bb8673=i9kh1;65f53f94?"6jj0>?;5a1c`9<>=h<>k1<75f54494?=eia:3:17pl;6583>4<729q/=n9544;8L1053A>>46a>b883>>{e<==1<7=50;2x 4e02lh0D98=;I66<>N4n2.:<=4=;h61>5<52:k76?6=3`>o6=44o0`f>5<?:7>53;294~"6k>0nn6F;639K00><@:l0(<>?:39j07<722c?h7>5;n3aa?6=3th?8h4?:483>5}#9j=1j=5G4708L13?3A9m7)??0;08m14=831b894?::k7`?6=3`;ih7>5;n3aa?6=3th?8k4?:283>5}#9j=1io5G4708L13?3A9m7)??0;08m14=831b8i4?::m2f`<722wi89;50;794?6|,8i<6k>4H541?M2202B8j6*>0181?l252900e9:50;9j0a<722c:ni4?::m2f`<722wi89j50;694?6|,8i<6hh4H541?M2202.:<=4=;h61>5<5<3290;w)?l7;ge?M21:2B?955+11296>o3:3:17d:k:188m4dc2900c3:187>50z&2g25;n3aa?6=3th?984?:583>5}#9j=1ik5G4708L13?3-;;<7<4i5094?=nbe83>>i6jl0;66sm2gf94?2=83:p(>46*>0186?l252900e9j50;9j5gb=831d=ok50;9~f7e4290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?m::187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb3a5>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f7e0290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?m6:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb3ab>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f7ee290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2c2900e?:39j07<722c?h7>5;h3a`?6=3f;ii7>5;|`024<72=0;6=u+1b59af=O>o3l3:17b?me;29?xd4>;0;694?:1y'5f1=mj1C8;<4H57;?!778380e9<50;9j0<<722c?h7>5;n3aa?6=3th8:>4?:583>5}#9j=1in5G4708L13?3-;;<7<4i5094?=n<00;66g;d;29?j7em3:17pl<6583>1<729q/=n95eb9K034<@=?37)??0;08m14=831b844?::k7`?6=3f;ii7>5;|`020<72=0;6=u+1b59af=O>o3l3:17b?me;29?xd4>?0;694?:1y'5f1=mj1C8;<4H57;?!778380e9<50;9j0<<722c?h7>5;n3aa?6=3th8::4?:583>5}#9j=1in5G4708L13?3-;;<7<4i5094?=n<00;66g;d;29?j7em3:17pl<6983>1<729q/=n95eb9K034<@=?37)??0;08m14=831b844?::k7`?6=3f;ii7>5;|`02<<72=0;6=u+1b59af=O>o3l3:17b?me;29?xd5j80;6>4?:1y'5f1=9=30D98=;I66<>"6890:o6gi4;29?l`22900c50z&2g2<65<7s-;h;7?;9:J727=O<<20(<>?:0a8mc2=831bj84?::m2f2<722wi>lo50;694?6|,8i<6<:i;I656>N3=11b8;4?::k7a?6=3`;h<7>5;n3a3?6=3th9no4?:283>5}#9j=18;?4H541?M2202c?:7>5;h370?6=3f;i;7>5;|`1f6<72:0;6=u+1b5951?<@=<97E::8:&245<6k2cm87>5;hd6>5<c6820c=O5<5<4290;w)?l7;655>N3>;1C8864i5494?=n9=>1<75`1c594?=zj;h?6=4<:183!7d?3;?56F;639K00><,8:;6b683>>{e:hi1<7:50;2x 4e028>m7E:92:J71==n4?:1y'5f1=o3>3:17d?;4;29?j7e?3:17pl=b483>6<729q/=n9515;8L1053A>>46*>0182g>oa<3:17dh::188k4d02900qo4g9K034<@=?37d:9:188m1c=831b=n>50;9l5g1=831vn?lj:180>5<7s-;h;7:91:J727=O<<20e9850;9j512=831d=o950;9~f7d129086=4?{%3`3?7312B?:?5G44:8 46728i0ek:50;9jb0<722e:n:4?::a6dc=83>1<7>t$0a4>42a3A>=>6F;599j03<722c?i7>5;h3`4?6=3f;i;7>5;|`1fc<72:0;6=u+1b59037<@=<97E::8:k72?6=3`;?87>5;n3a3?6=3th9n:4?:283>5}#9j=1=974H541?M2202.:<=4>c:ke0?6=3`l>6=44o0`4>5<54;294~"6k>0:8k5G4708L13?3`>=6=44i5g94?=n9j:1<75`1c594?=zj;i;6=4<:183!7d?3>==6F;639K00>5<4290;w)?l7;37=>N3>;1C8864$023>4e1<75ff483>>i6j>0;66sm2c294?2=83:p(>o3m3:17d?l0;29?j7e?3:17pl=c083>6<729q/=n954738L1053A>>46g;6;29?l73<3:17b?m7;29?xd5j00;6>4?:1y'5f1=9=30D98=;I66<>"6890:o6gi4;29?l`22900c50z&2g2<3>81C8;<4H57;?l212900e<:;:188k4d02900qo=;f;297?6=8r.:o:4>489K034<@=?37)??0;3`?l`32900ek;50;9l5g1=831vn>:8:187>5<7s-;h;7?;f:J727=O<<20e9850;9j0`<722c:o=4?::m2f2<722wi?8650;194?6|,8i<698>;I656>N3=11b8;4?::k201<722e:n:4?::a706=8391<7>t$0a4>42>3A>=>6F;599'556=9j1bj94?::ke1?6=3f;i;7>5;|`00=<72=0;6=u+1b5951`<@=<97E::8:k72?6=3`>n6=44i0a3>5<c68724=O5<?6=44o0`4>5<=7>53;294~"6k>0:845G4708L13?3-;;<7?l;hd7>5<26=4;:183!7d?3;?j6F;639K00>>o6k90;66a>b683>>{e;4583>>i6j>0;66sm34094?5=83:p(1<729q/=n9515d8L1053A>>46g;6;29?l2b2900e50z&2g2<6<01C8;<4H57;?!7783;h7dh;:188mc3=831d=o950;9~f62e290?6=4?{%3`3?73n2B?:?5G44:8m10=831b8h4?::k2g5<722e:n:4?::a70e=8391<7>t$0a4>1063A>=>6F;599j03<722c:894?::m2f2<722wi?8:50;194?6|,8i<6<:6;I656>N3=11/==>51b9jb1<722cm97>5;n3a3?6=3th88n4?:583>5}#9j=1=9h4H541?M2202c?:7>5;h6f>5<5<h7>53;294~"6k>0?:<5G4708L13?3`>=6=44i067>5<c6820<=O5<3290;w)?l7;37b>N3>;1C8864i5494?=nc183>>i6j>0;66sm34g94?5=83:p(>o6<=0;66a>b683>>{e;<<1<7=50;2x 4e028>27E:92:J71==#99:1=n5ff583>>oa=3:17b?m7;29?xd4o3>3:17d:j:188m4e72900c50z&2g2<3>81C8;<4H57;?l212900e<:;:188k4d02900qo=:7;297?6=8r.:o:4>489K034<@=?37)??0;3`?l`32900ek;50;9l5g1=831vn>8?:180>5<7s-;h;7:91:J727=O<<20e9850;9j512=831d=o950;9~f7bc290?6=4?{%3`3?73n2B?:?5G44:8m10=831b8h4?::k2g5<722e:n:4?::a6ae=83>1<7>t$0a4>42a3A>=>6F;599j03<722c?i7>5;h3`4?6=3f;i;7>5;|`1`g<72=0;6=u+1b5951`<@=<97E::8:k72?6=3`>n6=44i0a3>5<c6820c=O5<5<3290;w)?l7;37b>N3>;1C8864i5494?=nc183>>i6j>0;66sm2g294?2=83:p(>o3m3:17d?l0;29?j7e?3:17pl=eg83>1<729q/=n9515d8L1053A>>46g;6;29?l2b2900e4g9K034<@=?37d:9:188m1c=831b=n>50;9l5g1=831vn?kk:187>5<7s-;h;7?;f:J727=O<<20e9850;9j0`<722c:o=4?::m2f2<722wi>hm50;694?6|,8i<6<:i;I656>N3=11b8;4?::k7a?6=3`;h<7>5;n3a3?6=3th8;o4?:583>5}#9j=1=9h4H541?M2202c?:7>5;h6f>5<5<54;294~"6k>0:8k5G4708L13?3`>=6=44i5g94?=n9j:1<75`1c594?=zj:=26=4;:183!7d?3;?j6F;639K00>>o6k90;66a>b683>>{e;>21<7:50;2x 4e028>m7E:92:J71==n0;694?:1y'5f1=9=l0D98=;I66<>o3>3:17d:j:188m4e72900c50z&2g2<6c290?6=4?{%3`3?73n2B?:?5G44:8m10=831b8h4?::k2g5<722e:n:4?::a7=e=83>1<7>t$0a4>42a3A>=>6F;599j03<722c?i7>5;h3`4?6=3f;i;7>5;|`0n6=44i0a3>5<c6820c=O5<5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f4c?290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb0g6>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f4c3290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb0g2>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f72e290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<j6=4;:183!7d?3oh7E:92:J71==#99:1>6g;2;29?l2>2900e9j50;9l5gc=831vn?:6:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb36;>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f720290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<=6=4;:183!7d?3oh7E:92:J71==#99:1>6g;2;29?l2>2900e9j50;9l5gc=831vn?:::187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb367>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f724290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?7=:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb3;2>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f7?7290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?6j:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb3:g>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f7>d290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?=>:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb313>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f74a290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb30`>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f74e290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?<6:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb3:2>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb35e>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb35g>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb35a>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb35:>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb33f>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb33`>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb33b>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb33;>5<3290;w)?l7;g`?M21:2B?955G3g9'556=:2c?>7>5;h6:>5<52:k76?6=3`>26=44i5f94?=h9ko1<75rb32g>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f76d290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?>n:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb32:>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f76?290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?>9:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb326>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f70a290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?8k:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb34`>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f70e290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l2>2900e9j50;9l5gc=831vn?86:187>5<7s-;h;7kl;I656>N3=11/==>52:k76?6=3`>26=44i5f94?=h9ko1<75rb34;>5<3290;w)?l7;g`?M21:2B?955+11296>o3:3:17d:6:188m1b=831d=ok50;9~f700290?6=4?{%3`3?cd3A>=>6F;599'556=:2c?>7>5;h6:>5<6g;2;29?l232900e9750;9j0a<722e:nh4?::a765=83?1<7>t$0a4>`b<@=<97E::8:&245<53`>96=44i5694?=n<00;66g;d;29?j7em3:17pl<3383>0<729q/=n95ee9K034<@=?37)??0;08m14=831b894?::k7=?6=3`>o6=44o0`f>5<55;294~"6k>0nh6F;639K00><,8:;6?5f4383>>o3<3:17d:6:188m1b=831d=ok50;9~f657290>6=4?{%3`3?cc3A>=>6F;599'556=:2c?>7>5;h67>5<>i6jl0;66sm33d94?3=83:p(>46*>0181?l252900e9:50;9j0<<722c?h7>5;n3aa?6=3th8>i4?:483>5}#9j=1ii5G4708L13?3-;;<7<4i5094?=n<=0;66g;9;29?l2c2900c50z&2g2o6=44i0`g>5<c68f`>N3>;1C8864$023>7=n<;0;66g;4;29?l2>2900e9j50;9l5gc=831vn><>:180>5<7s-;h;7:91:J727=O<<20e9850;9j512=831d=o950;9~f64729086=4?{%3`3?2192B?:?5G44:8m10=831b=9:50;9l5g1=831vn>?8:187>5<7s-;h;7?;f:J727=O<<20e9850;9j0`<722c:o=4?::m2f2<722wi?;I656>N3=11b8;4?::k201<722e:n:4?::a743=83>1<7>t$0a4>42a3A>=>6F;599j03<722c?i7>5;h3`4?6=3f;i;7>5;|`05`<72:0;6=u+1b59037<@=<97E::8:k72?6=3`;?87>5;n3a3?6=3th8=>4?:583>5}#9j=1=9h4H541?M2202c?:7>5;h6f>5<5<53;294~"6k>0?:<5G4708L13?3`>=6=44i067>5<c6820c=O5<5<4290;w)?l7;655>N3>;1C8864i5494?=n9=>1<75`1c594?=zj::m6=4;:183!7d?3;?j6F;639K00>>o6k90;66a>b683>>{e;8h1<7=50;2x 4e02=<:7E:92:J71==n4583>>i6j>0;66sm31f94?2=83:p(>o3m3:17d?l0;29?j7e?3:17pl<1`83>6<729q/=n954738L1053A>>46g;6;29?l73<3:17b?m7;29?xd48k0;694?:1y'5f1=9=l0D98=;I66<>o3>3:17d:j:188m4e72900c50z&2g2<3>81C8;<4H57;?l212900e<:;:188k4d02900qo=?9;290?6=8r.:o:4>4g9K034<@=?37d:9:188m1c=831b=n>50;9l5g1=831vn>:9:186>5<7s-;h;7kk;I656>N3=11/==>52:k76?6=3`>?6=44i5;94?=nbd83>>{e;=?1<7;50;2x 4e02ln0D98=;I66<>"689097d:=:188m12=831b844?::k7`?6=3f;ii7>5;|`001<72<0;6=u+1b59aa=O>o313:17d:k:188k4db2900qo=;3;291?6=8r.:o:4jd:J727=O<<20(<>?:39j07<722c?87>5;h6:>5<96=4::183!7d?3oo7E:92:J71==#99:1>6g;2;29?l232900e9750;9j0a<722e:nh4?::a717=83?1<7>t$0a4>`b<@=<97E::8:&245<53`>96=44i5694?=n<00;66g;d;29?j7em3:17pl<4183>0<729q/=n95ee9K034<@=?37)??0;08m14=831b894?::k7=?6=3`>o6=44o0`f>5<55;294~"6k>0nh6F;639K00><,8:;6?5f4383>>o3<3:17d:6:188m1b=831d=ok50;9~f65b290>6=4?{%3`3?cc3A>=>6F;599'556=:2c?>7>5;h67>5<>i6jl0;66sm2`094?2=83:p(>46*>0181?l252900e9750;9j0a<722e:nh4?::a6<`=83>1<7>t$0a4>`e<@=<97E::8:&245<53`>96=44i5;94?=nbd83>>{e:h<1<7:50;2x 4e02ll0D98=;I66<>"6890>7d:=:188m1b=831b=oj50;9l5gc=831vn?o::187>5<7s-;h;7ki;I656>N3=11/==>55:k76?6=3`>o6=44i0`g>5<c68f`>N3>;1C8864$023>7=n<;0;66g;4;29?l2>2900e9j50;9l5gc=831vn>j<:186>5<7s-;h;7kk;I656>N3=11/==>52:k76?6=3`>?6=44i5;94?=nbd83>>{e;m81<7;50;2x 4e02ln0D98=;I66<>"689097d:=:188m12=831b844?::k7`?6=3f;ii7>5;|`0`4<72<0;6=u+1b59aa=O>o313:17d:k:188k4db2900qo=k0;291?6=8r.:o:4jd:J727=O<<20(<>?:39j07<722c?87>5;h6:>5<6g;2;29?l232900e9750;9j0a<722e:nh4?::a7fb=83?1<7>t$0a4>c6<@=<97E::8:&245<23`>96=44i5694?=nbe83>>i6jl0;66sm3ba94?3=83:p(>46*>0181?l252900e9:50;9j0<<722c?h7>5;n3aa?6=3th8oh4?:483>5}#9j=1ii5G4708L13?3-;;<7<4i5094?=n<=0;66g;9;29?l2c2900c50z&2g2<3>81C8;<4H57;?l212900e<:;:188k4d02900qo=l0;297?6=8r.:o:4;609K034<@=?37d:9:188m4232900c50z&2g2<6l::187>5<7s-;h;7?;f:J727=O<<20e9850;9j0`<722c:o=4?::m2f2<722wi?ok50;194?6|,8i<698>;I656>N3=11b8;4?::k201<722e:n:4?::a7g5=83>1<7>t$0a4>42a3A>=>6F;599j03<722c?i7>5;h3`4?6=3f;i;7>5;|`0fa<72:0;6=u+1b59037<@=<97E::8:k72?6=3`;?87>5;n3a3?6=3th8n<4?:583>5}#9j=1=9h4H541?M2202c?:7>5;h6f>5<5<53;294~"6k>0?:<5G4708L13?3`>=6=44i067>5<c6820c=O5<5<4290;w)?l7;655>N3>;1C8864i5494?=n9=>1<75`1c594?=zj:ko6=4;:183!7d?3;?j6F;639K00>>o6k90;66a>b683>>{e;kk1<7=50;2x 4e02=<:7E:92:J71==n4583>>i6j>0;66sm3``94?2=83:p(>o3m3:17d?l0;29?j7e?3:17pl6<729q/=n954738L1053A>>46g;6;29?l73<3:17b?m7;29?xd4i00;694?:1y'5f1=9=l0D98=;I66<>o3>3:17d:j:188m4e72900c50z&2g226=44i5f94?=h9ko1<75rb2ff>5<2290;w)?l7;d3?M21:2B?955+11291>o3:3:17d:;:188m1b=831b=oj50;9l5gc=831vn>ji:186>5<7s-;h;7kk;I656>N3=11/==>52:k76?6=3`>?6=44i5;94?=nbd83>>{e;l;1<7;50;2x 4e02ln0D98=;I66<>"689097d:=:188m12=831b844?::k7`?6=3f;ii7>5;|`0a7<72<0;6=u+1b59aa=O>o313:17d:k:188k4db2900qo=j3;291?6=8r.:o:4jd:J727=O<<20(<>?:39j07<722c?87>5;h6:>5<6g;2;29?l232900e9750;9j0a<722e:nh4?::a7`3=83?1<7>t$0a4>`b<@=<97E::8:&245<53`>96=44i5694?=n<00;66g;d;29?j7em3:17pl0<729q/=n95ee9K034<@=?37)??0;08m14=831b894?::k7=?6=3`>o6=44o0`f>5<55;294~"6k>0nh6F;639K00><,8:;6?5f4383>>o3<3:17d:6:188m1b=831d=ok50;9~f6`0290>6=4?{%3`3?cc3A>=>6F;599'556=:2c?>7>5;h67>5<>i6jl0;66sm3g494?3=83:p(>46*>0181?l252900e9:50;9j0<<722c?h7>5;n3aa?6=3th8j84?:483>5}#9j=1ii5G4708L13?3-;;<7<4i5094?=n<=0;66g;9;29?l2c2900c50z&2g226=44i5f94?=h9ko1<75rb2d0>5<2290;w)?l7;gg?M21:2B?955+11296>o3:3:17d:;:188m1?=831b8i4?::m2f`<722wi?k<50;794?6|,8i<6hj4H541?M2202.:<=4=;h61>5<1<75f4883>>o3l3:17b?me;29?xd4n80;684?:1y'5f1=mm1C8;<4H57;?!778380e9<50;9j01<722c?57>5;h6g>5<c68f`>N3>;1C8864$023>7=n<;0;66g;4;29?l2>2900e9j50;9l5gc=831vn9?9:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb536>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f173290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9?=:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb532>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f16a290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9>k:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb52`>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f16e290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9>6:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb52;>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f160290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9>;:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb520>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f165290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9>?:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb2de>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f6`b290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn>hl:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb2da>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f17d290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9?n:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb53:>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f17?290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9??:187>5<7s-;h;7kj;I656>N3=11/==>52:k76?6=3`>?6=44i5f94?=h9ko1<75rb526>5<3290;w)?l7;gf?M21:2B?955+11296>o3:3:17d:;:188m1b=831d=ok50;9~f6`f290?6=4?{%3`3?cb3A>=>6F;599'556=:2c?>7>5;h67>5<6g;2;29?l232900e9j50;9l5gc=831vn9;=:180>5<7s-;h;7?;9:J727=O<<20(<>?:038mc2=831bj84?::m2f2<722wi89750;194?6|,8i<6<:6;I656>N3=11/==>5109jb1<722cm97>5;n3a3?6=3th98i4?:283>5}#9j=1=974H541?M2202.:<=4>6:ke0?6=3`l>6=44o0`4>5<53;294~"6k>0:845G4708L13?3-;;<7?9;hd7>5<<,8:;6<84ig694?=nn<0;66a>b683>>{e9m<1<7=50;2x 4e028>27E:92:J71==#99:1=;5ff583>>oa=3:17b?m7;29?xd6l<0;6>4?:1y'5f1=9=30D98=;I66<>"6890::6gi4;29?l`22900c50z&2g2<6<01C8;<4H57;?!7783;=7dh;:188mc3=831d=o950;9~f4b429086=4?{%3`3?7312B?:?5G44:8 46728<0ek:50;9jb0<722e:n:4?::a5a4=8391<7>t$0a4>42>3A>=>6F;599'556=9?1bj94?::ke1?6=3f;i;7>5;|`2`4<72:0;6=u+1b5951?<@=<97E::8:&245<6>2cm87>5;hd6>5<c6820<=O5<4290;w)?l7;37=>N3>;1C8864$023>401<75ff483>>i6j>0;66sm1bg94?5=83:p(ce83>6<729q/=n9515;8L1053A>>46*>01822>oa<3:17dh::188k4d02900qo?lc;297?6=8r.:o:4>489K034<@=?37)??0;35?l`32900ek;50;9l5g1=831vn5<7s-;h;7?;9:J727=O<<20(<>?:048mc2=831bj84?::m2f2<722wi=no50;194?6|,8i<6<:6;I656>N3=11/==>5179jb1<722cm97>5;n3a3?6=3th:o44?:283>5}#9j=1=974H541?M2202.:<=4>6:ke0?6=3`l>6=44o0`4>5<53;294~"6k>0:845G4708L13?3-;;<7?9;hd7>5<m6=4;:183!7d?3;?n6F;639K00><,8:;6ee83>1<729q/=n9515`8L1053A>>46*>0182a>oa<3:17dh::188mc0=831d=o950;9~f7g6290?6=4?{%3`3?73j2B?:?5G44:8 46728k0ek:50;9jb0<722cm:7>5;n3a3?6=3th99<4?:483>5}#9j=1=9j4H541?M2202.:<=4>8:ke0?6=3`l>6=44ig494?=nn>0;66a>b683>>{e9ll1<7;50;2x 4e028>o7E:92:J71==#99:1=55ff583>>oa=3:17dh9:188mc1=831d=o950;9~f7g?290>6=4?{%3`3?73l2B?:?5G44:8 4672=90ek:50;9jb0<722cm:7>5;hd4>5<c6820a=O3`l?6=44ig794?=nn?0;66gi7;29?j7e?3:17pl<9g83>6<729q/=n9515;8L1053A>>46*>0184?l`32900ek;50;9l5g1=831vn?7j:187>5<7s-;h;7?;b:J727=O<<20(<>?:g9jb1<722cm97>5;hd5>5<c6820<=O5<4290;w)?l7;37=>N3>;1C8864$023>401<75ff483>>i6j>0;66sm24794?2=83:p(50z&2g2<64m50;794?6|,8i<6<:k;I656>N3=11/==>5c:ke0?6=3`l>6=44ig494?=nn>0;66a>b683>>{e:<=1<7;50;2x 4e028>o7E:92:J71==#99:1=55ff583>>oa=3:17dh9:188mc1=831d=o950;9~f4`2290>6=4?{%3`3?73l2B?:?5G44:8 4672820ek:50;9jb0<722cm:7>5;hd4>5<c6820<=O5<4290;w)?l7;37=>N3>;1C8864$023>4e1<75ff483>>i6j>0;66sm2gc94?5=83:p(6<729q/=n9515;8L1053A>>46*>0182g>oa<3:17dh::188k4d02900qo=67;291?6=8r.:o:4>4e9K034<@=?37)??0;3g?l`32900ek;50;9jb3<722cm;7>5;n3a3?6=3th8494?:483>5}#9j=1=9j4H541?M2202.:<=4>d:ke0?6=3`l>6=44ig494?=nn>0;66a>b683>>{e:o31<7;50;2x 4e028>o7E:92:J71==#99:1=i5ff583>>oa=3:17dh9:188mc1=831d=o950;9~f7c1290>6=4?{%3`3?73l2B?:?5G44:8 46728h0ek:50;9jb0<722cm:7>5;hd4>5<c6820a=O0<729q/=n9515f8L1053A>>46*>0182`>oa<3:17dh::188mc0=831bj:4?::m2f2<722wi>k650;794?6|,8i<6<:k;I656>N3=11/==>51e9jb1<722cm97>5;hd5>5<6=4::183!7d?3;?h6F;639K00><,8:;6=3:197>50z&2g2<65;|`0<7<72<0;6=u+1b5951b<@=<97E::8:&245<6l2cm87>5;hd6>5<>i6j>0;66sm2g594?3=83:p(5<7s-;h;7?;d:J727=O<<20(<>?:0`8mc2=831bj84?::ke2?6=3`l<6=44o0`4>5<54;294~"6k>0:8o5G4708L13?3-;;<7kn;hd7>5<>i6j>0;66sm38g94?3=83:p(7;:186>5<7s-;h;7?;d:J727=O<<20(<>?:0f8mc2=831bj84?::ke2?6=3`l<6=44o0`4>5<55;294~"6k>0:8i5G4708L13?3-;;<7?k;hd7>5<>oa?3:17b?m7;29?xd5n?0;684?:1y'5f1=9=n0D98=;I66<>"6890:h6gi4;29?l`22900ek850;9jb2<722e:n:4?::a6`5=83?1<7>t$0a4>42c3A>=>6F;599'556=9k1bj94?::ke1?6=3`l=6=44ig594?=h9k=1<75rb23;>5<5290;w)?l7;37<>N3>;1C8864$023>2=nn=0;66a>b683>>{e;8<1<7<50;2x 4e028>37E:92:J71==#99:1;6gi4;29?j7e?3:17pl<1583>7<729q/=n9515:8L1053A>>46*>0184?l`32900c7>50z&2g2<6<11C8;<4H57;?!7783=0ek:50;9l5g1=831vn>??:181>5<7s-;h;7?;8:J727=O<<20(<>?:69jb1<722e:n:4?::a75c=8381<7>t$0a4>42?3A>=>6F;599'556=?2cm87>5;n3a3?6=3th85}#9j=1=964H541?M2202.:<=48;hd7>5<c6820==O1<75`1c594?=zj:h36=4=:183!7d?3;?46F;639K00><,8:;6:5ff583>>i6j>0;66sm3c494?4=83:p(oa<3:17b?m7;29?xd4j=0;6?4?:1y'5f1=9=20D98=;I66<>"6890<7dh;:188k4d02900qo=m2;296?6=8r.:o:4>499K034<@=?37)??0;58mc2=831d=o950;9~f6d729096=4?{%3`3?7302B?:?5G44:8 4672>1bj94?::m2f2<722wi?lk50;094?6|,8i<6<:7;I656>N3=11/==>57:ke0?6=3f;i;7>5;|`0ef<72;0;6=u+1b5951><@=<97E::8:&245<03`l?6=44o0`4>5<52;294~"6k>0:855G4708L13?3-;;<794ig694?=h9k=1<75rb201>5<5290;w)?l7;37<>N3>;1C8864$023>2=nn=0;66a>b683>>{e;j81<7<50;2x 4e028>37E:92:J71==#99:1;6gi4;29?j7e?3:17pl>f883>0<729q/=n9515f8L1053A>>46*>0182<>oa<3:17dh::188mc0=831bj:4?::m2f2<722wi=kl50;794?6|,8i<6<:k;I656>N3=11/==>51g9jb1<722cm97>5;hd5>5<<,8:;6<64ig694?=nn<0;66gi6;29?l`02900c50z&2g2<65;|`1=a<72<0;6=u+1b5951b<@=<97E::8:&245dg=83:p(2;30>d<6<3h1=84r$01e>1=#9=:186*i8;68 c?=<2.mm7:4$g`90>"ak3>0(kj54:&2g6<43-;h87=4$022>1=#998186*>0287?!77<3>0(<>::59'550=<2.:<:4;;%33"68k0?7)??c;68 46c2=1/==k54:&24c<33-;:<7:4$032>1=#988186*>1287?!76<3>0("69k0?7)?>c;68 47c2=1/=1=#9;8186*>2287?!75<3>0(<<::59'570=<2.:>:4;;%31"6:k0?7)?=c;68 44c2=1/=?k54:&26c<33-;8<7:4$012>1=#9:8186*>3287?!74<3>0(<=::59'560=<2.:?:4;;%30"6;k0?7)?k54:&71c<3=m1/jh4;;%3`5?7e>2.:8<4<;%376?5<,=?n69;k;%de>1=n<>0;66g;8;29?lc02900eh650;9j5f3=831b=n850;9j00d=831b88m50;9j71<72-;io7=<;o3af?6<3`996=4+1ca976=i9kh1=65f3083>!7ek3987c?mb;08?l57290/=om5329m5gd=;21b>k4?:%3ag?543g;in7:4;h0f>5<#9ki1?>5a1c`91>=n:m0;6)?mc;10?k7ej3<07d<3`9n6=4+1ca97a=i9kh1<65f3b83>!7ek39o7c?mb;38?l5e290/=om53e9m5gd=:21b?l4?:%3ag?5c3g;in7=4;h1:>5<#9ki1?i5a1c`90>=n;10;6)?mc;1g?k7ej3?07d=8:18'5ge=;m1e=ol56:9j73<72-;io7=k;o3af?1<3`9>6=4+1ca97a=i9kh1465f6983>!7ek3<<7c?mb;28?l01290/=om5669m5gd=921b:94?:%3ag?003g;in7<4;h40>5<#9ki1::5a1c`97>=n>;0;6)?mc;44?k7ej3>07d8>:18'5ge=>>1e=ol55:9j25<72-;io788;o3af?0<3`?m6=4+1ca922=i9kh1;65f5d83>!7ek3<<7c?mb;:8?l3c290/=om5669m5gd=121b9n4?:%3ag?003g;in7o4;h7a>5<#9ki1::5a1c`9f>=n=00;6)?mc;44?k7ej3i07d;7:18'5ge=>>1e=ol5d:9j12<72-;io788;o3af?c<3`?=6=4+1ca922=i9kh1j65f5483>!7ek3<<7c?mb;33?>o2<3:1(>1e=ol51398m04=83.:nn497:l2fg<6;21b9<4?:%3ag?003g;in7?;;:k64?6=,8hh6;94n0`a>43<3`bc823>=n>m0;6)?mc;44?k7ej3;376g9c;29 4dd2?=0bb:9j2<<72-;io788;o3af?7d32c=97>5$0``>315<#9ki1::5a1c`95`=bb853>h6jk0:j65f8883>!7ek3237c?mb;28?l>0290/=om5899m5gd=921b484?:%3ag?>?3g;in7<4;h:7>5<#9ki1455a1c`97>=n0:0;6)?mc;:;?k7ej3>07d6=:18'5ge=011e=ol55:9j<4<72-;io767;o3af?0<3`2;6=4+1ca9<==i9kh1;65f7g83>!7ek3237c?mb;:8?l1b290/=om5899m5gd=121b;i4?:%3ag?>?3g;in7o4;h5`>5<#9ki1455a1c`9f>=n?h0;6)?mc;:;?k7ej3i07d96:18'5ge=011e=ol5d:9j3=<72-;io767;o3af?c<3`=<6=4+1ca9<==i9kh1j65f7783>!7ek3237c?mb;33?>o0=3:1(?3g;in7?;;:k45?6=,8hh6564n0`a>43<3`3;6=4+1ca9<==i9kh1=;54i9d94?"6jj0346`>bc823>=n0l0;6)?mc;:;?k7ej3;376g7d;29 4dd2120bd290/=om5899m5gd=9h10e5l50;&2ffb:9j5$0``>=>5<#9ki1455a1c`95`=
:1<7*>bb8;<>h6jk0:j65f9483>!7ek33?7c?mb;28?l?4290/=om5959m5gd=921b5?4?:%3ag??33g;in7<4;h;2>5<#9ki1595a1c`97>=n1h0;6)?mc;;:?k7ej3:07d77:18'5ge=101e=ol51:9j=2<72-;io776;o3af?4<3`3=6=4+1ca9=<=i9kh1?65`b583>!7ek3h87c?mb;28?jd5290/=om5b29m5gd=921dn=4?:%3ag?d43g;in7<4;nce>5<#9ki1n>5a1c`97>=hil0;6)?mc;`0?k7ej3>07bok:18'5ge=j:1e=ol55:9lef<72-;io7l<;o3af?0<3fki6=4+1ca9f6=i9kh1;65`a`83>!7ek3h87c?mb;:8?jg>290/=om5b29m5gd=121dm54?:%3ag?d43g;in7o4;nc4>5<#9ki1n>5a1c`9f>=hi<0;6)?mc;`0?k7ej3i07bo;:18'5ge=j:1e=ol5d:9le6<72-;io7l<;o3af?c<3fk96=4+1ca9f6=i9kh1j65`a083>!7ek3h87c?mb;33?>if83:1(43<3fhi6=4+1ca9f6=i9kh1=;54occ94?"6jj0i?6`>bc823>=hj00;6)?mc;`0?k7ej3;376am8;29 4dd2k90bb:9lf0<72-;io7l<;o3af?7d32ei=7>5$0``>g55<#9ki1n>5a1c`95`=bb8a7>h6jk0:j65`e083>!7ek3o;7c?mb;28?jba290/=om5e19m5gd=921dhh4?:%3ag?c73g;in7<4;nfg>5<#9ki1i=5a1c`97>=hl<0;6)?mc;f7?k7ej3:07bj<:18'5ge=l=1e=ol51:9l`4<72-;io7j;;o3af?4<3fn;6=4+1ca9`1=i9kh1?65`cg83>!7ek3n?7c?mb;68?jeb290/=om5d59m5gd==21doi4?:%3ag?b33g;in784;na`>5<#9ki1h95a1c`93>=hkk0;6)?mc;f7?k7ej3207bmn:18'5ge=l=1e=ol59:9lg<<72-;io7j;;o3af?g<3fi36=4+1ca9`1=i9kh1n65`c783>!7ek3n?7c?mb;a8?je2290/=om5d59m5gd=l21do94?:%3ag?b33g;in7k4;na0>5<#9ki1h95a1c`9b>=hk;0;6)?mc;f7?k7ej3;;76al1;29 4dd2m>0b3:9lf`<72-;io7j;;o3af?7332eih7>5$0``>a25<#9ki1h95a1c`953=bb8g0>h6jk0:;65`d`83>!7ek3n?7c?mb;3;?>ic13:1(4b<3fi<6=4+1ca9`1=i9kh1=h54oca94?"6jj0o86`>bc82b>=hm?0;6)?mc;g6?k7ej3:07bk;:18'5ge=m<1e=ol51:9la6<72-;io7k:;o3af?4<3fo96=4+1ca9a0=i9kh1?65rb0d4>5<4290;w)?l7;37e>N3>;1C8864$023>401<75ff483>>i6<<0;66sm1g:94?2=83:p(50z&2g2<65;n371?6=3ty>:l4?:6y]13g<5;k:6k84=3c;>c1<5;k?6k94=3;f>c2<5;3h6k:4=3;g>c2<47>564y]02gX2?81U9<64^414?[20?2T?;;5Q4678Z1133W>4;e:?054<3m2784;e:?0f4<3m278mk4;e:?0ea<3m278mo4;e:?0e<<3m27?8<4>c49>017=9j<019:>:57`?823932270:;1;:4?823932>70:;1;:7?823932870:;1;:1?823932:70:;1;:3?82393=m70:;1;5f?82393=o70:;1;5`?82393=j70:;1;5:?82393=370:;1;54?82393==70:;1;56?82393=?70:;1;50?82393=970:;1;52?823933;70:;1;:e?823932n70:;1;:g?823932h70:;1;:a?823932j70:;1;:5?82393=i70:;1;53?823933j70:;1;;;?823933<70:;1;;5?xu2>j0;6>uQ57a8912b28ho70:;5;3a`>{t=9o1<73;ii6s|59594?5|V<2<70=6f;d7?85>m3l?7p}:5783>3`|V3>970:;5;61?823l3>970:;c;61?84al3>9709703>970970970970<;a;61?84313>970<;8;61?843?3>970<;6;61?843=3>970<;4;61?843;3>970<63;61?84>:3>970<61;61?84>83>970<7f;61?84?m3>970<7d;61?84?k3>970<7b;61?84?93>970<70;61?840n3>970<8e;61?840l3>970<8c;61?840j3>970<8a;61?84013>9709709709703>970970=<3;61?854:3>970=<1;61?85483>970==f;61?855l3>970==c;61?855m3>970=;6;61?853=3>970=;4;61?853;3>970=;2;61?85393>970=;0;61?854n3>970=970<6f;61?84f>3>9703>970:>5;61?826<3>970:>3;61?826:3>970:>1;61?827n3>970:?e;61?827l3>970:?c;61?827j3>970:?a;61?82713>970:?8;61?827?3>970:?6;61?827<3>970:?3;61?827:3>970:?1;61?82783>970=if;61?85am3>970=id;61?85ak3>970=ib;61?826k3>970:>b;61?826i3>970:>9;61?82603>970:>7;61?82683>970:?5;61?85ai3>970=i9;61?82393>37p}:1583>7}Y=8>01>7l:0`f?xu2?:0;68?t^450?82283>970:;e;61?823n3>970::6;61?822=3>970=6c;61?85>j3>970=91;61?851:3>970=93;61?851<3>970=95;61?851>3>970=97;61?85103>970=99;61?87b13>970?j8;61?87b?3>970?j6;61?87b=3>970?j4;61?87b;3>970?j2;61?87b93>970<<1;61?84483>970<=f;61?845m3>970<=d;61?845k3>970<=b;61?845i3>970<=9;61?846n3>970<>e;61?846l3>970<>c;61?846j3>970<>a;61?84613>970<>8;61?846?3>970<9f;61?841m3>970<9d;61?841k3>970<9b;61?841i3>970<99;61?84103>970<97;61?85c<3>970=k3;61?85c:3>970=k1;61?85c83>970=lf;61?85dl3>970=lc;61?85dm3>970=j0;61?85cm3>970=kf;61?85b93>970=j2;61?85b;3>970=j4;61?85b=3>970=j6;61?85a03>970=i7;61?85a>3>970=i5;61?85a<3>970=i3;61?85a:3>970=i1;61?85a83>970:;1;64?xu20?0;6?uQ56a8960>28hn7p}:8583>7}Y=>h01>87:0`f?xu20:0;6?uQ56c8960028hn7p}:8383>7}Y=>301>89:0`f?xu2080;6?uQ56:8960228hn7p}:8183>7}Y=>=01>8;:0`f?xu2?o0;6?uQ5648960428hn7p}:7d83>7}Y=>?01>8=:0`f?xu2?m0;6?uQ5668960628hn7p}:0483>7}Y7}Y7}Y7}Yi:0`f?xu3nj0;6?uQ4e;8916b28hn7p};fc83>7}Yk:0`f?xu3nh0;6?uQ4e58916d28hn7p};f883>7}Ym:0`f?xu3n10;6?uQ4e78916f28hn7p};f683>7}Y019>6:0`f?xu3n?0;6?uQ4e18916?28hn7p};f483>7}Y8:0`f?xu3n=0;6?uQ4e38916128hn7p};f383>7}Y;:0`f?xu3n80;6?uQ4bg8916428hn7p};f183>7}Y=:0`f?xu3mo0;6?uQ4ba8916628hn7p};ed83>7}Y?:0`f?xu3mm0;6?uQ4bc896`a28hn7p};eb83>7}Yhj:0`f?xu3mk0;6?uQ4b:896`c28hn7p};e`83>7}Yhl:0`f?xu3m00;6?uQ4b4896`e28hn7p}:0b83>7}Y7}Y28hn7p}:0983>7}Y0;6?uQ4d08917028hn7p}:0783>7}Y7}Yhn:0`f?xu3m10;6?uQ4b6896`>28hn7p}:6883>7}Y=>0;6?uQ54g897ef28hn7p}:6783>7}Y=<0;6?uQ54a897e?28hn7p}:6583>7}Y=:0;6?uQ54c897e128hn7p}:6383>7}Y=<301?m::0`f?xu2>80;6?uQ54:897e328hn7p}:6183>7}Y=<=01?m<:0`f?xu3jj0;6?uQ48:8912620?0q~:ma;296~X31>1689?5699~w1d>2909wS:66:?704<1>2wx8o650;0xZ1?234>?=78;;|q7f2<72;qU84:4=562>35i:7>52z\7=6=:<=;1:?5rs5`7>5<5sW>2=63;40855>{t7}Y<1l019:>:4d8yv2e93:1>vP;8d9>017=1:1v9l?:181[2?l27?8<4:e:p0d`=838pR96l;<675?3c3ty?mh4?:3y]0=d<5=>:68m4}r6b`?6=:rT?4l5245391g=z{=kh6=4={_6;=>;3<80>56s|4``94?4|V=2370:;1;7;?xu3i00;6?uQ494891262<=0q~:n8;296~X30<1689?5579~w1g02909wS:74:?704<>:2wx8l850;0xZ1>434>?=7;:;|q7e0<72;qU85<4=562>02j87>52z\7<4=:<=;19>5rs5c0>5<5sW>3<63;40866>{t7}Y<>o019:>:428yv2f83:1>vP;7e9>017=>o1v9m<:181[2>m27?8<49e:p0f4=838pR97k;<675??63ty?o<4?:3y]0:6;j4}r6`4?6=:rT?5o5245392f=z{=hm6=4={_6:e>;3<80=n6s|4cg94?4|V=3270:;1;4b?xu3jm0;6?uQ480891262?30q~:m5;296~X30>1689?5649~w1gf2909wS:8c:?704<2i2wx84h50;0xZ11e34>?=7:i;|q217<72lq68;:51c;897g>2=<01?ln:54896202=<01>;7:54897b>2=<01?kl:54896102=<01>6n:548967>2=<01>>6:54896d>2=<01>o6:54891262l=0q~:;6;297~;3<>0?h63;4782f`=:<=?1895rs564>5<5s4>?;7?me:?70<7}:<<:1=ok4=571>c2?97>53z?703<3l27?884>bd9>01?=n<1v9:j:180823m3;ii63;4g87`>;3=;0m96s|45:94?5|5=>o6b69~w12e2909=v3;4e82f`=::on1=oj4=3a0>1?<5;i?6974=3a6>1?<5;i=6974=3a4>1?<5;i36974=3a:>1?<5;ij6974=3aa>1?<5:9?6974=210>1?<5:996974=212>1?<5:9;6974=20e>1?<5:8o6974=20`>4dc3499i7:6;<172?2>349?97:6;<170?2>349??7:6;<176?2>349?=7:6;<174?2>3498j7:6;<10a?2>348j>7:6;<0:b?2>348j:7?md:?1e0<6jm1v9:n:1811~;31845225190<=::091845228090<=::0;1845228290<=::1l1845229g90<=::1n1845229a90<=::1h1845229390<=::1:1845226d90<=::>o1845226f90<=::>i1845226`90<=::>k1845226;90<=::9n1845221a90<=::9h1845221c90<=::931845221:90<=::9=1845221490<=::9?1845rs572>5<4s4>>:7?md:?710<6jm1688<51c58yv22<3:1>:u244495gc<5:3h64;9:?021<31278:84;9:?023<31278::4;9:?02=<31278:44;9:?0`1<31278h>4;9:?0`7<31278h<4;9:?0`5<31278ok4;9:?0ga<6jm16?nm5489>7fc=<016?h>5489>7ac=9kn01>ji:5;896c62=301>k=:5;896c42=301>k;:5;896c22=301>k9:5;896`?2=301>h8:5;896`12=301>h::5;896`32=301>h<:5;896`52=301>h>:5;896`72=30q~::3;2960}:<1?<58o36974=0g4>1?<58o=6974=0g6>1?<58o?6974=0g0>1?<58o96974=0g2>1?<5;9:6974=313>1?<5;8m6974=30f>1?<5;8o6974=30`>1?<5;8i6974=30b>1?<5;826974=33e>1?<5;;n6974=33g>1?<5;;h6974=33a>1?<5;;j6974=33:>1?<5;;36974=334>1?<5;1?<5;1?<5;1?<5;<26974=34;>1?<5;<<6974}r0ea?6=:r79ji4;d:?1bc<6j>1v?hk:18784al3;ii63=a58e0>;51j0m963=9e8e1>{t:ji1<71b<5;hj6n:54e9>6gd=9k=0q~7}::j218i522cd95g17>52z?1g<<3l279o=4>b69~w7b42909w04d03ty85i4?:2y>775<5s49==7:k;<16;6:0`4?xu4>j0;6?u237190a=:;1v>8j:181851=3>o70=:c;3a3>{t;?l1<71b<5:?o670c=9k=0q~=81;296~;4>10?h63<5g82f2=z{:=96=4={<15=?2c349=<7?m7:p66g=83?p1?l>:g6897g>2=o01?:<:0`f?84a>3l<70c3<5;o86k84=562>6353z?1f4<6j>16>l751b2897df28>?7p}=a883>6}::h31=o94=3cb>10<5;hi6984}r00f?6==r79n?4i4:?1ed<3m279894>bd9>6c0=n<16>h=5f49~w651290=w0cg8e0>;6kl0m963=e28e3>;3<808:6s|2c094?5|5;h96459~w7gf2908w0;5ik0?:63=bb872>{t::i1<7;t=3`0>c2<5;ki69k4=366>4db348m;7h8;<0f0?`33ty8?:4?:7y>6g5=n<16?9>51cg894eb2o>01:258yv4e;3:1?v3=b282f2=::hh1=n>4=3``>4233ty9mo4?:2y>6dd=9k=01?ol:54897dc2=<0q~<;51j85rs21;>5<1s48i87h:;<175?7em27:oi4i4:?2gfo:50;1x97d328h<70;5jm0:895rs3c`>5<4s48jo7?m7:?1ea<3>279nh4;6:p66c=83?p1?l::g6897gc2=o01?:8:0`f?84a03l<70c2<58ii6k;4=3g6>c0<5=>:6>74}r0a1?6=;r79n84>b69>6db=9j:01?lj:067?xu5im0;6>u22`f95g1<5;kn6984=3`e>1055z?1f3k65f49>6`3=n<1v>=n:18584e>3l>70=;3;3aa>;6kk0m863>c`8e1>;5m<0m;63;4080e>{t:k<1<7=t=3`5>4d0348ji7?l0:?1fc<6<=1v?oj:18084fm3;i;63=ag872>;5k90?:6s|25294?3|5;h<6k:4=3ce>1c<5;>26o95f49>712=9ko012o?01?k9:g4891262:h0q~0:n:522`d95f6<5;i;6<:;;|q1ec<72:q6>lh51c5897d72=<01?m>:548yv4393:19v3=b98e0>;5j90?i63=4`82f`=::o31j8522d49b0=z{:9h6=49{<0a=839p1?l7:0`4?84e83;h<63=c08201=z{;h;6=4={<0a4?7e?279o?4;6:p614=83>p1?l6:g68972e28hn703}::k31j85221f90a=:;=<1=ok4=0a;>c2<5;o<6k;4=562>6c52z?1f<<6j>16>n<51568yv5b?3:19v3<4g8e0>;4<>0?i63:i:0`4?853?3;h<63<598201=z{:><6=4<{<173?7e?278854;6:?01<<3>2wx=i750;6x96372o>01<3l>70=71;d6?xu4m10;6;u23429b0=:;=218h523g395gc<58n<6k:4=0f5>c3<5=>:6?m4}r164?6=;r789=4>b69>71>=9j:01>;6:067?xu4<10;6>u235:95g1<5:>26984=27b>1056z?0145a3=n<1689?52e9~w4bf290?w0=:1;d6?87b;3;ii63<948e3>;40;0m;6s|34394?5|5:?:6459~w62>2908w0=;9;3a3>;4{t9mh1<7:t=271>c2<58o?67h:;|q0ad<72?q6?8<5f49>71g=01;l:548yv5bj3:1:v3<528e0>;41j9521e19b0=:<=;1>k5rs0f`>5<3s49>?7h:;<3f1?7em2785;4i7:?0<6;4=j0:895rs26a>5<4s49?n7?m7:?00f<3>2789i4;6:p5ab=83>p1>;;:g6894c128hn70=66;d6?85?;3l>7p}3}:;<>1j85235a90`=:;o?1=ok4=0f0>c2<58n96k;4=562>6687>53z?011<6j>16?9m51b28963c28>?7p}<4b83>6}:;=i1=o94=26g>10<5:?n6984}r3ga?6=7=2=n>1v>kk:185852=3l>70=;d;6f?85a>3;ii63>d38e0>;6l80m963;40805>{t;4d0349?h7?l0:?01`<6<=1v>:k:180853l3;i;63<4d872>;4=o0?:6s|1ed94?2|5:?=6k:4=0g;>4db3492;7h:;<1;0?`23ty8ih4?:7y>700=n<16?9k54d9>7c1=9ko01:g6894b72o?019:>:208yv52>3:1?v3<5782f2=:;=o1=n>4=27e>4233ty88h4?:3y>71c=9k=01>8?:548yv5bn3:19v3<568e0>;5>o0?h63;8:0`4?85183;?86s|2e:94?4|5;no6984=3f`>4d03ty9i?4?:3y>6ab=9j:01?k8:0`4?xu5nk0;6?u22ef95g1<5;lm6k84}r0g3?6=:r79hn4;6:?1`g<6j>1v?k>:18184ck3;h<63=e782f2=z{;n=6=4={<0gf?21348om7?m7:p6`6=838p1?jm:0a3?84b=3;i;6s|2e794?4|5;nj6984=3f:>4d03ty9hk4?:3y>6ag=9j:01?k;:0`4?xu5ll0;6?u22e;95f6<5;o86k>5479>6``=9k=0q~52z?1b5<6j>16>kh5f49~w7cf2909w0h750;0x97cb2=<01?kk:0`4?xu5n:0;6?u22dg95f6<5;l36hj5479>6`e=9k=0q~52z?1af<6k916>k851c58yv50>3:1>v3<7c872>;4?h0:n:5rs2:3>5<5s491v>76:181850j3;i;63<9d8e2>{t;>?1<710<5:=26328h<7p}<7583>7}:;>318;5236:95g152z?03<<6k916?5=51c58yv50;3:1>v3<79872>;4?>0:n:5rs25g>5<5s49<47?l0:?0<7<6j>1v>9l:181850?3;h<63<8082f2=z{:226=4={<1;a?213493h7?m7:p7<5=838p1>6j:0a3?85>03;i;6s|38c94?4|5:2n67=b=:3:1>v3<8e82g5=:;0=1=o94}r1;3?6=:r784n4;6:?01v>7>:18185?k3;h<63<9782f2=z{:2=6=4={<1;f?213493m7?m7:p7<6=838p1>6m:0a3?85>=3;i;6s|39d94?4|5:2j6?650;7x94c>2=n01?=>:0`f?87bj3l>70?jd;d7?87bn3l<7p}>e`83>7}:9l218i521d`95g152z?2a2<3l27:ii4>b69~w4cb290f08e1>;6n:0m:63>f48e3>;6n00m;63>fc8e3>{t9o:1<71b<58l:65c5=9k=0q~?i4;296~;6m:0?h63>f482f2=z{8l=6=4={<3f6?2c34;m57?m7:p5cg=838p1:5f894`e28h<7p}=8`83>0}::=h18i5228195gc<5;>o6k;4=36e>c2<5;?:6k94}r07g?6=:r798l4;d:?10a<6j>1v?:j:18184313>o70<;f;3a3>{t:<:1<79t=36;>1b<5;?:697h9;<063?`0348>n7h8;<06`?`03ty99?4?:3y>611=8=51c58yv42<3:1>v3=4787`>;5=<0:n:5rs375>5<5s48?97:k;<063?7e?2wx>8650;0x97232=n01?;m:0`4?xu5=j0;6?u225190a=::4;d:?1<4<6jl1v?98:18184>:3>o70<70;3aa>{t:131<7:t=3;1>4db348?h7h;;<07b?`2348>=7h9;|q133<72;q6>4?54e9>62`=9ko0q~<78;297~;5180:nh5225d9b3=::<;1j85rs356>5<5s482<7:k;<04a?7em2wx>5950;0x97?728hn70<:1;d7?xu5?=0;6?u229d90a=::>n1=ok4}r0;2?6=>r794k4>bd9>605=n=16>8;5f49>601=n?16>8l5f49>60b=n<1v?9<:18184?m3>o70<8c;3aa>{t:1?1<7;t=3:f>4db348>97h;;<063?`2348>n7h;;<06`?`33ty9;?4?:3y>6=b=:l51cg8yv4?<3:18v3=8e82f`=::<=1j95224;9b0=::5<5s483o7:k;<04e?7em2wx>5=50;1x97>d28hn70<:9;d7?842i3l>7p}=7183>7}::1h18i5226;95gc7>52z?18o5f59~w7712909w0<<1;6g?846n3;ii6s|20794?4|5;9;69j4=33f>4db3ty9>:4?:5y>666=9ko01v3=2g87`>;59m0:nh5rs305>5<4s489j7?me:?2aa27:ik4i5:p645=838p1?7}::;o1=ok4=0ge>c27>52z?16a<3l279=o4>bd9~w743290=w0<=d;3aa>;6n80m863>f28e1>;6n<0m:63>f88e1>;6nk0m96s|20394?4|5;8h69j4=33b>4db3ty9>>4?:4y>67e=9ko010q~<>0;296~;5:k0?h63=1882f`=z{;896=4;{<01f?7em27:j84i4:?2b26}::;k1=ok4=0d4>c2<58l36k;4}r03a?6=:r79>44;d:?152<6jl1v?f98e0>{t:?<1<71b<5;5>54e9>63c=9ko0q~<94;296~;5?o0?h63=6e82f`=z{;<86=4={<04a?2c348=o7?me:p634=838p1?9k:5f8970e28hn7p}=6083>7}::>i18i5227c95gc52z?13g<3l279:44>bd9~w73a2909w0<8a;6g?84103;ii6s|24g94?4|5;=269j4=344>4db3ty9<94?:3y>64`==j51cg8yv47;3:1>v3=1d87`>;58j0:nh5rs321>5<5s48:h7:k;<03f?7em2wx>=?50;0x977d2=n01?>n:0`f?xu5890;6?u220`90a=::931=ok4}r3eb?6=:r79=l4;d:?14=<6jl1vo70{t9on1<71b<5;:=6<954e9>653=9ko0q~<<2;296~;58j0?h63>c982f2=z{;986=4={<03f?2c34;h57?m7:p662=838p1?>n:5f894ef28h<7p}=3483>7}::9318i521b`95g152z?14=<3l27:on4>b69~w7502909w04d03ty9?44?:3y>653=<3:1>v3=6d87`>;6l90:n:5rs3;6>5<5s48=h7:k;<3g5?7e?2wx>4850;0x970d2=n010;6?u227`90a=:9m91=o94}r0:1v?76:18184113>o70?k5;3a3>{t:0k1<71b<58n=6;954e9>5a1=9k=0q~<6c;2951}:;:>18952321901=:;:818952323901=:;::1895233d901=:;;n1895233a901=:;;o18952354901=:;=?18952356901=:;=918952350901=:;=;18952352901=:;:l1895232g901=::ol1j95228f95g152z?071<3l278><4>b69~w64e290?w0=<4;3aa>;4;4:;0m86s|31594?4|5:9869j4=203>4d03ty8>l4?:5y>765=9ko01>:::5f897`>2o>01>?7:g68yv57>3:1>v3<3387`>;49o0:n:5rs20:>5<3s498>7?me:?001<3l279j44i6:?053?j:0`4?xu4:10;69u232395gc<5:>869j4=3d;>c2<5:;?6k:4}r130?6=:r78?=4;d:?05a<6j>1v><8:18785483;ii63<4387`>;5n10m:63<138e0>{t;991<71b<5:;h60q~=?1;296~;4:m0?h63<1`82f2=z{:8?6=4;{<11`?7em278?k4;d:?1b328h<7p}<2283>1}:;;i1=ok4=21f>1b<5;l=6k84=22b>c27>52z?06`<3l278=o4>b69~w642290?w0==e;3aa>;4<90?h63=f68e2>;48l0m86s|30594?4|5:8:6984=234>4d03ty8>?4?:3y>777=9=>01><=:0`4?xu49<0;6>u2332903=:;8=18;5230795g153z?065<6<=16?<951b28967?28h<7p}<1283>6}:;8l18;52307903=:;891=o94}r122?6=;r78=k4>459>743=9j:01>?9:0`4?xu4980;6>u230g903=:;8918;5230395g153z?05`<6<=16?<=51b28967328h<7p}<0g83>6}:;8n18;52303903=:;9l1=o94}r126?6=;r78=i4>459>747=9j:01>?=:0`4?xu48m0;6>u230a903=:;9l18;5231f95g153z?05f<6<=16?=h51b28967728h<7p}<0c83>6}:;8h18;5231f903=:;9h1=o94}r13a?6=;r78=o4>459>75b=9j:01>>j:0`4?xu4800;6>u230c903=:;9h18;5231;95g153z?05d<6<=16?=l51b28966d28h<7p}<0`83>6}:;831=9:4=22:>4e7349;m7?m7:p6d5=838p1?o=:5f897g328h<7p}=a383>2}::h81=ok4=3c2>c3<5;k36k84=3c7>c0<5;3n6k84=3;`>c1<5;3o6k94}r0b4?6=:r795k4;d:?1e4<6j>1v?7i:18484>n3;ii63=a08e0>;5i10m963=a58e1>;51l0m963=9b8e2>;51m0m:6s|2`594?5|5;k=69j4=3c6>1b<5;k36l;51cg897g?2o>0q~:;4;295a}:;m>189523e1901=:;m8189523e3901=:;m:189523bd901=:;jn189523ba901=:;jo189523d2901=:;mo189523ed901=:;l;189523d0901=:;l9189523d6901=:;l?189523d4901=:;o2189523g5901=:;o<189523g7901=:;o>189523g1901=:;o8189523g3901=:;o:1895238d95g1<5=>:69;m;|q0e=<72;q6?i:54e9>7f7=9k=0q~=lb;290~;4l=0:nh523d490a=:;021j9523b09b1=z{:k<6=4={<1g7?2c349h<7?m7:p7fg=83>p1>j<:0`f?85b=3>o70=67;d7?85e03l?7p}7}:;m818i523cd95g154z?0`7<6jl16?h:54e9>7<1=n?16?o85f59~w6g22909w0=k1;6g?85em3;i;6s|3b:94?2|5:n:67a6=5<5s49hj7:k;<1ag?7e?2wx?n850;6x96ea28hn70=j1;6g?85>=3l?70=m0;d7?xu4i80;6?u23bf90a=:;kk1=o94}r1`0?6=bd9>7a`=7de=n=1v>o?:18185dk3>o70=m9;3a3>{t;j91<7:t=2a`>4db349oi7:k;<1:0?`1349jm7h;;|q0e7<72;q6?nk54e9>7gd=9k=0q~=l5;290~;4kl0:nh523d290a=:;0?1j;523`g9b1=z{:h<6=4={<1`5?21349i;7?m7:p7f4=838p1>m>:067?85d:3;i;6s|3c794?5|5:i;6984=2`4>10<5:h>65156896d028i;70=m8;3a3>{t;k91<7=t=2`e>10<5:h>6984=2`0>4d03ty8n;4?:2y>7g`=9=>01>l::0a3?85e>3;i;6s|3c394?5|5:hn6984=2`0>10<5:h:6{t;hl1<7=t=2`g>10<5:h:6984=2ce>4d03ty8n?4?:2y>7gb=9=>01>l>:0a3?85e:3;i;6s|3`f94?5|5:hh6984=2ce>10<5:ko6{t;hh1<7=t=2`a>10<5:ko6984=2ca>4d03ty8mh4?:2y>7gd=9=>01>ok:0a3?85fm3;i;6s|3`;94?5|5:hj6984=2ca>10<5:k26{t;hk1<7=t=2`:>423349j57?l0:?0ed<6j>1v>j8:18085b83;ii63;40;0m:6s|3e794?5|5:nn66>:g68yv5c03:1?v32wx?io50;1x96c428hn70=i5;6g?85?;3l?7p}6}:;l>1=ok4=2d5>1b<5:2?6k84}r1gg?6=;r78i84>bd9>7c1=;4n10?h63<848e0>{t<=91<7<:{<622?2334>:97:;;<620?2334>:?7:;;<626?2334>:=7:;;<63b?2334>;i7:;;<63`?2334>;o7:;;<63f?2334>;m7:;;<63=?2334>;47:;;<633?2334>;:7:;;<630?2334>;?7:;;<636?2334>;=7:;;<634?23349mj7:;;<1ea?23349mh7:;;<1eg?23349mn7:;;<62g?2334>:n7:;;<62e?2334>:57:;;<62:;7:;;<624?2334>;97:;;<1ee?23349m57:;;<0:a?7e?2wx8>750;0x91712=n019:>:d48yv2403:1>v3;1487`>;3<80o96s|42594?4|5=;?69j4=562>a58:7>52z?756<3l27?8<4k1:p063=838p19?=:5f891262m:0q~:<4;296~;3980?h63;408`b>{t<:81<71b<5=>:6nk4}r605?6=:r7?>50;0x916c2=n019:>:ba8yv25n3:1>v3;0b87`>;3<80n86s|43g94?4|5=:i69j4=562>fd9h7>52z?74d<3l27?8<4la:p07e=838p19>6:5f891262j30q~:=b;296~;3810?h63;408`<>{t<;k1<71b<5=>:6n84}r61=?6=:r7?<;4;d:?704:b68yv25>3:1>v3;0287`>;3<80h?6s|43794?4|5=:969j4=562>`5987>52z?744<3l27?8<4l2:p075=838p19>?:5f891262j;0q~:=2;296~;4no0?h63;408`4>{t<;;1<71b<5=>:6oh4}r614?6=:r78ji4;d:?704:cf8yv26m3:1>v3;3<80oo6s|45294?4|5=;h69j4=562>ad8j7>52z?75g<3l27?8<4j2:p06c=838p19?n:5f891262mk0q~:{t<:i1<71b<5=>:6i64}r60f?6=:r7?=:4;d:?704o50;0x91772=n019:>:e48yv24;3:1>v3;0487`>;3<80o>6s|43:94?4|5:lj69j4=562>f1:h7>52z?0b<<3l27?8<4mc:p014=838p1?7l:0`4?82393o37p}>a283>7}:9o31j;521g5951352z?2bg27:j54>449~w4g02909w0<:b;d5?84213;?96s|1`;94?4|5;?o6k84=37b>4223twx8om50;0xZ1??34>;6977;%663?7fl2wx8oo50;0xZ1?034>;6978;%663?7fm2wx8o750;0xZ1?134>;6979;%663?7fn2wx8o650;0xZ1?234>;697:;%663?7e82wx8o950;0xZ1?334>;697;;%663?72;2wx8o850;0xZ1?434>;697<;%663?72>2wx8o:50;0xZ1?634>;697>;%663?72k2wx8o=50;0xZ1?734>;697?;%663?71?2wx8o<50;0xZ1>a34>;696i;%663?70:2wx8o?50;0xZ1>b34>;696j;%663?70l2wx8o>50;0xZ1>c34>;696k;%663?7?<2wx8lh50;0xZ1>d34>;696l;%663?7?l2wx8lk50;0xZ1>e34>;696m;%663?7>=2wx8lj50;0xZ1>f34>;696n;%663?7>>2wx8lm50;0xZ1>>34>;6966;%663?7>?2wx8ll50;0xZ1>?34>;6967;%663?7>02wx8l750;0xZ1>134>;6969;%663?7>12wx8l650;0xZ1>234>;696:;%663?7>i2wx8l950;0xZ1>334>;696;;%663?7>j2wx8l850;0xZ1>434>;696<;%663?7>k2wx8l;50;0xZ1>534>;696=;%663?7>l2wx8l:50;0xZ1>634>;696>;%663?7>m2wx8l=50;0xZ1>734>;696?;%663?7>n2wx8l<50;0xZ11a34>;699i;%663?7f82wx8l?50;0xZ11b34>;699j;%663?7f92wx8l>50;0xZ11c34>;699k;%663?7f:2wx8n=50;0xZ1?b34>;697j;%663?7f;2wx8n<50;0xZ1?c34>;697k;%663?7f<2wx8n?50;0xZ1?d34>;697l;%663?7f=2wx8n>50;0xZ1?e34>;697m;%663?7f>2wx8oh50;0xZ1?f34>;697n;%663?7f?2wx8ok50;0xZ1?>34>;6976;%663?7f02wx8oj50;0xZ1?534>;697=;%663?7f12wx8o;50;0xZ1>034>;6968;%663?7fi2wx8lo50;0xZ11d34>;699l;%663?7fj2wx84h50;0xZ11e34>;699m;%663?7fk2wx98850;0xZ03134>;68;9;%663?7e92wx9;o50;0xZ00f34>;688n;%663?7e:2wx9;m50;0xZ00d34>;688l;%663?7e;2wx9:=50;0xZ01434>;689<;%663?72<2wx95950;0xZ0>034>;6868;%663?72=2wx9=;50;0xZ1c634>;69k>;%663?72?2wx9==50;0xZ1c734>;69k?;%663?7202wx9=<50;0xZ1ba34>;69ji;%663?7212wx9=?50;0xZ1bb34>;69jj;%663?72i2wx9=>50;0xZ1bc34>;69jk;%663?72j2wx8kh50;0xZ1bd34>;69jl;%663?72l2wx8kj50;0xZ1bf34>;69jn;%663?72m2wx8km50;0xZ1b>34>;69j6;%663?72n2wx8kl50;0xZ1b?34>;69j7;%663?7182wx8ko50;0xZ1b034>;69j8;%663?7192wx8k750;0xZ1b134>;69j9;%663?71:2wx8k650;0xZ1b234>;69j:;%663?71;2wx8k950;0xZ1b334>;69j;;%663?71<2wx8k850;0xZ1b434>;69j<;%663?71=2wx8k;50;0xZ1b534>;69j=;%663?71>2wx8k:50;0xZ1b634>;69j>;%663?7102wx8k<50;0xZ1ea34>;69mi;%663?7112wx8k?50;0xZ1eb34>;69mj;%663?71i2wx8k>50;0xZ1ec34>;69mk;%663?71j2wx8hh50;0xZ1ed34>;69ml;%663?71k2wx8hk50;0xZ1ee34>;69mm;%663?71l2wx8hj50;0xZ1ef34>;69mn;%663?71m2wx8hm50;0xZ1e>34>;69m6;%663?71n2wx8hl50;0xZ1e?34>;69m7;%663?7082wx8ho50;0xZ1e034>;69m8;%663?7092wx8h750;0xZ1e134>;69m9;%663?70;2wx9=m50;0xZ1c034>;69k8;%663?70<2wx9=l50;0xZ1c134>;69k9;%663?70=2wx9=o50;0xZ1c234>;69k:;%663?70>2wx9=750;0xZ1c334>;69k;;%663?70?2wx9=650;0xZ1c434>;69k<;%663?7002wx9=950;0xZ1c534>;69k=;%663?7012wx9=850;0xZ1be34>;69jm;%663?70i2wx8kk50;0xZ1b734>;69j?;%663?70j2wx8k=50;0xZ1e234>;69m:;%663?70k2wx8h650;0xZ1e334>;69m;;%663?70m2wx9=k50;0xZ06b34>;68>j;%663?70n2wx9<:50;0xZ07334>;68?;;%663?7?82wx9;750;0xZ03a34>;68;i;%663?7?92wx9;950;0xZ03b34>;68;j;%663?7?:2wx9;850;0xZ03c34>;68;k;%663?7?;2wx9;;50;0xZ03d34>;68;l;%663?7?=2wx9;:50;0xZ03e34>;68;m;%663?7?>2wx9;=50;0xZ03f34>;68;n;%663?7??2wx9;<50;0xZ03>34>;68;6;%663?7?02wx9;?50;0xZ03?34>;68;7;%663?7?12wx9;>50;0xZ03034>;68;8;%663?7?i2wx95850;0xZ01d34>;689l;%663?7?j2wx95:50;0xZ01e34>;689m;%663?7?k2wx95=50;0xZ01f34>;689n;%663?7?m2wx95<50;0xZ01>34>;6896;%663?7?n2wx95?50;0xZ01?34>;6897;%663?7>82wx95>50;0xZ01034>;6898;%663?7>92wx9:h50;0xZ01134>;6899;%663?7>:2wx9:k50;0xZ01234>;689:;%663?7>;2wx9:j50;0xZ01334>;689;;%663?7><2wvblj<:181M2202wemi:50;0xL13?3tdjh84?:3yK00>52zJ71==zfhn<6=4={I66<>{iim21<7vF;599~jdbe2909wE::8:meae=838pD9;7;|lb`a<72;qC8864}ocga?6=:rB?955rn`fe>5<5sA>>46saad294?4|@=?37p`ne083>7}O<<20qcoj2;296~N3=11vblk<:181M2202wemh:50;0xL13?3tdji84?:3yK00>52zJ71==zfho<6=4={I66<>{iil21<7vF;599~jdce2909wE::8:me`e=838pD9;7;|lbaa<72;qC8864}ocfa?6=:rB?955rn`ge>5<5sA>>46saag294?4|@=?37p`nf083>7}O<<20qcoi2;296~N3=11vblh<:181M2202wemk:50;0xL13?3tdjj84?:3yK00>52zJ71==zfhl<6=4={I66<>{iio21<7vF;599~jd`e2909wE::8:mece=838pD9;7;|lbba<72;qC8864}ocea?6=:rB?955rn`de>5<5sA>>46sab1294?4|@=?37p`m0083>7}O<<20qcl?2;296~N3=11vbo><:181M2202wen=:50;0xL13?3tdi<84?:3yK00>52zJ71==zfk:<6=4={I66<>{ij921<7vF;599~jg6e2909wE::8:mf5e=838pD9;7;|la4a<72;qC8864}o`3a?6=:rB?955rnc2e>5<5sA>>46sab0294?4|@=?37p`m1083>7}O<<20qcl>2;296~N3=11vbo?<:181M2202wen<:50;0xL13?3tdi=84?:3yK00>52zJ71==zfk;<6=4={I66<>{ij821<7vF;599~jg7e2909wE::8:mf4e=838pD9;7;|la5a<72;qC8864}o`2a?6=:rB?955rnc3e>5<5sA>>46sab3294?4|@=?37p`m2083>7}O<<20qcl=2;296~N3=11vbo<<:181M2202wen?:50;0xL13?3tdi>84?:3yK00>52zJ71==zfk8<6=4={I66<>{ij;21<7vF;599~jg4e2909wE::8:mf7e=838pD9;7;|la6a<72;qC8864}o`1a?6=:rB?955rnc0e>5<5sA>>46sab2294?4|@=?37p`m3083>7}O<<20qcl<2;296~N3=11vbo=<:181M2202we5=o50;3xL13?3td2:o4?:0yK00>51z&20`<2>o1C8864}o;5`?6=9r.:8h4:6g9K00>51z&20`<2>o1C8864}o;5b?6=9r.:8h4:6g9K00>51z&20`<2>o1C8864}o;45?6=9r.:8h4:6g9K00>7>51zJ71==zf0=86=4>{I66<>{i1>>1<7?tH57;?xh>?<0;63:1=vF;599~j<10290:wE::8:m=2>=83;pD9;7;|l:3<<728qC8864}o;4e?6=9rB?955rn85a>5<6sA>>46sa96a94?7|@=?37p`67e83>4}O<<20qc78e;295~N3=11vb49i:182M2202we55>50;3xL13?3td24<4?:0yK00>7>51zJ71==zf0286=4>{I66<>{i11>1<7?tH57;?xh>0<0;63:1=vF;599~j<>0290:wE::8:m==>=83;pD9;7;|l:<<<728qC8864}o;;e?6=9rB?955rn8:a>5<6sA>>46sa99a94?7|@=?37p`68e83>4}O<<20qc77e;295~N3=11vb46i:182M2202we54>50;3xL13?3td25<4?:0yK00>7>51zJ71==zf0386=4>{I66<>{i10>1<7?tH57;?xh>1<0;6>3:1=vF;599~j=83;pD9;7;|l:=<<728qC8864}o;:e?6=9rB?955rn8;a>5<6sA>>46sa98a94?7|@=?37p`69e83>4}O<<20qc76e;295~N3=11vb47i:182M2202we5l>50;3xL13?3td2m<4?:0yK00>7>51zJ71==zf0k86=4>{I66<>{i1h>1<7?tH57;?xh>i<0;63:1=vF;599~j=83;pD9;7;|l:e<<728qC8864}o;be?6=9rB?955rn8ca>5<6sA>>46sa9`a94?7|@=?37p`6ae83>4}O<<20qc7ne;295~N3=11vb4oi:182M2202we5o>50;3xL13?3td2n<4?:0yK00>7>51zJ71==zf0h86=4>{I66<>{i1k>1<7?tH57;?xh>j<0;63:1=vF;599~j=83;pD9;7;|l:f<<728qC8864}o;ae?6=9rB?955rn8`a>5<6sA>>46sa9ca94?7|@=?37p`6be83>4}O<<20qc7me;295~N3=11vb4li:182M2202we5n>50;3xL13?3td2o<4?:0yK00>7>51zJ71==zf0i86=4>{I66<>{i1j>1<7?tH57;?xh>k<0;63:1=vF;599~j=83;pD9;7;|l:g<<728qC8864}o;`e?6=9rB?955rn8aa>5<6sA>>46sa9ba94?7|@=?37p`6ce83>4}O<<20qc7le;295~N3=11vb4mi:182M2202we5i>50;3xL13?3td2h<4?:0yK00>7>51zJ71==zf0n86=4>{I66<>{i1m>1<7?tH57;?xh>l<0;63:1=vF;599~j=83;pD9;7;|l:`<<728qC8864}o;ge?6=9rB?955rn8fa>5<6sA>>46sa9ea94?7|@=?37p`6de83>4}O<<20qc7ke;295~N3=11vb4ji:182M2202we5h>50;3xL13?3td2i<4?:0yK00>7>51zJ71==zf0o86=4>{I66<>{i1l>1<7?tH57;?xh>m<0;63:1=vF;599~j=83;pD9;7;|l:a<<728qC8864}o;fe?6=9rB?955rn8ga>5<6sA>>46sa9da94?7|@=?37p`6ee83>4}O<<20qc7je;295~N3=11vb4ki:182M2202we5k>50;3xL13?3td2j<4?:0yK00>7>51zJ71==zf0l86=4>{I66<>{i1o>1<7?tH57;?xh>n<0;63:1=vF;599~j<`0290:wE::8:m=c>=83;pD9;7;|l:b<<728qC8864}o;ee?6=9rB?955rn8da>5<6sA>>46sa9ga94?7|@=?37p`6fe83>4}O<<20qc7ie;295~N3=11vb4hi:182M2202wem=>50;3xL13?3tdj<<4?:0yK00>7>51zJ71==zfh:86=4>{I66<>{ii9>1<7?tH57;?xhf8<0;63:1=vF;599~jd60290:wE::8:me5>=83;pD9;7;|lb4<<728qC8864}oc3e?6=9rB?955rn`2a>5<6sA>>46saa1a94?7|@=?37p`n0e83>4}O<<20qco?e;295~"6:k5G44:8ykg7n3:1=v*>4d862c=O<<20qco>0;295~N3=11vbl?>:182!73m3?=j6F;599~jd75290:wE::8:me45=83;p(<:j:44e?M2202wem<:50;3xL13?3tdj=84?:0y'51c==?l0D9;7;|lb53<728qC8864}oc23?6=9r.:8h4:6g9K00>51zJ71==zfh;26=4>{%37a?31n2B?955rn`3b>5<6sA>>46saa0`94?7|,8>n688i;I66<>{ii8i1<7?tH57;?xhf9m0;64}O<<20qco>f;295~"6:k5G44:8ykg583:1=v*>4d862c=O<<20qco=1;295~N3=11vbl<=:182!73m3?=j6F;599~jd44290:wE::8:me72=83;p(<:j:44e?M2202wem?;50;3xL13?3tdj>;4?:0y'51c==?l0D9;7;|lb62<728qC8864}oc151zJ71==zfh8j6=4>{%37a?31n2B?955rn`0a>5<6sA>>46saa3a94?7|,8>n688i;I66<>{ii;n1<7?tH57;?xhf:l0;64}O<<20qco<0;295~N3=11vbl=>:182M2202wem><50;3xL13?3tdj?>4?:0yK00>51zJ71==zfh9>6=4>{I66<>{ii:<1<7?tH57;?xhf;>0;6290:wE::8:me6g=83;pD9;7;|lb7g<728qC8864}oc0g?6=9rB?955rn`1g>5<6sA>>46saa2g94?7|@=?37p`n3g83>4}O<<20qco;0;295~N3=11vbl:>:182M2202wem9<50;3xL13?3tdj8>4?:0yK00>51zJ71==zfh>>6=4>{I66<>{ii=<1<7?tH57;?xhf<>0;6290:wE::8:me1g=83;pD9;7;|lb0g<728qC8864}oc7g?6=9rB?955rn`6g>5<6sA>>46saa5g94?7|@=?37p`n4g83>4}O<<20qco:0;295~N3=11vbl;>:182M2202wem8<50;3xL13?3tdj9>4?:0yK00>87>51zJ71==zfh?>6=4>{I66<>{ii<<1<7?tH57;?xhf=>0;6290:wE::8:me0g=83;pD9;7;|lb1g<728qC8864}oc6g?6=9rB?955rn`7g>5<6sA>>46saa4g94?7|@=?37p`n5g83>4}O<<20qco90;295~N3=11vbl8>:182M2202wem;<50;3xL13?3tdj:>4?:0yK00>51zJ71==zfh<>6=4>{I66<>{ii?<1<7?tH57;?xhf>>0;6290:wE::8:me3g=83;pD9;7;|lb2g<728qC8864}oc5g?6=9rB?955rn`4g>5<6sA>>46saa7g94?7|@=?37p`n6g83>4}O<<20qco80;295~N3=11vbl9>:182M2202wem:<50;3xL13?3tdj;>4?:0yK00>51zJ71==zfh=>6=4>{I66<>{ii><1<7?tH57;?xhf?>0;6290:wE::8:me2g=83;pD9;7;|lb3g<728qC8864}oc4g?6=9rB?955rn`5g>5<6sA>>46saa6g94?7|@=?37p`n7g83>4}O<<20qco70;295~N3=11vbl6>:182M2202wem5<50;3xL13?3tdj4>4?:0yK00>51zJ71==zfh2>6=4>{I66<>{ii1<1<7?tH57;?xhf0>0;6>290:wE::8:me=g=83;pD9;7;|lb5<6sA>>46saa9g94?7|@=?37p`n8g83>4}O<<20qco60;295~N3=11vbl7>:182M2202wem4<50;3xL13?3tdj5>4?:0yK00>51zJ71==zfh3>6=4>{I66<>{ii0<1<7?tH57;?xhf1>0;603:1=vF;599~jd?>290:wE::8:me5<6sA>>46saa8g94?7|@=?37p`n9g83>4}O<<20qcon0;295~N3=11vblo>:182M2202weml<50;3xL13?3tdjm>4?:0yK00>51zJ71==zfhk>6=4>{I66<>{iih<1<7?tH57;?xhfi>0;6290:wE::8:medg=83;pD9;7;|lbeg<728qC8864}ocbg?6=9rB?955rn`cg>5<6sA>>46saa`g94?7|@=?37p`nag83>4}O<<20qcom0;295~N3=11vbll>:182M2202wemo<50;3xL13?3tdjn>4?:0yK00>51zJ71==zfhh>6=4>{I66<>{iik<1<7?tH57;?xhfj>0;6290:wE::8:megg=83;pD9;7;|lbfg<728qC8864}ocag?6=9rB?955rn``g>5<6sA>>46saacg94?7|@=?37p`nbg83>4}O<<20qcol0;295~N3=11vblm>:182M2202wemn<50;3xL13?3tdjo>4?:0yK00>51zJ71==zfhi>6=4>{I66<>{iij<1<7?tH57;?xhfk>0;6290:wE::8:mefg=83;pD9;7;|lbgg<728qC8864}oc`g?6=9rB?955rn`ag>5<6sA>>46saabg94?7|@=?37p`ncg83>4}O<<20qcok0;295~N3=11vblj>:182M2202wemi<50;3xL13?3twvqMNL{bdf>f41mjli?pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym new file mode 100644 index 00000000..13e8af33 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym @@ -0,0 +1,74 @@ +VERSION 5 +BEGIN SYMBOL fifo_xlnx_512x36_2clk +SYMBOLTYPE BLOCK +TIMESTAMP 2008 7 28 22 45 53 +SYMPIN 0 80 Input din[35:0] +SYMPIN 0 144 Input wr_en +SYMPIN 0 176 Input wr_clk +SYMPIN 0 240 Input rd_en +SYMPIN 0 272 Input rd_clk +SYMPIN 144 704 Input rst +SYMPIN 576 80 Output dout[35:0] +SYMPIN 576 208 Output full +SYMPIN 576 368 Output wr_data_count[8:0] +SYMPIN 576 432 Output empty +SYMPIN 576 592 Output rd_data_count[8:0] +BEGIN DISPLAY 32 32 TEXT fifo_xlnx_512x36_2clk + FONT 40 "Arial" +END DISPLAY +RECTANGLE N 32 32 544 672 +BEGIN LINE W 0 80 32 80 +END LINE +BEGIN DISPLAY 36 80 PIN din[35:0] ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 144 32 144 +BEGIN DISPLAY 36 144 PIN wr_en ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 176 32 176 +BEGIN DISPLAY 36 176 PIN wr_clk ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 240 32 240 +BEGIN DISPLAY 36 240 PIN rd_en ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 272 32 272 +BEGIN DISPLAY 36 272 PIN rd_clk ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 144 704 144 672 +BEGIN DISPLAY 144 668 PIN rst ATTR PinName + ALIGNMENT BCENTER + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 80 544 80 +END LINE +BEGIN DISPLAY 540 80 PIN dout[35:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +LINE N 576 208 544 208 +BEGIN DISPLAY 540 208 PIN full ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 368 544 368 +END LINE +BEGIN DISPLAY 540 368 PIN wr_data_count[8:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +LINE N 576 432 544 432 +BEGIN DISPLAY 540 432 PIN empty ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 592 544 592 +END LINE +BEGIN DISPLAY 540 592 PIN rd_data_count[8:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +END SYMBOL diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v new file mode 100644 index 00000000..3cc5e29f --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v @@ -0,0 +1,173 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating +// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module fifo_xlnx_512x36_2clk( + din, + rd_clk, + rd_en, + rst, + wr_clk, + wr_en, + dout, + empty, + full, + rd_data_count, + wr_data_count); + + +input [35 : 0] din; +input rd_clk; +input rd_en; +input rst; +input wr_clk; +input wr_en; +output [35 : 0] dout; +output empty; +output full; +output [8 : 0] rd_data_count; +output [8 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V4_3 #( + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(9), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(36), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(36), + .C_ENABLE_RLOCS(0), + .C_FAMILY("spartan3"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_INT_CLK(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_INIT_WR_PNTR_VAL(0), + .C_MEMORY_TYPE(1), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("512x36"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(511), + .C_PROG_FULL_THRESH_NEGATE_VAL(510), + .C_PROG_FULL_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(9), + .C_RD_DEPTH(512), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(9), + .C_UNDERFLOW_LOW(0), + .C_USE_DOUT_RST(0), + .C_USE_ECC(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(0), + .C_VALID_LOW(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(9), + .C_WR_DEPTH(512), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(9), + .C_WR_RESPONSE_LATENCY(1)) + inst ( + .DIN(din), + .RD_CLK(rd_clk), + .RD_EN(rd_en), + .RST(rst), + .WR_CLK(wr_clk), + .WR_EN(wr_en), + .DOUT(dout), + .EMPTY(empty), + .FULL(full), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .CLK(), + .INT_CLK(), + .BACKUP(), + .BACKUP_MARKER(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .RD_RST(), + .SRST(), + .WR_RST(), + .ALMOST_EMPTY(), + .ALMOST_FULL(), + .DATA_COUNT(), + .OVERFLOW(), + .PROG_EMPTY(), + .PROG_FULL(), + .VALID(), + .UNDERFLOW(), + .WR_ACK(), + .SBITERR(), + .DBITERR()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of fifo_xlnx_512x36_2clk is "black_box" + +endmodule + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo new file mode 100644 index 00000000..40747fd2 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo @@ -0,0 +1,53 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_xlnx_512x36_2clk YourInstanceName ( + .din(din), // Bus [35 : 0] + .rd_clk(rd_clk), + .rd_en(rd_en), + .rst(rst), + .wr_clk(wr_clk), + .wr_en(wr_en), + .dout(dout), // Bus [35 : 0] + .empty(empty), + .full(full), + .rd_data_count(rd_data_count), // Bus [8 : 0] + .wr_data_count(wr_data_count)); // Bus [8 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating +// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd new file mode 100644 index 00000000..d9c2dd30 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd @@ -0,0 +1,156 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating +-- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY fifo_xlnx_512x36_2clk IS + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(8 downto 0); + wr_data_count: OUT std_logic_VECTOR(8 downto 0)); +END fifo_xlnx_512x36_2clk; + +ARCHITECTURE fifo_xlnx_512x36_2clk_a OF fifo_xlnx_512x36_2clk IS +-- synthesis translate_off +component wrapped_fifo_xlnx_512x36_2clk + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(8 downto 0); + wr_data_count: OUT std_logic_VECTOR(8 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_fifo_xlnx_512x36_2clk use entity XilinxCoreLib.fifo_generator_v4_3(behavioral) + generic map( + c_has_int_clk => 0, + c_rd_freq => 1, + c_wr_response_latency => 1, + c_has_srst => 0, + c_has_rd_data_count => 1, + c_din_width => 36, + c_has_wr_data_count => 1, + c_full_flags_rst_val => 1, + c_implementation_type => 2, + c_family => "spartan3", + c_use_embedded_reg => 0, + c_has_wr_rst => 0, + c_wr_freq => 1, + c_use_dout_rst => 0, + c_underflow_low => 0, + c_has_meminit_file => 0, + c_has_overflow => 0, + c_preload_latency => 0, + c_dout_width => 36, + c_msgon_val => 1, + c_rd_depth => 512, + c_default_value => "BlankString", + c_mif_file_name => "BlankString", + c_has_underflow => 0, + c_has_rd_rst => 0, + c_has_almost_full => 0, + c_has_rst => 1, + c_data_count_width => 9, + c_has_wr_ack => 0, + c_use_ecc => 0, + c_wr_ack_low => 0, + c_common_clock => 0, + c_rd_pntr_width => 9, + c_use_fwft_data_count => 0, + c_has_almost_empty => 0, + c_rd_data_count_width => 9, + c_enable_rlocs => 0, + c_wr_pntr_width => 9, + c_overflow_low => 0, + c_prog_empty_type => 0, + c_optimization_mode => 0, + c_wr_data_count_width => 9, + c_preload_regs => 1, + c_dout_rst_val => "0", + c_has_data_count => 0, + c_prog_full_thresh_negate_val => 510, + c_wr_depth => 512, + c_prog_empty_thresh_negate_val => 5, + c_prog_empty_thresh_assert_val => 4, + c_has_valid => 0, + c_init_wr_pntr_val => 0, + c_prog_full_thresh_assert_val => 511, + c_use_fifo16_flags => 0, + c_has_backup => 0, + c_valid_low => 0, + c_prim_fifo_type => "512x36", + c_count_type => 0, + c_prog_full_type => 0, + c_memory_type => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_fifo_xlnx_512x36_2clk + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- synthesis translate_on + +END fifo_xlnx_512x36_2clk_a; + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho new file mode 100644 index 00000000..70eac27a --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho @@ -0,0 +1,76 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component fifo_xlnx_512x36_2clk + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(8 downto 0); + wr_data_count: OUT std_logic_VECTOR(8 downto 0)); +end component; + +-- Synplicity black box declaration +attribute syn_black_box : boolean; +attribute syn_black_box of fifo_xlnx_512x36_2clk: component is true; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : fifo_xlnx_512x36_2clk + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating +-- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco new file mode 100644 index 00000000..c67e7e89 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco @@ -0,0 +1,82 @@ +############################################################## +# +# Xilinx Core Generator version K.31 +# Date: Mon Jul 28 22:47:43 2008 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = True +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s2000 +SET devicefamily = spartan3 +SET flowvendor = Foundation_iSE +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fg456 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -5 +SET verilogsim = True +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Fifo_Generator family Xilinx,_Inc. 4.3 +# END Select +# BEGIN Parameters +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET component_name=fifo_xlnx_512x36_2clk +CSET data_count=false +CSET data_count_width=9 +CSET disable_timing_violations=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_negate_value=5 +CSET enable_ecc=false +CSET enable_int_clk=false +CSET fifo_implementation=Independent_Clocks_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=511 +CSET full_threshold_negate_value=510 +CSET input_data_width=36 +CSET input_depth=512 +CSET output_data_width=36 +CSET output_depth=512 +CSET overflow_flag=false +CSET overflow_sense=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=9 +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET underflow_flag=false +CSET underflow_sense=Active_High +CSET use_dout_reset=false +CSET use_embedded_registers=false +CSET use_extra_logic=false +CSET valid_flag=false +CSET valid_sense=Active_High +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=9 +# END Parameters +GENERATE +# CRC: 43b7cba0 + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso new file mode 100644 index 00000000..f1a6f789 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso @@ -0,0 +1,3 @@ +blkmemdp_v6_2 +blk_mem_gen_v2_6 +fifo_generator_v4_3 diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt new file mode 100644 index 00000000..5abd4e09 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt @@ -0,0 +1,103 @@ + + + + + + +
+ + + + + + + + + + + + + + +
+
+ + + + + + + + + + + +
+
+ + + +
+
+
+
+
+
+
+
+ + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + +
+
+
+
+
+ + + +
+ + + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt new file mode 100644 index 00000000..e9d38a49 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt @@ -0,0 +1,12 @@ +# Output products list for +fifo_xlnx_512x36_2clk.asy +fifo_xlnx_512x36_2clk.ngc +fifo_xlnx_512x36_2clk.sym +fifo_xlnx_512x36_2clk.v +fifo_xlnx_512x36_2clk.veo +fifo_xlnx_512x36_2clk.vhd +fifo_xlnx_512x36_2clk.vho +fifo_xlnx_512x36_2clk.xco +fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +fifo_xlnx_512x36_2clk_flist.txt +fifo_xlnx_512x36_2clk_xmdf.tcl diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt new file mode 100644 index 00000000..07bf2079 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt @@ -0,0 +1,55 @@ +The following files were generated for 'fifo_xlnx_512x36_2clk' in directory +/home/jblum/proj/usrp2/trunk/fpga/coregen/: + +fifo_xlnx_512x36_2clk.asy: + Graphical symbol information file. Used by the ISE tools and some + third party tools to create a symbol representing the core. + +fifo_xlnx_512x36_2clk.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +fifo_xlnx_512x36_2clk.sym: + Please see the core data sheet. + +fifo_xlnx_512x36_2clk.v: + Verilog wrapper file provided to support functional simulation. + This file contains simulation model customization data that is + passed to a parameterized simulation model for the core. + +fifo_xlnx_512x36_2clk.veo: + VEO template file containing code that can be used as a model for + instantiating a CORE Generator module in a Verilog design. + +fifo_xlnx_512x36_2clk.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +fifo_xlnx_512x36_2clk.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +fifo_xlnx_512x36_2clk.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: + Please see the core data sheet. + +fifo_xlnx_512x36_2clk_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +fifo_xlnx_512x36_2clk_readme.txt: + Text file indicating the files generated and how they are used. + +fifo_xlnx_512x36_2clk_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl new file mode 100644 index 00000000..42a681df --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl @@ -0,0 +1,84 @@ +# The package naming convention is _xmdf +package provide fifo_xlnx_512x36_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_xlnx_512x36_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_512x36_2clk +} +# ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_512x36_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/usrp2/fpga/eth/bench/verilog/100m.scr b/usrp2/fpga/eth/bench/verilog/100m.scr new file mode 100644 index 00000000..0dd59b89 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/100m.scr @@ -0,0 +1,38 @@ +// This tests just runs a few packets at 10/100 Mbps and 1 Gbps instead of only the usual 1 Gbps + +// Read from register 24 to confirm that Rx CRC check is enabled +03 00 18 00 01 ff ff + +// Set speed to 1000 Mbps for a starter +01 00 22 00 04 + +// Setup Tx and Rx MAC addresses and type field to "IP" +// Set Tx Data at offset 0, length 14 to 123456789ABC CBA987654321 0800 +10 00 00 00 0E 12 34 56 78 9A BC CB A9 87 65 43 21 08 00 + +// Transmit a 1000-byte frame 1 time - and expect it to be received again! +20 03 E8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Set speed to 100 Mbps - this is 10x slower! +01 00 22 00 02 + +// Transmit a 1000-byte frame 1 time - and expect it to be received again! +20 03 E8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Set speed to 10 Mbps - this is yet another 10x slower! +01 00 22 00 01 + +// Transmit a 1000-byte frame 1 time - and expect it to be received again! +20 03 E8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Halt +FF diff --git a/usrp2/fpga/eth/bench/verilog/Phy_sim.v b/usrp2/fpga/eth/bench/verilog/Phy_sim.v new file mode 100644 index 00000000..f51ddbd8 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/Phy_sim.v @@ -0,0 +1,113 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Phy_sim.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Phy_sim.v,v $ +// Revision 1.3 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.2 2006/01/19 14:07:50 maverickist +// verification is complete. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +`timescale 1ns/100ps + +module Phy_sim( + input Gtx_clk, // Used only in GMII mode + output Rx_clk, + output Tx_clk, // Used only in MII mode + input Tx_er, + input Tx_en, + input [7:0] Txd, + output Rx_er, + output Rx_dv, + output [7:0] Rxd, + output Crs, + output Col, + input [2:0] Speed, + input Done +); + +////////////////////////////////////////////////////////////////////// +// this file used to simulate Phy. +// generate clk and loop the Tx data to Rx data +// full duplex mode can be verified on loop mode. +////////////////////////////////////////////////////////////////////// +////////////////////////////////////////////////////////////////////// +// internal signals +////////////////////////////////////////////////////////////////////// +reg Clk_25m; // Used for 100 Mbps mode +reg Clk_2_5m; // Used for 10 Mbps mode + +//wire Rx_clk; +//wire Tx_clk; // Used only in MII mode + + initial + begin + #10; + while ( !Done ) + begin + #20 Clk_25m = 0; + #20 Clk_25m = 1; + end + end + + initial + begin + #10; + while ( !Done ) + begin + #200 Clk_2_5m = 0; + #200 Clk_2_5m = 1; + end + end + + assign Rx_clk = Speed[2] ? Gtx_clk : Speed[1] ? Clk_25m : Speed[0] ? Clk_2_5m : 0; + assign Tx_clk = Speed[2] ? Gtx_clk : Speed[1] ? Clk_25m : Speed[0] ? Clk_2_5m : 0; + + assign Rx_dv = Tx_en; + assign Rxd = Txd; + assign Rx_er = Tx_er; + assign Crs = Tx_en; + assign Col = 0; + +endmodule diff --git a/usrp2/fpga/eth/bench/verilog/User_int_sim.v b/usrp2/fpga/eth/bench/verilog/User_int_sim.v new file mode 100644 index 00000000..3f4aa249 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/User_int_sim.v @@ -0,0 +1,230 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// User_input_sim.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: User_int_sim.v,v $ +// Revision 1.3 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.2 2006/01/19 14:07:50 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/13 12:15:35 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// +module User_int_sim ( + Reset, + Clk_user, + CPU_init_end, + + Rx_mac_ra, + Rx_mac_rd, + Rx_mac_data, + Rx_mac_BE, + Rx_mac_pa, + Rx_mac_sop, + Rx_mac_eop, + + Tx_mac_wa, + Tx_mac_wr, + Tx_mac_data, + Tx_mac_BE, + Tx_mac_sop, + Tx_mac_eop +); + + input Reset; + input Clk_user; + input CPU_init_end; + + input Rx_mac_ra; + output Rx_mac_rd; + input [31:0] Rx_mac_data; + input [1:0] Rx_mac_BE; + input Rx_mac_pa; + input Rx_mac_sop; + input Rx_mac_eop; + + input Tx_mac_wa; + output reg Tx_mac_wr; + output reg [31:0] Tx_mac_data; + output reg [1:0] Tx_mac_BE; // Big endian + output reg Tx_mac_sop; + output reg Tx_mac_eop; + +////////////////////////////////////////////////////////////////////// +// Internal signals +////////////////////////////////////////////////////////////////////// + + reg [4:0] operation; + reg [31:0] data; + reg Rx_mac_rd; + reg Start_tran; + +////////////////////////////////////////////////////////////////////// +//generate Tx user data +////////////////////////////////////////////////////////////////////// + + initial + begin + operation = 0; + data = 0; + end + + task SendPacket; + input [15:0] Length; + input [7:0] StartByte; + + reg [15:0] Counter; + reg [7:0] TxData; + + begin + Counter=Length; + TxData = StartByte; + Tx_mac_sop = 1; // First time + while ( Counter>0 ) + begin + while ( !Tx_mac_wa ) + begin + Tx_mac_wr = 0; + @( posedge Clk_user ); + end + + Tx_mac_data[31:24] = TxData; + Tx_mac_data[23:16] = TxData+1; + Tx_mac_data[15:8] = TxData+2; + Tx_mac_data[ 7:0] = TxData+3; + TxData = TxData+4; + if ( Counter<=4 ) + begin + // Indicate how many bytes are valid + if ( Counter==4 ) + Tx_mac_BE = 2'b00; + else + Tx_mac_BE = Counter; + Tx_mac_eop = 1; + end + Tx_mac_wr = 1; + + if ( Counter >= 4 ) + Counter = Counter - 4; + else + Counter = 0; + @( posedge Clk_user ); + Tx_mac_sop = 0; + end + + Tx_mac_eop = 0; + Tx_mac_wr = 0; + Tx_mac_data = 32'h0; + Tx_mac_BE = 2'b00; + end + endtask + + always @( posedge Clk_user or posedge Reset ) + if (Reset) + Start_tran <= 0; + else if (Tx_mac_eop && !Tx_mac_wa) + Start_tran <= 0; + else if (Tx_mac_wa) + Start_tran <= 1; + + always @(posedge Clk_user) + if (Tx_mac_wa && CPU_init_end) + /* $ip_32W_gen("../data/config.ini",operation,data); */ + ; + else + begin + operation <= 0; + data <= 0; + end + + initial + begin + Tx_mac_sop = 0; + Tx_mac_eop = 0; + Tx_mac_wr = 0; + Tx_mac_data = 32'h0; + Tx_mac_BE = 2'b00; + + #100; + while ( Reset ) + @( posedge Clk_user ); + + @( posedge Clk_user ); + + while ( !CPU_init_end ) + @( posedge Clk_user ); + + SendPacket( 64, 8'h11 ); + repeat( 20 ) + @( posedge Clk_user ); + SendPacket( 1500, 8'h12 ); + + end + +// assign Tx_mac_data = data; +// assign Tx_mac_wr = operation[4]; +// assign Tx_mac_sop = operation[3]; +// assign Tx_mac_eop = operation[2]; +// assign Tx_mac_BE = operation[1:0]; + +////////////////////////////////////////////////////////////////////// +//verify Rx user data +////////////////////////////////////////////////////////////////////// + + always @ (posedge Clk_user or posedge Reset) + if (Reset) + Rx_mac_rd <= 0; + else if (Rx_mac_ra) + Rx_mac_rd <= 1; + else + Rx_mac_rd <= 0; + + always @ (posedge Clk_user ) + if (Rx_mac_pa) + /* $ip_32W_check( Rx_mac_data, + {Rx_mac_sop,Rx_mac_eop,Rx_mac_eop?Rx_mac_BE:2'b0}); + */ + ; + +endmodule diff --git a/usrp2/fpga/eth/bench/verilog/error.scr b/usrp2/fpga/eth/bench/verilog/error.scr new file mode 100644 index 00000000..af42634a --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/error.scr @@ -0,0 +1,146 @@ +// This tests sends 5 packets, injecting a bit error in two of them +// to verify the Rx CRC check works. The corresponding RMON statistics +// counter is finally checked to verify that the error was registered + +// Read from register 24 to confirm that Rx CRC check is enabled +03 00 18 00 01 ff ff + +// Set speed to 1000 Mbps +01 00 22 00 04 + +// Setup Tx and Rx MAC addresses and type field to "IP" +// Set Tx Data at offset 0, length 14 to 123456789ABC CBA987654321 0800 +10 00 00 00 0E 12 34 56 78 9A BC CB A9 87 65 43 21 08 00 + +//--- Packets #0 & 1 -------------------------------------------------------- + +// Transmit a 200-byte frame 1 time - and expect it to be received again! +20 00 C8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Transmit a 200-byte frame 1 time - but expect to receive it with error! +25 00 C8 00 01 + +// Inject a single bit error in the packet (data bit 0) - this will cause a CRC error +23 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +//--- Packets #2 & 3 -------------------------------------------------------- + +// Transmit a 200-byte frame 1 time - and expect it to be received again! +20 00 C8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Transmit a 200-byte frame 1 time - but expect to receive it with error! +25 00 C8 00 01 + +// Inject a single bit error in the packet (data bit 7) - this will cause a CRC error +23 00 80 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +//--- Packets #4 & 5 -------------------------------------------------------- + +// Transmit a 200-byte frame 1 time - and expect it to be received again! +20 00 C8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Transmit a 200-byte frame 1 time - but don't expect it to be received again! +21 00 C8 00 01 + +// Inject a single bit error in the packet (RxEn) - this will cause a packet discard! +// (because it happens early in the packet) +23 01 00 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +//--- Packets #6 & 7 -------------------------------------------------------- + +// Transmit a 200-byte frame 1 time - and expect it to be received again! +20 00 C8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Transmit a 200-byte frame 1 time - but don't expect it to be received again! +21 00 C8 00 01 + +// Inject a single bit error in the packet (RxEr) +23 02 00 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +//--- Packet #8 ------------------------------------------------------------- + +// Transmit a 200-byte frame 1 time - and expect it to be received again! +20 00 C8 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +//--------------------------------------------------------------------------- + +// Set CPU_rd_addr to address RxCRCErrCounter +01 00 1C 00 05 + +// Assert CPU_rd_apply +01 00 1D 00 01 + +// Kill a little time while waiting for CPU_rd_grant to assert... +02 00 1E +02 00 1E +02 00 1E +02 00 1E + +// Confirm that CPU_rd_grant is asserted +03 00 1E 00 01 ff ff + +// Read & check low part of RxCRCErrCounter (0x0002) +03 00 1F 00 02 ff ff + +// Read & check high part of RxCRCErrCounter (0x0000) +03 00 20 00 00 ff ff + +// Negate CPU_rd_apply +01 00 1D 00 00 + +//--------------------------------------------------------------------------- + +// Set CPU_rd_addr to address RxTooShortTooLongCounter +01 00 1C 00 07 + +// Assert CPU_rd_apply +01 00 1D 00 01 + +// Kill a little time while waiting for CPU_rd_grant to assert... +02 00 1E +02 00 1E +02 00 1E +02 00 1E + +// Confirm that CPU_rd_grant is asserted +03 00 1E 00 01 ff ff + +// Read & check low part of RxTooShortTooLongCounter (0x0002) +03 00 1F 00 02 ff ff + +// Read & check high part of RxTooShortTooLongCounter (0x0000) +03 00 20 00 00 ff ff + +// Negate CPU_rd_apply +01 00 1D 00 00 + + +// Halt +FF diff --git a/usrp2/fpga/eth/bench/verilog/files.lst b/usrp2/fpga/eth/bench/verilog/files.lst new file mode 100644 index 00000000..6175a4d4 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/files.lst @@ -0,0 +1,42 @@ +../../rtl/verilog/MAC_rx/Broadcast_filter.v +../../rtl/verilog/MAC_rx/CRC_chk.v +../../rtl/verilog/MAC_rx/MAC_rx_add_chk.v +../../rtl/verilog/MAC_rx/MAC_rx_ctrl.v +../../rtl/verilog/MAC_rx/MAC_rx_FF.v + +../../rtl/verilog/MAC_tx/CRC_gen.v +../../rtl/verilog/MAC_tx/flow_ctrl.v +../../rtl/verilog/MAC_tx/MAC_tx_addr_add.v +../../rtl/verilog/MAC_tx/MAC_tx_ctrl.v +../../rtl/verilog/MAC_tx/MAC_tx_FF.v +../../rtl/verilog/MAC_tx/Ramdon_gen.v + +../../rtl/verilog/miim/eth_clockgen.v +../../rtl/verilog/miim/eth_outputcontrol.v +../../rtl/verilog/miim/eth_shiftreg.v + +../../rtl/verilog/RMON/RMON_addr_gen.v +../../rtl/verilog/RMON/RMON_ctrl.v +../../rtl/verilog/RMON/RMON_dpram.v + +../../rtl/verilog/TECH/duram.v +../../rtl/verilog/TECH/eth_clk_div2.v +../../rtl/verilog/TECH/eth_clk_switch.v + +../../rtl/verilog/TECH/xilinx/BUFGMUX.v +../../rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v + +../../rtl/verilog/Clk_ctrl.v +../../rtl/verilog/eth_miim.v +../../rtl/verilog/MAC_rx.v +../../rtl/verilog/MAC_top.v +../../rtl/verilog/MAC_tx.v +../../rtl/verilog/Phy_int.v +../../rtl/verilog/Reg_int.v +../../rtl/verilog/RMON.v + +../../bench/verilog/Phy_sim.v +../../bench/verilog/User_int_sim.v +../../bench/verilog/host_sim.v +../../bench/verilog/xlnx_glbl.v +../../bench/verilog/tb_top.v diff --git a/usrp2/fpga/eth/bench/verilog/host_sim.v b/usrp2/fpga/eth/bench/verilog/host_sim.v new file mode 100644 index 00000000..55abb850 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/host_sim.v @@ -0,0 +1,82 @@ +module host_sim( + input Reset, + input Clk_reg, + output reg CSB, + output reg WRB, + output reg CPU_init_end, + output reg [15:0] CD_in, + input [15:0] CD_out, + output reg [7:0] CA +); + +//////////////////////////////////////// + +task CPU_init; + begin + CA = 0; + CD_in = 0; + WRB = 1; + CSB = 1; + end +endtask + +//////////////////////////////////////// + +task CPU_wr; + input [6:0] Addr; + input [15:0] Data; + begin + CA = {Addr,1'b0}; + CD_in = Data; + WRB = 0; + CSB = 0; + #20; + CA = 0; + CD_in = 0; + WRB = 1; + CSB = 1; + #20; + end +endtask + +///////////////////////////////////////// + +task CPU_rd; + input [6:0] Addr; + begin + CA = {Addr,1'b0}; + WRB = 1; + CSB = 0; + #20; + CA = 0; + WRB = 1; + CSB = 1; + #20; + end +endtask + +///////////////////////////////////////// + +integer i; + +reg [31:0] CPU_data [255:0]; +reg [7:0] write_times; +reg [7:0] write_add; +reg [15:0] write_data; + +initial + begin + CPU_init; + CPU_init_end=0; + //$readmemh("../data/CPU.vec",CPU_data); + //{write_times,write_add,write_data}=CPU_data[0]; + {write_times,write_add,write_data}='b0; + #90; + for (i=0;i (7+6)); + assign Tx_er_Modified = Tx_er ^ ( TxError[9] & TxInPayload ); + assign Tx_en_Modified = Tx_en ^ ( TxError[8] & TxInPayload ); + assign Txd_Modified = Txd ^ ( TxError[7:0] & {8{TxInPayload}} ); + + always @( posedge Reset or posedge Tx_clk ) + if ( Reset ) + begin + InjectError <= 0; + InjectErrorDone <= 0; + TxError <= 'b0; + end + else + if ( InjectError ) + begin + TxError <= InjectError; + InjectError <= 0; + InjectErrorDone <= TxInPayload; + end + else if ( TxInPayload || InjectErrorDone ) + begin + TxError <= 8'h00; + InjectErrorDone <= 0; + end + + //------------------------------------------------------------------------- + // Track pause on Tx interface + + reg TxEnSeenOnce; + integer TxTrackPause; + + always @( posedge Reset or posedge Tx_clk ) + if ( Reset ) + begin + TxEnSeenOnce <= 0; + TxTrackPause <= 0; + end + else + if ( Tx_en ) + begin + if ( TxEnSeenOnce && (TxTrackPause >= 64) ) // 512 bits + $display( "IDLE period on Tx interface ended after %0d Tx clocks (%0d bits, tick ~ %0d)", + TxTrackPause, + (Speed == 4) ? TxTrackPause*8 : TxTrackPause*4, + (Speed == 4) ? TxTrackPause*8/512 : TxTrackPause*4/512 ); + TxEnSeenOnce <= 1; + TxTrackPause <= 0; + end + else + TxTrackPause <= TxTrackPause + 1; + + //------------------------------------------------------------------------- + // Host access routines (register read & write) + //------------------------------------------------------------------------- + + task HostInit; + begin + RST_I <= 1; + + STB_I <= 0; + CYC_I <= 0; + ADR_I <= 'b0; + WE_I <= 0; + DAT_I <= 'b0; + + #100 RST_I <= 0; + + // Wait a couple of clock edges before continuing to allow + // internal logic to get out of reset + repeat( 2 ) + @( posedge CLK_I ); + end + endtask + + task HostWriteReg; + input [6:0] Addr; + input [15:0] Data; + begin + @( posedge CLK_I ); + ADR_I <= Addr; + DAT_I <= Data; + WE_I <= 1; + STB_I <= 1; + CYC_I <= 1; + + @( posedge CLK_I ); + + while ( ~ACK_O ) + @( posedge CLK_I ); + + STB_I <= 0; + CYC_I <= 0; + end + endtask + + task HostReadReg; + input [6:0] Addr; + output [15:0] Data; + begin + @( posedge CLK_I ); + ADR_I <= Addr; + WE_I <= 0; + STB_I <= 1; + CYC_I <= 1; + + @( posedge CLK_I ); + + while ( ~ACK_O ) + @( posedge CLK_I ); + + Data = DAT_O; + STB_I <= 0; + CYC_I <= 0; + end + endtask + + //------------------------------------------------------------------------- + // User interface access routines (packet Tx and Rx) + //------------------------------------------------------------------------- + + `define FIFOSIZE 10000 + + integer FIFO_WrPtr = 0; + integer FIFO_RdPtr = 0; + integer FIFO_ElementCount = 0; + reg [35:0] FIFO_Data[0:`FIFOSIZE]; + + function FIFO_Empty; + input Dummy; + begin + if ( FIFO_ElementCount > 0 ) + FIFO_Empty = 0; + else + FIFO_Empty = 1; + end + endfunction + + function FIFO_Full; + input Dummy; + begin + if ( FIFO_ElementCount < `FIFOSIZE ) + FIFO_Full = 0; + else + FIFO_Full = 1; + end + endfunction + + task FIFO_Wr; + input [35:0] Data; + + begin + if ( !FIFO_Full(0) ) + begin + FIFO_Data[ FIFO_WrPtr ] = Data; + FIFO_WrPtr = (FIFO_WrPtr + 1) % `FIFOSIZE; + FIFO_ElementCount = FIFO_ElementCount + 1; + end + else + begin + $display( "ERROR: FIFO_Wr() - FIFO overflow!" ); + Error = 1; + $finish; + end + end + endtask + + task FIFO_Rd; + output [35:0] Data; + + begin + if ( !FIFO_Empty(0) ) + begin + Data = FIFO_Data[ FIFO_RdPtr ]; + FIFO_RdPtr = (FIFO_RdPtr + 1) % `FIFOSIZE; + FIFO_ElementCount = FIFO_ElementCount - 1; + end + else + begin + $display( "ERROR: FIFO_Rd() - Reading from empty FIFO!" ); + Error = 1; + $finish; + end + end + endtask + + //------------------------------------------------------------------------- + + `define TXDATALEN 8000 + reg [7:0] TxData[0:`TXDATALEN-1]; + reg [7:0] TxAltData[0:`TXDATALEN-1]; + + // By default change payload after Ethernet Header + reg [15:0] TxHeaderLength = 14; + + real TxStartTime; + integer TxPacketCount = 0; + integer TxByteCount; + + task SendPacket; + input [15:0] Length; + // 0: Don't write to FIFO, 1: Write to FIFO, 2: Write Alternate to FIFO, 3: Write IGNORE to FIFO + input [1:0] Wr2FIFO; + + reg [15:0] Counter; + integer TxIndex; + integer i; + + reg [31:0] Tx_fifo_data; + + begin + @( posedge Clk_user ); #1; + + TxPacketCount = TxPacketCount + 1; + TxByteCount = TxByteCount + Length; + + Counter=Length; + TxIndex = 0; + Tx_mac_sop = 1; // First time + + if ( TxStartTime == 0 ) + TxStartTime = $realtime; + + while ( Counter>0 ) + begin + while ( !Tx_mac_wa ) + begin + Tx_mac_wr = 0; + @( posedge Clk_user ); #1; + end + + Tx_mac_data[31:24] = TxData[ TxIndex ]; + Tx_mac_data[23:16] = TxData[ TxIndex+1 ]; + Tx_mac_data[15:8] = TxData[ TxIndex+2 ]; + Tx_mac_data[ 7:0] = TxData[ TxIndex+3 ]; + + // Default take data from regular tx buffer + Tx_fifo_data = Tx_mac_data; + if ( Wr2FIFO==2 ) + begin + // Put content of Alternate Tx buffer on Rx expectancy queue + if ( (TxIndex+0)= TxHeaderLength ) + TxData[ TxIndex ] = TxData[ TxIndex ] + 1; + TxIndex = TxIndex+1; + end + + if ( Counter<=4 ) + begin + // Indicate how many bytes are valid + if ( Counter==4 ) + Tx_mac_BE = 2'b00; + else + Tx_mac_BE = Counter; + Tx_mac_eop = 1; + end + else + begin + Tx_mac_BE = 2'b00; + Tx_mac_eop = 0; + end + + casez ( Wr2FIFO ) + 1, + 2: FIFO_Wr( { Tx_mac_sop, Tx_mac_eop, Tx_mac_BE, Tx_fifo_data } ); + 3: // Ignore + begin + FIFO_Wr( { 2'b11, 2'b00, 32'h00000000 } ); + Wr2FIFO = 0; + end + endcase + + Tx_mac_wr = 1; + + if ( Counter >= 4 ) + Counter = Counter - 4; + else + Counter = 0; + @( posedge Clk_user ); #1; + Tx_mac_sop = 0; + end + + Tx_mac_sop = 1'bx; + Tx_mac_eop = 1'bx; + Tx_mac_wr = 0; + Tx_mac_data = 32'bx; + Tx_mac_BE = 2'bx; + end + endtask + + //------------------------------------------------------------------------- + + reg Negate_Rx_mac_rd; + + always @( posedge Clk_user or posedge Reset ) + if ( Reset ) + Rx_mac_rd <= 0; + else if ( Rx_mac_ra & ~Negate_Rx_mac_rd ) + Rx_mac_rd <= 1; + else + Rx_mac_rd <= 0; + + real RxStartTime; + integer RxPacketCount; + integer RxByteCount; + + reg InPacket; + integer RxPacketLength; + reg IgnoreUntilNextERR; + + always @( posedge Clk_user or posedge Reset ) + if ( Reset ) + begin + InPacket = 0; + RxPacketCount = 0; + Negate_Rx_mac_rd <= 0; + IgnoreUntilNextERR = 0; + end + else + begin + Negate_Rx_mac_rd <= 0; + + if ( Rx_mac_pa ) + begin : RxWord + reg [35:0] RxData; + reg [35:0] Expected; + reg [35:0] Mask; + + RxData = { Rx_mac_sop, Rx_mac_eop, Rx_mac_BE, Rx_mac_data }; + casez ( Rx_mac_BE ) + 2'b01: Mask = 36'hfff000000; + 2'b10: Mask = 36'hfffff0000; + 2'b11: Mask = 36'hfffffff00; + default: Mask = 36'hfffffffff; + endcase + + // Retrieve expected packet data + + if ( !IgnoreUntilNextERR ) + begin + FIFO_Rd( Expected ); + if ( Expected[35] & Expected[34] ) + begin + // Both SOP & EOP are asserted in expectancy data + // - this means that we should ignore all data received until next EOP + $display( "The payload of this packet will be IGNORED - and an ERROR must be signalled!" ); + IgnoreUntilNextERR = 1; + end + end + if ( IgnoreUntilNextERR ) + Mask = 36'h000000000; + + //$display( "DEBUG: RxData=0x%0x, Expected=0x%0x", RxData, Expected ); + + if ( (RxData & Mask) !== (Expected & Mask) ) + begin + $display( "ERROR: Receiving unexpected packet data: Got 0x%0x, expected 0x%0x (Mask=0x%0x)", + RxData, Expected, Mask ); + Error = 1; + end + + if ( InPacket ) + begin + if ( Rx_mac_eop ) + begin + // Ensure Rx_mac_rd is negated for one clock + Negate_Rx_mac_rd <= 1; + if ( Rx_mac_BE==2'b00 ) + RxPacketLength = RxPacketLength + 4; + else + RxPacketLength = RxPacketLength + Rx_mac_BE; + $display( "Rx packet #%0d of length %0d ends", + RxPacketCount, + RxPacketLength ); + RxPacketCount = RxPacketCount + 1; + RxByteCount = RxByteCount + RxPacketLength; + InPacket = 0; + end + else + RxPacketLength = RxPacketLength + 4; + end + else + begin + if ( Rx_mac_sop ) + begin + RxPacketLength = 4; + $display( "Rx packet #%0d begins: 0x%08x", RxPacketCount, Rx_mac_data ); + InPacket = 1; + if ( RxStartTime == 0 ) + RxStartTime = $realtime; + end + else + begin + $display( "ERROR: Unexpectedly reading from Rx FIFO while not receiving a packet!" ); + Error = 1; + end + end + + if ( Rx_mac_err ) + begin + if ( !Rx_mac_eop ) + begin + $display( "ERROR: Rx_mac_err was asserted without Rx_mac_eop also being asserted!" ); + Error = 1; + end + if ( IgnoreUntilNextERR ) + $display( "Info: Rx_mac_err was asserted as expected!" ); + else + begin + $display( "ERROR: Rx_mac_err was unexpectedly asserted!" ); + Error = 1; + end + IgnoreUntilNextERR = 0; + end + end + end + + //------------------------------------------------------------------------- + // Script handling + //------------------------------------------------------------------------- + + integer PC; + + task ScriptWriteReg; + input [15:0] Addr; + input [15:0] Data; + + begin + $display( "WriteReg( 0x%04x, 0x%04x )", Addr, Data ); + HostWriteReg( Addr, Data ); + end + endtask + + task ScriptReadReg; + input [15:0] Addr; + + reg [15:0] Data; + + begin + $write( "ReadReg( 0x%04x ): ", Addr ); + HostReadReg( Addr, Data ); + $display( "0x%04x", Data ); + end + endtask + + task ScriptReadRegAndMatch; + input [15:0] Addr; + input [15:0] Data; + input [15:0] Mask; + + reg [15:0] Read; + + begin + $write( "ReadRegAndMatch( 0x%04x, 0x%04x, 0x%04x ): ", Addr, Data, Mask ); + + HostReadReg( Addr, Read ); + $display( "0x%04x, masked=0x%04x", Read, Read & Mask ); + + if ( Data !== (Read & Mask) ) + begin + $display( "Error: Unexpected data read" ); + Error = 1; + end + end + endtask + + integer RxExpectPacketCount = 0; + + task ScriptSendPacket; + input [15:0] Length; + // 0: Don't receive, 1: Receive & match, 2: Receive & match alternate, 3: Receive & ignore + input [1:0] ExpectToRx; + + begin + $display( "ScriptSendPacket( 0x%04x, %0d )", Length, ExpectToRx ); + SendPacket( Length, ExpectToRx ); + if ( ExpectToRx != 0 ) + RxExpectPacketCount = RxExpectPacketCount + 1; + end + endtask + + `define SCRIPTLEN 10000 + integer i; + reg [7:0] Script[0:`SCRIPTLEN-1]; + + function [15:0] Get16bit; + input Dummy; + + reg [15:0] Data; + + begin + Data[15:8] = Script[PC]; + Data[7:0] = Script[PC+1]; + PC = PC+2; + + Get16bit = Data; + end + endfunction + + task ExecuteScript; + + reg [7:0] OpCode; + reg [15:0] Addr; + reg [15:0] Data; + reg [15:0] Length; + reg [15:0] Count; + reg [15:0] Mask; + + reg ScriptDone; + + begin + ScriptDone = 0; + Error = 0; + PC = 0; + + while ( !ScriptDone ) + begin + OpCode = Script[PC]; + //$write( "PC=%0d, OpCode=%02x: ", PC, OpCode ); + PC = PC+1; + + casez ( OpCode ) + 8'h00: // NOP + begin +// $display( "NOP" ); + #10; + end + 8'h01: // Write + begin + Addr = Get16bit(i); + Data = Get16bit(i); + ScriptWriteReg( Addr, Data ); + end + 8'h02: // Read + begin + Addr = Get16bit(i); + ScriptReadReg( Addr ); + end + 8'h03: // Read & match + begin + Addr = Get16bit(i); + Data = Get16bit(i); + Mask = Get16bit(i); + ScriptReadRegAndMatch( Addr, Data, Mask ); + end + + 8'h0f: // Delay + begin + Count = Get16bit(i); + $display( "Delay %0d", Count ); + while ( Count > 0 ) + begin + #10; + Count = Count - 1; + end + end + + 8'h10: // Setup Tx Data + begin + Addr = Get16bit(i); + Length = Get16bit(i); + $write( "TxData( 0x%04x ), length=%0d: ", Addr, Length ); + while ( Length != 0 ) + begin + TxData[Addr] = Script[PC]; + $write( " 0x%02x", Script[PC] ); + PC = PC + 1; + Addr = Addr + 1; + Length = Length - 1; + end + $display( "" ); + end + + 8'h11: // Setup Alternative Tx Data + begin + Addr = Get16bit(i); + Length = Get16bit(i); + $write( "TxAltData( 0x%04x ), length=%0d: ", Addr, Length ); + while ( Length != 0 ) + begin + TxAltData[Addr] = Script[PC]; + $write( " 0x%02x", Script[PC] ); + PC = PC + 1; + Addr = Addr + 1; + Length = Length - 1; + end + $display( "" ); + end + + 8'h20: // Transmit packet - and put it on Rx expectancy queue + begin + Length = Get16bit(i); // Length in bytes + Count = Get16bit(i); // Number of times + while ( Count != 0 ) + begin + ScriptSendPacket( Length, 1 ); + Count = Count - 1; + end + end + + 8'h21: // Transmit packet - but DON'T put it on Rx expectancy queue + begin + Length = Get16bit(i); // Length in bytes + Count = Get16bit(i); // Number of times + while ( Count != 0 ) + begin + ScriptSendPacket( Length, 0 ); + Count = Count - 1; + end + end + + 8'h22: // Wait + begin : OpCode22 + reg NoTimeOut; + Count = Get16bit(i); // Timeout in ns + if ( Count==0 ) + NoTimeOut = 1; + else + NoTimeOut = 0; + + $display( "Waiting for # of Rx packets = # of Tx packets..." ); + $display( "Timeout = %0d ns - Current # Rx =%0d, Expected=%0d", + Count, RxPacketCount, RxExpectPacketCount ); + + while( (NoTimeOut || (Count != 0)) && ( RxExpectPacketCount != RxPacketCount ) && !Error ) + begin + #1; + if ( !NoTimeOut ) + Count = Count - 1; + //$display( "NoTimeOut=%0d, Count=%0d", NoTimeOut, Count ); + end + + if ( !Error ) + if ( RxExpectPacketCount != RxPacketCount ) + begin + $display( "ERROR: Timeout waiting for Rx packet(s)!" ); + ScriptDone = 1; + Error = 1; + end + else + $display( "...Done waiting (time remaining = %0d ns)!", Count ); + end + + 8'h23: // Inject bit error in Tx packet + begin + InjectError = Get16bit(i); // Get bit error pattern + $display( "Injecting a single bit-error in Tx packet: TxEr=%0d, TxEn=%0d, TxD=0x%02h (0x%03h)", + InjectError[9], InjectError[8], InjectError[7:0], InjectError ); + end + + 8'h24: // Store internally generated PAUSE frame in Rx expect queue + begin + Count = Get16bit(i); // Timeout in ns + $display( "Generating PAUSE frame (tick=%0d) on Rx expect queue", Count ); + RxExpectPacketCount = RxExpectPacketCount + 1; + FIFO_Wr( { 1'b1, 1'b0, 2'b00, 32'h0180c200 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 16'h0001, 16'h0000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h88080001 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, Count, 16'h0000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b0, 2'b00, 32'h00000000 } ); + FIFO_Wr( { 1'b0, 1'b1, 2'b00, 32'h00000000 } ); + end + + 8'h25: // Transmit packet - and indicate that it must be IGNORED upon reception + begin + Length = Get16bit(i); // Length in bytes + Count = Get16bit(i); // Number of times + while ( Count != 0 ) + begin + ScriptSendPacket( Length, 3 ); + Count = Count - 1; + end + end + + 8'h26: // Transmit packet - and put it on expectancy queue with Alternate header! + begin + Length = Get16bit(i); // Length in bytes + Count = Get16bit(i); // Number of times + while ( Count != 0 ) + begin + ScriptSendPacket( Length, 2 ); + Count = Count - 1; + end + end + + 8'hff: // Halt + begin + $display( "HALT" ); + ScriptDone = 1; + Done = 1; + end + + default: // Unknown opcode + begin + $display( "Unknown instruction encountered @ PC=%0d: OpCode=0x%02x", PC-1, OpCode ); + Error = 1; + end + + endcase + + if ( Error ) + begin + ScriptDone = 1; + Done = 1; + end + end + + if ( Error ) + $display( "ERROR: Test failed!"); + else + begin : ScriptSuccess + real TxTimeElapsed; + real RxTimeElapsed; + real ReferenceTime; + + ReferenceTime = $realtime; + #1; + ReferenceTime = $realtime - ReferenceTime; + + TxTimeElapsed = $realtime - TxStartTime; + RxTimeElapsed = $realtime - RxStartTime; + + $display( "TxStartTime=%0e, Now=%0e", TxStartTime, $realtime ); + $display( "RxStartTime=%0e, Now=%0e", RxStartTime, $realtime ); + + $display( "Tx stats: %0d packet(s) send, total of %0d bytes in %0e ns ~ %1.2f Mbps", + TxPacketCount, TxByteCount, TxTimeElapsed, TxByteCount*8*1e3/TxTimeElapsed ); + $display( "Rx stats: %0d packet(s) received, total of %0d bytes in %0e ns ~ %1.2f Mbps", + RxPacketCount, RxByteCount, RxTimeElapsed, RxByteCount*8*1e3/RxTimeElapsed ); + $display( "Test succeeded!"); + end + + end + endtask + + //------------------------------------------------------------------------- + // Generate all clocks + //------------------------------------------------------------------------- + + // GMII master clock (125 MHz) + initial + begin + #10; + while ( !Done ) + begin + #4 Clk_125M = 0; + #4 Clk_125M = 1; + end + end + + // User (packet) interface clock (100 MHz) + initial + begin + #10; + while ( !Done ) + begin + #5 Clk_user = 0; + #5 Clk_user = 1; + end + end + + // Wishbone host interface clock (50 MHz) + initial + begin + #10; + while ( !Done ) + begin + #10 CLK_I = 0; + #10 CLK_I = 1; + end + end + + //------------------------------------------------------------------------- + + initial + begin + if ( $test$plusargs( "vcd" ) ) + begin + $display( "Turning VCD data dump on" ); + $dumpfile(); + $dumpvars( 0 ); // Dump all signals in entire design + end + end + + //------------------------------------------------------------------------- + + reg [1023:0] ScriptFile; + + initial + begin + HostInit; + + TxStartTime = 0; + RxStartTime = 0; + TxByteCount = 0; + RxByteCount = 0; + + for ( i=0; i<`TXDATALEN; i=i+1 ) + TxData[i] = (i & 8'hff); + + // Fill script memory with HALTs + for ( i=0; i<`SCRIPTLEN; i=i+1 ) + Script[i] = 8'hff; + + if ( !$value$plusargs( "script=%s", ScriptFile ) ) + begin + $display( "Using default script file" ); + ScriptFile = "test.scr"; + end + + $readmemh( ScriptFile, Script ); + +// for ( i=0; i<40; i=i+1 ) +// $display( "Script[%0d]=0x%02x", i, Script[i] ); + + #10; + + ExecuteScript; + end + +endmodule diff --git a/usrp2/fpga/eth/bench/verilog/test.scr b/usrp2/fpga/eth/bench/verilog/test.scr new file mode 100644 index 00000000..2ad127d3 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/test.scr @@ -0,0 +1,23 @@ +// This tests just runs trough a couple of different packet lengths + +// Read from register 24 to confirm that Rx CRC check is enabled +03 00 18 00 01 ff ff + +// Set speed to 1000 Mbps +01 00 22 00 04 + +// Setup Tx and Rx MAC addresses and type field to "IP" +// Set Tx Data at offset 0, length 14 to 123456789ABC CBA987654321 0800 +10 00 00 00 0E 12 34 56 78 9A BC CB A9 87 65 43 21 08 00 + +// Transmit a 320-byte frame 1 time - and expect it to be received again! +20 01 40 00 01 + +// Transmit a 80-byte frame 1 time - and expect it to be received again! +20 00 50 00 01 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Halt +FF diff --git a/usrp2/fpga/eth/bench/verilog/txmac.scr b/usrp2/fpga/eth/bench/verilog/txmac.scr new file mode 100644 index 00000000..caa7db59 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/txmac.scr @@ -0,0 +1,93 @@ +// Read from register 24 to confirm that Rx CRC check is enabled +03 00 18 00 01 ff ff + +// Set speed to 1000 Mbps +01 00 22 00 04 + +// Write MAC address 12 35 56 78 9A BC to Rx Address buffer +01 00 10 00 00 +01 00 0f 00 12 +01 00 11 00 01 +01 00 11 00 00 +01 00 10 00 01 +01 00 0f 00 34 +01 00 11 00 01 +01 00 11 00 00 +01 00 10 00 02 +01 00 0f 00 56 +01 00 11 00 01 +01 00 11 00 00 +01 00 10 00 03 +01 00 0f 00 78 +01 00 11 00 01 +01 00 11 00 00 +01 00 10 00 04 +01 00 0f 00 9A +01 00 11 00 01 +01 00 11 00 00 +01 00 10 00 05 +01 00 0f 00 BC +01 00 11 00 01 +01 00 11 00 00 + +// Write 1 to register 14, MAC_rx_add_chk_en +// This turns on the Rx Destination MAC address filter +01 00 0e 00 01 + +// Setup Tx and Rx MAC addresses and type field to "IP" +// Set Tx Data at offset 0, length 14 to 123456789ABC CBA987654321 0800 +// (i.e. Destination MAC address is 123456789ABC matching the above) +10 00 00 00 0E 12 34 56 78 9A BC CB A9 87 65 43 21 08 00 + +// Setup Alternate Tx and Rx MAC addresses and type field to "IP" +// Set Tx Data at offset 0, length 14 to 123456789ABC 112233445566 0800 +// (i.e. Destination MAC address is 123456789ABC matching the above) +11 00 00 00 0E 12 34 56 78 9A BC 11 22 33 44 55 66 08 00 + +// Transmit a 60-byte frame 3 times - and expect them to be received again! +20 00 3C 00 03 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Write MAC address 11 22 33 44 55 66 to Tx MAC Source Address buffer +01 00 09 00 00 +01 00 08 00 11 +01 00 0a 00 01 +01 00 0a 00 00 +01 00 09 00 01 +01 00 08 00 22 +01 00 0a 00 01 +01 00 0a 00 00 +01 00 09 00 02 +01 00 08 00 33 +01 00 0a 00 01 +01 00 0a 00 00 +01 00 09 00 03 +01 00 08 00 44 +01 00 0a 00 01 +01 00 0a 00 00 +01 00 09 00 04 +01 00 08 00 55 +01 00 0a 00 01 +01 00 0a 00 00 +01 00 09 00 05 +01 00 08 00 66 +01 00 0a 00 01 +01 00 0a 00 00 + +// Transmit a 60 byte frame 3 times - and expect them to be received again! +20 00 3C 00 03 + +// Wait (indefinitely) for missing Rx packets +22 00 00 + +// Write 1 to register 7, MAC_tx_add_en +// This turns on the Tx Source MAC address replacement mechanism +01 00 07 00 01 + +// Transmit a 60 byte frame 3 times - and expect them to be received again with Alternate header! +26 00 3C 00 03 + +// Wait (indefinitely) for missing Rx packets +22 00 00 diff --git a/usrp2/fpga/eth/bench/verilog/xlnx_glbl.v b/usrp2/fpga/eth/bench/verilog/xlnx_glbl.v new file mode 100644 index 00000000..662a60e3 --- /dev/null +++ b/usrp2/fpga/eth/bench/verilog/xlnx_glbl.v @@ -0,0 +1,29 @@ +module xlnx_glbl +( + GSR, + GTS +); + + //-------------------------------------------------------------------------- + // Parameters + //-------------------------------------------------------------------------- + + //-------------------------------------------------------------------------- + // IO declarations + //-------------------------------------------------------------------------- + + output GSR; + output GTS; + + //-------------------------------------------------------------------------- + // Local declarations + //-------------------------------------------------------------------------- + + //-------------------------------------------------------------------------- + // Internal declarations + //-------------------------------------------------------------------------- + + assign GSR = 0; + assign GTS = 0; + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v b/usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v new file mode 100644 index 00000000..758760b9 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v @@ -0,0 +1,1535 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S1_S2.v,v 1.10 2005/03/14 22:54:41 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S1_S2.v +// \ \ / \ Timestamp : Thu Mar 10 16:43:35 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`ifdef legacy_model + +`timescale 1 ps / 1 ps + +module RAMB16_S1_S2 (DOA, DOB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 1'h0; + parameter INIT_B = 2'h0; + parameter SRVAL_A = 1'h0; + parameter SRVAL_B = 2'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [0:0] DOA; + reg [0:0] doa_out; + wire doa_out0; + + input [13:0] ADDRA; + input [0:0] DIA; + input ENA, CLKA, WEA, SSRA; + + output [1:0] DOB; + reg [1:0] dob_out; + wire dob_out0, dob_out1; + + input [12:0] ADDRB; + input [1:0] DIB; + input ENB, CLKB, WEB, SSRB; + + reg [18431:0] mem; + reg [8:0] count; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [13:0] addra_int; + reg [13:0] addra_reg; + wire [0:0] dia_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [12:0] addrb_int; + reg [12:0] addrb_reg; + wire [1:0] dib_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg address_collision, address_collision_a_b, address_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + wire [15:0] parity_addra_int; + wire [15:0] parity_addra_reg; + wire [15:0] parity_addrb_int; + wire [15:0] parity_addrb_reg; + + tri0 GSR = glbl.GSR; + + always @(GSR) + if (GSR) begin + assign doa_out = INIT_A[0:0]; + assign dob_out = INIT_B[1:0]; + end + else begin + deassign doa_out; + deassign dob_out; + end + + buf b_doa_out0 (doa_out0, doa_out[0]); + buf b_dob_out0 (dob_out0, dob_out[0]); + buf b_dob_out1 (dob_out1, dob_out[1]); + + buf b_doa0 (DOA[0], doa_out0); + buf b_dob0 (DOB[0], dob_out0); + buf b_dob1 (DOB[1], dob_out1); + + buf b_addra_0 (addra_int[0], ADDRA[0]); + buf b_addra_1 (addra_int[1], ADDRA[1]); + buf b_addra_2 (addra_int[2], ADDRA[2]); + buf b_addra_3 (addra_int[3], ADDRA[3]); + buf b_addra_4 (addra_int[4], ADDRA[4]); + buf b_addra_5 (addra_int[5], ADDRA[5]); + buf b_addra_6 (addra_int[6], ADDRA[6]); + buf b_addra_7 (addra_int[7], ADDRA[7]); + buf b_addra_8 (addra_int[8], ADDRA[8]); + buf b_addra_9 (addra_int[9], ADDRA[9]); + buf b_addra_10 (addra_int[10], ADDRA[10]); + buf b_addra_11 (addra_int[11], ADDRA[11]); + buf b_addra_12 (addra_int[12], ADDRA[12]); + buf b_addra_13 (addra_int[13], ADDRA[13]); + buf b_dia_0 (dia_int[0], DIA[0]); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + buf b_addrb_0 (addrb_int[0], ADDRB[0]); + buf b_addrb_1 (addrb_int[1], ADDRB[1]); + buf b_addrb_2 (addrb_int[2], ADDRB[2]); + buf b_addrb_3 (addrb_int[3], ADDRB[3]); + buf b_addrb_4 (addrb_int[4], ADDRB[4]); + buf b_addrb_5 (addrb_int[5], ADDRB[5]); + buf b_addrb_6 (addrb_int[6], ADDRB[6]); + buf b_addrb_7 (addrb_int[7], ADDRB[7]); + buf b_addrb_8 (addrb_int[8], ADDRB[8]); + buf b_addrb_9 (addrb_int[9], ADDRB[9]); + buf b_addrb_10 (addrb_int[10], ADDRB[10]); + buf b_addrb_11 (addrb_int[11], ADDRB[11]); + buf b_addrb_12 (addrb_int[12], ADDRB[12]); + buf b_dib_0 (dib_int[0], DIB[0]); + buf b_dib_1 (dib_int[1], DIB[1]); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + initial begin + for (count = 0; count < 256; count = count + 1) begin + mem[count] <= INIT_00[count]; + mem[256 * 1 + count] <= INIT_01[count]; + mem[256 * 2 + count] <= INIT_02[count]; + mem[256 * 3 + count] <= INIT_03[count]; + mem[256 * 4 + count] <= INIT_04[count]; + mem[256 * 5 + count] <= INIT_05[count]; + mem[256 * 6 + count] <= INIT_06[count]; + mem[256 * 7 + count] <= INIT_07[count]; + mem[256 * 8 + count] <= INIT_08[count]; + mem[256 * 9 + count] <= INIT_09[count]; + mem[256 * 10 + count] <= INIT_0A[count]; + mem[256 * 11 + count] <= INIT_0B[count]; + mem[256 * 12 + count] <= INIT_0C[count]; + mem[256 * 13 + count] <= INIT_0D[count]; + mem[256 * 14 + count] <= INIT_0E[count]; + mem[256 * 15 + count] <= INIT_0F[count]; + mem[256 * 16 + count] <= INIT_10[count]; + mem[256 * 17 + count] <= INIT_11[count]; + mem[256 * 18 + count] <= INIT_12[count]; + mem[256 * 19 + count] <= INIT_13[count]; + mem[256 * 20 + count] <= INIT_14[count]; + mem[256 * 21 + count] <= INIT_15[count]; + mem[256 * 22 + count] <= INIT_16[count]; + mem[256 * 23 + count] <= INIT_17[count]; + mem[256 * 24 + count] <= INIT_18[count]; + mem[256 * 25 + count] <= INIT_19[count]; + mem[256 * 26 + count] <= INIT_1A[count]; + mem[256 * 27 + count] <= INIT_1B[count]; + mem[256 * 28 + count] <= INIT_1C[count]; + mem[256 * 29 + count] <= INIT_1D[count]; + mem[256 * 30 + count] <= INIT_1E[count]; + mem[256 * 31 + count] <= INIT_1F[count]; + mem[256 * 32 + count] <= INIT_20[count]; + mem[256 * 33 + count] <= INIT_21[count]; + mem[256 * 34 + count] <= INIT_22[count]; + mem[256 * 35 + count] <= INIT_23[count]; + mem[256 * 36 + count] <= INIT_24[count]; + mem[256 * 37 + count] <= INIT_25[count]; + mem[256 * 38 + count] <= INIT_26[count]; + mem[256 * 39 + count] <= INIT_27[count]; + mem[256 * 40 + count] <= INIT_28[count]; + mem[256 * 41 + count] <= INIT_29[count]; + mem[256 * 42 + count] <= INIT_2A[count]; + mem[256 * 43 + count] <= INIT_2B[count]; + mem[256 * 44 + count] <= INIT_2C[count]; + mem[256 * 45 + count] <= INIT_2D[count]; + mem[256 * 46 + count] <= INIT_2E[count]; + mem[256 * 47 + count] <= INIT_2F[count]; + mem[256 * 48 + count] <= INIT_30[count]; + mem[256 * 49 + count] <= INIT_31[count]; + mem[256 * 50 + count] <= INIT_32[count]; + mem[256 * 51 + count] <= INIT_33[count]; + mem[256 * 52 + count] <= INIT_34[count]; + mem[256 * 53 + count] <= INIT_35[count]; + mem[256 * 54 + count] <= INIT_36[count]; + mem[256 * 55 + count] <= INIT_37[count]; + mem[256 * 56 + count] <= INIT_38[count]; + mem[256 * 57 + count] <= INIT_39[count]; + mem[256 * 58 + count] <= INIT_3A[count]; + mem[256 * 59 + count] <= INIT_3B[count]; + mem[256 * 60 + count] <= INIT_3C[count]; + mem[256 * 61 + count] <= INIT_3D[count]; + mem[256 * 62 + count] <= INIT_3E[count]; + mem[256 * 63 + count] <= INIT_3F[count]; + end + address_collision <= 0; + address_collision_a_b <= 0; + address_collision_b_a <= 0; + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 1; + assign data_addra_reg = addra_reg * 1; + assign data_addrb_int = addrb_int * 2; + assign data_addrb_reg = addrb_reg * 2; + + + initial begin + + display_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + assign setup_all_a_b = 1'b0; + assign setup_all_b_a = 1'b0; + assign setup_zero = 1'b0; + assign setup_rf_a_b = 1'b0; + assign setup_rf_b_a = 1'b0; + assign display_flag = 0; + end + "WARNING_ONLY" : begin + assign data_collision = 2'b00; + assign data_collision_a_b = 2'b00; + assign data_collision_b_a = 2'b00; + assign memory_collision = 1'b0; + assign memory_collision_a_b = 1'b0; + assign memory_collision_b_a = 1'b0; + end + "GENERATE_X_ONLY" : begin + assign display_flag = 0; + end + "ALL" : ; + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_X_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + + always @(posedge clkb_int) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:1] == data_addrb_int[14:1])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:1] == data_addrb_int[14:1])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:1] == data_addrb_reg[14:1])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:1] == data_addrb_int[14:1]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:1] == data_addrb_reg[14:1]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:1] == data_addrb_int[14:1]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:1] == data_addrb_int[14:1]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:1] == data_addrb_reg[14:1]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + + always @(posedge clkb_int) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + + // Data + always @(posedge memory_collision) begin + for (dmi = 0; dmi < 1; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision <= 0; + end + + always @(posedge memory_collision_a_b) begin + for (dmi = 0; dmi < 1; dmi = dmi + 1) begin + mem[data_addra_reg + dmi] <= 1'bX; + end + memory_collision_a_b <= 0; + end + + always @(posedge memory_collision_b_a) begin + for (dmi = 0; dmi < 1; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision_b_a <= 0; + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0) begin + doa_out <= 1'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0) begin + for (dbi = 0; dbi < 1; dbi = dbi + 1) begin + dob_out[data_addra_int[0 : 0] + dbi] <= 1'bX; + end + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0) begin + doa_out <= 1'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0) begin + for (dbi = 0; dbi < 1; dbi = dbi + 1) begin + dob_out[data_addra_reg[0 : 0] + dbi] <= 1'bX; + end + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0) begin + doa_out <= 1'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0) begin + for (dbi = 0; dbi < 1; dbi = dbi + 1) begin + dob_out[data_addra_int[0 : 0] + dbi] <= 1'bX; + end + end + data_collision_b_a[0] <= 0; + end + + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + // Port A + always @(posedge clka_int) begin + if (ena_int == 1'b1) begin + if (ssra_int == 1'b1) begin + doa_out[0] <= SRVAL_A[0]; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= dia_int; + end + else if (wr_mode_a == 2'b01) begin + doa_out[0] <= mem[data_addra_int + 0]; + end + end + else begin + doa_out[0] <= mem[data_addra_int + 0]; + end + end + end + end + + always @(posedge clka_int) begin + if (ena_int == 1'b1 && wea_int == 1'b1) begin + mem[data_addra_int + 0] <= dia_int[0]; + end + end + + // Port B + always @(posedge clkb_int) begin + if (enb_int == 1'b1) begin + if (ssrb_int == 1'b1) begin + dob_out[0] <= SRVAL_B[0]; + dob_out[1] <= SRVAL_B[1]; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= dib_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + end + end + else begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + end + end + end + end + + always @(posedge clkb_int) begin + if (enb_int == 1'b1 && web_int == 1'b1) begin + mem[data_addrb_int + 0] <= dib_int[0]; + mem[data_addrb_int + 1] <= dib_int[1]; + end + end + + specify + (CLKA *> DOA) = (100, 100); + (CLKB *> DOB) = (100, 100); + endspecify + +endmodule + +`else + +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S1_S2.v,v 1.10 2005/03/14 22:54:41 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Timing Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S1_S2.v +// \ \ / \ Timestamp : Thu Mar 10 16:44:01 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// 03/10/05 - Initialized outputs. +// End Revision + +`timescale 1 ps/1 ps + +module RAMB16_S1_S2 (DOA, DOB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 1'h0; + parameter INIT_B = 2'h0; + parameter SRVAL_A = 1'h0; + parameter SRVAL_B = 2'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [0:0] DOA; + output [1:0] DOB; + + input [13:0] ADDRA; + input [0:0] DIA; + input ENA, CLKA, WEA, SSRA; + input [12:0] ADDRB; + input [1:0] DIB; + input ENB, CLKB, WEB, SSRB; + + reg [0:0] doa_out = INIT_A[0:0]; + reg [1:0] dob_out = INIT_B[1:0]; + + reg [1:0] mem [8191:0]; + + reg [8:0] count, countp; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [13:0] addra_int; + reg [13:0] addra_reg; + wire [0:0] dia_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [12:0] addrb_int; + reg [12:0] addrb_reg; + wire [1:0] dib_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag, output_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + + wire dia_enable = ena_int && wea_int; + wire dib_enable = enb_int && web_int; + + tri0 GSR = glbl.GSR; + wire gsr_int; + + buf b_gsr (gsr_int, GSR); + + buf b_doa [0:0] (DOA, doa_out); + buf b_addra [13:0] (addra_int, ADDRA); + buf b_dia [0:0] (dia_int, DIA); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + + buf b_dob [1:0] (DOB, dob_out); + buf b_addrb [12:0] (addrb_int, ADDRB); + buf b_dib [1:0] (dib_int, DIB); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + + always @(gsr_int) + if (gsr_int) begin + assign {doa_out} = INIT_A; + assign {dob_out} = INIT_B; + end + else begin + deassign doa_out; + deassign dob_out; + end + + + initial begin + + for (count = 0; count < 128; count = count + 1) begin + mem[count] = INIT_00[(count * 2) +: 2]; + mem[128 * 1 + count] = INIT_01[(count * 2) +: 2]; + mem[128 * 2 + count] = INIT_02[(count * 2) +: 2]; + mem[128 * 3 + count] = INIT_03[(count * 2) +: 2]; + mem[128 * 4 + count] = INIT_04[(count * 2) +: 2]; + mem[128 * 5 + count] = INIT_05[(count * 2) +: 2]; + mem[128 * 6 + count] = INIT_06[(count * 2) +: 2]; + mem[128 * 7 + count] = INIT_07[(count * 2) +: 2]; + mem[128 * 8 + count] = INIT_08[(count * 2) +: 2]; + mem[128 * 9 + count] = INIT_09[(count * 2) +: 2]; + mem[128 * 10 + count] = INIT_0A[(count * 2) +: 2]; + mem[128 * 11 + count] = INIT_0B[(count * 2) +: 2]; + mem[128 * 12 + count] = INIT_0C[(count * 2) +: 2]; + mem[128 * 13 + count] = INIT_0D[(count * 2) +: 2]; + mem[128 * 14 + count] = INIT_0E[(count * 2) +: 2]; + mem[128 * 15 + count] = INIT_0F[(count * 2) +: 2]; + mem[128 * 16 + count] = INIT_10[(count * 2) +: 2]; + mem[128 * 17 + count] = INIT_11[(count * 2) +: 2]; + mem[128 * 18 + count] = INIT_12[(count * 2) +: 2]; + mem[128 * 19 + count] = INIT_13[(count * 2) +: 2]; + mem[128 * 20 + count] = INIT_14[(count * 2) +: 2]; + mem[128 * 21 + count] = INIT_15[(count * 2) +: 2]; + mem[128 * 22 + count] = INIT_16[(count * 2) +: 2]; + mem[128 * 23 + count] = INIT_17[(count * 2) +: 2]; + mem[128 * 24 + count] = INIT_18[(count * 2) +: 2]; + mem[128 * 25 + count] = INIT_19[(count * 2) +: 2]; + mem[128 * 26 + count] = INIT_1A[(count * 2) +: 2]; + mem[128 * 27 + count] = INIT_1B[(count * 2) +: 2]; + mem[128 * 28 + count] = INIT_1C[(count * 2) +: 2]; + mem[128 * 29 + count] = INIT_1D[(count * 2) +: 2]; + mem[128 * 30 + count] = INIT_1E[(count * 2) +: 2]; + mem[128 * 31 + count] = INIT_1F[(count * 2) +: 2]; + mem[128 * 32 + count] = INIT_20[(count * 2) +: 2]; + mem[128 * 33 + count] = INIT_21[(count * 2) +: 2]; + mem[128 * 34 + count] = INIT_22[(count * 2) +: 2]; + mem[128 * 35 + count] = INIT_23[(count * 2) +: 2]; + mem[128 * 36 + count] = INIT_24[(count * 2) +: 2]; + mem[128 * 37 + count] = INIT_25[(count * 2) +: 2]; + mem[128 * 38 + count] = INIT_26[(count * 2) +: 2]; + mem[128 * 39 + count] = INIT_27[(count * 2) +: 2]; + mem[128 * 40 + count] = INIT_28[(count * 2) +: 2]; + mem[128 * 41 + count] = INIT_29[(count * 2) +: 2]; + mem[128 * 42 + count] = INIT_2A[(count * 2) +: 2]; + mem[128 * 43 + count] = INIT_2B[(count * 2) +: 2]; + mem[128 * 44 + count] = INIT_2C[(count * 2) +: 2]; + mem[128 * 45 + count] = INIT_2D[(count * 2) +: 2]; + mem[128 * 46 + count] = INIT_2E[(count * 2) +: 2]; + mem[128 * 47 + count] = INIT_2F[(count * 2) +: 2]; + mem[128 * 48 + count] = INIT_30[(count * 2) +: 2]; + mem[128 * 49 + count] = INIT_31[(count * 2) +: 2]; + mem[128 * 50 + count] = INIT_32[(count * 2) +: 2]; + mem[128 * 51 + count] = INIT_33[(count * 2) +: 2]; + mem[128 * 52 + count] = INIT_34[(count * 2) +: 2]; + mem[128 * 53 + count] = INIT_35[(count * 2) +: 2]; + mem[128 * 54 + count] = INIT_36[(count * 2) +: 2]; + mem[128 * 55 + count] = INIT_37[(count * 2) +: 2]; + mem[128 * 56 + count] = INIT_38[(count * 2) +: 2]; + mem[128 * 57 + count] = INIT_39[(count * 2) +: 2]; + mem[128 * 58 + count] = INIT_3A[(count * 2) +: 2]; + mem[128 * 59 + count] = INIT_3B[(count * 2) +: 2]; + mem[128 * 60 + count] = INIT_3C[(count * 2) +: 2]; + mem[128 * 61 + count] = INIT_3D[(count * 2) +: 2]; + mem[128 * 62 + count] = INIT_3E[(count * 2) +: 2]; + mem[128 * 63 + count] = INIT_3F[(count * 2) +: 2]; + end + + + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 1; + assign data_addra_reg = addra_reg * 1; + assign data_addrb_int = addrb_int * 2; + assign data_addrb_reg = addrb_reg * 2; + + + initial begin + + display_flag = 1; + output_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + output_flag = 0; + display_flag = 0; + end + "WARNING_ONLY" : output_flag = 0; + "GENERATE_ONLY" : display_flag = 0; + "ALL" : ; + + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:1] == data_addrb_int[14:1])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:1] == data_addrb_int[14:1])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:1] == data_addrb_reg[14:1])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:1] == data_addrb_int[14:1]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:1] == data_addrb_reg[14:1]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:1] == data_addrb_int[14:1]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S1_S2:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:1] == data_addrb_int[14:1]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:1] == data_addrb_reg[14:1]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + end + + + // Data + always @(posedge memory_collision) begin + if ((output_flag || display_flag)) begin + mem[addra_int[13:1]][addra_int[0:0] * 1 +: 1] <= 1'bx; + memory_collision <= 0; + end + + end + + always @(posedge memory_collision_a_b) begin + if ((output_flag || display_flag)) begin + mem[addra_reg[13:1]][addra_reg[0:0] * 1 +: 1] <= 1'bx; + memory_collision_a_b <= 0; + end + end + + always @(posedge memory_collision_b_a) begin + if ((output_flag || display_flag)) begin + mem[addra_int[13:1]][addra_int[0:0] * 1 +: 1] <= 1'bx; + memory_collision_b_a <= 0; + end + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 1'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out[addra_int[0:0] * 1 +: 1] <= #100 1'bX; + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0 && output_flag) begin + doa_out <= #100 1'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out[addra_reg[0:0] * 1 +: 1] <= #100 1'bX; + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 1'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0 && output_flag) begin + dob_out[addra_int[0:0] * 1 +: 1] <= #100 1'bX; + end + data_collision_b_a[0] <= 0; + end + + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S1_S2 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + + // Port A + always @(posedge clka_int) begin + + if (ena_int == 1'b1) begin + + if (ssra_int == 1'b1) begin + {doa_out} <= #100 SRVAL_A; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= #100 dia_int; + end + else if (wr_mode_a == 2'b01) begin + + doa_out <= #100 mem[addra_int[13:1]][addra_int[0:0] * 1 +: 1]; + + end + end + else begin + + doa_out <= #100 mem[addra_int[13:1]][addra_int[0:0] * 1 +: 1]; + + end + end + + // memory + if (wea_int == 1'b1) begin + mem[addra_int[13:1]][addra_int[0:0] * 1 +: 1] <= dia_int; + end + + end + end + + + // Port B + always @(posedge clkb_int) begin + + if (enb_int == 1'b1) begin + + if (ssrb_int == 1'b1) begin + {dob_out} <= #100 SRVAL_B; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= #100 dib_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out <= #100 mem[addrb_int]; + end + end + else begin + dob_out <= #100 mem[addrb_int]; + end + end + + // memory + if (web_int == 1'b1) begin + mem[addrb_int] <= dib_int; + end + + end + end + + +endmodule + +`endif diff --git a/usrp2/fpga/eth/demo/verilog/demo.ucf b/usrp2/fpga/eth/demo/verilog/demo.ucf new file mode 100644 index 00000000..f3562b46 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo.ucf @@ -0,0 +1,52 @@ +NET "Reset_n" LOC = "C15"; // PushButton #4 +NET "Clk_100M" LOC = "B15"; +NET "Clk_125M" LOC = "A16"; // GMII only + +NET "RS232_TXD" LOC = "A9"; +NET "RS232_RXD" LOC = "F1"; + +NET "USB_TXD" LOC = "D1"; +NET "USB_RXD" LOC = "A8"; + +NET "PHY_RESET_n" LOC = "E25"; + +NET "PHY_RXC" LOC = "B13"; +NET "PHY_RXD<0>" LOC = "D16"; +NET "PHY_RXD<1>" LOC = "C16"; +NET "PHY_RXD<2>" LOC = "D15"; +NET "PHY_RXD<3>" LOC = "D14"; +NET "PHY_RXD<4>" LOC = "E14"; +NET "PHY_RXD<5>" LOC = "F14"; +NET "PHY_RXD<6>" LOC = "F11"; +NET "PHY_RXD<7>" LOC = "F12"; +NET "PHY_RXDV" LOC = "F13"; +NET "PHY_RXER" LOC = "E13"; + +NET "PHY_GTX_CLK" LOC = "C26"; // GMII only +NET "PHY_TXC" LOC = "A10"; +NET "PHY_TXD<0>" LOC = "H26"; +NET "PHY_TXD<1>" LOC = "H24"; +NET "PHY_TXD<2>" LOC = "G26"; +NET "PHY_TXD<3>" LOC = "G24"; +NET "PHY_TXD<4>" LOC = "F26"; +NET "PHY_TXD<5>" LOC = "F24"; +NET "PHY_TXD<6>" LOC = "E26"; +NET "PHY_TXD<7>" LOC = "E24"; +NET "PHY_TXEN" LOC = "D26"; +NET "PHY_TXER" LOC = "D24"; + +NET "PHY_COL" LOC = "B24"; +NET "PHY_CRS" LOC = "D25"; + +NET "PHY_MDC" LOC = "G25"; +NET "PHY_MDIO" LOC = "H25"; + +NET "LED<1>" LOC = "D13"; // LED #1-4 +NET "LED<2>" LOC = "D12"; +NET "LED<3>" LOC = "C11"; +NET "LED<4>" LOC = "D11"; + +NET "Clk_100M" PERIOD = 10.000 ; # 100 MHz +NET "Clk_125M" PERIOD = 8.000 ; # 125 MHz +NET "PHY_RXC" PERIOD = 8.000 ; # 125 MHz +NET "PHY_TXC" PERIOD = 8.000 ; # 125 MHz diff --git a/usrp2/fpga/eth/demo/verilog/demo.v b/usrp2/fpga/eth/demo/verilog/demo.v new file mode 100644 index 00000000..649903c9 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo.v @@ -0,0 +1,378 @@ +module demo( + Reset_n, + Clk_100M, + Clk_125M, // GMII only + + RS232_TXD, + RS232_RXD, + + USB_TXD, + USB_RXD, + + //--- 10/100/1000BASE-T Ethernet PHY (MII/GMII) + PHY_RESET_n, + + PHY_RXC, + PHY_RXD, + PHY_RXDV, + PHY_RXER, + + PHY_GTX_CLK, // GMII only + PHY_TXC, + PHY_TXD, + PHY_TXEN, + PHY_TXER, + + PHY_COL, + PHY_CRS, + + PHY_MDC, + PHY_MDIO, + + // Misc. I/Os + LED, + Button +); + + input Reset_n; + input Clk_100M; + input Clk_125M; // GMII + + output RS232_TXD; + input RS232_RXD; + + output USB_TXD; + input USB_RXD; + + //--- 10/100/1000BASE-T Ethernet PHY (MII/GMII) + output PHY_RESET_n; + + input PHY_RXC; + input [7:0] PHY_RXD; + input PHY_RXDV; + input PHY_RXER; + + output PHY_GTX_CLK; // GMII only + input PHY_TXC; + output [7:0] PHY_TXD; + output PHY_TXEN; + output PHY_TXER; + + input PHY_COL; + input PHY_CRS; + + output PHY_MDC; + inout PHY_MDIO; + + // Misc. I/Os + output [1:4] LED; + + input [1:4] Button; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + // Rename to "standard" core clock name + wire Clk = Clk_100M; + + reg [27:0] Counter; + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + Counter <= 0; + else + Counter <= Counter + 1; + + assign LED[1:4] = Counter[27:24]; + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + //--- UART ---------------------------------------------------------------- + + wire UART_RXD; + wire UART_TXD; + wire UART_RxValid; + wire [7:0] UART_RxData; + wire UART_TxReady; + wire UART_TxValid; + wire [7:0] UART_TxData; + + demo_uart demo_uart( + .Reset_n( Reset_n ), + .Clk ( Clk ), + + // Interface to UART PHY + .RXD_i( UART_RXD ), + .TXD_o( UART_TXD ), + + // Clk is divided by (Prescaler+1) to generate 16x the bitrate +`ifdef EHDL_SIMULATION + .Prescaler_i( 16'd3 ), // Corresponds to VERY FAST - for simulation only! +`else + .Prescaler_i( 16'd650 ), // Corresponds to 9600 baud (assuming 100 MHz clock) +`endif + + // Pulsed when RxData is valid + .RxValid_o( UART_RxValid ), + .RxData_o ( UART_RxData ), + + // Asserted when ready for a new Tx byte + .TxReady_o( UART_TxReady ), + + // Pulsed when TxData is valid + .TxValid_i( UART_TxValid ), + .TxData_i ( UART_TxData ) + ); + + // Transmit & receive in parallel on either RS232 or USB/RS232 interface +// assign UART_RXD = RS232_RXD & USB_RXD; // RS232 signals are high when inactive + assign UART_RXD = RS232_RXD; + + assign RS232_TXD = UART_TXD; + assign USB_TXD = UART_TXD; + + //--- UART-to-Wishbone Master --------------------------------------------- + + wire WB_STB_ETH; + wire WB_STB_PDM; + wire WB_STB_PG; + wire WB_CYC; + wire [14:0] WB_ADR; + wire WB_WE; + wire [15:0] WB_DAT_Wr; + wire [15:0] WB_DAT_Rd; + wire WB_ACK; + + demo_wishbone_master demo_wishbone_master( + .Reset_n( Reset_n ), + .Clk ( Clk ), + + //--- UART interface + + // Pulsed when RxData_i is valid + .RxValid_i( UART_RxValid ), + .RxData_i ( UART_RxData ), + + // Asserted when ready for a new Tx byte + .TxReady_i( UART_TxReady ), + + // Pulsed when TxData_o is valid + .TxValid_o( UART_TxValid ), + .TxData_o ( UART_TxData ), + + //--- Wishbone interface + .STB_ETH_O( WB_STB_ETH ), + .STB_PDM_O( WB_STB_PDM ), + .STB_PG_O ( WB_STB_PG ), + .CYC_O ( WB_CYC ), + .ADR_O ( WB_ADR ), + .WE_O ( WB_WE ), + .DAT_O ( WB_DAT_Wr ), + .DAT_I ( WB_DAT_Rd ), + .ACK_I ( WB_ACK ) + ); + + //--- Wishbone clients ---------------------------------------------------- + + //--- Packet Descriptor Memory -------------------------------------------- + + wire [15:0] WB_DAT_Rd_PDM; + wire WB_ACK_PDM; + + wire PDM_Rd; + wire [13:0] PDM_Addr; + wire [31:0] PDM_RdData; + + demo_packet_descriptor_memory demo_packet_descriptor_memory( + .Reset_n( Reset_n ), + .Clk ( Clk ), + + //--- Wishbone interface + .STB_I( WB_STB_PDM ), + .CYC_I( WB_CYC ), + .ADR_I( WB_ADR ), + .WE_I ( WB_WE ), + .DAT_I( WB_DAT_Wr ), + .DAT_O( WB_DAT_Rd_PDM ), + .ACK_O( WB_ACK_PDM ), + + //--- Packet Generator interface + // RdData_o is always valid exactly one clock after Addr_i changes + // and Rd_i is asserted + .Rd_i ( PDM_Rd ), + .Addr_i ( PDM_Addr ), + .RdData_o( PDM_RdData ) + ); + + //--- Packet Generator ---------------------------------------------------- + + wire [15:0] WB_DAT_Rd_PG; + wire WB_ACK_PG; + + wire Rx_mac_ra; + wire Rx_mac_rd; + wire [31:0] Rx_mac_data; + wire [1:0] Rx_mac_BE; + wire Rx_mac_pa; + wire Rx_mac_sop; + wire Rx_mac_err; + wire Rx_mac_eop; + + wire Tx_mac_wa; + wire Tx_mac_wr; + wire [31:0] Tx_mac_data; + wire [1:0] Tx_mac_BE; + wire Tx_mac_sop; + wire Tx_mac_eop; + + demo_packet_generator demo_packet_generator( + .Reset_n( Reset_n ), + .Clk ( Clk ), + + //--- Wishbone interface + .STB_I( WB_STB_PG ), + .CYC_I( WB_CYC ), + .ADR_I( WB_ADR[1:0] ), + .WE_I ( WB_WE ), + .DAT_I( WB_DAT_Wr ), + .DAT_O( WB_DAT_Rd_PG ), + .ACK_O( WB_ACK_PG ), + + //--- Packet Descriptor Memory interface + // RdData_i is always valid exactly one clock after Addr_o changes + // and Rd_o is asserted + .Rd_o ( PDM_Rd ), + .Addr_o ( PDM_Addr ), + .RdData_i( PDM_RdData ), + + //--- User (packet) interface + .Rx_mac_ra ( Rx_mac_ra ), + .Rx_mac_rd ( Rx_mac_rd ), + .Rx_mac_data( Rx_mac_data ), + .Rx_mac_BE ( Rx_mac_BE ), + .Rx_mac_pa ( Rx_mac_pa ), + .Rx_mac_sop ( Rx_mac_sop ), + .Rx_mac_err ( Rx_mac_err ), + .Rx_mac_eop ( Rx_mac_eop ), + + .Tx_mac_wa ( Tx_mac_wa ), + .Tx_mac_wr ( Tx_mac_wr ), + .Tx_mac_data( Tx_mac_data ), + .Tx_mac_BE ( Tx_mac_BE ), + .Tx_mac_sop ( Tx_mac_sop ), + .Tx_mac_eop ( Tx_mac_eop ) + ); + + //--- Simple Wishbone client ---------------------------------------------- + + reg [15:0] Reg1; + reg [15:0] Reg2; + + reg WB_ACK_Reg; + reg [15:0] WB_DAT_Reg; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + WB_ACK_Reg <= 0; + WB_DAT_Reg <= 'b0; + + Reg1 <= 16'h1234; + Reg2 <= 16'hABCD; + end + else + begin + WB_ACK_Reg <= 0; + if ( WB_CYC & ~( WB_STB_ETH | WB_STB_PG | WB_STB_PDM ) ) + begin + WB_ACK_Reg <= 1; + if ( WB_WE ) + begin + if ( WB_ADR[0] ) + Reg2 <= WB_DAT_Wr; + else + Reg1 <= WB_DAT_Wr; + end + else + begin + if ( WB_ADR[0] ) + WB_DAT_Reg <= Reg2; + else + WB_DAT_Reg <= Reg1; + end + end + end + + //--- DUT - Ethernet Core ------------------------------------------------- + + wire [15:0] WB_DAT_Rd_ETH; + wire WB_ACK_ETH; + + wire [2:0] Speed; + + MAC_top dut( + // System signals + .Clk_125M( Clk_125M ), + .Clk_user( Clk ), + .Speed ( Speed ), + + // Wishbone compliant core host interface + .RST_I( ~Reset_n ), + .CLK_I( Clk ), + .STB_I( WB_STB_ETH ), + .CYC_I( WB_CYC ), + .ADR_I( WB_ADR[6:0] ), + .WE_I ( WB_WE ), + .DAT_I( WB_DAT_Wr ), + .DAT_O( WB_DAT_Rd_ETH ), + .ACK_O( WB_ACK_ETH ), + + // User (packet) interface + .Rx_mac_ra ( Rx_mac_ra ), + .Rx_mac_rd ( Rx_mac_rd ), + .Rx_mac_data( Rx_mac_data ), + .Rx_mac_BE ( Rx_mac_BE ), + .Rx_mac_pa ( Rx_mac_pa ), + .Rx_mac_sop ( Rx_mac_sop ), + .Rx_mac_err ( Rx_mac_err ), + .Rx_mac_eop ( Rx_mac_eop ), + + .Tx_mac_wa ( Tx_mac_wa ), + .Tx_mac_wr ( Tx_mac_wr ), + .Tx_mac_data( Tx_mac_data ), + .Tx_mac_BE ( Tx_mac_BE ), + .Tx_mac_sop ( Tx_mac_sop ), + .Tx_mac_eop ( Tx_mac_eop ), + + // PHY interface (GMII/MII) + .Gtx_clk( PHY_GTX_CLK ), // Used only in GMII mode + .Rx_clk ( PHY_RXC ), + .Tx_clk ( PHY_TXC ), // Used only in MII mode + .Tx_er ( PHY_TXER ), + .Tx_en ( PHY_TXEN ), + .Txd ( PHY_TXD ), + .Rx_er ( PHY_RXER ), + .Rx_dv ( PHY_RXDV ), + .Rxd ( PHY_RXD ), + .Crs ( PHY_CRS ), + .Col ( PHY_COL ), + + // MDIO interface (to PHY) + .Mdio( PHY_MDIO ), + .Mdc ( PHY_MDC ) + ); + + //--- Combination of Wishbone read data and acknowledge ------------------- + + assign WB_DAT_Rd = ({16{WB_ACK_Reg}} & WB_DAT_Reg ) | + ({16{WB_ACK_PDM}} & WB_DAT_Rd_PDM) | + ({16{WB_ACK_PG }} & WB_DAT_Rd_PG ) | + ({16{WB_ACK_ETH}} & WB_DAT_Rd_ETH); + + assign WB_ACK = WB_ACK_Reg | WB_ACK_PDM | WB_ACK_PG | WB_ACK_ETH; + + assign PHY_RESET_n = Reset_n; + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/demo_packet_descriptor_memory.v b/usrp2/fpga/eth/demo/verilog/demo_packet_descriptor_memory.v new file mode 100644 index 00000000..a5588a7d --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo_packet_descriptor_memory.v @@ -0,0 +1,384 @@ +module demo_packet_descriptor_memory( + Reset_n, + Clk, + + //--- Wishbone interface + STB_I, + CYC_I, + ADR_I, + WE_I, + DAT_I, + DAT_O, + ACK_O, + + //--- Packet Generator interface + // RdData_o is always valid exactly one clock after Addr_i changes + // and Rd_i is asserted + Rd_i, + Addr_i, + RdData_o +); + + input Reset_n; + input Clk; + + //--- Wishbone interface + input STB_I; + input CYC_I; + input [14:0] ADR_I; + input WE_I; + input [15:0] DAT_I; + output [15:0] DAT_O; + output ACK_O; + + //--- Packet Generator interface + // RdData_o is always valid exactly one clock after Addr_i changes + // and Rd_i is asserted + input Rd_i; + input [13:0] Addr_i; + output [31:0] RdData_o; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + reg ACK_O; + + //------------------------------------------------------------------------- + + wire [15:0] WrDataA = DAT_I; + wire [15:0] RdDataA; + wire [31:0] RdDataB; + + assign DAT_O = RdDataA; + assign RdData_o = RdDataB; + + wire WB_Access = STB_I & CYC_I; + wire WB_AccessClock1; + reg WB_AccessClock2; + + assign WB_AccessClock1 = WB_Access & ~WB_AccessClock2; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + WB_AccessClock2 <= 0; + ACK_O <= 0; + end + else + begin + WB_AccessClock2 <= WB_Access; + ACK_O <= WB_AccessClock1; + end + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + //--- Instantiation of Xilinx 16 Kbit Dual Port Memory -------------------- + + RAMB16_S1_S2 RAMB16_S1_S2_bit0 ( + .DOA( RdDataA[0] ), + .DOB( { RdDataB[0], RdDataB[16+0] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[0] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit1 ( + .DOA( RdDataA[1] ), + .DOB( { RdDataB[1], RdDataB[16+1] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[1] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit2 ( + .DOA( RdDataA[2] ), + .DOB( { RdDataB[2], RdDataB[16+2] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[2] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit3 ( + .DOA( RdDataA[3] ), + .DOB( { RdDataB[3], RdDataB[16+3] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[3] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit4 ( + .DOA( RdDataA[4] ), + .DOB( { RdDataB[4], RdDataB[16+4] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[4] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit5 ( + .DOA( RdDataA[5] ), + .DOB( { RdDataB[5], RdDataB[16+5] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[5] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit6 ( + .DOA( RdDataA[6] ), + .DOB( { RdDataB[6], RdDataB[16+6] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[6] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit7 ( + .DOA( RdDataA[7] ), + .DOB( { RdDataB[7], RdDataB[16+7] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[7] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit8 ( + .DOA( RdDataA[8] ), + .DOB( { RdDataB[8], RdDataB[16+8] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[8] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit9 ( + .DOA( RdDataA[9] ), + .DOB( { RdDataB[9], RdDataB[16+9] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[9] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit10 ( + .DOA( RdDataA[10] ), + .DOB( { RdDataB[10], RdDataB[16+10] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[10] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit11 ( + .DOA( RdDataA[11] ), + .DOB( { RdDataB[11], RdDataB[16+11] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[11] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit12 ( + .DOA( RdDataA[12] ), + .DOB( { RdDataB[12], RdDataB[16+12] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[12] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit13 ( + .DOA( RdDataA[13] ), + .DOB( { RdDataB[13], RdDataB[16+13] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[13] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit14 ( + .DOA( RdDataA[14] ), + .DOB( { RdDataB[14], RdDataB[16+14] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[14] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + + RAMB16_S1_S2 RAMB16_S1_S2_bit15 ( + .DOA( RdDataA[15] ), + .DOB( { RdDataB[15], RdDataB[16+15] } ), + + .ADDRA( ADR_I[13:0] ), + .DIA ( WrDataA[15] ), + .ENA ( WB_AccessClock1 ), + .CLKA ( Clk ), + .WEA ( WE_I ), + .SSRA ( 1'b0 ), + + .ADDRB( Addr_i[12:0] ), + .DIB ( 2'b00 ), + .ENB ( Rd_i ), + .CLKB ( Clk ), + .WEB ( 1'b0 ), + .SSRB ( 1'b0 ) + ); + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/demo_packet_generator.v b/usrp2/fpga/eth/demo/verilog/demo_packet_generator.v new file mode 100644 index 00000000..22ad52b4 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo_packet_generator.v @@ -0,0 +1,274 @@ +module demo_packet_generator( + Reset_n, + Clk, + + //--- Wishbone interface + STB_I, + CYC_I, + ADR_I, + WE_I, + DAT_I, + DAT_O, + ACK_O, + + //--- Packet Descriptor Memory interface + // RdData_i is always valid exactly one clock after Addr_o changes + // and Rd_o is asserted + Rd_o, + Addr_o, + RdData_i, + + //--- User (packet) interface + Rx_mac_ra, + Rx_mac_rd, + Rx_mac_data, + Rx_mac_BE, + Rx_mac_pa, + Rx_mac_sop, + Rx_mac_err, + Rx_mac_eop, + + Tx_mac_wa, + Tx_mac_wr, + Tx_mac_data, + Tx_mac_BE, + Tx_mac_sop, + Tx_mac_eop +); + + input Reset_n; + input Clk; + + //--- Wishbone interface + input STB_I; + input CYC_I; + input [1:0] ADR_I; + input WE_I; + input [15:0] DAT_I; + output [15:0] DAT_O; + output ACK_O; + + //--- Packet Generator interface + // RdData_o is always valid exactly one clock after Addr_o changes + // and Rd_o is asserted + output Rd_o; + output [13:0] Addr_o; + input [31:0] RdData_i; + + //--- User (packet) interface + input Rx_mac_ra; + output Rx_mac_rd; + input [31:0] Rx_mac_data; + input [1:0] Rx_mac_BE; + input Rx_mac_pa; + input Rx_mac_sop; + input Rx_mac_err; + input Rx_mac_eop; + + input Tx_mac_wa; + output Tx_mac_wr; + output [31:0] Tx_mac_data; + output [1:0] Tx_mac_BE; + output Tx_mac_sop; + output Tx_mac_eop; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + reg ACK_O; + reg [15:0] DAT_O; + + reg Rd_o; + reg Tx_mac_wr; + reg [1:0] Tx_mac_BE; + reg Tx_mac_sop; + reg Tx_mac_eop; + + //--- Wishbone interface -------------------------------------------------- + + reg [1:0] PG_CFG; + wire PG_Enable = PG_CFG[0]; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + ACK_O <= 0; + DAT_O <= 'b0; + + PG_CFG <= 2'h0; + end + else + begin + ACK_O <= 0; + if ( CYC_I & STB_I ) + begin + ACK_O <= ~ACK_O; // Generate single cycle pulse! + if ( WE_I ) + begin + PG_CFG <= DAT_I; + end + else + begin + DAT_O[1:0] <= PG_CFG; + end + end + end + + //--- Packet Generator FSM ------------------------------------------------ + + parameter PG_FSM_STATE_IDLE = 3'h0; + parameter PG_FSM_STATE_LD_DESC_1 = 3'h1; + parameter PG_FSM_STATE_LD_DESC_2 = 3'h2; + parameter PG_FSM_STATE_RD_HEADER = 3'h3; + parameter PG_FSM_STATE_PAYLOAD_SEQ_NUMBER = 3'h4; + parameter PG_FSM_STATE_PAYLOAD = 3'h5; + parameter PG_FSM_STATE_DONE = 3'h6; + reg [2:0] PG_FSM_State; + + reg [9:0] DescHigh; // Selects currente descriptor + reg [3:0] DescLow; // Index into a single descriptor (16 entries) + + reg PDM_CFG1_LAST; + reg [3:0] PDM_CFG1_REPEAT; + reg [3:0] PDM_CFG1_HDRLEN; + reg [15:0] PDM_CFG2_PAYLDLEN; + + reg [31:0] Tx_mac_data_reg; + reg WriteHeader; + reg [15:0] PayloadRemaining; + reg [31:0] PacketSequenceNumber; + reg [31:0] Payload; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + PG_FSM_State <= PG_FSM_STATE_IDLE; + + Rd_o <= 0; + + DescHigh <= 10'b0; + DescLow <= 4'b0; + + Tx_mac_wr <= 0; + Tx_mac_sop <= 0; + Tx_mac_eop <= 0; + Tx_mac_BE <= 2'b00; + + Tx_mac_data_reg <= 32'b0; + WriteHeader <= 0; + PayloadRemaining <= 16'd0; + + PacketSequenceNumber <= 32'd0; + Payload <= 32'h0; + + { PDM_CFG1_HDRLEN, PDM_CFG1_REPEAT, PDM_CFG1_LAST, PDM_CFG2_PAYLDLEN } <= 'b0; + end + else + begin + casez ( PG_FSM_State ) + PG_FSM_STATE_IDLE: + if ( PG_Enable ) + begin + PG_FSM_State <= PG_FSM_STATE_LD_DESC_1; + Rd_o <= 1; + end + else + begin + DescHigh <= 10'b0; + DescLow <= 4'b0; + end + + PG_FSM_STATE_LD_DESC_1: + begin + PG_FSM_State <= PG_FSM_STATE_LD_DESC_2; + + DescLow <= DescLow + 1; + end + + PG_FSM_STATE_LD_DESC_2: + begin + PG_FSM_State <= PG_FSM_STATE_RD_HEADER; + + { PDM_CFG1_LAST, PDM_CFG1_REPEAT, PDM_CFG1_HDRLEN, PDM_CFG2_PAYLDLEN } <= + { RdData_i[31], RdData_i[23:20], RdData_i[19:16], RdData_i[15:0] }; + end + + PG_FSM_STATE_RD_HEADER: + begin + Tx_mac_wr <= 0; + if ( Tx_mac_wa ) + begin + // Space in Tx FIFO - write next header word + DescLow <= DescLow + 1; + Tx_mac_wr <= 1; + Tx_mac_sop <= ( DescLow == 1 ); // Assert SOP on first header word + WriteHeader <= 1; + if ( DescLow == PDM_CFG1_HDRLEN ) + begin + // The requested number of header words has been read + // - proceed to generate packet payload + PG_FSM_State <= PG_FSM_STATE_PAYLOAD_SEQ_NUMBER; + PayloadRemaining <= PDM_CFG2_PAYLDLEN; + end + end + end + + PG_FSM_STATE_PAYLOAD_SEQ_NUMBER: + begin + WriteHeader <= 0; + Tx_mac_data_reg <= PacketSequenceNumber; + Tx_mac_wr <= 0; + Tx_mac_sop <= 0; + if ( Tx_mac_wa ) + begin + Tx_mac_wr <= 1; + PG_FSM_State <= PG_FSM_STATE_PAYLOAD; + Payload <= 32'h01020304; + PayloadRemaining <= PayloadRemaining - 4; + end + end + + PG_FSM_STATE_PAYLOAD: + begin + Tx_mac_data_reg <= Payload; + Tx_mac_wr <= 0; + if ( Tx_mac_wa ) + begin + Tx_mac_wr <= 1; + Tx_mac_data_reg <= Payload; + Payload[31:24] <= Payload[31:24] + 8'h04; + Payload[23:16] <= Payload[23:16] + 8'h04; + Payload[15: 8] <= Payload[15: 8] + 8'h04; + Payload[ 7: 0] <= Payload[ 7: 0] + 8'h04; + PayloadRemaining <= PayloadRemaining - 4; + if ( PayloadRemaining <= 4 ) + begin + PG_FSM_State <= PG_FSM_STATE_DONE; + + Tx_mac_eop <= 1; + // Indicate how many bytes are valid in this last transfer + Tx_mac_BE <= PayloadRemaining[1:0]; + end + end + end + + PG_FSM_STATE_DONE: + begin + // TBD: Add support for REPEAT, NEXT & LAST! + Tx_mac_wr <= 0; + Tx_mac_eop <= 0; + end + endcase + end + + //------------------------------------------------------------------------- + + assign Tx_mac_data = WriteHeader ? + RdData_i : Tx_mac_data_reg; + + assign Addr_o = { DescHigh, DescLow }; + + assign Rx_mac_rd = 0; + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/demo_uart.v b/usrp2/fpga/eth/demo/verilog/demo_uart.v new file mode 100644 index 00000000..ba4a3c35 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo_uart.v @@ -0,0 +1,235 @@ +module demo_uart( + Reset_n, + Clk, + + // Interface to UART PHY (RS232 level converter) + RXD_i, + TXD_o, + + // Clk is divided by (Prescaler+1) to generate 16x the bitrate + Prescaler_i, + + // Pulsed when RxData is valid + RxValid_o, + RxData_o, + + // Asserted when ready for a new Tx byte + TxReady_o, + + // Pulsed when TxData is valid + TxValid_i, + TxData_i +); + + input Reset_n; + input Clk; + + // Interface to UART PHY (RS232 level converter) + input RXD_i; + output TXD_o; + + // Clk is divided by (Prescaler+1) to generate 16x the bitrate + input [15:0] Prescaler_i; + + // Pulsed when RxData is valid + output RxValid_o; + output [7:0] RxData_o; + + // Asserted when ready for a new Tx byte + output TxReady_o; + + // Pulsed when TxData is valid + input TxValid_i; + input [7:0] TxData_i; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + reg TXD_o; + reg RxValid_o; + reg [7:0] RxData_o; + reg TxReady_o; + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + //--- Prescaler generating 16x bitrate clock ------------------------------ + + reg Clk_16x; + reg [15:0] Prescaler; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + Prescaler <= 0; + Clk_16x <= 0; + end + else + begin + if ( Prescaler == Prescaler_i ) + begin + Prescaler <= 0; + Clk_16x <= 1; + end + else + begin + Prescaler <= Prescaler + 1; + Clk_16x <= 0; + end + end + + //--- Transmitter logic --------------------------------------------------- + + reg [3:0] TxCounter; + reg TxSendBit; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + TxCounter <= 0; + TxSendBit <= 0; + end + else + begin + TxSendBit <= 0; + if ( Clk_16x ) + begin + if ( TxCounter == 15 ) + begin + TxCounter <= 0; + TxSendBit <= 1; + end + else + TxCounter <= TxCounter + 1; + end + end + + reg [7:0] TxData_reg; + reg [3:0] TxBitCnt; + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + TXD_o <= 1; + TxReady_o <= 1; + TxData_reg <= 0; + TxBitCnt <= 0; + end + else + begin + if ( TxReady_o ) + begin + if ( TxValid_i ) + begin + TxReady_o <= 0; + TxData_reg <= TxData_i; + TxBitCnt <= 0; + end + end + else + begin + if ( TxSendBit ) + begin + // Only do anything on bit boundaries + casez ( TxBitCnt ) + 0: // Tx START bit + TXD_o <= 0; + 10: // Tx second STOP bit + // Now we're done + TxReady_o <= 1; + default: // Tx data bit + first stop bit + begin + TXD_o <= TxData_reg[0]; + TxData_reg <= { 1'b1, TxData_reg[7:1] }; + end + endcase + + TxBitCnt <= TxBitCnt+1; + end + end + end + + //--- Receiver logic ------------------------------------------------------ + + reg RxHunt; + reg [3:0] RxCounter; + reg RxSampleBit; + reg RxDone; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + RxCounter <= 0; + RxSampleBit <= 0; + + RxHunt <= 1; + end + else + begin + RxSampleBit <= 0; + + if ( RxDone ) + RxHunt <= 1; + + if ( Clk_16x ) + begin + if ( RxHunt ) + begin + if ( RXD_i == 0 ) + begin + // Receiving start bit! + RxHunt <= 0; + // Reset 16x bit counter + RxCounter <= 0; + end + end + else + begin + RxCounter <= RxCounter + 1; + if ( RxCounter == 7 ) + // In middle of Rx bit in next cycle + RxSampleBit <= 1; + end + end + end + + reg [3:0] RxBitCount; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + RxValid_o <= 0; + RxData_o <= 'b0; + RxBitCount <= 0; + RxDone <= 0; + end + else + begin + RxValid_o <= 0; + RxDone <= 0; + + if ( RxSampleBit ) + begin + RxBitCount <= RxBitCount + 1; + + casez ( RxBitCount ) + 0: // START bit - just ignore it + ; + 9: // STOP bit - indicate we're ready again + begin + RxDone <= 1; + RxBitCount <= 0; + end + default: // Rx Data bits + begin + RxData_o <= { RXD_i, RxData_o[7:1] }; + if ( RxBitCount == 8 ) + // Last data bit just received + RxValid_o <= 1; + end + endcase + end + end + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v b/usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v new file mode 100644 index 00000000..b3c64fbc --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v @@ -0,0 +1,376 @@ +module demo_wishbone_master( + Reset_n, + Clk, + + //--- UART interface + + // Pulsed when RxData_i is valid + RxValid_i, + RxData_i, + + // Asserted when ready for a new Tx byte + TxReady_i, + + // Pulsed when TxData_o is valid + TxValid_o, + TxData_o, + + //--- Wishbone interface + STB_ETH_O, + STB_PDM_O, + STB_PG_O, + CYC_O, + ADR_O, + WE_O, + DAT_O, + DAT_I, + ACK_I +); + + input Reset_n; + input Clk; + + //--- UART interface + + // Pulsed when RxData_i is valid + input RxValid_i; + input [7:0] RxData_i; + + // Asserted when ready for a new Tx byte + input TxReady_i; + + // Pulsed when TxData_o is valid + output TxValid_o; + output [7:0] TxData_o; + + output STB_ETH_O; + output STB_PDM_O; + output STB_PG_O; + output CYC_O; + output [14:0] ADR_O; + output WE_O; + output [15:0] DAT_O; + input [15:0] DAT_I; + input ACK_I; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + reg TxValid_o; + reg [7:0] TxData_o; + reg STB_ETH_O; + reg STB_PDM_O; + reg STB_PG_O; + reg CYC_O; + reg [14:0] ADR_O; + reg WE_O; + reg [15:0] DAT_O; + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + //--- Transmit FSM -------------------------------------------------------- + + parameter TX_STATE_IDLE = 0; + parameter TX_STATE_INIT = 1; + parameter TX_STATE_OK = 2; + parameter TX_STATE_ERROR = 3; + parameter TX_STATE_VALUE = 4; + parameter TX_STATE_LF = 5; + + reg [2:0] TxState; + reg [3:0] TxIndex; + reg TxLast; + + wire [15:0] TxValue16; + wire [3:0] TxHexDigit; + wire [7:0] TxHexChar; + reg TxOK; + reg TxERROR; + reg TxValue; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + TxState <= TX_STATE_INIT; + TxIndex <= 0; + TxLast <= 0; + + TxValid_o <= 0; + TxData_o <= 'b0; + end + else + begin + TxValid_o <= 0; + + // Don't do anything in cycle following TxValid_o being pulsed + if ( ~TxValid_o ) + begin + casez ( TxState ) + TX_STATE_INIT: + casez ( TxIndex ) + 0: TxData_o <= "R"; + 1: TxData_o <= "E"; + 2: TxData_o <= "A"; + 3: TxData_o <= "D"; + 4: TxData_o <= "Y"; + default: TxLast <= 1; + endcase + + TX_STATE_OK: + casez ( TxIndex ) + 0: TxData_o <= "O"; + 1: TxData_o <= "K"; + default: TxLast <= 1; + endcase + + TX_STATE_ERROR: + casez ( TxIndex ) + 0: TxData_o <= "E"; + 1: TxData_o <= "R"; + 2: TxData_o <= "R"; + 3: TxData_o <= "O"; + 4: TxData_o <= "R"; + default: TxLast <= 1; + endcase + + TX_STATE_VALUE: + casez ( TxIndex ) + 0,1,2,3: TxData_o <= TxHexChar; + default: TxLast <= 1; + endcase + + TX_STATE_LF: + ; + + default: + begin + if ( TxOK ) + TxState <= TX_STATE_OK; + else if ( TxERROR ) + TxState <= TX_STATE_ERROR; + else if ( TxValue ) + begin + TxState <= TX_STATE_VALUE; + TxIndex <= 0; + end + end + endcase + + if ( (TxState != TX_STATE_IDLE) & TxReady_i ) + begin + TxValid_o <= 1; + + if ( TxLast ) + begin + if ( TxState == TX_STATE_LF ) + begin + TxData_o <= 10; // LF + TxState <= TX_STATE_IDLE; + TxIndex <= 0; + TxLast <= 0; + end + else + begin + TxData_o <= 13; // CR + TxState <= TX_STATE_LF; + end + end + else + TxIndex <= TxIndex + 1; + end + end + end + + assign TxHexDigit = (TxIndex==0) ? TxValue16[15:12] : + (TxIndex==1) ? TxValue16[11: 8] : + (TxIndex==2) ? TxValue16[ 7: 4] : + TxValue16[ 3: 0]; + + assign TxHexChar = (TxHexDigit <= 9) ? (TxHexDigit + "0") : + (TxHexDigit + "A"-'hA); + + //--- Receive FSM --------------------------------------------------------- + + parameter RX_STATE_IDLE = 0; + parameter RX_STATE_VALUE16_FIRST = 1; + parameter RX_STATE_VALUE16 = 2; + parameter RX_STATE_COMMENT = 3; + parameter RX_STATE_CMD = 4; + + reg [2:0] RxState; + + wire IsWhiteSpace = ( RxData_i==" " ) | + ( RxData_i=="\t" ) | + ( RxData_i=="," ) | + ( RxData_i==10 ) | + ( RxData_i==13 ); + wire IsHexDigit = (( RxData_i >= "0" ) & ( RxData_i <= "9" )) | + (( RxData_i >= "a" ) & ( RxData_i <= "f" )) | + (( RxData_i >= "A" ) & ( RxData_i <= "F" )); + wire [3:0] RxHexValue = + (( RxData_i >= "0" ) & ( RxData_i <= "9" )) ? RxData_i[3:0] : + (( RxData_i >= "a" ) & ( RxData_i <= "f" )) ? (RxData_i-"a"+'hA) : + (( RxData_i >= "A" ) & ( RxData_i <= "F" )) ? (RxData_i-"A"+'hA) : 0; + + reg [15:0] RxValue16; + reg RxWrite; + reg RxWrData; + + reg [15:0] RegAddr; + reg [15:0] RegRdData; + + assign TxValue16 = RegRdData; + + always @( negedge Reset_n or posedge Clk ) + if ( ~Reset_n ) + begin + RxState <= RX_STATE_IDLE; + + RxValue16 <= 16'h0; + RxWrite <= 0; + RxWrData <= 0; + + RegAddr <= 'b0; + RegRdData <= 'b0; + + STB_ETH_O <= 0; + STB_PDM_O <= 0; + STB_PG_O <= 0; + CYC_O <= 0; + ADR_O <= 0; + WE_O <= 0; + DAT_O <= 0; + + TxOK <= 0; + TxERROR <= 0; + TxValue <= 0; + end + else + begin + TxOK <= 0; + TxERROR <= 0; + TxValue <= 0; + + if ( RxState == RX_STATE_CMD ) + begin + STB_ETH_O <= ( RegAddr[15:12] == 4'h0 ); + STB_PG_O <= ( RegAddr[15:12] == 4'h1 ); + STB_PDM_O <= ( RegAddr[15] == 1'b1 ); + + CYC_O <= 1; + ADR_O <= RegAddr[14:0]; + WE_O <= RxWrite; + + if ( ACK_I ) + begin + // Register transaction is completing! + CYC_O <= 0; + STB_ETH_O <= 0; + STB_PDM_O <= 0; + STB_PG_O <= 0; + + // Latch data read in case of a read + RegRdData <= DAT_I; + + if ( RxWrite ) + // Transaction was a register write + TxOK <= 1; + else + TxValue <= 1; + + RxState <= RX_STATE_IDLE; + end + end + else if ( (TxState == TX_STATE_IDLE) & RxValid_i ) + begin + // A byte has been received! + + casez ( RxState ) + RX_STATE_IDLE: + if ( (RxData_i == "w") | (RxData_i == "W") ) + begin + // Write Register Command: W rrrr dddd + RxState <= RX_STATE_VALUE16_FIRST; + RxWrite <= 1; + RxWrData <= 0; + end + else if ( (RxData_i == "r") | (RxData_i == "R") ) + begin + // Read Register Command: R rrrr + RxState <= RX_STATE_VALUE16_FIRST; + RxWrite <= 0; + end + else if ( RxData_i == "/" ) + begin + // Comment! + RxState <= RX_STATE_COMMENT; + end + else if ( ~IsWhiteSpace ) + // Unknown command! + TxERROR <= 1; + + RX_STATE_COMMENT: + if ( (RxData_i == 13) | (RxData_i == 10) ) + // CR or LF - end of comment + RxState <= RX_STATE_IDLE; + + RX_STATE_VALUE16_FIRST: + if ( IsHexDigit ) + begin + RxValue16 <= { 12'b0, RxHexValue }; + RxState <= RX_STATE_VALUE16; + end + else if ( ~IsWhiteSpace ) + begin + // Unexpected character! + TxERROR <= 1; + RxState <= RX_STATE_IDLE; + end + + RX_STATE_VALUE16: + if ( IsHexDigit ) + RxValue16 <= { RxValue16[11:0], RxHexValue }; + else if ( IsWhiteSpace ) + begin + // Done collecting 16-bit value + if ( RxWrite ) + begin + // This is a register write + if ( RxWrData ) + begin + // Second time around - just received write data + DAT_O <= RxValue16; + RxState <= RX_STATE_CMD; + end + else + begin + // Just received register address - expecting second argument + RegAddr <= RxValue16; + RxState <= RX_STATE_VALUE16_FIRST; + RxWrData <= 1; // Now receive the write data + end + end + else + begin + // This is a register read + RegAddr <= RxValue16; + RxState <= RX_STATE_CMD; + end + end + else + begin + // Unexpected character! + TxERROR <= 1; + RxState <= RX_STATE_IDLE; + end + + default: + TxERROR <= 1; + endcase + end + end + +endmodule diff --git a/usrp2/fpga/eth/demo/verilog/tb_demo.v b/usrp2/fpga/eth/demo/verilog/tb_demo.v new file mode 100644 index 00000000..c5a8a3f4 --- /dev/null +++ b/usrp2/fpga/eth/demo/verilog/tb_demo.v @@ -0,0 +1,348 @@ +`timescale 1ns / 1ns + +module tb_demo; + + //-------------------- Instantiate Xilinx glbl module ---------------------- + // - this is needed to get ModelSim to work because e.g. I/O buffer models + // refer directly to glbl.GTS and similar signals + + wire GSR; + wire GTS; + xlnx_glbl glbl( .GSR( GSR ), .GTS( GTS ) ); + + reg VLOG_ExitSignal = 0; + reg Done = 0; + reg Error = 0; + + //------------------------------------------------------------------------- + + reg Reset_n; + reg Clk_100M; + reg Clk_125M; + + wire RS232_TXD; + wire RS232_RXD; + + wire USB_TXD; + wire USB_RXD; + + //--- 10/100/1000BASE-T Ethernet PHY (MII/GMII) + wire PHY_RESET_n; + + wire PHY_RXC; + wire [7:0] PHY_RXD; + wire PHY_RXDV; + wire PHY_RXER; + + wire PHY_GTX_CLK; // GMII only + wire PHY_TXC; + wire [7:0] PHY_TXD; + wire PHY_TXEN; + wire PHY_TXER; + + wire PHY_COL = 0; + wire PHY_CRS = 0; + + wire PHY_MDC; + wire PHY_MDIO; + + wire [1:4] LED; + + reg [1:4] Button = 4'b0000; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + //--- DUT + + demo demo( + .Reset_n ( Reset_n ), + .Clk_100M( Clk_100M ), + .Clk_125M( Clk_125M ), + + .RS232_TXD( RS232_TXD ), + .RS232_RXD( RS232_RXD ), + + .USB_TXD( USB_TXD ), + .USB_RXD( USB_RXD ), + + //--- 10/100/1000BASE-T Ethernet PHY (MII/GMII) + .PHY_RESET_n( PHY_RESET_n ), + + .PHY_RXC ( PHY_RXC ), + .PHY_RXD ( PHY_RXD ), + .PHY_RXDV( PHY_RXDV ), + .PHY_RXER( PHY_RXER ), + + .PHY_GTX_CLK( PHY_GTX_CLK ), // GMII only + .PHY_TXC ( PHY_TXC ), + .PHY_TXD ( PHY_TXD ), + .PHY_TXEN ( PHY_TXEN ), + .PHY_TXER ( PHY_TXER ), + + .PHY_COL( PHY_COL ), + .PHY_CRS( PHY_CRS ), + + .PHY_MDC ( PHY_MDC ), + .PHY_MDIO( PHY_MDIO ), + + // Misc. I/Os + .LED ( LED ), + .Button( Button ) + ); + + //------------------------------------------------------------------------- + // MII/GMII Ethernet PHY model + + reg [2:0] Speed = 3'b000; + + Phy_sim U_Phy_sim( + .Gtx_clk( PHY_GTX_CLK ), + .Rx_clk ( PHY_RXC ), + .Tx_clk ( PHY_TXC ), + .Tx_er ( PHY_TXER ), + .Tx_en ( PHY_TXEN ), + .Txd ( PHY_TXD ), + .Rx_er ( PHY_RXER ), + .Rx_dv ( PHY_RXDV ), + .Rxd ( PHY_RXD ), + .Crs ( PHY_CRS ), + .Col ( PHY_COL ), + .Speed ( Speed ), + .Done ( Done ) + ); + + //------------------------------------------------------------------------- + // Generate all clocks & reset + //------------------------------------------------------------------------- + + // Core master clock (100 MHz) + initial + begin + #10; + while ( !Done ) + begin + #5 Clk_100M = 0; + #5 Clk_100M = 1; + end + end + + // GMII master clock (125 MHz) + initial + begin + #10; + while ( !Done ) + begin + #4 Clk_125M = 0; + #4 Clk_125M = 1; + end + end + + initial + begin + Reset_n = 0; + + #103; + Reset_n = 1; + end + + //--- Emulate UART Transmitter -------------------------------------------- + + parameter PRESCALER_16X = 3; + integer Prescaler; + integer TxLen = 0; + reg [2:0] TxState; + integer TxBit; + reg [1023:0] TxMsg; + reg TXD; + reg TxDone; + + always @( negedge Reset_n or posedge Clk_100M ) + if ( ~Reset_n ) + begin + Prescaler <= 0; + TxState = 0; + TXD = 1; + TxBit = 0; + TxDone <= 0; + end + else + begin + TxDone <= 0; + + if ( Prescaler == ((PRESCALER_16X + 1)*16 -1) ) + Prescaler <= 0; + else + Prescaler <= Prescaler + 1; + + if ( Prescaler==0 ) + begin + casez ( TxState ) + 0: // IDLE + begin + if ( TxLen != 0 ) + begin // Send start bit! + TxBit = (TxLen-1)*8; + TxLen = TxLen - 1; + TXD = 0; + TxState = 1; + end + end + + 1: // Send next data bit + begin + // Send next data bit + TXD = TxMsg[ TxBit ]; + TxBit = TxBit + 1; + if ( (TxBit % 8)==0 ) + // Next send two stop bits + TxState = 2; + end + + 2: // First of two stop bits + begin + TXD = 1; + TxState = 3; + end + + 3: // Second of two stop bits + begin + TXD = 1; + TxState = 0; + if ( TxLen == 0 ) + // Done with transmission! + TxDone <= 1; + end + endcase + end + end + + assign RS232_RXD = TXD; + assign USB_RXD = 1; + + //--- Send commands to the DUT -------------------------------------------- + + initial + begin + #10; + while ( ~Reset_n ) #10; + + // Wait a couple of clock edges before continuing to allow + // internal logic to get out of reset + repeat ( 5 ) + @( posedge Clk_100M ); + + // Wait for the "READY" message to complete transmission + #60000; + + // Select 100 Mbps + Speed = 3'b010; + TxMsg = "W 0022 0002 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8000 8003 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8001 0011 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8002 1234 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8003 5678 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8004 9ABC "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8005 DEF0 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8006 C5C0 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "W 8007 BABE "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + TxMsg = "R 8006 "; + TxLen = 7; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + // Enable PG! + TxMsg = "W 1000 0001 "; + TxLen = 12; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + // Read back that PG has been enabled! + TxMsg = "R 1000 "; + TxLen = 7; + while ( ~TxDone ) + @( posedge Clk_100M ); + + #50000; + + #50000; + + Done = 1; #10; + + $stop; + end + + //--- Directly accesses a register on the internal Wishbone bus, bypassing the UART interface + + task WrReg; + input [15:0] Reg; + input [15:0] Data; + + begin + end + endtask + +endmodule diff --git a/usrp2/fpga/eth/header_ram.v b/usrp2/fpga/eth/header_ram.v new file mode 100644 index 00000000..699f16a4 --- /dev/null +++ b/usrp2/fpga/eth/header_ram.v @@ -0,0 +1,24 @@ + +module header_ram + #(parameter REGNUM=0, + parameter WIDTH=32) + (input clk, + input set_stb, + input [7:0] set_addr, + input [31:0] set_data, + + input [3:0] addr, + output [31:0] q + ); + + reg [WIDTH-1:0] mini_ram[0:15]; + wire write_to_ram = (set_stb & (set_addr[7:4]==REGNUM[7:4])); + wire [3:0] ram_addr = write_to_ram ? set_addr[3:0] : addr; + + always @(posedge clk) + if(write_to_ram) + mini_ram[ram_addr] <= set_data; + + assign q = mini_ram[ram_addr]; + +endmodule // header_ram diff --git a/usrp2/fpga/eth/mac_rxfifo_int.v b/usrp2/fpga/eth/mac_rxfifo_int.v new file mode 100644 index 00000000..9393cbd1 --- /dev/null +++ b/usrp2/fpga/eth/mac_rxfifo_int.v @@ -0,0 +1,80 @@ + +module mac_rxfifo_int + (input clk, input rst, + + input Rx_mac_empty, + output Rx_mac_rd, + input [31:0] Rx_mac_data, + input [1:0] Rx_mac_BE, + input Rx_mac_sop, + input Rx_mac_eop, + input Rx_mac_err, + + output [31:0] wr_dat_o, + output wr_write_o, + output wr_done_o, + output wr_error_o, + input wr_ready_i, + input wr_full_i, + + // FIFO Status + output [15:0] fifo_occupied, + output fifo_full, + output fifo_empty + ); + + // Write side of short FIFO + // Inputs: full, Rx_mac_empty, Rx_mac_sop, Rx_mac_eop, Rx_mac_err, Rx_mac_data/BE + // Controls: write, datain, Rx_mac_rd + + wire write, full, read, empty, sop_o, eop_o, error_o; + + // Write side of short FIFO + assign write = ~full & ~Rx_mac_empty; + assign Rx_mac_rd = write; + + shortfifo #(.WIDTH(35)) mac_rx_sfifo + (.clk(clk),.rst(rst),.clear(0), + .datain({Rx_mac_sop,Rx_mac_eop,Rx_mac_err,Rx_mac_data}),.write(write),.full(full), + .dataout({sop_o,eop_o,error_o,wr_dat_o}),.read(read),.empty(empty), + .space(), .occupied(fifo_occupied[4:0]) ); + assign fifo_occupied[15:5] = 0; + assign fifo_full = full; + assign fifo_empty = empty; + + // Read side of short FIFO + // Inputs: empty, dataout, wr_ready_i, wr_full_i + // Controls: read, wr_dat_o, wr_write_o, wr_done_o, wr_error_o + + reg [1:0] rd_state; + localparam RD_IDLE = 0; + localparam RD_HAVEPKT = 1; + localparam RD_XFER = 2; + localparam RD_ERROR = 3; + + always @(posedge clk) + if(rst) + rd_state <= RD_IDLE; + else + case(rd_state) + RD_IDLE : + if(sop_o & ~empty) + rd_state <= RD_HAVEPKT; + RD_HAVEPKT : + if(wr_ready_i) + rd_state <= RD_XFER; + RD_XFER : + if(eop_o & ~empty) + rd_state <= RD_IDLE; + else if(wr_full_i) + rd_state <= RD_HAVEPKT; + RD_ERROR : + rd_state <= RD_IDLE; + endcase // case(rd_state) + + assign read = ~empty & ((rd_state == RD_XFER) | ((rd_state==RD_IDLE)&~sop_o)); + assign wr_write_o = ~empty & (rd_state == RD_XFER); + assign wr_done_o = ~empty & (rd_state == RD_XFER) & eop_o; + assign wr_error_o = ~empty & (rd_state == RD_XFER) & error_o; + +endmodule // mac_rxfifo_int diff --git a/usrp2/fpga/eth/mac_txfifo_int.v b/usrp2/fpga/eth/mac_txfifo_int.v new file mode 100644 index 00000000..38d8d38f --- /dev/null +++ b/usrp2/fpga/eth/mac_txfifo_int.v @@ -0,0 +1,77 @@ + +module mac_txfifo_int + (input clk, input rst, input mac_clk, + + // To MAC + input Tx_mac_wa, + output Tx_mac_wr, + output [31:0] Tx_mac_data, + output [1:0] Tx_mac_BE, + output Tx_mac_sop, + output Tx_mac_eop, + + // To buffer interface + input [31:0] rd_dat_i, + output rd_read_o, + output rd_done_o, + output rd_error_o, + input rd_sop_i, + input rd_eop_i, + + // FIFO Status + output [15:0] fifo_occupied, + output fifo_full, + output fifo_empty ); + + wire empty, full, sfifo_write, sfifo_read; + wire [33:0] sfifo_in, sfifo_out; + + /* + shortfifo #(.WIDTH(34)) txmac_sfifo + (.clk(clk),.rst(rst),.clear(0), + .datain(sfifo_in),.write(sfifo_write),.full(full), + .dataout(sfifo_out),.read(sfifo_read),.empty(empty)); + */ + fifo_xlnx_512x36_2clk mac_tx_fifo_2clk + (.rst(rst), + .wr_clk(clk),.din({2'b0,sfifo_in}),.full(full),.wr_en(sfifo_write),.wr_data_count(fifo_occupied[8:0]), + .rd_clk(mac_clk),.dout(sfifo_out),.empty(empty),.rd_en(sfifo_read),.rd_data_count() ); + assign fifo_occupied[15:9] = 0; + assign fifo_full = full; + assign fifo_empty = empty; // Note empty is in wrong clock domain + + // MAC side signals + // We are allowed to do one more write after we are told the FIFO is full + // This allows us to register the _wa signal and speed up timing. + + reg tx_mac_wa_d1; + always @(posedge clk) + tx_mac_wa_d1 <= Tx_mac_wa; + + assign sfifo_read = ~empty & tx_mac_wa_d1; + + assign Tx_mac_wr = sfifo_read; + assign Tx_mac_data = sfifo_out[31:0]; + assign Tx_mac_BE = 0; // Since we only deal with packets that are multiples of 32 bits long + assign Tx_mac_sop = sfifo_out[33]; + assign Tx_mac_eop = sfifo_out[32]; + + + // BUFFER side signals + reg xfer_active; + always @(posedge clk) + if(rst) + xfer_active <= 0; + else if(rd_eop_i & ~full) + xfer_active <= 0; + else if(rd_sop_i) + xfer_active <= 1; + + assign sfifo_in = {rd_sop_i, rd_eop_i, rd_dat_i}; + assign sfifo_write = xfer_active & ~full; + + assign rd_read_o = sfifo_write; + assign rd_done_o = 0; // Always send everything we're given? + assign rd_error_o = 0; // No possible error situations? + +endmodule // mac_txfifo_int diff --git a/usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v b/usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v new file mode 100644 index 00000000..91708264 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v @@ -0,0 +1,133 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Clk_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Clk_ctrl.v,v $ +// Revision 1.3 2006/01/19 14:07:52 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:13 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module Clk_ctrl( +Reset , +Clk_125M , +//host interface, +Speed , +//Phy interface , +Gtx_clk , +Rx_clk , +Tx_clk , +//interface clk , +MAC_tx_clk , +MAC_rx_clk , +MAC_tx_clk_div , +MAC_rx_clk_div +); +input Reset ; +input Clk_125M ; + //host interface +input [2:0] Speed ; + //Phy interface +output Gtx_clk ;//used only in GMII mode +input Rx_clk ; +input Tx_clk ;//used only in MII mode + //interface clk signals +output MAC_tx_clk ; +output MAC_rx_clk ; +output MAC_tx_clk_div ; +output MAC_rx_clk_div ; + + +// ****************************************************************************** +// internal signals +// ****************************************************************************** +wire Rx_clk_div2 ; +wire Tx_clk_div2 ; +// ****************************************************************************** +// +// ****************************************************************************** + assign Gtx_clk = Clk_125M ; + assign MAC_rx_clk = Rx_clk ; + assign MAC_rx_clk_div = Rx_clk ; + assign MAC_tx_clk = Clk_125M; + assign MAC_tx_clk_div = Clk_125M; + + + /* +eth_clk_div2 U_0_CLK_DIV2( +.Reset (Reset ), +.IN (Rx_clk ), +.OUT (Rx_clk_div2 ) +); + +eth_clk_div2 U_1_CLK_DIV2( +.Reset (Reset ), +.IN (Tx_clk ), +.OUT (Tx_clk_div2 ) +); + +eth_clk_switch U_0_CLK_SWITCH( +.IN_0 (Rx_clk_div2 ), +.IN_1 (Rx_clk ), +.SW (Speed[2] ), +.OUT (MAC_rx_clk_div ) +); + +eth_clk_switch U_1_CLK_SWITCH( +.IN_0 (Tx_clk ), +.IN_1 (Clk_125M ), +.SW (Speed[2] ), +.OUT (MAC_tx_clk ) +); + +eth_clk_switch U_2_CLK_SWITCH( +.IN_0 (Tx_clk_div2 ), +.IN_1 (Clk_125M ), +.SW (Speed[2] ), +.OUT (MAC_tx_clk_div ) +); + + */ +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx.v new file mode 100644 index 00000000..0e02e8fc --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx.v @@ -0,0 +1,242 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_rx.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_rx.v,v $ +// Revision 1.4 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:52 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:13 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module MAC_rx + #(parameter RX_FF_DEPTH = 9) + ( +input Reset , +input Clk_user, +input Clk , + //RMII interface +input MCrs_dv , +input [7:0] MRxD , +input MRxErr , + //flow_control signals +output [15:0] pause_quanta, +output pause_quanta_val, +output [15:0] rx_fifo_space, + //user interface +output Rx_mac_empty, +input Rx_mac_rd , +output [31:0] Rx_mac_data , +output [1:0] Rx_mac_BE , +output Rx_mac_sop , +output Rx_mac_eop , +output Rx_mac_err , + //CPU +input MAC_rx_add_chk_en , +input [7:0] MAC_add_prom_data , +input [2:0] MAC_add_prom_add , +input MAC_add_prom_wr , +input broadcast_filter_en , +input [15:0] broadcast_bucket_depth , +input [15:0] broadcast_bucket_interval , +input RX_APPEND_CRC, +input [4:0] Rx_Hwmark , +input [4:0] Rx_Lwmark , +input CRC_chk_en , +input [5:0] RX_IFG_SET , +input [15:0] RX_MAX_LENGTH ,// 1518 +input [6:0] RX_MIN_LENGTH ,// 64 + //RMON interface +output [15:0] Rx_pkt_length_rmon , +output Rx_apply_rmon , +output [2:0] Rx_pkt_err_type_rmon , +output [2:0] Rx_pkt_type_rmon , + + output [15:0] rx_fifo_occupied, + output rx_fifo_full, + output rx_fifo_empty, + output [31:0] debug +); +//****************************************************************************** +//internal signals +//****************************************************************************** + //CRC_chk interface +wire CRC_en ; +wire [7:0] CRC_data; +wire CRC_init; +wire CRC_err ; + //MAC_rx_add_chk interface +wire MAC_add_en ; +wire [7:0] MAC_add_data; +wire MAC_rx_add_chk_err ; + //broadcast_filter +wire broadcast_ptr ; +wire broadcast_drop ; + //MAC_rx_ctrl interface +wire [7:0] Fifo_data ; +wire Fifo_data_en ; +wire Fifo_full ; +wire Fifo_data_err ; +wire Fifo_data_drop ; +wire Fifo_data_end ; + + +//****************************************************************************** +//instantiation +//****************************************************************************** + + +MAC_rx_ctrl U_MAC_rx_ctrl( +.Reset (Reset ), +.Clk (Clk ), + //RMII interface ( //RMII interface ), +.MCrs_dv (MCrs_dv ), +.MRxD (MRxD ), +.MRxErr (MRxErr ), + //CRC_chk interface (//CRC_chk interface ), +.CRC_en (CRC_en ), +.CRC_data (CRC_data ), +.CRC_init (CRC_init ), +.CRC_err (CRC_err ), + //MAC_rx_add_chk interface (//MAC_rx_add_chk interface), +.MAC_add_en (MAC_add_en ), +.MAC_add_data (MAC_add_data ), +.MAC_rx_add_chk_err (MAC_rx_add_chk_err ), + //broadcast_filter (//broadcast_filter ), +.broadcast_ptr (broadcast_ptr ), +.broadcast_drop (broadcast_drop ), + //flow_control signals (//flow_control signals ), +.pause_quanta (pause_quanta ), +.pause_quanta_val (pause_quanta_val ), + //MAC_rx_FF interface (//MAC_rx_FF interface ), +.Fifo_data (Fifo_data ), +.Fifo_data_en (Fifo_data_en ), +.Fifo_data_err (Fifo_data_err ), +.Fifo_data_drop (Fifo_data_drop ), +.Fifo_data_end (Fifo_data_end ), +.Fifo_full (Fifo_full ), + //RMON interface (//RMON interface ), +.Rx_pkt_type_rmon (Rx_pkt_type_rmon ), +.Rx_pkt_length_rmon (Rx_pkt_length_rmon ), +.Rx_apply_rmon (Rx_apply_rmon ), +.Rx_pkt_err_type_rmon (Rx_pkt_err_type_rmon ), + //CPU (//CPU ), +.RX_IFG_SET (RX_IFG_SET ), +.RX_MAX_LENGTH (RX_MAX_LENGTH ), +.RX_MIN_LENGTH (RX_MIN_LENGTH ) +); + + assign debug = {28'd0, Fifo_data_en, Fifo_data_err, Fifo_data_end,Fifo_full}; + +MAC_rx_FF #(.RX_FF_DEPTH(RX_FF_DEPTH)) U_MAC_rx_FF ( +.Reset (Reset ), +.Clk_MAC (Clk ), +.Clk_SYS (Clk_user ), + //MAC_rx_ctrl interface (//MAC_rx_ctrl interface ), +.Fifo_data (Fifo_data ), +.Fifo_data_en (Fifo_data_en ), +.Fifo_full (Fifo_full ), +.Fifo_data_err (Fifo_data_err ), +//.Fifo_data_drop (Fifo_data_drop ), +.Fifo_data_end (Fifo_data_end ), +.Fifo_space (rx_fifo_space ), + //CPU (//CPU ), +.Rx_Hwmark (Rx_Hwmark ), +.Rx_Lwmark (Rx_Lwmark ), +.RX_APPEND_CRC (RX_APPEND_CRC ), + //user interface (//user interface ), +.Rx_mac_empty (Rx_mac_empty ), +.Rx_mac_rd (Rx_mac_rd ), +.Rx_mac_data (Rx_mac_data ), +.Rx_mac_BE (Rx_mac_BE ), +.Rx_mac_sop (Rx_mac_sop ), +.Rx_mac_eop (Rx_mac_eop ), +.Rx_mac_err (Rx_mac_err ), + +.fifo_occupied(rx_fifo_occupied), +.fifo_full_dbg(rx_fifo_full), +.fifo_empty(rx_fifo_empty) +); + + Broadcast_filter U_Broadcast_filter + (.Reset (Reset ), + .Clk (Clk ), + //MAC_rx_ctrl (//MAC_rx_ctrl ), + .broadcast_ptr (broadcast_ptr ), + .broadcast_drop (broadcast_drop ), + //FromCPU (//FromCPU ), + .broadcast_filter_en (broadcast_filter_en ), + .broadcast_bucket_depth (broadcast_bucket_depth ), + .broadcast_bucket_interval (broadcast_bucket_interval ) + ); + +CRC_chk U_CRC_chk( +.Reset (Reset ), +.Clk (Clk ), +.CRC_data (CRC_data ), +.CRC_init (CRC_init ), +.CRC_en (CRC_en ), + //From CPU (//From CPU ), +.CRC_chk_en (CRC_chk_en ), +.CRC_err (CRC_err ) +); + + MAC_rx_add_chk U_MAC_rx_add_chk + (.Reset (Reset ), + .Clk (Clk ), + .Init (CRC_init ), + .data (MAC_add_data ), + .MAC_add_en (MAC_add_en ), + .MAC_rx_add_chk_err (MAC_rx_add_chk_err ), + //From CPU (//From CPU ), + .MAC_rx_add_chk_en (MAC_rx_add_chk_en ), + .MAC_add_prom_data (MAC_add_prom_data ), + .MAC_add_prom_add (MAC_add_prom_add ), + .MAC_add_prom_wr (MAC_add_prom_wr ) + ); + +endmodule // MAC_rx diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v new file mode 100644 index 00000000..bc95e31d --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v @@ -0,0 +1,107 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Broadcast_filter.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Broadcast_filter.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:16 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module Broadcast_filter ( +Reset , +Clk , +//MAC_rx_ctrl , +broadcast_ptr , +broadcast_drop , +//FromCPU , +broadcast_filter_en , +broadcast_bucket_depth , +broadcast_bucket_interval +); +input Reset ; +input Clk ; + //MAC_rx_ctrl +input broadcast_ptr ; +output broadcast_drop ; + //FromCPU ; +input broadcast_filter_en ; +input [15:0] broadcast_bucket_depth ; +input [15:0] broadcast_bucket_interval ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [15:0] time_counter ; +reg [15:0] broadcast_counter ; +reg broadcast_drop ; +//****************************************************************************** +// +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + time_counter <=0; + else if (time_counter==broadcast_bucket_interval) + time_counter <=0; + else + time_counter <=time_counter+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + broadcast_counter <=0; + else if (time_counter==broadcast_bucket_interval) + broadcast_counter <=0; + else if (broadcast_ptr&&broadcast_counter!=broadcast_bucket_depth) + broadcast_counter <=broadcast_counter+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + broadcast_drop <=0; + else if(broadcast_filter_en&&broadcast_counter==broadcast_bucket_depth) + broadcast_drop <=1; + else + broadcast_drop <=0; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v new file mode 100644 index 00000000..d6bb22b5 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v @@ -0,0 +1,128 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CRC_chk.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CRC_chk.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:16 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module CRC_chk( +Reset , +Clk , +CRC_data , +CRC_init , +CRC_en , +//From CPU +CRC_chk_en , +CRC_err +); +input Reset ; +input Clk ; +input[7:0] CRC_data ; +input CRC_init ; +input CRC_en ; + //From CPU +input CRC_chk_en ; +output CRC_err ; +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [31:0] CRC_reg; +//****************************************************************************** +//input data width is 8bit, and the first bit is bit[0] +function[31:0] NextCRC; + input[7:0] D; + input[31:0] C; + reg[31:0] NewCRC; + begin + NewCRC[0]=C[24]^C[30]^D[1]^D[7]; + NewCRC[1]=C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[2]=C[26]^D[5]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[3]=C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[4]=C[28]^D[3]^C[27]^D[4]^C[26]^D[5]^C[24]^C[30]^D[1]^D[7]; + NewCRC[5]=C[29]^D[2]^C[28]^D[3]^C[27]^D[4]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[6]=C[30]^D[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[7]=C[31]^D[0]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[8]=C[0]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[9]=C[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]; + NewCRC[10]=C[2]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[11]=C[3]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[12]=C[4]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[13]=C[5]^C[30]^D[1]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[14]=C[6]^C[31]^D[0]^C[30]^D[1]^C[28]^D[3]^C[27]^D[4]^C[26]^D[5]; + NewCRC[15]=C[7]^C[31]^D[0]^C[29]^D[2]^C[28]^D[3]^C[27]^D[4]; + NewCRC[16]=C[8]^C[29]^D[2]^C[28]^D[3]^C[24]^D[7]; + NewCRC[17]=C[9]^C[30]^D[1]^C[29]^D[2]^C[25]^D[6]; + NewCRC[18]=C[10]^C[31]^D[0]^C[30]^D[1]^C[26]^D[5]; + NewCRC[19]=C[11]^C[31]^D[0]^C[27]^D[4]; + NewCRC[20]=C[12]^C[28]^D[3]; + NewCRC[21]=C[13]^C[29]^D[2]; + NewCRC[22]=C[14]^C[24]^D[7]; + NewCRC[23]=C[15]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[24]=C[16]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[25]=C[17]^C[27]^D[4]^C[26]^D[5]; + NewCRC[26]=C[18]^C[28]^D[3]^C[27]^D[4]^C[24]^C[30]^D[1]^D[7]; + NewCRC[27]=C[19]^C[29]^D[2]^C[28]^D[3]^C[25]^C[31]^D[0]^D[6]; + NewCRC[28]=C[20]^C[30]^D[1]^C[29]^D[2]^C[26]^D[5]; + NewCRC[29]=C[21]^C[31]^D[0]^C[30]^D[1]^C[27]^D[4]; + NewCRC[30]=C[22]^C[31]^D[0]^C[28]^D[3]; + NewCRC[31]=C[23]^C[29]^D[2]; + NextCRC=NewCRC; + end + endfunction + +always @ (posedge Clk or posedge Reset) + if (Reset) + CRC_reg <=32'hffffffff; + else if (CRC_init) + CRC_reg <=32'hffffffff; + else if (CRC_en) + CRC_reg <=NextCRC(CRC_data,CRC_reg); + +assign CRC_err = CRC_chk_en&(CRC_reg[31:0] != 32'hc704dd7b); + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v new file mode 100644 index 00000000..e212b898 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v @@ -0,0 +1,167 @@ + +// //////////////////////////////////////////////////////////////////// +// Completely Rewritten by M. Ettus, no John Gao code left +// //////////////////////////////////////////////////////////////////// + +module MAC_rx_FF + #(parameter RX_FF_DEPTH = 9) + (input Reset, + input Clk_MAC, + input Clk_SYS, + + // MAC_rx_ctrl interface + input [7:0] Fifo_data, + input Fifo_data_en, + output Fifo_full, + input Fifo_data_err, + input Fifo_data_end, + output [15:0] Fifo_space, + + // CPU + input RX_APPEND_CRC, + input [4:0] Rx_Hwmark, + input [4:0] Rx_Lwmark, + + // User interface + output Rx_mac_empty, + input Rx_mac_rd, + output [31:0] Rx_mac_data, + output [1:0] Rx_mac_BE, + output Rx_mac_sop, + output Rx_mac_eop, + output Rx_mac_err, + + // FIFO Levels + output [15:0] fifo_occupied, + output fifo_full_dbg, + output fifo_empty + ); + + reg [1:0] FF_state; + reg [2:0] PKT_state; + reg [31:0] staging; + reg [35:0] staging2; + reg line_ready, line_ready_d1; + wire sop_i, eop_i; + reg [1:0] be; + + always @(posedge Clk_MAC or posedge Reset) + if(Reset) + FF_state <= 0; + else + if(Fifo_data_err | Fifo_data_end) + FF_state <= 0; + else if(Fifo_data_en) + FF_state <= FF_state + 1; + + always @(posedge Clk_MAC or posedge Reset) + if(Reset) + staging[31:0] <= 0; + else if(Fifo_data_en) + case(FF_state) + 0 : staging[31:24] <= Fifo_data; + 1 : staging[23:16] <= Fifo_data; + 2 : staging[15:8] <= Fifo_data; + 3 : staging[7:0] <= Fifo_data; + endcase // case(FF_state) + + localparam PKT_idle = 0; + localparam PKT_sop = 1; + localparam PKT_pkt = 2; + localparam PKT_end = 3; + localparam PKT_err = 4; + + always @(posedge Clk_MAC or posedge Reset) + if(Reset) + PKT_state <= 0; + else + case(PKT_state) + PKT_idle : + if(Fifo_data_en) + PKT_state <= PKT_sop; + PKT_sop, PKT_pkt : + if(Fifo_data_err | (line_ready & Fifo_full)) + PKT_state <= PKT_err; + else if(Fifo_data_end) + PKT_state <= PKT_end; + else if(line_ready & ~Fifo_full) + PKT_state <= PKT_pkt; + PKT_end : + PKT_state <= PKT_idle; + PKT_err : + if(~Fifo_full) + PKT_state <= PKT_idle; + endcase // case(PKT_state) + + assign sop_i = (PKT_state == PKT_sop); + assign eop_i = (PKT_state == PKT_end); + + always @(posedge Clk_MAC) + if(line_ready) + staging2 <= {sop_i, eop_i, be[1:0], staging}; + + always @(posedge Clk_MAC) + if(Reset) + line_ready <= 0; + else if((Fifo_data_en & (FF_state==2'd3)) | Fifo_data_end | Fifo_data_err) + line_ready <= 1; + else + line_ready <= 0; + + always @(posedge Clk_MAC) + line_ready_d1 <= line_ready; + + always @(posedge Clk_MAC) + if(Fifo_data_end | Fifo_data_err) + be <= FF_state; + else + be <= 0; + + wire sop_o, eop_o, empty; + wire [1:0] be_o; + wire [RX_FF_DEPTH-1:0] occupied, occupied_sysclk; + wire [31:0] dataout; + +/* + fifo_2clock #(.DWIDTH(36),.AWIDTH(RX_FF_DEPTH)) mac_rx_fifo + (.wclk(Clk_MAC),.datain((PKT_state==PKT_err) ? 36'hF_FFFF_FFFF : staging2),.write(~Fifo_full & (line_ready_d1|(PKT_state==PKT_err))), + .full(Fifo_full),.level_wclk(occupied), + .rclk(Clk_SYS),.dataout({sop_o,eop_o,be_o[1:0],dataout}),.read(Rx_mac_rd), + .empty(empty),.level_rclk(), + .arst(Reset) ); + */ + + fifo_xlnx_2Kx36_2clk mac_rx_ff_core + ( + .din((PKT_state==PKT_err) ? 36'hF_FFFF_FFFF : staging2), // Bus [35 : 0] + .rd_clk(Clk_SYS), + .rd_en(Rx_mac_rd), + .rst(Reset), + .wr_clk(Clk_MAC), + .wr_en(~Fifo_full & (line_ready_d1|(PKT_state==PKT_err))), + .dout({sop_o,eop_o,be_o[1:0],dataout}), // Bus [35 : 0] + .empty(empty), + .full(Fifo_full), + .rd_data_count(occupied_sysclk), // Bus [11 : 0] + .wr_data_count(occupied)); // Bus [11 : 0] + + assign Fifo_space[15:RX_FF_DEPTH] = 0; + assign Fifo_space[RX_FF_DEPTH-1:0] = ~occupied; + assign fifo_occupied = occupied_sysclk; + assign fifo_full_dbg = Fifo_full; // FIXME -- in wrong clock domain + assign fifo_empty = empty; + + // mac side fifo interface + // Input - Rx_mac_rd + // Output - Rx_mac_empty, Rx_mac_sop, Rx_mac_eop, Rx_mac_err, Rx_mac_data, Rx_mac_BE + + assign Rx_mac_BE = be_o; + assign Rx_mac_sop = sop_o & ~eop_o; + assign Rx_mac_eop = eop_o; + assign Rx_mac_err = sop_o & eop_o; + assign Rx_mac_empty = empty; + assign Rx_mac_data = dataout; + +endmodule // MAC_rx_FF + +// FIXME Should we send out an "almost full" signal instead of full? diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v new file mode 100644 index 00000000..0c8d6bd4 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v @@ -0,0 +1,158 @@ +// //////////////////////////////////////////////////////////////////// +// // //// +// // MAC_rx_add_chk.v //// +// // //// +// // This file is part of the Ethernet IP core project //// +// // http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode///// +// // //// +// // Author(s): //// +// // - Jon Gao (gaojon@yahoo.com) //// +// // //// +// // //// +// //////////////////////////////////////////////////////////////////// +// // //// +// // Copyright (C) 2001 Authors //// +// // //// +// // This source file may be used and distributed without //// +// // restriction provided that this copyright statement is not //// +// // removed from the file and that any derivative work contains //// +// // the original copyright notice and the associated disclaimer. //// +// // //// +// // This source file is free software; you can redistribute it //// +// // and/or modify it under the terms of the GNU Lesser General //// +// // Public License as published by the Free Software Foundation; //// +// // either version 2.1 of the License, or (at your option) any //// +// // later version. //// +// // //// +// // This source is distributed in the hope that it will be //// +// // useful, but WITHOUT ANY WARRANTY; without even the implied //// +// // warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +// // PURPOSE. See the GNU Lesser General Public License for more //// +// // details. //// +// // //// +// // You should have received a copy of the GNU Lesser General //// +// // Public License along with this source; if not, download it //// +// // from http://www.opencores.org/lgpl.shtml //// +// // //// +// //////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_rx_add_chk.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_rx_add_chk + (Reset , + Clk , + Init , + data , + MAC_add_en , + MAC_rx_add_chk_err , + //From CPU + MAC_rx_add_chk_en , + MAC_add_prom_data , + MAC_add_prom_add , + MAC_add_prom_wr + ); + + input Reset ; + input Clk ; + input Init ; + input [7:0] data ; + input MAC_add_en ; + output MAC_rx_add_chk_err ; + //From CPU + input MAC_rx_add_chk_en ; + input [7:0] MAC_add_prom_data ; + input [2:0] MAC_add_prom_add ; + input MAC_add_prom_wr ; + + // ****************************************************************************** + // internal signals + // ****************************************************************************** + reg [2:0] addr_rd; + wire [2:0] addr_wr; + wire [7:0] din; + //wire [7:0] dout; + reg [7:0] dout; + wire wr_en; + + reg MAC_rx_add_chk_err; + reg MAC_add_prom_wr_dl1; + reg MAC_add_prom_wr_dl2; + reg [7:0] data_dl1 ; + reg MAC_add_en_dl1 ; + + // ****************************************************************************** + // write data from cpu to prom + // ****************************************************************************** + always @ (posedge Clk or posedge Reset) + if (Reset) + begin + data_dl1 <=0; + MAC_add_en_dl1 <=0; + end + else + begin + data_dl1 <=data; + MAC_add_en_dl1 <=MAC_add_en; + end + + always @ (posedge Clk or posedge Reset) + if (Reset) + begin + MAC_add_prom_wr_dl1 <=0; + MAC_add_prom_wr_dl2 <=0; + end + else + begin + MAC_add_prom_wr_dl1 <=MAC_add_prom_wr; + MAC_add_prom_wr_dl2 <=MAC_add_prom_wr_dl1; + end + + assign wr_en =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2; + assign addr_wr =MAC_add_prom_add; + assign din =MAC_add_prom_data; + + // ****************************************************************************** + // mac add verify + // ****************************************************************************** + always @ (posedge Clk or posedge Reset) + if (Reset) + addr_rd <=0; + else if (Init) + addr_rd <=0; + else if (MAC_add_en) + addr_rd <=addr_rd + 1; + + always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_rx_add_chk_err <=0; + else if (Init) + MAC_rx_add_chk_err <=0; + else if (MAC_rx_add_chk_en && MAC_add_en_dl1 && (dout!=data_dl1) ) + MAC_rx_add_chk_err <=1; + + + // ****************************************************************************** + // a port for read ,b port for write . + // ****************************************************************************** + + reg [7:0] address_ram [0:7]; + always @(posedge Clk) + if(wr_en) + address_ram[addr_wr] <= din; + + always @(posedge Clk) + dout <= address_ram[addr_rd]; + +endmodule // MAC_rx_add_chk diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v new file mode 100644 index 00000000..5ab79580 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v @@ -0,0 +1,664 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_rx_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_rx_ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:37 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_rx_ctrl ( +Reset , +Clk , +//RMII interface +MCrs_dv , // +MRxD , // +MRxErr , // +//CRC_chk interface +CRC_en , +CRC_data, +CRC_init , +CRC_err , +//MAC_rx_add_chk interface +MAC_add_en , +MAC_add_data, +MAC_rx_add_chk_err , +//broadcast_filter +broadcast_ptr , +broadcast_drop , +//flow_control signals +pause_quanta , +pause_quanta_val , +//MAC_rx_FF interface +Fifo_data , +Fifo_data_en , +Fifo_data_err , +Fifo_data_drop , +Fifo_data_end , +Fifo_full , +//RMON interface +Rx_pkt_type_rmon , +Rx_pkt_length_rmon , +Rx_apply_rmon , +Rx_pkt_err_type_rmon , +//CPU +RX_IFG_SET , +RX_MAX_LENGTH, +RX_MIN_LENGTH +); + +input Reset ; +input Clk ; + //RMII interface +input MCrs_dv ; +input [7:0] MRxD ; +input MRxErr ; + //CRC_chk interface +output CRC_en ; +output CRC_init; +output [7:0] CRC_data; +input CRC_err ; + //MAC_rx_add_chk interface +output MAC_add_en ; +output [7:0] MAC_add_data; +input MAC_rx_add_chk_err ; + //broadcast_filter +output broadcast_ptr ; +input broadcast_drop ; + //flow_control signals +output [15:0] pause_quanta ; +output pause_quanta_val ; + //MAC_rx_FF interface +output [7:0] Fifo_data ; +output Fifo_data_en ; +output Fifo_data_err ; +output Fifo_data_drop ; +output Fifo_data_end ; +input Fifo_full; + //RMON interface +output [15:0] Rx_pkt_length_rmon ; +output Rx_apply_rmon ; +output [2:0] Rx_pkt_err_type_rmon ; +output [2:0] Rx_pkt_type_rmon ; + //CPU +input [5:0] RX_IFG_SET ; +input [15:0] RX_MAX_LENGTH ;// 1518 +input [6:0] RX_MIN_LENGTH ;// 64 + +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter State_idle =4'd00; +parameter State_preamble =4'd01; +parameter State_SFD =4'd02; +parameter State_data =4'd03; +parameter State_checkCRC =4'd04; +parameter State_OkEnd =4'd07; +parameter State_DropEnd =4'd08; +parameter State_ErrEnd =4'd09; +parameter State_CRCErrEnd =4'd10; +parameter State_FFFullDrop =4'd11; +parameter State_FFFullErrEnd =4'd12; +parameter State_IFG =4'd13; +parameter State_Drop2End =4'd14; + +parameter Pause_idle =4'd0; +parameter Pause_pre_syn =4'd1; +parameter Pause_quanta_hi =4'd2; +parameter Pause_quanta_lo =4'd3; +parameter Pause_syn =4'd4; + +reg [3:0] Current_state /* synthesis syn_keep=1 */; +reg [3:0] Next_state; +reg [3:0] Pause_current /* synthesis syn_keep=1 */; +reg [3:0] Pause_next; +reg [5:0] IFG_counter; +reg Crs_dv ; +reg [7:0] RxD ; +reg [7:0] RxD_dl1 ; +reg RxErr ; +reg [15:0] Frame_length_counter; +reg Too_long; +reg Too_short; +reg ProcessingHeader; +//reg Fifo_data_en; +//reg Fifo_data_err; +//reg Fifo_data_drop; +//reg Fifo_data_end; +reg CRC_en; +reg CRC_init; +reg Rx_apply_rmon; +reg [2:0] Rx_pkt_err_type_rmon; +reg MAC_add_en; +reg [2:0] Rx_pkt_type_rmon; +reg [7:0] pause_quanta_h ; +reg [15:0] pause_quanta ; +reg pause_quanta_val ; +reg pause_quanta_val_tmp; +reg pause_frame_ptr ; +reg broadcast_ptr ; +//****************************************************************************** +//delay signals +//****************************************************************************** + +always @ (posedge Reset or posedge Clk) + if (Reset) + begin + Crs_dv <=0; + RxD <=0; + RxErr <=0; + end + else + begin + Crs_dv <=MCrs_dv ; + RxD <=MRxD ; + RxErr <=MRxErr ; + end + +always @ (posedge Reset or posedge Clk) + if (Reset) + RxD_dl1 <=0; + else + RxD_dl1 <=RxD; + +//--------------------------------------------------------------------------- +// Small pre-FIFO (acutally a synchronously clearable shift-register) for +// storing the first part of a packet before writing it to the "real" FIFO +// in MAC_rx_FF. This allows a packet to be dropped safely if an error +// happens in the beginning of a packet (or if the MAC address doesn't pass +// the receive filter!) +//--------------------------------------------------------------------------- + + reg pre_fifo_data_drop; + reg pre_fifo_data_en; + reg pre_fifo_data_err; + reg pre_fifo_data_end; + wire [7:0] pre_fifo_wrdata; + + reg [8+3-1:0] pre_fifo_element_0; + reg [8+3-1:0] pre_fifo_element_1; + reg [8+3-1:0] pre_fifo_element_2; + reg [8+3-1:0] pre_fifo_element_3; + reg [8+3-1:0] pre_fifo_element_4; + reg [8+3-1:0] pre_fifo_element_5; + reg [8+3-1:0] pre_fifo_element_6; + reg [8+3-1:0] pre_fifo_element_7; + reg [8+3-1:0] pre_fifo_element_8; + reg [8+3-1:0] pre_fifo_element_9; + + always @( posedge Reset or posedge Clk ) + if ( Reset ) + begin + pre_fifo_element_0 <= 'b0; + pre_fifo_element_1 <= 'b0; + pre_fifo_element_2 <= 'b0; + pre_fifo_element_3 <= 'b0; + pre_fifo_element_4 <= 'b0; + pre_fifo_element_5 <= 'b0; + pre_fifo_element_6 <= 'b0; + pre_fifo_element_7 <= 'b0; + pre_fifo_element_8 <= 'b0; + pre_fifo_element_9 <= 'b0; + end + else + begin + if ( pre_fifo_data_drop ) + begin + pre_fifo_element_0 <= 'b0; + pre_fifo_element_1 <= 'b0; + pre_fifo_element_2 <= 'b0; + pre_fifo_element_3 <= 'b0; + pre_fifo_element_4 <= 'b0; + pre_fifo_element_5 <= 'b0; + pre_fifo_element_6 <= 'b0; + pre_fifo_element_7 <= 'b0; + pre_fifo_element_8 <= 'b0; + pre_fifo_element_9 <= 'b0; + end + else + begin + pre_fifo_element_0 <= pre_fifo_element_1; + pre_fifo_element_1 <= pre_fifo_element_2; + pre_fifo_element_2 <= pre_fifo_element_3; + pre_fifo_element_3 <= pre_fifo_element_4; + pre_fifo_element_4 <= pre_fifo_element_5; + pre_fifo_element_5 <= pre_fifo_element_6; + pre_fifo_element_6 <= pre_fifo_element_7; + pre_fifo_element_7 <= pre_fifo_element_8; + pre_fifo_element_8 <= pre_fifo_element_9; + pre_fifo_element_9 <= { pre_fifo_data_en, + pre_fifo_data_err, + pre_fifo_data_end, + pre_fifo_wrdata }; + end + end + + assign Fifo_data = pre_fifo_element_0[7:0]; + assign Fifo_data_end = pre_fifo_element_0[8]; + assign Fifo_data_err = pre_fifo_element_0[9]; + assign Fifo_data_en = pre_fifo_element_0[10]; + + assign CRC_data = pre_fifo_wrdata; + assign MAC_add_data = pre_fifo_wrdata; + +//****************************************************************************** +//State_machine +//****************************************************************************** + +always @( posedge Reset or posedge Clk ) + if ( Reset ) + Current_state <= State_idle; + else + Current_state <= Next_state; + +always @ (*) + case (Current_state) + State_idle: + if ( Crs_dv&&RxD==8'h55 ) + Next_state = State_preamble; + else + Next_state = Current_state; + + State_preamble: + if ( !Crs_dv ) + Next_state = State_DropEnd; + else if ( RxErr ) + Next_state = State_DropEnd; + else if ( RxD==8'hd5 ) + Next_state = State_SFD; + else if ( RxD==8'h55 ) + Next_state =Current_state; + else + Next_state = State_DropEnd; + + State_SFD: + if ( !Crs_dv ) + Next_state = State_DropEnd; + else if ( RxErr ) + Next_state = State_DropEnd; + else + Next_state = State_data; + + State_data: + if ( !Crs_dv && !ProcessingHeader && !Too_short && !Too_long ) + Next_state = State_checkCRC; + else if ( !Crs_dv && ProcessingHeader ) + Next_state = State_Drop2End; + else if ( !Crs_dv && (Too_short | Too_long) ) + Next_state = State_ErrEnd; + else if ( Fifo_full ) + Next_state = State_FFFullErrEnd; + else if ( RxErr && ProcessingHeader ) + Next_state = State_Drop2End; + else if ( RxErr || Too_long ) + Next_state = State_ErrEnd; + else if ( MAC_rx_add_chk_err || broadcast_drop ) + Next_state = State_DropEnd; + else + Next_state = State_data; + + State_checkCRC: + if ( CRC_err ) + Next_state = State_CRCErrEnd; + else + Next_state = State_OkEnd; + + State_OkEnd: + Next_state = State_IFG; + + State_ErrEnd: + Next_state = State_IFG; + + State_DropEnd: + Next_state = State_IFG; + + State_Drop2End: + Next_state = State_IFG; + + State_CRCErrEnd: + Next_state = State_IFG; + + State_FFFullErrEnd: + Next_state = State_FFFullDrop; + + State_FFFullDrop: + if ( !Crs_dv ) + Next_state =State_IFG; + else + Next_state =Current_state; + + State_IFG: + if ( IFG_counter==RX_IFG_SET-4 ) // Remove some additional time? + Next_state = State_idle; + else + Next_state = Current_state; + + default: + Next_state = State_idle; + endcase + +always @( posedge Reset or posedge Clk ) + if ( Reset ) + IFG_counter <= 0; + else if ( Current_state!=State_IFG ) + IFG_counter <= 0; + else + IFG_counter <= IFG_counter + 1; + +//****************************************************************************** +//gen fifo interface signals +//****************************************************************************** + +assign pre_fifo_wrdata = RxD_dl1; + +always @( Current_state ) + if ( Current_state==State_data ) + pre_fifo_data_en = 1; + else + pre_fifo_data_en = 0; + +always @( Current_state ) + if ( (Current_state==State_ErrEnd ) || + (Current_state==State_OkEnd ) || + (Current_state==State_CRCErrEnd ) || + (Current_state==State_FFFullErrEnd) || + (Current_state==State_DropEnd ) || + (Current_state==State_Drop2End ) ) + pre_fifo_data_end = 1; + else + pre_fifo_data_end = 0; + +always @( Current_state ) + if ( (Current_state==State_ErrEnd ) || + (Current_state==State_CRCErrEnd ) || + (Current_state==State_FFFullErrEnd) || + (Current_state==State_DropEnd ) || + (Current_state==State_Drop2End ) ) + pre_fifo_data_err = 1; + else + pre_fifo_data_err = 0; + +always @( Current_state ) + if ( (Current_state==State_DropEnd ) || + (Current_state==State_Drop2End) ) + pre_fifo_data_drop = 1; + else + pre_fifo_data_drop = 0; + + // Drop in main Rx FIFO is no longer supported! + assign Fifo_data_drop = 0; + +//****************************************************************************** +//CRC_chk interface +//****************************************************************************** + +always @(Current_state) + if (Current_state==State_data) + CRC_en =1; + else + CRC_en =0; + +always @(Current_state) + if (Current_state==State_SFD) + CRC_init =1; + else + CRC_init =0; + +//****************************************************************************** +//gen rmon signals +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Frame_length_counter <=0; + else if (Current_state==State_SFD) + Frame_length_counter <=1; + else if (Current_state==State_data) + Frame_length_counter <=Frame_length_counter+ 1'b1; + +always @( Frame_length_counter ) + if ( Frame_length_counter < 8 ) + ProcessingHeader = 1; + else + ProcessingHeader = 0; + +always @ (Frame_length_counter or RX_MIN_LENGTH) + if (Frame_length_counterRX_MAX_LENGTH) + Too_long =1; + else + Too_long =0; + +assign Rx_pkt_length_rmon = Frame_length_counter-1'b1; + +reg [2:0] Rx_apply_rmon_reg; + +always @( posedge Clk or posedge Reset ) + if ( Reset ) + begin + Rx_apply_rmon <= 0; + Rx_apply_rmon_reg <= 'b0; + end + else + begin + if ( (Current_state==State_OkEnd ) || + (Current_state==State_ErrEnd ) || + (Current_state==State_CRCErrEnd ) || + (Current_state==State_Drop2End ) || + (Current_state==State_FFFullErrEnd) ) + Rx_apply_rmon <= 1; + else + if ( Rx_apply_rmon_reg[2] ) + Rx_apply_rmon <= 0; + + Rx_apply_rmon_reg <= { Rx_apply_rmon_reg[1:0], Rx_apply_rmon }; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_pkt_err_type_rmon <=0; + else if (Current_state==State_CRCErrEnd) + Rx_pkt_err_type_rmon <=3'b001 ;// + else if (Current_state==State_FFFullErrEnd) + Rx_pkt_err_type_rmon <=3'b010 ;// + else if ( (Current_state==State_ErrEnd) || (Current_state==State_Drop2End) ) + Rx_pkt_err_type_rmon <=3'b011 ;// + else if(Current_state==State_OkEnd) + Rx_pkt_err_type_rmon <=3'b100 ; + + + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_pkt_type_rmon <=0; + else if (Current_state==State_OkEnd&&pause_frame_ptr) + Rx_pkt_type_rmon <=3'b100 ;// + else if(Current_state==State_SFD&&Next_state==State_data) + Rx_pkt_type_rmon <={1'b0,MRxD[7:6]}; + +always @ (posedge Clk or posedge Reset) + if (Reset) + broadcast_ptr <=0; + else if(Current_state==State_IFG) + broadcast_ptr <=0; + else if(Current_state==State_SFD&&Next_state==State_data&&MRxD[7:6]==2'b11) + broadcast_ptr <=1; + + + +//****************************************************************************** +//MAC add checker signals +//****************************************************************************** +always @ (Frame_length_counter or pre_fifo_data_en) + if(Frame_length_counter>=1&&Frame_length_counter<=6) + MAC_add_en <=pre_fifo_data_en; + else + MAC_add_en <=0; + +//****************************************************************************** +//flow control signals +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Pause_current <=Pause_idle; + else + Pause_current <=Pause_next; + +always @ (*) + case (Pause_current) + Pause_idle : + if(Current_state==State_SFD) + Pause_next =Pause_pre_syn; + else + Pause_next =Pause_current; + Pause_pre_syn: + case (Frame_length_counter) + 16'd1: if (RxD_dl1==8'h01) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd2: if (RxD_dl1==8'h80) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd3: if (RxD_dl1==8'hc2) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd4: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd5: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd6: if (RxD_dl1==8'h01) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd13: if (RxD_dl1==8'h88) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd14: if (RxD_dl1==8'h08) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd15: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd16: if (RxD_dl1==8'h01) + Pause_next =Pause_quanta_hi; + else + Pause_next =Pause_idle; + default: Pause_next =Pause_current; + endcase + Pause_quanta_hi : + Pause_next =Pause_quanta_lo; + Pause_quanta_lo : + Pause_next =Pause_syn; + Pause_syn : + if (Current_state==State_IFG) + Pause_next =Pause_idle; + else + Pause_next =Pause_current; + default + Pause_next =Pause_idle; + endcase + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_h <=0; + else if(Pause_current==Pause_quanta_hi) + pause_quanta_h <=RxD_dl1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta <=0; + else if(Pause_current==Pause_quanta_lo) + pause_quanta <={pause_quanta_h,RxD_dl1}; + + // The following 2 always blocks are a strange way of holding + // pause_quanta_val high for 2 cycles +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_val_tmp <=0; + else if(Current_state==State_OkEnd&&Pause_current==Pause_syn) + pause_quanta_val_tmp <=1; + else + pause_quanta_val_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_val <=0; + else if(Current_state==State_OkEnd&&Pause_current==Pause_syn||pause_quanta_val_tmp) + pause_quanta_val <=1; + else + pause_quanta_val <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_frame_ptr <=0; + else if(Pause_current==Pause_syn) + pause_frame_ptr <=1; + else + pause_frame_ptr <=0; + +endmodule + + diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_top.v b/usrp2/fpga/eth/rtl/verilog/MAC_top.v new file mode 100644 index 00000000..d93b287c --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_top.v @@ -0,0 +1,516 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_top.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +module MAC_top + #(parameter TX_FF_DEPTH = 9, + parameter RX_FF_DEPTH = 9) + ( + // System signals + input Clk_125M, + input Clk_user, + + input rst_mac, + input rst_user, + + // Wishbone compliant core host interface + input RST_I, // Active high (async) reset of the Wishbone interface + input CLK_I, // Wishbone interface clock (nominally 50 MHz) + input STB_I, // Active high module-select + input CYC_I, // Active high cycle-enable + input [6:0] ADR_I, // Module register address + input WE_I, // Active high for writes, low for reads + input [31:0] DAT_I, // Write data + output [31:0] DAT_O, // Read data + output ACK_O, // Acknowledge output – single high pulse + + // User (packet) interface + output Rx_mac_empty, + input Rx_mac_rd, + output [31:0] Rx_mac_data, + output [1:0] Rx_mac_BE, + output Rx_mac_sop, + output Rx_mac_eop, + output Rx_mac_err, + + output Tx_mac_wa, + input Tx_mac_wr, + input [31:0] Tx_mac_data, + input [1:0] Tx_mac_BE, + input Tx_mac_sop, + input Tx_mac_eop, + + // PHY interface (GMII/MII) + output Gtx_clk, // Used only in GMII mode + input Rx_clk, + input Tx_clk, // Used only in MII mode + output Tx_er, + output Tx_en, + output [7:0] Txd, + input Rx_er, + input Rx_dv, + input [7:0] Rxd, + input Crs, + input Col, + + // MDIO interface (to PHY) + inout Mdio, + output Mdc, + + // FIFO levels + output [15:0] rx_fifo_occupied, + output rx_fifo_full, + output rx_fifo_empty, + output [15:0] tx_fifo_occupied, + output tx_fifo_full, + output tx_fifo_empty, + + // Debug Interface + output [31:0] debug0, + output [31:0] debug1 +); + + wire rst_mac_rx = rst_mac; + wire rst_mac_tx = rst_mac; + wire [2:0] Speed; + + wire [31:0] debug_rx; + wire [31:0] debug_tx0; + wire [31:0] debug_tx1; + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + // RMON interface + wire [15:0] Rx_pkt_length_rmon; + wire Rx_apply_rmon; + wire [2:0] Rx_pkt_err_type_rmon; + wire [2:0] Rx_pkt_type_rmon; + wire [2:0] Tx_pkt_type_rmon; + wire [15:0] Tx_pkt_length_rmon; + wire Tx_apply_rmon; + wire [2:0] Tx_pkt_err_type_rmon; + + // PHY interface + wire MCrs_dv; + wire [7:0] MRxD; + wire MRxErr; + + // Flow-control signals + wire [15:0] pause_quanta; + wire pause_quanta_val; + wire [15:0] rx_fifo_space; + wire pause_apply, pause_quanta_sub; + wire xon_gen, xoff_gen, xon_gen_complete, xoff_gen_complete; + wire [15:0] fc_hwmark, fc_lwmark; + + //PHY interface + wire [7:0] MTxD; + wire MTxEn; + wire MCRS; + + // Interface clk signals + wire MAC_tx_clk; + wire MAC_rx_clk; + wire MAC_tx_clk_div; + wire MAC_rx_clk_div; + + // Reg signals + wire [4:0] Tx_Hwmark; + wire [4:0] Tx_Lwmark; + wire pause_frame_send_en; + wire [15:0] pause_quanta_set; + wire MAC_tx_add_en; + wire FullDuplex; + wire [3:0] MaxRetry; + wire [5:0] IFGset; + wire [7:0] MAC_tx_add_prom_data; + wire [2:0] MAC_tx_add_prom_add; + wire MAC_tx_add_prom_wr; + wire tx_pause_en; + + // Rx host interface + wire MAC_rx_add_chk_en; + wire [7:0] MAC_rx_add_prom_data; + wire [2:0] MAC_rx_add_prom_add; + wire MAC_rx_add_prom_wr; + wire broadcast_filter_en; + wire RX_APPEND_CRC; + wire [4:0] Rx_Hwmark; + wire [4:0] Rx_Lwmark; + wire CRC_chk_en; + wire [5:0] RX_IFG_SET; + wire [15:0] RX_MAX_LENGTH; + wire [6:0] RX_MIN_LENGTH; + + // RMON host interface + wire [5:0] CPU_rd_addr; + wire CPU_rd_apply; + wire CPU_rd_grant; + wire [31:0] CPU_rd_dout; + + // PHY int host interface + wire Line_loop_en; + + // MII to CPU + wire [7:0] Divider; + wire [15:0] CtrlData; + wire [4:0] Rgad; + wire [4:0] Fiad; + wire NoPre; + wire WCtrlData; + wire RStat; + wire ScanStat; + wire Busy; + wire LinkFail; + wire Nvalid; + wire [15:0] Prsd; + wire WCtrlDataStart; + wire RStatStart; + wire UpdateMIIRX_DATAReg; + wire [15:0] broadcast_bucket_depth; + wire [15:0] broadcast_bucket_interval; + + //------------------------------------------------------------------------- + // Instantiation of sub-modules + //------------------------------------------------------------------------- + + MAC_rx #(.RX_FF_DEPTH(RX_FF_DEPTH)) + U_MAC_rx( + .Reset ( rst_mac_rx ), + .Clk_user ( Clk_user ), + .Clk ( MAC_rx_clk_div ), + + // RMII interface + .MCrs_dv ( MCrs_dv ), + .MRxD ( MRxD ), + .MRxErr ( MRxErr ), + + // Flow-control signals + .pause_quanta ( pause_quanta ), + .pause_quanta_val ( pause_quanta_val ), + .rx_fifo_space ( rx_fifo_space ), + + // User interface + .Rx_mac_empty ( Rx_mac_empty ), + .Rx_mac_rd ( Rx_mac_rd ), + .Rx_mac_data ( Rx_mac_data ), + .Rx_mac_BE ( Rx_mac_BE ), + .Rx_mac_sop ( Rx_mac_sop ), + .Rx_mac_eop ( Rx_mac_eop ), + .Rx_mac_err ( Rx_mac_err ), + + // CPU + .MAC_rx_add_chk_en ( MAC_rx_add_chk_en ), + .MAC_add_prom_data ( MAC_rx_add_prom_data ), + .MAC_add_prom_add ( MAC_rx_add_prom_add ), + .MAC_add_prom_wr ( MAC_rx_add_prom_wr ), + .broadcast_filter_en ( broadcast_filter_en ), + .broadcast_bucket_depth ( broadcast_bucket_depth ), + .broadcast_bucket_interval( broadcast_bucket_interval ), + .RX_APPEND_CRC ( RX_APPEND_CRC ), + .Rx_Hwmark ( Rx_Hwmark ), + .Rx_Lwmark ( Rx_Lwmark ), + .CRC_chk_en ( CRC_chk_en ), + .RX_IFG_SET ( RX_IFG_SET ), + .RX_MAX_LENGTH ( RX_MAX_LENGTH ), + .RX_MIN_LENGTH ( RX_MIN_LENGTH ), + + // RMON interface + .Rx_pkt_length_rmon ( Rx_pkt_length_rmon ), + .Rx_apply_rmon ( Rx_apply_rmon ), + .Rx_pkt_err_type_rmon ( Rx_pkt_err_type_rmon ), + .Rx_pkt_type_rmon ( Rx_pkt_type_rmon ), + + .rx_fifo_occupied(rx_fifo_occupied), + .rx_fifo_full(rx_fifo_full), + .rx_fifo_empty(rx_fifo_empty), + .debug(debug_rx) + ); + + MAC_tx #(.TX_FF_DEPTH(TX_FF_DEPTH)) + U_MAC_tx( + .Reset ( rst_mac_tx ), + .Clk ( MAC_tx_clk_div ), + //.Clk_user ( Clk_user ), + .Clk_user ( MAC_tx_clk_div ), + + // PHY interface + .TxD ( MTxD ), + .TxEn ( MTxEn ), + .CRS ( MCRS ), + + // RMON + .Tx_pkt_type_rmon ( Tx_pkt_type_rmon ), + .Tx_pkt_length_rmon ( Tx_pkt_length_rmon ), + .Tx_apply_rmon ( Tx_apply_rmon ), + .Tx_pkt_err_type_rmon( Tx_pkt_err_type_rmon ), + + // User interface + .Tx_mac_wa ( Tx_mac_wa ), + .Tx_mac_wr ( Tx_mac_wr ), + .Tx_mac_data ( Tx_mac_data ), + .Tx_mac_BE ( Tx_mac_BE ), + .Tx_mac_sop ( Tx_mac_sop ), + .Tx_mac_eop ( Tx_mac_eop ), + + // Host interface + .Tx_Hwmark ( Tx_Hwmark ), + .Tx_Lwmark ( Tx_Lwmark ), + .MAC_tx_add_en ( MAC_tx_add_en ), + .FullDuplex ( FullDuplex ), + .MaxRetry ( MaxRetry ), + .IFGset ( IFGset ), + .MAC_add_prom_data ( MAC_tx_add_prom_data ), + .MAC_add_prom_add ( MAC_tx_add_prom_add ), + .MAC_add_prom_wr ( MAC_tx_add_prom_wr ), + + .pause_apply ( pause_apply ), + .pause_quanta_sub ( pause_quanta_sub ), + .pause_quanta_set ( pause_quanta_set ), + .xoff_gen ( xoff_gen ), + .xon_gen ( xon_gen ), + .xoff_gen_complete ( xoff_gen_complete ), + .xon_gen_complete ( xon_gen_complete ), + .debug0(debug_tx0), + .debug1(debug_tx1) + ); + + // Flow control outbound -- when other side sends PAUSE, we wait + flow_ctrl_tx flow_ctrl_tx + (.rst(rst_mac_tx), + .tx_clk(MAC_tx_clk_div), + // Setting + .tx_pause_en ( tx_pause_en ), + // From RX side + .pause_quanta (pause_quanta), + .pause_quanta_val(pause_quanta_val), // Other guy sent a PAUSE + // To TX side + .pause_apply (pause_apply), // To TX, stop sending new frames + .pause_quanta_sub (pause_quanta_sub) // From TX, indicates we have used up 1 quanta + ); + + flow_ctrl_rx flow_ctrl_rx // When we are running out of RX space, send a PAUSE + (.rst(rst_mac_rx), // FIXME + // Settings + .pause_frame_send_en ( pause_frame_send_en ), + .pause_quanta_set ( pause_quanta_set ), + .fc_hwmark (fc_hwmark), + .fc_lwmark (fc_lwmark), + // From RX side + .rx_clk(MAC_rx_clk_div), + .rx_fifo_space (rx_fifo_space), // Decide if we need to send a PAUSE + // To TX side + .tx_clk(MAC_tx_clk_div), + .xoff_gen (xoff_gen), + .xon_gen(xon_gen), // Tell our TX to send PAUSE frames + .xoff_gen_complete (xoff_gen_complete), + .xon_gen_complete(xon_gen_complete) + ); + + RMON U_RMON( + .Clk ( CLK_I ), + .Reset ( RST_I ), + + // Tx RMON + .Tx_pkt_type_rmon ( Tx_pkt_type_rmon ), + .Tx_pkt_length_rmon ( Tx_pkt_length_rmon ), + .Tx_apply_rmon ( Tx_apply_rmon ), + .Tx_pkt_err_type_rmon( Tx_pkt_err_type_rmon ), + + // Rx RMON + .Rx_pkt_type_rmon ( Rx_pkt_type_rmon ), + .Rx_pkt_length_rmon ( Rx_pkt_length_rmon ), + .Rx_apply_rmon ( Rx_apply_rmon ), + .Rx_pkt_err_type_rmon( Rx_pkt_err_type_rmon ), + + // CPU + .CPU_rd_addr ( CPU_rd_addr ), + .CPU_rd_apply ( CPU_rd_apply ), + .CPU_rd_grant ( CPU_rd_grant ), + .CPU_rd_dout ( CPU_rd_dout ) + ); + + Phy_int U_Phy_int( + .rst_mac_rx ( rst_mac_rx ), + .rst_mac_tx ( rst_mac_tx ), + .MAC_rx_clk ( MAC_rx_clk ), + .MAC_tx_clk ( MAC_tx_clk ), + // Rx interface + .MCrs_dv ( MCrs_dv ), + .MRxD ( MRxD ), + .MRxErr ( MRxErr ), + // Tx interface + .MTxD ( MTxD ), + .MTxEn ( MTxEn ), + .MCRS ( MCRS ), + // PHY interface + .Tx_er ( Tx_er ), + .Tx_en ( Tx_en ), + .Txd ( Txd ), + .Rx_er ( Rx_er ), + .Rx_dv ( Rx_dv ), + .Rxd ( Rxd ), + .Crs ( Crs ), + .Col ( Col ), + // Host interface + .Line_loop_en( Line_loop_en ), + .Speed ( Speed ) ); + + Clk_ctrl U_Clk_ctrl( + .Reset ( rst_mac ), + .Clk_125M ( Clk_125M ), + + // Host interface + .Speed ( Speed ), + + // Phy interface + .Gtx_clk ( Gtx_clk ), + .Rx_clk ( Rx_clk ), + .Tx_clk ( Tx_clk ), + + // Interface clocks + .MAC_tx_clk ( MAC_tx_clk ), + .MAC_rx_clk ( MAC_rx_clk ), + .MAC_tx_clk_div( MAC_tx_clk_div ), + .MAC_rx_clk_div( MAC_rx_clk_div ) + ); + + eth_miim U_eth_miim( + .Clk ( CLK_I ), + .Reset ( RST_I ), + .Divider ( Divider ), + .NoPre ( NoPre ), + .CtrlData ( CtrlData ), + .Rgad ( Rgad ), + .Fiad ( Fiad ), + .WCtrlData ( WCtrlData ), + .RStat ( RStat ), + .ScanStat ( ScanStat ), + .Mdio ( Mdio ), + .Mdc ( Mdc ), + .Busy ( Busy ), + .Prsd ( Prsd ), + .LinkFail ( LinkFail ), + .Nvalid ( Nvalid ), + .WCtrlDataStart ( WCtrlDataStart ), + .RStatStart ( RStatStart ), + .UpdateMIIRX_DATAReg( UpdateMIIRX_DATAReg ) + ); + + Reg_int U_Reg_int( + // Wishbone compliant core host interface + .CLK_I( CLK_I ), + .RST_I( RST_I ), + .STB_I( STB_I ), + .CYC_I( CYC_I ), + .ADR_I( ADR_I ), + .WE_I ( WE_I ), + .DAT_I( DAT_I ), + .DAT_O( DAT_O ), + .ACK_O( ACK_O ), + + // Tx host interface + .Tx_Hwmark ( Tx_Hwmark ), + .Tx_Lwmark ( Tx_Lwmark ), + .MAC_tx_add_en ( MAC_tx_add_en ), + .FullDuplex ( FullDuplex ), + .MaxRetry ( MaxRetry ), + .IFGset ( IFGset ), + .MAC_tx_add_prom_data ( MAC_tx_add_prom_data ), + .MAC_tx_add_prom_add ( MAC_tx_add_prom_add ), + .MAC_tx_add_prom_wr ( MAC_tx_add_prom_wr ), + + // Rx host interface + .MAC_rx_add_chk_en ( MAC_rx_add_chk_en ), + .MAC_rx_add_prom_data ( MAC_rx_add_prom_data ), + .MAC_rx_add_prom_add ( MAC_rx_add_prom_add ), + .MAC_rx_add_prom_wr ( MAC_rx_add_prom_wr ), + .broadcast_filter_en ( broadcast_filter_en ), + .broadcast_bucket_depth ( broadcast_bucket_depth ), + .broadcast_bucket_interval( broadcast_bucket_interval ), + .RX_APPEND_CRC ( RX_APPEND_CRC ), + .Rx_Hwmark ( Rx_Hwmark ), + .Rx_Lwmark ( Rx_Lwmark ), + .CRC_chk_en ( CRC_chk_en ), + .RX_IFG_SET ( RX_IFG_SET ), + .RX_MAX_LENGTH ( RX_MAX_LENGTH ), + .RX_MIN_LENGTH ( RX_MIN_LENGTH ), + + // Flow Control settings + .pause_frame_send_en ( pause_frame_send_en ), + .pause_quanta_set ( pause_quanta_set ), + .tx_pause_en ( tx_pause_en ), + .fc_hwmark ( fc_hwmark ), + .fc_lwmark ( fc_lwmark ), + + // RMON host interface + .CPU_rd_addr ( CPU_rd_addr ), + .CPU_rd_apply ( CPU_rd_apply ), + .CPU_rd_grant ( CPU_rd_grant ), + .CPU_rd_dout ( CPU_rd_dout ), + + // PHY int host interface + .Line_loop_en ( Line_loop_en ), + .Speed ( Speed ), + + // MII to CPU + .Divider ( Divider ), + .CtrlData ( CtrlData ), + .Rgad ( Rgad ), + .Fiad ( Fiad ), + .NoPre ( NoPre ), + .WCtrlData ( WCtrlData ), + .RStat ( RStat ), + .ScanStat ( ScanStat ), + .Busy ( Busy ), + .LinkFail ( LinkFail ), + .Nvalid ( Nvalid ), + .Prsd ( Prsd ), + .WCtrlDataStart ( WCtrlDataStart ), + .RStatStart ( RStatStart ), + .UpdateMIIRX_DATAReg ( UpdateMIIRX_DATAReg ) + ); + + assign debug0 = {xon_gen, xoff_gen, Tx_en, Rx_dv}; + //assign debug0 = {{debug_rx[3:0], xon_gen, xon_gen_complete, xoff_gen, xoff_gen_complete}, + // {1'b0,Rx_mac_err,Rx_mac_empty,Rx_mac_rd,Rx_mac_sop,Rx_mac_eop,Rx_mac_BE[1:0]}, + // {rx_fifo_space}}; + //assign debug0 = debug_tx0; + //assign debug1 = debug_tx1; +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx.v new file mode 100644 index 00000000..50b08dff --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx.v @@ -0,0 +1,245 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx.v,v $ +// Revision 1.4 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:14 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module MAC_tx + #(parameter TX_FF_DEPTH = 9) + ( +input Reset , +input Clk , +input Clk_user , + //PHY interface +output [7:0] TxD , +output TxEn , +input CRS , + //RMON +output [2:0] Tx_pkt_type_rmon , +output [15:0] Tx_pkt_length_rmon , +output Tx_apply_rmon , +output [2:0] Tx_pkt_err_type_rmon, + //user interface +output Tx_mac_wa , +input Tx_mac_wr , +input [31:0] Tx_mac_data , +input [1:0] Tx_mac_BE ,//big endian +input Tx_mac_sop , +input Tx_mac_eop , + //host interface +input [4:0] Tx_Hwmark , +input [4:0] Tx_Lwmark , +input MAC_tx_add_en , +input FullDuplex , +input [3:0] MaxRetry , +input [5:0] IFGset , +input [7:0] MAC_add_prom_data , +input [2:0] MAC_add_prom_add , +input MAC_add_prom_wr , + // Flow control stuff +input pause_apply , +output pause_quanta_sub, +input [15:0] pause_quanta_set , +input xoff_gen, +input xon_gen, +output xoff_gen_complete, +output xon_gen_complete, + output [31:0] debug0, + output [31:0] debug1 +); + + // ****************************************************************************** + // internal signals + // ****************************************************************************** + //CRC_gen Interface +wire CRC_init ; +wire[7:0] Frame_data ; +wire Data_en ; +wire CRC_rd ; +wire CRC_end ; +wire[7:0] CRC_out ; + //Random_gen interface +wire Random_init ; +wire[3:0] RetryCnt ; +wire Random_time_meet ;//levle hight indicate random time passed away + //flow control + //MAC_rx_FF +wire[7:0] Fifo_data ; +wire Fifo_rd ; +wire Fifo_eop ; +wire Fifo_da ; +wire Fifo_rd_finish ; +wire Fifo_rd_retry ; +wire Fifo_ra ; +wire Fifo_data_err_empty ; +wire Fifo_data_err_full ; + //MAC_tx_addr_add +wire MAC_tx_addr_init ; +wire MAC_tx_addr_rd ; +wire[7:0] MAC_tx_addr_data ; + +//****************************************************************************** +//instantiation +//****************************************************************************** +MAC_tx_ctrl U_MAC_tx_ctrl( +.Reset (Reset ), +.Clk (Clk ), + //CRC_gen Interface (//CRC_gen Interface ), +.CRC_init (CRC_init ), +.Frame_data (Frame_data ), +.Data_en (Data_en ), +.CRC_rd (CRC_rd ), +.CRC_end (CRC_end ), +.CRC_out (CRC_out ), + //Random_gen interfac (//Random_gen interfac ), +.Random_init (Random_init ), +.RetryCnt (RetryCnt ), +.Random_time_meet (Random_time_meet ), + //flow control (//flow control ), +.pause_apply (pause_apply ), +.pause_quanta_sub (pause_quanta_sub ), +.xoff_gen (xoff_gen ), +.xoff_gen_complete (xoff_gen_complete ), +.xon_gen (xon_gen ), +.xon_gen_complete (xon_gen_complete ), + //MAC_tx_FF (//MAC_tx_FF ), +.Fifo_data (Fifo_data ), +.Fifo_rd (Fifo_rd ), +.Fifo_eop (Fifo_eop ), +.Fifo_da (Fifo_da ), +.Fifo_rd_finish (Fifo_rd_finish ), +.Fifo_rd_retry (Fifo_rd_retry ), +.Fifo_ra (Fifo_ra ), +.Fifo_data_err_empty (Fifo_data_err_empty ), +.Fifo_data_err_full (Fifo_data_err_full ), + //RMII (//RMII ), +.TxD (TxD ), +.TxEn (TxEn ), +.CRS (CRS ), + //MAC_tx_addr_add (//MAC_tx_addr_add ), +.MAC_tx_addr_rd (MAC_tx_addr_rd ), +.MAC_tx_addr_data (MAC_tx_addr_data ), +.MAC_tx_addr_init (MAC_tx_addr_init ), + //RMON (//RMON ), +.Tx_pkt_type_rmon (Tx_pkt_type_rmon ), +.Tx_pkt_length_rmon (Tx_pkt_length_rmon ), +.Tx_apply_rmon (Tx_apply_rmon ), +.Tx_pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //CPU (//CPU ), +.pause_quanta_set (pause_quanta_set ), +.MAC_tx_add_en (MAC_tx_add_en ), +.FullDuplex (FullDuplex ), +.MaxRetry (MaxRetry ), +.IFGset (IFGset ) +); + +CRC_gen U_CRC_gen( +.Reset (Reset ), +.Clk (Clk ), +.Init (CRC_init ), +.Frame_data (Frame_data ), +.Data_en (Data_en ), +.CRC_rd (CRC_rd ), +.CRC_out (CRC_out ), +.CRC_end (CRC_end ) +); + + MAC_tx_addr_add U_MAC_tx_addr_add + (.Reset (Reset ), + .Clk (Clk ), + .MAC_tx_addr_rd (MAC_tx_addr_rd ), + .MAC_tx_addr_init (MAC_tx_addr_init ), + .MAC_tx_addr_data (MAC_tx_addr_data ), + //CPU + .MAC_add_prom_data (MAC_add_prom_data ), + .MAC_add_prom_add (MAC_add_prom_add ), + .MAC_add_prom_wr (MAC_add_prom_wr ) + ); + +MAC_tx_FF #(.TX_FF_DEPTH(TX_FF_DEPTH)) U_MAC_tx_FF( +.Reset (Reset ), +.Clk_MAC (Clk ), +.Clk_SYS (Clk_user ), + //MAC_rx_ctrl interf (//MAC_rx_ctrl interf ), +.Fifo_data (Fifo_data ), +.Fifo_rd (Fifo_rd ), +.Fifo_rd_finish (Fifo_rd_finish ), +.Fifo_rd_retry (Fifo_rd_retry ), +.Fifo_eop (Fifo_eop ), +.Fifo_da (Fifo_da ), +.Fifo_ra (Fifo_ra ), +.Fifo_data_err_empty (Fifo_data_err_empty ), +.Fifo_data_err_full (Fifo_data_err_full ), + //user interface (//user interface ), +.Tx_mac_wa (Tx_mac_wa ), +.Tx_mac_wr (Tx_mac_wr ), +.Tx_mac_data (Tx_mac_data ), +.Tx_mac_BE (Tx_mac_BE ), +.Tx_mac_sop (Tx_mac_sop ), +.Tx_mac_eop (Tx_mac_eop ), + //host interface (//host interface ), +.FullDuplex (FullDuplex ), +.Tx_Hwmark (Tx_Hwmark ), +.Tx_Lwmark (Tx_Lwmark ), +.debug0(debug0), +.debug1(debug1) +); + +Random_gen U_Random_gen( +.Reset (Reset ), +.Clk (Clk ), +.Init (Random_init ), +.RetryCnt (RetryCnt ), +.Random_time_meet (Random_time_meet ) +); + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v new file mode 100644 index 00000000..4a16e7c3 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v @@ -0,0 +1,169 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CRC_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CRC_gen.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module CRC_gen ( +Reset , +Clk , +Init , +Frame_data , +Data_en , +CRC_rd , +CRC_end , +CRC_out + +); +input Reset ; +input Clk ; +input Init ; +input [7:0] Frame_data ; +input Data_en ; +input CRC_rd ; +output [7:0] CRC_out ; +output CRC_end ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [7:0] CRC_out ; +reg [31:0] CRC_reg; +reg CRC_end; +reg [3:0] Counter; +//****************************************************************************** +//****************************************************************************** +//input data width is 8bit, and the first bit is bit[0] +function[31:0] NextCRC; + input[7:0] D; + input[31:0] C; + reg[31:0] NewCRC; + begin + NewCRC[0]=C[24]^C[30]^D[1]^D[7]; + NewCRC[1]=C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[2]=C[26]^D[5]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[3]=C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[4]=C[28]^D[3]^C[27]^D[4]^C[26]^D[5]^C[24]^C[30]^D[1]^D[7]; + NewCRC[5]=C[29]^D[2]^C[28]^D[3]^C[27]^D[4]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[6]=C[30]^D[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[7]=C[31]^D[0]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[8]=C[0]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[9]=C[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]; + NewCRC[10]=C[2]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[11]=C[3]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[12]=C[4]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[13]=C[5]^C[30]^D[1]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[14]=C[6]^C[31]^D[0]^C[30]^D[1]^C[28]^D[3]^C[27]^D[4]^C[26]^D[5]; + NewCRC[15]=C[7]^C[31]^D[0]^C[29]^D[2]^C[28]^D[3]^C[27]^D[4]; + NewCRC[16]=C[8]^C[29]^D[2]^C[28]^D[3]^C[24]^D[7]; + NewCRC[17]=C[9]^C[30]^D[1]^C[29]^D[2]^C[25]^D[6]; + NewCRC[18]=C[10]^C[31]^D[0]^C[30]^D[1]^C[26]^D[5]; + NewCRC[19]=C[11]^C[31]^D[0]^C[27]^D[4]; + NewCRC[20]=C[12]^C[28]^D[3]; + NewCRC[21]=C[13]^C[29]^D[2]; + NewCRC[22]=C[14]^C[24]^D[7]; + NewCRC[23]=C[15]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[24]=C[16]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[25]=C[17]^C[27]^D[4]^C[26]^D[5]; + NewCRC[26]=C[18]^C[28]^D[3]^C[27]^D[4]^C[24]^C[30]^D[1]^D[7]; + NewCRC[27]=C[19]^C[29]^D[2]^C[28]^D[3]^C[25]^C[31]^D[0]^D[6]; + NewCRC[28]=C[20]^C[30]^D[1]^C[29]^D[2]^C[26]^D[5]; + NewCRC[29]=C[21]^C[31]^D[0]^C[30]^D[1]^C[27]^D[4]; + NewCRC[30]=C[22]^C[31]^D[0]^C[28]^D[3]; + NewCRC[31]=C[23]^C[29]^D[2]; + NextCRC=NewCRC; + end + endfunction +//****************************************************************************** + +always @ (posedge Clk) // or posedge Reset) +// if (Reset) +// CRC_reg <=32'hffffffff; +// else + if (Init) + CRC_reg <=32'hffffffff; + else if (Data_en) + CRC_reg <=NextCRC(Frame_data,CRC_reg); + else if (CRC_rd) + CRC_reg <={CRC_reg[23:0],8'hff}; + +always @ (CRC_rd or CRC_reg) +// if (CRC_rd) + CRC_out <=~{ + CRC_reg[24], + CRC_reg[25], + CRC_reg[26], + CRC_reg[27], + CRC_reg[28], + CRC_reg[29], + CRC_reg[30], + CRC_reg[31] + }; +// else +// CRC_out <=0; + +//caculate CRC out length ,4 cycles +//CRC_end aligned to last CRC checksum data +always @(posedge Clk or posedge Reset) + if (Reset) + Counter <=0; + else if (!CRC_rd) + Counter <=0; + else + Counter <=Counter + 1; + +always @ (Counter) + if (Counter==3) + CRC_end=1; + else + CRC_end=0; + +endmodule + + diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v new file mode 100644 index 00000000..e62346fb --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v @@ -0,0 +1,722 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx_FF.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +module MAC_tx_FF + #(parameter TX_FF_DEPTH = 9) + (input Reset , + input Clk_MAC , + input Clk_SYS , + //MAC_tx_ctrl + output reg [7:0]Fifo_data , + input Fifo_rd , + input Fifo_rd_finish , + input Fifo_rd_retry , + output reg Fifo_eop , + output reg Fifo_da , + output reg Fifo_ra , + output reg Fifo_data_err_empty , + output Fifo_data_err_full , + //user interface + output reg Tx_mac_wa , + input Tx_mac_wr , + input [31:0] Tx_mac_data , + input [1:0] Tx_mac_BE ,//big endian + input Tx_mac_sop , + input Tx_mac_eop , + //host interface + input FullDuplex , + input [4:0] Tx_Hwmark , + input [4:0] Tx_Lwmark , + output [31:0] debug0, + output [31:0] debug1 + ); + +//****************************************************************************** +//internal signals +//****************************************************************************** +localparam MAC_byte3 =4'd00; +localparam MAC_byte2 =4'd01; +localparam MAC_byte1 =4'd02; +localparam MAC_byte0 =4'd03; +localparam MAC_wait_finish =4'd04; +localparam MAC_retry =4'd08; +localparam MAC_idle =4'd09; +localparam MAC_FFEmpty =4'd10; +localparam MAC_FFEmpty_drop =4'd11; +localparam MAC_pkt_sub =4'd12; +localparam MAC_FF_Err =4'd13; + + +reg [3:0] Next_state_MAC ; + + +localparam SYS_idle =4'd0; +localparam SYS_WaitSop =4'd1; +localparam SYS_SOP =4'd2; +localparam SYS_MOP =4'd3; +localparam SYS_DROP =4'd4; +localparam SYS_EOP_ok =4'd5; +localparam SYS_FFEmpty =4'd6; +localparam SYS_EOP_err =4'd7; +localparam SYS_SOP_err =4'd8; + +reg [3:0] Next_state_SYS; + +reg [TX_FF_DEPTH-1:0] Add_wr ; +reg [TX_FF_DEPTH-1:0] Add_wr_ungray ; +reg [TX_FF_DEPTH-1:0] Add_wr_gray ; +reg [TX_FF_DEPTH-1:0] Add_wr_gray_dl1 ; +reg [TX_FF_DEPTH-1:0] Add_wr_gray_dl2 ; + +reg [TX_FF_DEPTH-1:0] Add_rd ; +reg [TX_FF_DEPTH-1:0] Add_rd_reg ; +reg [TX_FF_DEPTH-1:0] Add_rd_gray ; +reg [TX_FF_DEPTH-1:0] Add_rd_gray_dl1 ; +reg [TX_FF_DEPTH-1:0] Add_rd_gray_dl2 ; +reg [TX_FF_DEPTH-1:0] Add_rd_ungray ; +wire[35:0] Din ; +wire[35:0] Dout ; +reg Wr_en ; +wire[TX_FF_DEPTH-1:0] Add_wr_pluse; +wire[TX_FF_DEPTH-1:0] Add_wr_pluse_pluse; +reg [TX_FF_DEPTH-1:TX_FF_DEPTH-5] Add_rd_reg_dl1; + +reg [3:0] Current_state_MAC; +reg [3:0] Current_state_MAC_reg; +reg [3:0] Current_state_SYS; +reg Full; +reg AlmostFull; +reg Empty; +reg [35:0] Dout_reg; +reg Packet_number_sub_edge; +reg Packet_number_add; +reg [5:0] Packet_number_inFF; +reg [5:0] Packet_number_inFF_reg; +reg Dout_reg_en; +reg Add_rd_add; + + +reg Tx_mac_wr_dl1 ; +reg [31:0] Tx_mac_data_dl1 ; +reg [1:0] Tx_mac_BE_dl1 ; +reg FF_FullErr ; +wire[1:0] Dout_BE ; +wire Dout_eop ; +wire Dout_err ; +wire[31:0] Dout_data ; +reg Packet_number_sub_dl1 ; +reg Packet_number_sub_dl2 ; +reg [4:0] Fifo_data_count ; +reg Fifo_ra_tmp ; +reg Pkt_sub_apply_tmp ; +reg Pkt_sub_apply ; +reg Add_rd_reg_rdy_tmp ; +reg Add_rd_reg_rdy ; +reg Add_rd_reg_rdy_dl1 ; +reg Add_rd_reg_rdy_dl2 ; +reg [4:0] Tx_Hwmark_pl ; +reg [4:0] Tx_Lwmark_pl ; +reg Add_rd_jump_tmp ; +reg Add_rd_jump_tmp_pl1 ; +reg Add_rd_jump ; +reg Add_rd_jump_wr_pl1 ; + +//****************************************************************************** +//write data to from FF . +//domain Clk_SYS +//****************************************************************************** +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Current_state_SYS <=SYS_idle; + else + Current_state_SYS <=Next_state_SYS; + +always @ (Current_state_SYS or Tx_mac_wr or Tx_mac_sop or Full or AlmostFull + or Tx_mac_eop ) + case (Current_state_SYS) + SYS_idle: + if (Tx_mac_wr&&Tx_mac_sop&&!Full) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =Current_state_SYS ; + SYS_SOP: + Next_state_SYS =SYS_MOP; + SYS_MOP: + if (AlmostFull) + Next_state_SYS =SYS_DROP; + else if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP_err; + else if (Tx_mac_wr&&Tx_mac_eop) + Next_state_SYS =SYS_EOP_ok; + else + Next_state_SYS =Current_state_SYS ; + SYS_EOP_ok: + if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =SYS_idle; + SYS_EOP_err: + if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =SYS_idle; + SYS_SOP_err: + Next_state_SYS =SYS_DROP; + SYS_DROP: //FIFO overflow + if (Tx_mac_wr&&Tx_mac_eop) + Next_state_SYS =SYS_EOP_err; + else + Next_state_SYS =Current_state_SYS ; + default: + Next_state_SYS =SYS_idle; + endcase + +//delay signals +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Tx_mac_wr_dl1 <=0; + Tx_mac_data_dl1 <=0; + Tx_mac_BE_dl1 <=0; + end + else + begin + Tx_mac_wr_dl1 <=Tx_mac_wr ; + Tx_mac_data_dl1 <=Tx_mac_data ; + Tx_mac_BE_dl1 <=Tx_mac_BE ; + end + +always @(Current_state_SYS) + if (Current_state_SYS==SYS_EOP_err) + FF_FullErr =1; + else + FF_FullErr =0; + +reg Tx_mac_eop_gen; + +always @(Current_state_SYS) + if (Current_state_SYS==SYS_EOP_err||Current_state_SYS==SYS_EOP_ok) + Tx_mac_eop_gen =1; + else + Tx_mac_eop_gen =0; + +assign Din={Tx_mac_eop_gen,FF_FullErr,Tx_mac_BE_dl1,Tx_mac_data_dl1}; + +always @(Current_state_SYS or Tx_mac_wr_dl1) + if ((Current_state_SYS==SYS_SOP||Current_state_SYS==SYS_EOP_ok|| + Current_state_SYS==SYS_MOP||Current_state_SYS==SYS_EOP_err)&&Tx_mac_wr_dl1) + Wr_en = 1; + else + Wr_en = 0; + + +// + + +always @ (posedge Reset or posedge Clk_SYS) + if (Reset) + Add_wr_gray <=0; + else + begin : Add_wr_gray_loop + integer i; + Add_wr_gray[TX_FF_DEPTH-1] <=Add_wr[TX_FF_DEPTH-1]; + for (i=TX_FF_DEPTH-2;i>=0;i=i-1) + Add_wr_gray[i] <=Add_wr[i+1]^Add_wr[i]; + end + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_gray_dl1 <=0; + else + Add_rd_gray_dl1 <=Add_rd_gray; + + always @(posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_gray_dl2 <= 0; + else + Add_rd_gray_dl2 <= Add_rd_gray_dl1; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_jump_wr_pl1 <=0; + else + Add_rd_jump_wr_pl1 <=Add_rd_jump; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_ungray =0; + else if (!Add_rd_jump_wr_pl1) + begin : Add_rd_ungray_loop + integer i; + Add_rd_ungray[TX_FF_DEPTH-1] = Add_rd_gray_dl2[TX_FF_DEPTH-1]; + for (i=TX_FF_DEPTH-2;i>=0;i=i-1) + Add_rd_ungray[i] = Add_rd_ungray[i+1]^Add_rd_gray_dl2[i]; + end + +assign Add_wr_pluse =Add_wr+1; +assign Add_wr_pluse_pluse =Add_wr+4; + +always @ (Add_wr_pluse or Add_rd_ungray) + if (Add_wr_pluse==Add_rd_ungray) + Full =1; + else + Full =0; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + AlmostFull <=0; + else if (Add_wr_pluse_pluse==Add_rd_ungray) + AlmostFull <=1; + else + AlmostFull <=0; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_wr <= 0; + else if (Wr_en&&!Full) + Add_wr <= Add_wr +1; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Packet_number_sub_dl1 <=0; + Packet_number_sub_dl2 <=0; + end + else + begin + Packet_number_sub_dl1 <=Pkt_sub_apply; + Packet_number_sub_dl2 <=Packet_number_sub_dl1; + end + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_sub_edge <=0; + else if (Packet_number_sub_dl1&!Packet_number_sub_dl2) + Packet_number_sub_edge <=1; + else + Packet_number_sub_edge <=0; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_add <=0; + else if (Current_state_SYS==SYS_EOP_ok||Current_state_SYS==SYS_EOP_err) + Packet_number_add <=1; + else + Packet_number_add <=0; + + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_inFF <=0; + else if (Packet_number_add&&!Packet_number_sub_edge) + Packet_number_inFF <=Packet_number_inFF + 1'b1; + else if (!Packet_number_add&&Packet_number_sub_edge) + Packet_number_inFF <=Packet_number_inFF - 1'b1; + + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_inFF_reg <=0; + else + Packet_number_inFF_reg <=Packet_number_inFF; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Add_rd_reg_rdy_dl1 <=0; + Add_rd_reg_rdy_dl2 <=0; + end + else + begin + Add_rd_reg_rdy_dl1 <=Add_rd_reg_rdy; + Add_rd_reg_rdy_dl2 <=Add_rd_reg_rdy_dl1; + end + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_reg_dl1 <=0; + else if (Add_rd_reg_rdy_dl1&!Add_rd_reg_rdy_dl2) + Add_rd_reg_dl1 <=Add_rd_reg[TX_FF_DEPTH-1:TX_FF_DEPTH-5]; + + + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Fifo_data_count <=0; + else if (FullDuplex) + Fifo_data_count <=Add_wr[TX_FF_DEPTH-1:TX_FF_DEPTH-5]-Add_rd_ungray[TX_FF_DEPTH-1:TX_FF_DEPTH-5]; + else + Fifo_data_count <=Add_wr[TX_FF_DEPTH-1:TX_FF_DEPTH-5]-Add_rd_reg_dl1[TX_FF_DEPTH-1:TX_FF_DEPTH-5]; //for half duplex backoff requirement + + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Fifo_ra_tmp <=0; + else if (Packet_number_inFF_reg>=1||Fifo_data_count>=Tx_Lwmark) + Fifo_ra_tmp <=1; + else + Fifo_ra_tmp <=0; + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Tx_Hwmark_pl <=0; + Tx_Lwmark_pl <=0; + end + else + begin + Tx_Hwmark_pl <=Tx_Hwmark; + Tx_Lwmark_pl <=Tx_Lwmark; + end + +always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Tx_mac_wa <=0; + else if (Fifo_data_count>=Tx_Hwmark_pl) + Tx_mac_wa <=0; + else if (Fifo_data_count=0;i=i-1) + Add_rd_gray[i] <= Add_rd[i+1]^Add_rd[i]; + end +// + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_gray_dl1 <=0; + else + Add_wr_gray_dl1 <=Add_wr_gray; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_gray_dl2 <=0; + else + Add_wr_gray_dl2 <=Add_wr_gray_dl1; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_ungray =0; + else + begin : Add_wr_ungray_loop + integer i; + Add_wr_ungray[TX_FF_DEPTH-1] = Add_wr_gray_dl2[TX_FF_DEPTH-1]; + for (i=TX_FF_DEPTH-2;i>=0;i=i-1) + Add_wr_ungray[i] = Add_wr_ungray[i+1]^Add_wr_gray_dl2[i]; + end + +//empty +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Empty <=1; + else if (Add_rd==Add_wr_ungray) + Empty <=1; + else + Empty <=0; + +//ra +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_ra <=0; + else + Fifo_ra <=Fifo_ra_tmp; + + + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Pkt_sub_apply_tmp <=0; + else if (Current_state_MAC==MAC_pkt_sub) + Pkt_sub_apply_tmp <=1; + else + Pkt_sub_apply_tmp <=0; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Pkt_sub_apply <=0; + else if ((Current_state_MAC==MAC_pkt_sub)||Pkt_sub_apply_tmp) + Pkt_sub_apply <=1; + else + Pkt_sub_apply <=0; + +//reg Add_rd for collison retry +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg <=0; + else if (Fifo_rd_finish) + Add_rd_reg <=Add_rd; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg_rdy_tmp <=0; + else if (Fifo_rd_finish) + Add_rd_reg_rdy_tmp <=1; + else + Add_rd_reg_rdy_tmp <=0; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg_rdy <=0; + else if (Fifo_rd_finish||Add_rd_reg_rdy_tmp) + Add_rd_reg_rdy <=1; + else + Add_rd_reg_rdy <=0; + + +always @ (Current_state_MAC or Next_state_MAC) + if ((Current_state_MAC==MAC_idle||Current_state_MAC==MAC_byte0)&&Next_state_MAC==MAC_byte3) + Add_rd_add =1; + else + Add_rd_add =0; + + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd <= Add_rd_reg; + else if (Add_rd_add) + Add_rd <= Add_rd + 1; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump_tmp <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd_jump_tmp <=1; + else + Add_rd_jump_tmp <=0; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump_tmp_pl1 <=0; + else + Add_rd_jump_tmp_pl1 <=Add_rd_jump_tmp; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd_jump <=1; + else if (Add_rd_jump_tmp_pl1) + Add_rd_jump <=0; + +//gen Fifo_data + + +always @ (Dout_data or Current_state_MAC) + case (Current_state_MAC) + MAC_byte3: + Fifo_data =Dout_data[31:24]; + MAC_byte2: + Fifo_data =Dout_data[23:16]; + MAC_byte1: + Fifo_data =Dout_data[15:8]; + MAC_byte0: + Fifo_data =Dout_data[7:0]; + default: + Fifo_data =0; + endcase + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_da <=0; + else if ((Current_state_MAC==MAC_byte0||Current_state_MAC==MAC_byte1|| + Current_state_MAC==MAC_byte2||Current_state_MAC==MAC_byte3)&&Fifo_rd&&!Fifo_eop) + Fifo_da <=1; + else + Fifo_da <=0; + +//gen Fifo_data_err_empty +assign Fifo_data_err_full=Dout_err; +//gen Fifo_data_err_empty +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Current_state_MAC_reg <=0; + else + Current_state_MAC_reg <=Current_state_MAC; + +always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_err_empty <=0; + else if (Current_state_MAC_reg==MAC_FFEmpty) + Fifo_data_err_empty <=1; + else + Fifo_data_err_empty <=0; + +//always @ (posedge Clk_MAC) +// if (Current_state_MAC_reg==MAC_FF_Err) +// begin +// $finish(2); +// $display("mac_tx_FF meet error status at time :%t",$time); +// end + +//gen Fifo_eop aligned to last valid data byte +always @ ( Current_state_MAC or Dout_eop or Dout_BE ) + if ( ( ( Current_state_MAC==MAC_byte0 && Dout_BE==2'b00 ) || + ( Current_state_MAC==MAC_byte1 && Dout_BE==2'b11 ) || + ( Current_state_MAC==MAC_byte2 && Dout_BE==2'b10 ) || + ( Current_state_MAC==MAC_byte3 && Dout_BE==2'b01 ) ) && Dout_eop ) + Fifo_eop = 1; + else + Fifo_eop = 0; + + // Dual port RAM for FIFO + ram_2port #(.DWIDTH(36),.AWIDTH(TX_FF_DEPTH)) mac_tx_ff_ram + (.clka(Clk_SYS),.ena(1'b1),.wea(Wr_en),.addra(Add_wr),.dia(Din),.doa(), + .clkb(Clk_MAC),.enb(1'b1),.web(1'b0),.addrb(Add_rd),.dib(36'b0),.dob(Dout) ); + + assign debug0 = + { { 5'd0, Empty, Full, AlmostFull }, + { Current_state_SYS, Current_state_MAC }, + { Fifo_rd, Fifo_rd_finish, Fifo_rd_retry, Fifo_eop, Fifo_da, Fifo_ra, Fifo_data_err_empty, Fifo_data_err_full }, + { 2'b0, Dout_BE, Tx_mac_wa, Tx_mac_wr, Tx_mac_sop, Tx_mac_eop} }; + + assign debug1 = + { { 8'd0 }, + { 8'd0 }, + { 8'd0 }, + { 8'd0 } }; + +endmodule // MAC_tx_FF diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v new file mode 100644 index 00000000..76026ce0 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v @@ -0,0 +1,128 @@ +// //////////////////////////////////////////////////////////////////// +// // //// +// // MAC_tx_addr_add.v //// +// // //// +// // This file is part of the Ethernet IP core project //// +// // http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode///// +// // //// +// // Author(s): //// +// // - Jon Gao (gaojon@yahoo.com) //// +// // //// +// // //// +// //////////////////////////////////////////////////////////////////// +// // //// +// // Copyright (C) 2001 Authors //// +// // //// +// // This source file may be used and distributed without //// +// // restriction provided that this copyright statement is not //// +// // removed from the file and that any derivative work contains //// +// // the original copyright notice and the associated disclaimer. //// +// // //// +// // This source file is free software; you can redistribute it //// +// // and/or modify it under the terms of the GNU Lesser General //// +// // Public License as published by the Free Software Foundation; //// +// // either version 2.1 of the License, or (at your option) any //// +// // later version. //// +// // //// +// // This source is distributed in the hope that it will be //// +// // useful, but WITHOUT ANY WARRANTY; without even the implied //// +// // warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +// // PURPOSE. See the GNU Lesser General Public License for more //// +// // details. //// +// // //// +// // You should have received a copy of the GNU Lesser General //// +// // Public License along with this source; if not, download it //// +// // from http://www.opencores.org/lgpl.shtml //// +// // //// +// //////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx_addr_add.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:18 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_tx_addr_add + (Reset , + Clk , + MAC_tx_addr_init , + MAC_tx_addr_rd , + MAC_tx_addr_data , + //CPU , + MAC_add_prom_data , + MAC_add_prom_add , + MAC_add_prom_wr + ); + + input Reset ; + input Clk ; + input MAC_tx_addr_rd ; + input MAC_tx_addr_init ; + output [7:0] MAC_tx_addr_data ; + //CPU ; + input [7:0] MAC_add_prom_data ; + input [2:0] MAC_add_prom_add ; + input MAC_add_prom_wr ; + + // ****************************************************************************** + // internal signals + // ****************************************************************************** + reg [2:0] add_rd; + wire [2:0] add_wr; + wire [7:0] din; + //wire [7:0] dout; + reg [7:0] dout; + wire wr_en; + reg MAC_add_prom_wr_dl1; + reg MAC_add_prom_wr_dl2; + // ****************************************************************************** + // write data from cpu to prom + // ****************************************************************************** + always @ (posedge Clk or posedge Reset) + if (Reset) + begin + MAC_add_prom_wr_dl1 <=0; + MAC_add_prom_wr_dl2 <=0; + end + else + begin + MAC_add_prom_wr_dl1 <=MAC_add_prom_wr; + MAC_add_prom_wr_dl2 <=MAC_add_prom_wr_dl1; + end + + assign wr_en =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2; + assign add_wr =MAC_add_prom_add; + assign din =MAC_add_prom_data; + + // ****************************************************************************** + // read data from cpu to prom + // ****************************************************************************** + always @ (posedge Clk or posedge Reset) + if (Reset) + add_rd <=0; + else if (MAC_tx_addr_init) + add_rd <=0; + else if (MAC_tx_addr_rd) + add_rd <=add_rd + 1; + assign MAC_tx_addr_data=dout; + // ****************************************************************************** + // b port for read ,a port for write . + // ****************************************************************************** + + reg [7:0] address_ram [0:7]; + always @(posedge Clk) + if(wr_en) + address_ram[add_wr] <= din; + + always @(posedge Clk) + dout <= address_ram[add_rd]; + +endmodule // MAC_tx_addr_add diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v new file mode 100644 index 00000000..0fd7c603 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v @@ -0,0 +1,648 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx_Ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:38 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_tx_ctrl ( +Reset , +Clk , +//CRC_gen Interface +CRC_init , +Frame_data , +Data_en , +CRC_rd , +CRC_end , +CRC_out , +//Ramdon_gen interfac +Random_init , +RetryCnt , +Random_time_meet , +//flow control +pause_apply , +pause_quanta_sub , +xoff_gen , +xoff_gen_complete , +xon_gen , +xon_gen_complete , +//MAC_tx_FF +Fifo_data , +Fifo_rd , +Fifo_eop , +Fifo_da , +Fifo_rd_finish , +Fifo_rd_retry , +Fifo_ra , +Fifo_data_err_empty , +Fifo_data_err_full , +//RMII +TxD , +TxEn , +CRS , +//MAC_tx_addr_add +MAC_tx_addr_rd , +MAC_tx_addr_data , +MAC_tx_addr_init , +//RMON +Tx_pkt_type_rmon , +Tx_pkt_length_rmon , +Tx_apply_rmon , +Tx_pkt_err_type_rmon, +//CPU +pause_quanta_set , +MAC_tx_add_en , +FullDuplex , +MaxRetry , +IFGset +); + +input Reset ; +input Clk ; + //CRC_gen Interface +output CRC_init ; +output [7:0] Frame_data ; +output Data_en ; +output CRC_rd ; +input CRC_end ; +input [7:0] CRC_out ; + //Ramdon_gen interface +output Random_init ; +output [3:0] RetryCnt ; +input Random_time_meet ;//levle hight indicate random time passed away + //flow control +input pause_apply ; +output pause_quanta_sub ; +input xoff_gen ; +output xoff_gen_complete ; +input xon_gen ; +output xon_gen_complete ; + //MAC_rx_FF +input [7:0] Fifo_data ; +output Fifo_rd ; +input Fifo_eop ; +input Fifo_da ; +output Fifo_rd_finish ; +output Fifo_rd_retry ; +input Fifo_ra ; +input Fifo_data_err_empty ; +input Fifo_data_err_full ; + //RMII +output [7:0] TxD ; +output TxEn ; +input CRS ; + //MAC_tx_addr_add +output MAC_tx_addr_init ; +output MAC_tx_addr_rd ; +input [7:0] MAC_tx_addr_data ; + //RMON +output [2:0] Tx_pkt_type_rmon ; +output [15:0] Tx_pkt_length_rmon ; +output Tx_apply_rmon ; +output [2:0] Tx_pkt_err_type_rmon; + //CPU +input [15:0] pause_quanta_set ; +input MAC_tx_add_en ; +input FullDuplex ; +input [3:0] MaxRetry ; +input [5:0] IFGset ; +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter StateIdle =4'd00; +parameter StatePreamble =4'd01; +parameter StateSFD =4'd02; +parameter StateData =4'd03; +parameter StatePause =4'd04; +parameter StatePAD =4'd05; +parameter StateFCS =4'd06; +parameter StateIFG =4'd07; +parameter StateJam =4'd08; +parameter StateBackOff =4'd09; +parameter StateJamDrop =4'd10; +parameter StateFFEmptyDrop =4'd11; +parameter StateSwitchNext =4'd12; +parameter StateDefer =4'd13; +parameter StateSendPauseFrame =4'd14; + +reg [3:0] Current_state; +reg [3:0] Next_state; +reg [5:0] IFG_counter; +reg [4:0] Preamble_counter;// +reg [7:0] TxD_tmp ; +reg TxEn_tmp ; +reg [15:0] Tx_pkt_length_rmon ; +reg Tx_apply_rmon ; +reg [2:0] Tx_pkt_err_type_rmon; +reg [3:0] RetryCnt ; +reg Random_init ; +reg Fifo_rd_finish ; +reg Fifo_rd_retry ; +reg [7:0] TxD ; +reg TxEn ; +reg CRC_init ; +reg Data_en ; +reg CRC_rd ; +reg Fifo_rd ; +reg MAC_tx_addr_rd ; +reg MAC_header_slot ; +reg MAC_header_slot_tmp ; +reg [2:0] Tx_pkt_type_rmon ; +wire Collision ; +reg MAC_tx_addr_init ; +reg Src_MAC_ptr ; +reg [7:0] IPLengthCounter ;//for pad append +reg [1:0] PADCounter ; +reg [7:0] JamCounter ; +reg PktDrpEvenPtr ; +reg [7:0] pause_counter ; +reg pause_quanta_sub ; +reg [15:0] pause_quanta_set_dl1 ; +reg xoff_gen_complete ; +reg xon_gen_complete ; +//****************************************************************************** +//boundery signal processing +//****************************************************************************** +always @(posedge Clk or posedge Reset) + if (Reset) + begin + pause_quanta_set_dl1 <=0; + end + else + begin + pause_quanta_set_dl1 <=pause_quanta_set ; + end +//****************************************************************************** +//state machine +//****************************************************************************** +assign Collision=TxEn&CRS; + +always @(posedge Clk or posedge Reset) + if (Reset) + IPLengthCounter <=0; + else if (Current_state==StateDefer) + IPLengthCounter <=0; + else if (IPLengthCounter!=8'hff&&(Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD)) + IPLengthCounter <=IPLengthCounter+1; + +always @(posedge Clk or posedge Reset) + if (Reset) + PADCounter <=0; + else if (Current_state!=StatePAD) + PADCounter <=0; + else + PADCounter <=PADCounter+1; + +always @(posedge Clk or posedge Reset) + if (Reset) + Current_state <=StateDefer; + else + Current_state <=Next_state; + +always @ (*) + case (Current_state) + StateDefer: + if ((FullDuplex)||(!FullDuplex&&!CRS)) + Next_state=StateIFG; + else + Next_state=Current_state; + StateIFG: + if (!FullDuplex&&CRS) + Next_state=StateDefer; + else if ((FullDuplex&&IFG_counter==IFGset-4)||(!FullDuplex&&!CRS&&IFG_counter==IFGset-4))//remove some additional time + Next_state=StateIdle; + else + Next_state=Current_state; + StateIdle: + if (!FullDuplex&&CRS) + Next_state=StateDefer; + else if (xoff_gen||xon_gen) + Next_state=StatePreamble; + else if (pause_apply) + Next_state=StatePause; + else if ((FullDuplex||~CRS)&&Fifo_ra) + Next_state=StatePreamble; + else + Next_state=Current_state; + StatePause: + if (pause_counter==512/8) + Next_state=StateDefer; + else if (xoff_gen||xon_gen) + Next_state=StateIdle; + else + Next_state=Current_state; + StatePreamble: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if ((FullDuplex&&Preamble_counter==6)||(!FullDuplex&&!Collision&&Preamble_counter==6)) + Next_state=StateSFD; + else + Next_state=Current_state; + StateSFD: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (xoff_gen||xon_gen) + Next_state=StateSendPauseFrame; + else + Next_state=StateData; + StateSendPauseFrame: + if (IPLengthCounter==17) + Next_state=StatePAD; + else + Next_state=Current_state; + StateData: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (Fifo_data_err_empty) + Next_state=StateFFEmptyDrop; + else if (Fifo_eop&&IPLengthCounter>=59)//IP+MAC+TYPE=60 ,start from 0 + Next_state=StateFCS; + else if (Fifo_eop) + Next_state=StatePAD; + else + Next_state=StateData; + StatePAD: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (IPLengthCounter>=59) + Next_state=StateFCS; + else + Next_state=Current_state; + StateJam: + if (RetryCnt<=MaxRetry&&JamCounter==16) + Next_state=StateBackOff; + else if (RetryCnt>MaxRetry) + Next_state=StateJamDrop; + else + Next_state=Current_state; + StateBackOff: + if (Random_time_meet) + Next_state =StateDefer; + else + Next_state =Current_state; + StateFCS: + if (!FullDuplex&&Collision) + Next_state =StateJam; + else if (CRC_end) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateFFEmptyDrop: + if (Fifo_eop) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateJamDrop: + if (Fifo_eop) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateSwitchNext: + Next_state =StateDefer; + default: + Next_state =StateDefer; + endcase + + + +always @ (posedge Clk or posedge Reset) + if (Reset) + JamCounter <=0; + else if (Current_state!=StateJam) + JamCounter <=0; + else if (Current_state==StateJam) + JamCounter <=JamCounter+1; + + +always @ (posedge Clk or posedge Reset) + if (Reset) + RetryCnt <=0; + else if (Current_state==StateSwitchNext) + RetryCnt <=0; + else if (Current_state==StateJam&&Next_state==StateBackOff) + RetryCnt <=RetryCnt + 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + IFG_counter <=0; + else if (Current_state!=StateIFG) + IFG_counter <=0; + else + IFG_counter <=IFG_counter + 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Preamble_counter <=0; + else if (Current_state!=StatePreamble) + Preamble_counter <=0; + else + Preamble_counter <=Preamble_counter+ 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + PktDrpEvenPtr <=0; + else if(Current_state==StateJamDrop||Current_state==StateFFEmptyDrop) + PktDrpEvenPtr <=~PktDrpEvenPtr; +//****************************************************************************** +//generate output signals +//****************************************************************************** +//CRC related +always @(Current_state) + if (Current_state==StateSFD) + CRC_init =1; + else + CRC_init =0; + +assign Frame_data=TxD_tmp; + +always @(Current_state) + if (Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD) + Data_en =1; + else + Data_en =0; + +always @(Current_state) + if (Current_state==StateFCS) + CRC_rd =1; + else + CRC_rd =0; + +//Ramdon_gen interface +always @(Current_state or Next_state) + if (Current_state==StateJam&&Next_state==StateBackOff) + Random_init =1; + else + Random_init =0; + +//MAC_rx_FF +//data have one cycle delay after fifo read signals +always @ (*) + if (Current_state==StateData || + Current_state==StateSFD&&!(xoff_gen||xon_gen) || + Current_state==StateJamDrop&&PktDrpEvenPtr|| + Current_state==StateFFEmptyDrop&&PktDrpEvenPtr ) + Fifo_rd =1; + else + Fifo_rd =0; + +always @ (Current_state) + if (Current_state==StateSwitchNext) + Fifo_rd_finish =1; + else + Fifo_rd_finish =0; + +always @ (Current_state) + if (Current_state==StateJam) + Fifo_rd_retry =1; + else + Fifo_rd_retry =0; +//RMII +always @(Current_state) + if (Current_state==StatePreamble||Current_state==StateSFD|| + Current_state==StateData||Current_state==StateSendPauseFrame|| + Current_state==StateFCS||Current_state==StatePAD||Current_state==StateJam) + TxEn_tmp =1; + else + TxEn_tmp =0; + +//gen txd data +always @(*) + case (Current_state) + StatePreamble: + TxD_tmp =8'h55; + StateSFD: + TxD_tmp =8'hd5; + StateData: + if (Src_MAC_ptr&&MAC_tx_add_en) + TxD_tmp =MAC_tx_addr_data; + else + TxD_tmp =Fifo_data; + StateSendPauseFrame: + if (Src_MAC_ptr&&MAC_tx_add_en) + TxD_tmp =MAC_tx_addr_data; + else + case (IPLengthCounter) + 8'd0: TxD_tmp =8'h01; + 8'd1: TxD_tmp =8'h80; + 8'd2: TxD_tmp =8'hc2; + 8'd3: TxD_tmp =8'h00; + 8'd4: TxD_tmp =8'h00; + 8'd5: TxD_tmp =8'h01; + 8'd12: TxD_tmp =8'h88;//type + 8'd13: TxD_tmp =8'h08;// + 8'd14: TxD_tmp =8'h00;//opcode + 8'd15: TxD_tmp =8'h01; + 8'd16: TxD_tmp =xon_gen?8'b0:pause_quanta_set_dl1[15:8]; + 8'd17: TxD_tmp =xon_gen?8'b0:pause_quanta_set_dl1[7:0]; +// 8'd60: TxD_tmp =8'h26; +// 8'd61: TxD_tmp =8'h6b; +// 8'd62: TxD_tmp =8'hae; +// 8'd63: TxD_tmp =8'h0a; + default:TxD_tmp =0; + endcase + + StatePAD: + TxD_tmp =8'h00; + StateJam: + TxD_tmp =8'h01; //jam sequence + StateFCS: + TxD_tmp =CRC_out; + default: + TxD_tmp =2'b0; + endcase +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + TxD <=0; + TxEn <=0; + end + else + begin + TxD <=TxD_tmp; + TxEn <=TxEn_tmp; + end +//RMON + + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_length_rmon <=0; + else if (Current_state==StateSFD) + Tx_pkt_length_rmon <=0; + else if (Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD||Current_state==StateFCS) + Tx_pkt_length_rmon <=Tx_pkt_length_rmon+1; + + +reg [2:0] Tx_apply_rmon_reg; + +always @( posedge Clk or posedge Reset ) + if ( Reset ) + begin + Tx_apply_rmon <= 0; + Tx_apply_rmon_reg <= 'b0; + end + else + begin + if ( (Fifo_eop&&Current_state==StateJamDrop) || + (Fifo_eop&&Current_state==StateFFEmptyDrop) || + CRC_end ) + Tx_apply_rmon <= 1; + else + if ( Tx_apply_rmon_reg[2] ) + Tx_apply_rmon <= 0; + + Tx_apply_rmon_reg <= { Tx_apply_rmon_reg[1:0], Tx_apply_rmon }; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_err_type_rmon <=0; + else if(Fifo_eop&&Current_state==StateJamDrop) + Tx_pkt_err_type_rmon <=3'b001;// + else if(Fifo_eop&&Current_state==StateFFEmptyDrop) + Tx_pkt_err_type_rmon <=3'b010;//underflow + else if(Fifo_eop&&Fifo_data_err_full) + Tx_pkt_err_type_rmon <=3'b011;//overflow + else if(CRC_end) + Tx_pkt_err_type_rmon <=3'b100;//normal + +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_header_slot_tmp <=0; + else if(Current_state==StateSFD&&Next_state==StateData) + MAC_header_slot_tmp <=1; + else + MAC_header_slot_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_header_slot <=0; + else + MAC_header_slot <=MAC_header_slot_tmp; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_type_rmon <=0; + else if (Current_state==StateSendPauseFrame) + Tx_pkt_type_rmon <=3'b100; + else if(MAC_header_slot) + Tx_pkt_type_rmon <={1'b0,TxD[7:6]}; + + +always @(Tx_pkt_length_rmon) + if (Tx_pkt_length_rmon>=6&&Tx_pkt_length_rmon<=11) + Src_MAC_ptr =1; + else + Src_MAC_ptr =0; + +//MAC_tx_addr_add +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_tx_addr_rd <=0; + else if ((Tx_pkt_length_rmon>=4&&Tx_pkt_length_rmon<=9)&&(MAC_tx_add_en||Current_state==StateSendPauseFrame)) + MAC_tx_addr_rd <=1; + else + MAC_tx_addr_rd <=0; + + always @* + //if ((Tx_pkt_length_rmon==3)&&Fifo_rd) + if (Current_state==StatePreamble) + MAC_tx_addr_init=1; + else + MAC_tx_addr_init=0; + +//************************************************************************************************************** +// CFH: this implementation delays the time it sends an entire Ethernet frame with 512 bits for every pause +// request of 512 bits. Actually, it should only delay the time it takes to transmit 512 bits, not counting +// Ethernet header, CRC, Interframe Gap etc. +// Hence the current implementation waits longer than the pause frame actually requests (~20% more) +//************************************************************************************************************** + +//flow control +always @(posedge Clk or posedge Reset) + if (Reset) + pause_counter <=0; + else if (Current_state!=StatePause) + pause_counter <=0; + else + pause_counter <=pause_counter+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_sub <=0; + else if(pause_counter==512/8) + pause_quanta_sub <=1; + else + pause_quanta_sub <=0; + +// FIXME The below probably won't work if the pause request comes when we are in the wrong state + wire clear_xonxoff = (Current_state==StateSendPauseFrame) & (IPLengthCounter==17); +always @ (posedge Clk or posedge Reset) + if (Reset) + xoff_gen_complete <=0; + else if(clear_xonxoff & xoff_gen) + xoff_gen_complete <=1; + else + xoff_gen_complete <=0; + + +always @ (posedge Clk or posedge Reset) + if (Reset) + xon_gen_complete <=0; + else if(clear_xonxoff & xon_gen) + xon_gen_complete <=1; + else + xon_gen_complete <=0; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v b/usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v new file mode 100644 index 00000000..fd57008b --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v @@ -0,0 +1,109 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Random_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +module Random_gen( +Reset , +Clk , +Init , +RetryCnt , +Random_time_meet +); +input Reset ; +input Clk ; +input Init ; +input [3:0] RetryCnt ; +output Random_time_meet; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [9:0] Random_sequence ; +reg [9:0] Random ; +reg [9:0] Random_counter ; +reg [7:0] Slot_time_counter; //256*2=512bit=1 slot time +reg Random_time_meet; + +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_sequence <=0; + else + Random_sequence <={Random_sequence[8:0],~(Random_sequence[2]^Random_sequence[9])}; + +always @ (RetryCnt or Random_sequence) + case (RetryCnt) + 4'h0 : Random={9'b0,Random_sequence[0]}; + 4'h1 : Random={8'b0,Random_sequence[1:0]}; + 4'h2 : Random={7'b0,Random_sequence[2:0]}; + 4'h3 : Random={6'b0,Random_sequence[3:0]}; + 4'h4 : Random={5'b0,Random_sequence[4:0]}; + 4'h5 : Random={4'b0,Random_sequence[5:0]}; + 4'h6 : Random={3'b0,Random_sequence[6:0]}; + 4'h7 : Random={2'b0,Random_sequence[7:0]}; + 4'h8 : Random={1'b0,Random_sequence[8:0]}; + 4'h9 : Random={ Random_sequence[9:0]}; + default : Random={ Random_sequence[9:0]}; + endcase + +always @ (posedge Clk or posedge Reset) + if (Reset) + Slot_time_counter <=0; + else if(Init) + Slot_time_counter <=0; + else if(!Random_time_meet) + Slot_time_counter <=Slot_time_counter+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_counter <=0; + else if (Init) + Random_counter <=Random; + else if (Random_counter!=0&&Slot_time_counter==255) + Random_counter <=Random_counter -1 ; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_time_meet <=1; + else if (Init) + Random_time_meet <=0; + else if (Random_counter==0) + Random_time_meet <=1; + +endmodule + + diff --git a/usrp2/fpga/eth/rtl/verilog/Phy_int.v b/usrp2/fpga/eth/rtl/verilog/Phy_int.v new file mode 100644 index 00000000..c85d4f64 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/Phy_int.v @@ -0,0 +1,205 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Phy_int.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Phy_int.v,v $ +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:14 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:36 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module Phy_int + (input rst_mac_rx, + input rst_mac_tx, + input MAC_rx_clk, + input MAC_tx_clk, + + // Rx interface + output reg MCrs_dv, + output reg [7:0] MRxD, + output MRxErr, + + // Tx interface + input [7:0] MTxD, + input MTxEn, + output MCRS, + + // PHY interface + output Tx_er, + output reg Tx_en, + output reg [7:0] Txd, + input Rx_er, + input Rx_dv, + input [7:0] Rxd, + input Crs, + input Col, + + // Host interface + input Line_loop_en, + input [2:0] Speed ); + + //------------------------------------------------------------------------- + // Local declarations + //------------------------------------------------------------------------- + + reg [7:0] MTxD_dl1; + reg MTxEn_dl1; + reg Tx_odd_data_ptr; + reg Rx_odd_data_ptr; + reg Rx_er_dl1; + reg Rx_dv_dl1; + reg Rx_dv_dl2; + reg [7:0] Rxd_dl1; + reg [7:0] Rxd_dl2; + reg Crs_dl1; + + //------------------------------------------------------------------------- + // Tx control + //------------------------------------------------------------------------- + + // Reg boundary signals + always @( posedge MAC_tx_clk or posedge rst_mac_tx ) + if ( rst_mac_tx ) + begin + MTxD_dl1 <= 0; + MTxEn_dl1 <= 0; + end + else + begin + MTxD_dl1 <= MTxD; + MTxEn_dl1 <= MTxEn; + end + + always @( posedge MAC_tx_clk or posedge rst_mac_tx ) + if ( rst_mac_tx ) + Tx_odd_data_ptr <= 0; + else if ( !MTxD_dl1 ) + Tx_odd_data_ptr <= 0; + else + Tx_odd_data_ptr <= !Tx_odd_data_ptr; + + + always @( posedge MAC_tx_clk or posedge rst_mac_tx ) + if ( rst_mac_tx ) + Txd <= 0; + else if ( Speed[2] && MTxEn_dl1 ) + Txd <= MTxD_dl1; + else if ( MTxEn_dl1 && !Tx_odd_data_ptr ) + Txd <= { 4'b0, MTxD_dl1[3:0] }; + else if ( MTxEn_dl1 && Tx_odd_data_ptr ) + Txd <= { 4'b0, MTxD_dl1[7:4] }; + else + Txd <=0; + + always @( posedge MAC_tx_clk or posedge rst_mac_tx ) + if ( rst_mac_tx ) + Tx_en <= 0; + else if ( MTxEn_dl1 ) + Tx_en <= 1; + else + Tx_en <= 0; + + assign Tx_er = 0; + + //------------------------------------------------------------------------- + // Rx control + //------------------------------------------------------------------------- + + // Reg boundery signals + always @( posedge MAC_rx_clk or posedge rst_mac_rx ) + if ( rst_mac_rx ) + begin + Rx_er_dl1 <= 0; + Rx_dv_dl1 <= 0; + Rx_dv_dl2 <= 0; + Rxd_dl1 <= 0; + Rxd_dl2 <= 0; + Crs_dl1 <= 0; + end + else + begin + Rx_er_dl1 <= Rx_er; + Rx_dv_dl1 <= Rx_dv; + Rx_dv_dl2 <= Rx_dv_dl1; + Rxd_dl1 <= Rxd; + Rxd_dl2 <= Rxd_dl1; + Crs_dl1 <= Crs; + end + + assign MRxErr = Rx_er_dl1; + assign MCRS = Crs_dl1; + + always @( posedge MAC_rx_clk or posedge rst_mac_rx ) + if ( rst_mac_rx ) + MCrs_dv <= 0; + else if ( Line_loop_en ) + MCrs_dv <= Tx_en; + else if( Rx_dv_dl2 ) + MCrs_dv <= 1; + else + MCrs_dv <= 0; + + always @ ( posedge MAC_rx_clk or posedge rst_mac_rx ) + if ( rst_mac_rx ) + Rx_odd_data_ptr <= 0; + else if ( !Rx_dv_dl1 ) + Rx_odd_data_ptr <= 0; + else + Rx_odd_data_ptr <= !Rx_odd_data_ptr; + + always @ ( posedge MAC_rx_clk or posedge rst_mac_rx ) + if ( rst_mac_rx ) + MRxD <= 0; + else if( Line_loop_en ) + MRxD <= Txd; + else if( Speed[2] && Rx_dv_dl2 ) + MRxD <= Rxd_dl2; + else if( Rx_dv_dl1 && Rx_odd_data_ptr ) + MRxD <={ Rxd_dl1[3:0], Rxd_dl2[3:0] }; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/RMON.v b/usrp2/fpga/eth/rtl/verilog/RMON.v new file mode 100644 index 00000000..18a84beb --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/RMON.v @@ -0,0 +1,163 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:16 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module RMON + (input Clk , + input Reset , + //Tx_RMON + input [2:0] Tx_pkt_type_rmon , + input [15:0] Tx_pkt_length_rmon , + input Tx_apply_rmon , + input [2:0] Tx_pkt_err_type_rmon, + //Tx_RMON + input [2:0] Rx_pkt_type_rmon , + input [15:0] Rx_pkt_length_rmon , + input Rx_apply_rmon , + input [2:0] Rx_pkt_err_type_rmon, + //CPU + input [5:0] CPU_rd_addr , + input CPU_rd_apply , + output CPU_rd_grant , + output [31:0] CPU_rd_dout + ); + + // ****************************************************************************** + // interface signals + // ****************************************************************************** + wire Reg_apply_0 ; + wire [4:0] Reg_addr_0 ; + wire [15:0] Reg_data_0 ; + wire Reg_next_0 ; + wire Reg_apply_1 ; + wire [4:0] Reg_addr_1 ; + wire [15:0] Reg_data_1 ; + wire Reg_next_1 ; + wire [5:0] Addra ; + wire [31:0] Dina ; + reg [31:0] Douta ; + wire Wea ; + + // ****************************************************************************** + + RMON_addr_gen U_0_Rx_RMON_addr_gen + (.Clk (Clk ), + .Reset (Reset ), + //RMON + .Pkt_type_rmon (Rx_pkt_type_rmon ), + .Pkt_length_rmon (Rx_pkt_length_rmon ), + .Apply_rmon (Rx_apply_rmon ), + .Pkt_err_type_rmon (Rx_pkt_err_type_rmon ), + //Rmon_ctrl + .Reg_apply (Reg_apply_0 ), + .Reg_addr (Reg_addr_0 ), + .Reg_data (Reg_data_0 ), + .Reg_next (Reg_next_0 ), + //CPU + .Reg_drop_apply ( ) ); + + RMON_addr_gen U_0_Tx_RMON_addr_gen + (.Clk (Clk ), + .Reset (Reset ), + //RMON + .Pkt_type_rmon (Tx_pkt_type_rmon ), + .Pkt_length_rmon (Tx_pkt_length_rmon ), + .Apply_rmon (Tx_apply_rmon ), + .Pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //Rmon_ctrl + .Reg_apply (Reg_apply_1 ), + .Reg_addr (Reg_addr_1 ), + .Reg_data (Reg_data_1 ), + .Reg_next (Reg_next_1 ), + //CPU + .Reg_drop_apply ( ) ); + + RMON_ctrl U_RMON_ctrl + (.Clk (Clk ), + .Reset (Reset ), + //RMON_ctrl + .Reg_apply_0 (Reg_apply_0 ), + .Reg_addr_0 (Reg_addr_0 ), + .Reg_data_0 (Reg_data_0 ), + .Reg_next_0 (Reg_next_0 ), + .Reg_apply_1 (Reg_apply_1 ), + .Reg_addr_1 (Reg_addr_1 ), + .Reg_data_1 (Reg_data_1 ), + .Reg_next_1 (Reg_next_1 ), + //dual-port ram + .Addra (Addra ), + .Dina (Dina ), + .Douta (Douta ), + .Wea (Wea ), + //CPU + .CPU_rd_addr (CPU_rd_addr ), + .CPU_rd_apply (CPU_rd_apply ), + .CPU_rd_grant (CPU_rd_grant ), + .CPU_rd_dout (CPU_rd_dout ) ); + + reg [31:0] RMON_ram [0:63]; + wire [31:0] Douta_imm = RMON_ram[Addra]; + integer i; + initial + for(i=0;i<64;i=i+1) + RMON_ram[i] = 32'd0; + + always @(posedge Clk) + if(Wea) + RMON_ram[Addra] <= Dina; + + always @(posedge Clk) + Douta <= Douta_imm; + +endmodule // RMON diff --git a/usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v b/usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v new file mode 100644 index 00000000..9da8d1f8 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v @@ -0,0 +1,295 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON_addr_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON_addr_gen.v,v $ +// Revision 1.4 2006/06/25 04:58:57 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:55 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +module RMON_addr_gen( +Clk , +Reset , +//RMON +Pkt_type_rmon , +Pkt_length_rmon , +Apply_rmon ,//pluse signal looks like eop +Pkt_err_type_rmon , +// +Reg_apply , +Reg_addr , +Reg_data , +Reg_next , +//CPU +Reg_drop_apply +); +input Clk ; +input Reset ; + //RMON +input [2:0] Pkt_type_rmon ; +input [15:0] Pkt_length_rmon ; +input Apply_rmon ;//pluse signal looks like eop +input [2:0] Pkt_err_type_rmon ; + //RMON_ctrl +output Reg_apply ; +output [4:0] Reg_addr ; +output [15:0] Reg_data ; +input Reg_next ; + //CPU +output Reg_drop_apply ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter StateIdle =4'd0; +parameter StatePktLength =4'd1; +parameter StatePktNumber =4'd2; +parameter StatePktType =4'd3; +parameter StatePktRange =4'd4; + +reg [3:0] CurrentState /* synthesys syn_keep=1 */; +reg [3:0] NextState; + +reg [2:0] PktTypeReg ; +reg [15:0] PktLengthReg ; +reg [2:0] PktErrTypeReg ; + +reg Reg_apply ; +reg [4:0] Reg_addr ; +reg [15:0] Reg_data ; +reg Reg_drop_apply ; +//****************************************************************************** +//register boundery signals + +//****************************************************************************** +reg Apply_rmon_dl1; +reg Apply_rmon_dl2; +reg Apply_rmon_pulse; +reg [2:0] Pkt_type_rmon_dl1 ; +reg [15:0] Pkt_length_rmon_dl1 ; +reg [2:0] Pkt_err_type_rmon_dl1 ; + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + Pkt_type_rmon_dl1 <=0; + Pkt_length_rmon_dl1 <=0; + Pkt_err_type_rmon_dl1 <=0; + end + else + begin + Pkt_type_rmon_dl1 <=Pkt_type_rmon ; + Pkt_length_rmon_dl1 <=Pkt_length_rmon ; + Pkt_err_type_rmon_dl1 <=Pkt_err_type_rmon ; + end + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + Apply_rmon_dl1 <=0; + Apply_rmon_dl2 <=0; + end + else + begin + Apply_rmon_dl1 <=Apply_rmon; + Apply_rmon_dl2 <=Apply_rmon_dl1; + end + +always @(Apply_rmon_dl1 or Apply_rmon_dl2) + if (Apply_rmon_dl1&!Apply_rmon_dl2) + Apply_rmon_pulse =1; + else + Apply_rmon_pulse =0; + + + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + PktTypeReg <=0; + PktLengthReg <=0; + PktErrTypeReg <=0; + end + else if (Apply_rmon_pulse&&CurrentState==StateIdle) + begin + PktTypeReg <=Pkt_type_rmon_dl1 ; + PktLengthReg <=Pkt_length_rmon_dl1 ; + PktErrTypeReg <=Pkt_err_type_rmon_dl1 ; + end + + +//****************************************************************************** +//State Machine +//****************************************************************************** +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState <=StateIdle; + else + CurrentState <=NextState; + +always @(CurrentState or Apply_rmon_pulse or Reg_next) + case (CurrentState) + StateIdle: + if (Apply_rmon_pulse) + NextState =StatePktLength; + else + NextState =StateIdle; + StatePktLength: + if (Reg_next) + NextState =StatePktNumber; + else + NextState =CurrentState; + StatePktNumber: + if (Reg_next) + NextState =StatePktType; + else + NextState =CurrentState; + StatePktType: + if (Reg_next) + NextState =StatePktRange; + else + NextState =CurrentState; + StatePktRange: + if (Reg_next) + NextState =StateIdle; + else + NextState =CurrentState; + default: + NextState =StateIdle; + endcase + +//****************************************************************************** +//gen output signals +//****************************************************************************** +//Reg_apply +always @ (CurrentState) + if (CurrentState==StatePktLength||CurrentState==StatePktNumber|| + CurrentState==StatePktType||CurrentState==StatePktRange) + Reg_apply =1; + else + Reg_apply =0; + +//Reg_addr +always @ (posedge Clk or posedge Reset) + if (Reset) + Reg_addr <=0; + else case (CurrentState) + StatePktLength: + Reg_addr <=5'd00; + StatePktNumber: + Reg_addr <=5'd01; + StatePktType: + case(PktTypeReg) + 3'b011: + Reg_addr <=5'd02; //broadcast + 3'b001: + Reg_addr <=5'd03; //multicast + 3'b100: + Reg_addr <=5'd16; //pause frame + default: + Reg_addr <=5'd04; //unicast + endcase + StatePktRange: + case(PktErrTypeReg) + 3'b001: + Reg_addr <=5'd05; + 3'b010: + Reg_addr <=5'd06; + 3'b011: + Reg_addr <=5'd07; + 3'b100: + if (PktLengthReg<64) + Reg_addr <=5'd08; + else if (PktLengthReg==64) + Reg_addr <=5'd09; + else if (PktLengthReg<128) + Reg_addr <=5'd10; + else if (PktLengthReg<256) + Reg_addr <=5'd11; + else if (PktLengthReg<512) + Reg_addr <=5'd12; + else if (PktLengthReg<1024) + Reg_addr <=5'd13; + else if (PktLengthReg<1519) + Reg_addr <=5'd14; + else + Reg_addr <=5'd15; + default: + Reg_addr <=5'd05; + endcase + default: + Reg_addr <=5'd05; + endcase + +//Reg_data +always @ (CurrentState or PktLengthReg) + case (CurrentState) + StatePktLength: + Reg_data =PktLengthReg; + StatePktNumber: + Reg_data =1; + StatePktType: + Reg_data =1; + StatePktRange: + Reg_data =1; + default: + Reg_data =0; + endcase + +//Reg_drop_apply +always @ (posedge Clk or posedge Reset) + if (Reset) + Reg_drop_apply <=0; + else if (CurrentState!=StateIdle&&Apply_rmon_pulse) + Reg_drop_apply <=1; + else + Reg_drop_apply <=0; + + +endmodule + diff --git a/usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v b/usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v new file mode 100644 index 00000000..4fc038dc --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v @@ -0,0 +1,283 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON_ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:57 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:55 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +module RMON_ctrl ( +Clk , +Reset , +//RMON_ctrl +Reg_apply_0 , +Reg_addr_0 , +Reg_data_0 , +Reg_next_0 , +Reg_apply_1 , +Reg_addr_1 , +Reg_data_1 , +Reg_next_1 , +//dual-port ram +Addra , +Dina , +Douta , +Wea , +//CPU +CPU_rd_addr , +CPU_rd_apply , +CPU_rd_grant , +CPU_rd_dout + +); +input Clk ; +input Reset ; + //RMON_ctrl +input Reg_apply_0 ; +input [4:0] Reg_addr_0 ; +input [15:0] Reg_data_0 ; +output Reg_next_0 ; +input Reg_apply_1 ; +input [4:0] Reg_addr_1 ; +input [15:0] Reg_data_1 ; +output Reg_next_1 ; + //dual-port ram + //port-a for Rmon +output [5:0] Addra ; +output [31:0] Dina ; +input [31:0] Douta ; +output Wea ; + //CPU +input [5:0] CPU_rd_addr ; +input CPU_rd_apply ; +output CPU_rd_grant ; +output [31:0] CPU_rd_dout ; + + + + +//****************************************************************************** +//internal signals +//****************************************************************************** + +parameter StateCPU =4'd00; +parameter StateMAC0 =4'd01; +parameter StateMAC1 =4'd02; + + +reg [3:0] CurrentState /* synthesys syn_keep=1 */; +reg [3:0] NextState; +reg [3:0] CurrentState_reg; + +reg [4:0] StepCounter; +reg [5:0] Addra ; +reg [31:0] Dina; +reg Reg_next_0 ; +reg Reg_next_1 ; +reg Write; +reg Read; +reg Pipeline; +reg [31:0] CPU_rd_dout ; +reg CPU_rd_apply_reg ; +//****************************************************************************** +//State Machine +//****************************************************************************** + +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState <=StateMAC0; + else + CurrentState <=NextState; + +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState_reg <=StateMAC0; + else if(CurrentState!=StateCPU) + CurrentState_reg <=CurrentState; + +always @(CurrentState or CPU_rd_apply_reg or Reg_apply_0 or CurrentState_reg + or Reg_apply_1 + or StepCounter + ) + case(CurrentState) + StateMAC0: + if(!Reg_apply_0&&CPU_rd_apply_reg) + NextState =StateCPU; + else if(!Reg_apply_0) + NextState =StateMAC1; + else + NextState =CurrentState; + StateMAC1: + if(!Reg_apply_1&&CPU_rd_apply_reg) + NextState =StateCPU; + else if(!Reg_apply_1) + NextState =StateMAC0; + else + NextState =CurrentState; + StateCPU: + if (StepCounter==3) + case (CurrentState_reg) + StateMAC0 :NextState =StateMAC0 ; + StateMAC1 :NextState =StateMAC1 ; + default :NextState =StateMAC0; + endcase + else + NextState =CurrentState; + + default: + NextState =StateMAC0; + endcase + + + +always @(posedge Clk or posedge Reset) + if (Reset) + StepCounter <=0; + else if(NextState!=CurrentState) + StepCounter <=0; + else if (StepCounter!=4'hf) + StepCounter <=StepCounter + 1; + +//****************************************************************************** +//temp signals +//****************************************************************************** +always @(StepCounter) + if( StepCounter==1||StepCounter==4|| + StepCounter==7||StepCounter==10) + Read =1; + else + Read =0; + +always @(StepCounter or CurrentState) + if( StepCounter==2||StepCounter==5|| + StepCounter==8||StepCounter==11) + Pipeline =1; + else + Pipeline =0; + +always @(StepCounter or CurrentState) + if( StepCounter==3||StepCounter==6|| + StepCounter==9||StepCounter==12) + Write =1; + else + Write =0; + + +//****************************************************************************** +//gen output signals +//****************************************************************************** +//Addra +always @(*) + case(CurrentState) + StateMAC0 : Addra={1'd0 ,Reg_addr_0 }; + StateMAC1 : Addra={1'd1 ,Reg_addr_1 }; + StateCPU: Addra=CPU_rd_addr; + default: Addra=0; + endcase + +//Dina +always @(posedge Clk or posedge Reset) + if (Reset) + Dina <=0; + else + case(CurrentState) + StateMAC0 : Dina<=Douta+Reg_data_0 ; + StateMAC1 : Dina<=Douta+Reg_data_1 ; + StateCPU: Dina<=0; + default: Dina<=0; + endcase + +assign Wea =Write; +//Reg_next +always @(CurrentState or Pipeline) + if(CurrentState==StateMAC0) + Reg_next_0 =Pipeline; + else + Reg_next_0 =0; + +always @(CurrentState or Pipeline) + if(CurrentState==StateMAC1) + Reg_next_1 =Pipeline; + else + Reg_next_1 =0; + + +//CPU_rd_grant +reg CPU_rd_apply_dl1; +reg CPU_rd_apply_dl2; +//rising edge +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + CPU_rd_apply_dl1 <=0; + CPU_rd_apply_dl2 <=0; + end + else + begin + CPU_rd_apply_dl1 <=CPU_rd_apply; + CPU_rd_apply_dl2 <=CPU_rd_apply_dl1; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + CPU_rd_apply_reg <=0; + else if (CPU_rd_apply_dl1&!CPU_rd_apply_dl2) + CPU_rd_apply_reg <=1; + else if (CurrentState==StateCPU&&Write) + CPU_rd_apply_reg <=0; + +assign CPU_rd_grant = CPU_rd_apply & CPU_rd_apply_dl1 & CPU_rd_apply_dl2 & !CPU_rd_apply_reg; + +always @ (posedge Clk or posedge Reset) + if (Reset) + CPU_rd_dout <=0; + else if (Pipeline&&CurrentState==StateCPU) + CPU_rd_dout <=Douta; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/Reg_int.v b/usrp2/fpga/eth/rtl/verilog/Reg_int.v new file mode 100644 index 00000000..f1bea231 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/Reg_int.v @@ -0,0 +1,270 @@ +module Reg_int ( + // Wishbone compliant core host interface + input CLK_I, // Wishbone interface clock (nominally 50 MHz) + input RST_I, // Active high (async) reset of the Wishbone interface + input STB_I, // Active high module-select + input CYC_I, // Active high cycle-enable + input [6:0] ADR_I, // Module register address + input WE_I, // Active high for writes, low for reads + input [31:0] DAT_I, // Write data + output reg [31:0] DAT_O, // Read data + output reg ACK_O, // Acknowledge output – single high pulse + + // Tx host interface + output [4:0] Tx_Hwmark, + output [4:0] Tx_Lwmark, + output MAC_tx_add_en, + output FullDuplex, + output [3:0] MaxRetry, + output [5:0] IFGset, + output [7:0] MAC_tx_add_prom_data, + output [2:0] MAC_tx_add_prom_add, + output MAC_tx_add_prom_wr, + + // Rx host interface + output MAC_rx_add_chk_en, + output [7:0] MAC_rx_add_prom_data, + output [2:0] MAC_rx_add_prom_add, + output MAC_rx_add_prom_wr, + output broadcast_filter_en, + output [15:0] broadcast_bucket_depth, + output [15:0] broadcast_bucket_interval, + output RX_APPEND_CRC, + output [4:0] Rx_Hwmark, + output [4:0] Rx_Lwmark, + output CRC_chk_en, + output [5:0] RX_IFG_SET, + output [15:0] RX_MAX_LENGTH, // Default 1518 + output [6:0] RX_MIN_LENGTH, // Default 64 + + // Flow control settings + output pause_frame_send_en, + output [15:0] pause_quanta_set, + output tx_pause_en, + output [15:0] fc_hwmark, + output [15:0] fc_lwmark, + + // RMON host interface + output [5:0] CPU_rd_addr, + output CPU_rd_apply, + input CPU_rd_grant, + input [31:0] CPU_rd_dout, + + //Phy int host interface + output Line_loop_en, + output [2:0] Speed, + + //MII to CPU + output [7:0] Divider, // Divider for the host clock + output [15:0] CtrlData, // Control Data (to be written to the PHY reg.) + output [4:0] Rgad, // Register Address (within the PHY) + output [4:0] Fiad, // PHY Address + output NoPre, // No Preamble (no 32-bit preamble) + output WCtrlData, // Write Control Data operation + output RStat, // Read Status operation + output ScanStat, // Scan Status operation + input Busy, // Busy Signal + input LinkFail, // Link Integrity Signal + input Nvalid, // Invalid Status (qualifier for the valid scan result) + input [15:0] Prsd, // Read Status Data (data read from the PHY) + input WCtrlDataStart, // This signals resets the WCTRLDATA bit in the MIIM Command register + input RStatStart, // This signal resets the RSTAT BIT in the MIIM Command register + input UpdateMIIRX_DATAReg // Updates MII RX_DATA register with read data +); + + // New registers for controlling the MII interface + wire [8:0] MIIMODER; + reg [2:0] MIICOMMAND; + wire [12:0] MIIADDRESS; + wire [15:0] MIITX_DATA; + reg [15:0] MIIRX_DATA; + wire [2:0] MIISTATUS; + + // New registers for controlling the MII interface + + // MIIMODER + assign NoPre = MIIMODER[8]; + assign Divider = MIIMODER[7:0]; + // MIICOMMAND + assign WCtrlData = MIICOMMAND[2]; + assign RStat = MIICOMMAND[1]; + assign ScanStat = MIICOMMAND[0]; + // MIIADDRESS + assign Rgad = MIIADDRESS[12:8]; + assign Fiad = MIIADDRESS[4:0]; + // MIITX_DATA + assign CtrlData = MIITX_DATA[15:0]; + // MIISTATUS + assign MIISTATUS[2:0] = { 13'b0, Nvalid, Busy, LinkFail }; + + wire Wr; + + RegCPUData #( 5 ) U_0_000( Tx_Hwmark , 7'd000, 5'h09, RST_I, CLK_I, Wr, ADR_I, DAT_I[4:0] ); + RegCPUData #( 5 ) U_0_001( Tx_Lwmark , 7'd001, 5'h08, RST_I, CLK_I, Wr, ADR_I, DAT_I[4:0] ); + RegCPUData #( 1 ) U_0_002( pause_frame_send_en , 7'd002, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 16 ) U_0_003( pause_quanta_set , 7'd003, 16'h01af, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 6 ) U_0_004( IFGset , 7'd004, 6'h0c, RST_I, CLK_I, Wr, ADR_I, DAT_I[5:0] ); + RegCPUData #( 1 ) U_0_005( FullDuplex , 7'd005, 1'h1, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 4 ) U_0_006( MaxRetry , 7'd006, 4'h2, RST_I, CLK_I, Wr, ADR_I, DAT_I[3:0] ); + RegCPUData #( 1 ) U_0_007( MAC_tx_add_en , 7'd007, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 8 ) U_0_008( MAC_tx_add_prom_data , 7'd008, 8'h00, RST_I, CLK_I, Wr, ADR_I, DAT_I[7:0] ); + RegCPUData #( 3 ) U_0_009( MAC_tx_add_prom_add , 7'd009, 3'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[2:0] ); + RegCPUData #( 1 ) U_0_010( MAC_tx_add_prom_wr , 7'd010, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 1 ) U_0_011( tx_pause_en , 7'd011, 1'h1, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 16 ) U_0_012( fc_hwmark , 7'd012, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 16 ) U_0_013( fc_lwmark , 7'd013, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 1 ) U_0_014( MAC_rx_add_chk_en , 7'd014, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 8 ) U_0_015( MAC_rx_add_prom_data , 7'd015, 8'h00, RST_I, CLK_I, Wr, ADR_I, DAT_I[7:0] ); + RegCPUData #( 3 ) U_0_016( MAC_rx_add_prom_add , 7'd016, 3'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[2:0] ); + RegCPUData #( 1 ) U_0_017( MAC_rx_add_prom_wr , 7'd017, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 1 ) U_0_018( broadcast_filter_en , 7'd018, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 16 ) U_0_019( broadcast_bucket_depth , 7'd019, 16'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 16 ) U_0_020( broadcast_bucket_interval , 7'd020, 16'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 1 ) U_0_021( RX_APPEND_CRC , 7'd021, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 5 ) U_0_022( Rx_Hwmark , 7'd022, 5'h1a, RST_I, CLK_I, Wr, ADR_I, DAT_I[4:0] ); + RegCPUData #( 5 ) U_0_023( Rx_Lwmark , 7'd023, 5'h10, RST_I, CLK_I, Wr, ADR_I, DAT_I[4:0] ); + RegCPUData #( 1 ) U_0_024( CRC_chk_en , 7'd024, 1'h1, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 6 ) U_0_025( RX_IFG_SET , 7'd025, 6'h0c, RST_I, CLK_I, Wr, ADR_I, DAT_I[5:0] ); + RegCPUData #( 16 ) U_0_026( RX_MAX_LENGTH , 7'd026, 16'h2710, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 7 ) U_0_027( RX_MIN_LENGTH , 7'd027, 7'h40, RST_I, CLK_I, Wr, ADR_I, DAT_I[6:0] ); + RegCPUData #( 6 ) U_0_028( CPU_rd_addr , 7'd028, 6'h00, RST_I, CLK_I, Wr, ADR_I, DAT_I[5:0] ); + RegCPUData #( 1 ) U_0_029( CPU_rd_apply , 7'd029, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); +//RegCPUData #( 1 ) U_0_030( CPU_rd_grant , 7'd030, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); +//RegCPUData #( 16 ) U_0_031( CPU_rd_dout_l , 7'd031, 16'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); +//RegCPUData #( 16 ) U_0_032( CPU_rd_dout_h , 7'd032, 16'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + RegCPUData #( 1 ) U_0_033( Line_loop_en , 7'd033, 1'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[0:0] ); + RegCPUData #( 3 ) U_0_034( Speed , 7'd034, 3'h0, RST_I, CLK_I, Wr, ADR_I, DAT_I[2:0] ); + + // New registers for controlling the MDIO interface + RegCPUData #( 9 ) U_0_035( MIIMODER , 7'd035, 9'h064, RST_I, CLK_I, Wr, ADR_I, DAT_I[8:0] ); + // Reg #36 is MIICOMMAND - implemented separately below + RegCPUData #( 13 ) U_0_037( MIIADDRESS , 7'd037, 13'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[12:0] ); + RegCPUData #( 16 ) U_0_038( MIITX_DATA , 7'd038, 16'h0000, RST_I, CLK_I, Wr, ADR_I, DAT_I[15:0] ); + + // Asserted in first clock of 2-cycle access, negated otherwise + wire Access = ~ACK_O & STB_I & CYC_I; + + // Asserted in first clock of 2-cycle write access, negated otherwise + assign Wr = Access & WE_I; + + // MIICOMMAND register - needs special treatment because of auto-resetting bits + always @ ( posedge RST_I or posedge CLK_I ) + if ( RST_I ) + MIICOMMAND <= 0; + else + begin + if ( Wr & ( ADR_I == 7'd036 ) ) + // Write access + MIICOMMAND <= DAT_I; + else + begin + if ( WCtrlDataStart ) + MIICOMMAND[2] <= 0; + if ( RStatStart ) + MIICOMMAND[1] <= 0; + end + end + + // MIIRX_DATA register + always @ ( posedge RST_I or posedge CLK_I ) + if ( RST_I ) + MIIRX_DATA <= 0; + else + if ( UpdateMIIRX_DATAReg ) + MIIRX_DATA <= Prsd; + + // ACK_O is asserted in second clock of 2-cycle access, negated otherwise + always @ ( posedge RST_I or posedge CLK_I ) + if ( RST_I ) + ACK_O <= 0; + else + ACK_O <= Access; + + always @ ( posedge RST_I or posedge CLK_I ) + if(RST_I) + DAT_O <= 0; + else + begin + DAT_O <=0; + if ( Access & ~WE_I ) + casez ( ADR_I ) + 7'd00: DAT_O <= Tx_Hwmark; + 7'd01: DAT_O <= Tx_Lwmark; + 7'd02: DAT_O <= pause_frame_send_en; + 7'd03: DAT_O <= pause_quanta_set; + 7'd04: DAT_O <= IFGset; + 7'd05: DAT_O <= FullDuplex; + 7'd06: DAT_O <= MaxRetry; + 7'd07: DAT_O <= MAC_tx_add_en; + 7'd08: DAT_O <= MAC_tx_add_prom_data; + 7'd09: DAT_O <= MAC_tx_add_prom_add; + 7'd10: DAT_O <= MAC_tx_add_prom_wr; + 7'd11: DAT_O <= tx_pause_en; + 7'd12: DAT_O <= fc_hwmark; + 7'd13: DAT_O <= fc_lwmark; + 7'd14: DAT_O <= MAC_rx_add_chk_en; + 7'd15: DAT_O <= MAC_rx_add_prom_data; + 7'd16: DAT_O <= MAC_rx_add_prom_add; + 7'd17: DAT_O <= MAC_rx_add_prom_wr; + 7'd18: DAT_O <= broadcast_filter_en; + 7'd19: DAT_O <= broadcast_bucket_depth; + 7'd20: DAT_O <= broadcast_bucket_interval; + 7'd21: DAT_O <= RX_APPEND_CRC; + 7'd22: DAT_O <= Rx_Hwmark; + 7'd23: DAT_O <= Rx_Lwmark; + 7'd24: DAT_O <= CRC_chk_en; + 7'd25: DAT_O <= RX_IFG_SET; + 7'd26: DAT_O <= RX_MAX_LENGTH; + 7'd27: DAT_O <= RX_MIN_LENGTH; + 7'd28: DAT_O <= CPU_rd_addr; + 7'd29: DAT_O <= CPU_rd_apply; + 7'd30: DAT_O <= CPU_rd_grant; + 7'd31: DAT_O <= CPU_rd_dout; + //7'd32: DAT_O <= CPU_rd_dout[31:16]; + 7'd33: DAT_O <= Line_loop_en; + 7'd34: DAT_O <= Speed; + + // New registers for controlling MII interface + 7'd35: DAT_O <= MIIMODER; + 7'd36: DAT_O <= MIICOMMAND; + 7'd37: DAT_O <= MIIADDRESS; + 7'd38: DAT_O <= MIITX_DATA; + 7'd39: DAT_O <= MIIRX_DATA; + 7'd40: DAT_O <= MIISTATUS; + endcase + end + +endmodule + +module RegCPUData( + RegOut, + RegAddr, + RegInit, + + Reset, + Clk, + Wr, + Addr, + WrData +); + + parameter WIDTH = 16; + + output reg [WIDTH-1:0] RegOut; + input [6:0] RegAddr; + input [WIDTH-1:0] RegInit; + + input Reset; + input Clk; + input Wr; + input [6:0] Addr; + input [WIDTH-1:0] WrData; + + always @( posedge Reset or posedge Clk ) + if ( Reset ) + RegOut <= RegInit; + else + if ( Wr && ( Addr == RegAddr ) ) + RegOut <= WrData; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v b/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v new file mode 100644 index 00000000..994907d4 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v @@ -0,0 +1,71 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_clk_div2.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_DIV2.v,v $ +// Revision 1.3 2006/01/19 14:07:56 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// + +module eth_clk_div2 ( + input Reset, + input IN, + output reg OUT +); + +always @ (posedge IN or posedge Reset) + if (Reset) + OUT <= 0; + else + OUT <= ~OUT; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v b/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v new file mode 100644 index 00000000..c5375743 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v @@ -0,0 +1,81 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_clk_switch.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_SWITCH.v,v $ +// Revision 1.3 2006/01/19 14:07:56 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +`include "header.vh" + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// +module eth_clk_switch ( + input IN_0, + input IN_1, + input SW, + output OUT +); + +`ifdef MAC_TARGET_XILINX + + BUFGMUX U_BUFGMUX ( + .O ( OUT ), + .I0( IN_0 ), + .I1( IN_1 ), + .S ( SW ) + ); + +`else + + assign OUT = SW ? IN_1 : IN_0; + +`endif + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v b/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v new file mode 100644 index 00000000..f5bb4a74 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v @@ -0,0 +1,64 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/BUFGMUX.v,v 1.9.34.2 2005/10/21 20:45:30 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2004 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 7.1i (H.19) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / Global Clock Mux Buffer with Output State 0 +// /___/ /\ Filename : BUFGMUX.v +// \ \ / \ Timestamp : Thu Mar 25 16:42:14 PST 2004 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. + +`timescale 100 ps / 10 ps + +module BUFGMUX (O, I0, I1, S); + + output O; + + input I0, I1, S; + + reg q0, q1; + reg q0_enable, q1_enable; + + tri0 GSR = glbl.GSR; + + bufif1 B0 (O, I0, q0); + bufif1 B1 (O, I1, q1); + pulldown P1 (O); + + always @(GSR or I0 or S or q0_enable) + if (GSR) + q0 <= 1; + else if (!I0) + q0 <= !S && q0_enable; + + always @(GSR or I1 or S or q1_enable) + if (GSR) + q1 <= 0; + else if (!I1) + q1 <= S && q1_enable; + + always @(GSR or q1 or I0) + if (GSR) + q0_enable <= 1; + else if (q1) + q0_enable <= 0; + else if (I0) + q0_enable <= !q1; + + always @(GSR or q0 or I1) + if (GSR) + q1_enable <= 0; + else if (q0) + q1_enable <= 0; + else if (I1) + q1_enable <= !q0; + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v b/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v new file mode 100644 index 00000000..80545a9b --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v @@ -0,0 +1,2204 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S36_S36.v,v 1.9 2005/03/14 22:54:41 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S36_S36.v +// \ \ / \ Timestamp : Thu Mar 10 16:43:36 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`ifdef legacy_model + +`timescale 1 ps / 1 ps + +module RAMB16_S36_S36 (DOA, DOB, DOPA, DOPB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, DIPA, DIPB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 36'h0; + parameter INIT_B = 36'h0; + parameter SRVAL_A = 36'h0; + parameter SRVAL_B = 36'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [31:0] DOA; + output [3:0] DOPA; + reg [31:0] doa_out; + reg [3:0] dopa_out; + wire doa_out0, doa_out1, doa_out2, doa_out3, doa_out4, doa_out5, doa_out6, doa_out7, doa_out8, doa_out9, doa_out10, doa_out11, doa_out12, doa_out13, doa_out14, doa_out15, doa_out16, doa_out17, doa_out18, doa_out19, doa_out20, doa_out21, doa_out22, doa_out23, doa_out24, doa_out25, doa_out26, doa_out27, doa_out28, doa_out29, doa_out30, doa_out31; + wire dopa0_out, dopa1_out, dopa2_out, dopa3_out; + + input [8:0] ADDRA; + input [31:0] DIA; + input [3:0] DIPA; + input ENA, CLKA, WEA, SSRA; + + output [31:0] DOB; + output [3:0] DOPB; + reg [31:0] dob_out; + reg [3:0] dopb_out; + wire dob_out0, dob_out1, dob_out2, dob_out3, dob_out4, dob_out5, dob_out6, dob_out7, dob_out8, dob_out9, dob_out10, dob_out11, dob_out12, dob_out13, dob_out14, dob_out15, dob_out16, dob_out17, dob_out18, dob_out19, dob_out20, dob_out21, dob_out22, dob_out23, dob_out24, dob_out25, dob_out26, dob_out27, dob_out28, dob_out29, dob_out30, dob_out31; + wire dopb0_out, dopb1_out, dopb2_out, dopb3_out; + + input [8:0] ADDRB; + input [31:0] DIB; + input [3:0] DIPB; + input ENB, CLKB, WEB, SSRB; + + reg [18431:0] mem; + reg [8:0] count; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [8:0] addra_int; + reg [8:0] addra_reg; + wire [31:0] dia_int; + wire [3:0] dipa_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [8:0] addrb_int; + reg [8:0] addrb_reg; + wire [31:0] dib_int; + wire [3:0] dipb_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg address_collision, address_collision_a_b, address_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + wire [15:0] parity_addra_int; + wire [15:0] parity_addra_reg; + wire [15:0] parity_addrb_int; + wire [15:0] parity_addrb_reg; + + tri0 GSR = glbl.GSR; + + always @(GSR) + if (GSR) begin + assign doa_out = INIT_A[31:0]; + assign dopa_out = INIT_A[35:32]; + assign dob_out = INIT_B[31:0]; + assign dopb_out = INIT_B[35:32]; + end + else begin + deassign doa_out; + deassign dopa_out; + deassign dob_out; + deassign dopb_out; + end + + buf b_doa_out0 (doa_out0, doa_out[0]); + buf b_doa_out1 (doa_out1, doa_out[1]); + buf b_doa_out2 (doa_out2, doa_out[2]); + buf b_doa_out3 (doa_out3, doa_out[3]); + buf b_doa_out4 (doa_out4, doa_out[4]); + buf b_doa_out5 (doa_out5, doa_out[5]); + buf b_doa_out6 (doa_out6, doa_out[6]); + buf b_doa_out7 (doa_out7, doa_out[7]); + buf b_doa_out8 (doa_out8, doa_out[8]); + buf b_doa_out9 (doa_out9, doa_out[9]); + buf b_doa_out10 (doa_out10, doa_out[10]); + buf b_doa_out11 (doa_out11, doa_out[11]); + buf b_doa_out12 (doa_out12, doa_out[12]); + buf b_doa_out13 (doa_out13, doa_out[13]); + buf b_doa_out14 (doa_out14, doa_out[14]); + buf b_doa_out15 (doa_out15, doa_out[15]); + buf b_doa_out16 (doa_out16, doa_out[16]); + buf b_doa_out17 (doa_out17, doa_out[17]); + buf b_doa_out18 (doa_out18, doa_out[18]); + buf b_doa_out19 (doa_out19, doa_out[19]); + buf b_doa_out20 (doa_out20, doa_out[20]); + buf b_doa_out21 (doa_out21, doa_out[21]); + buf b_doa_out22 (doa_out22, doa_out[22]); + buf b_doa_out23 (doa_out23, doa_out[23]); + buf b_doa_out24 (doa_out24, doa_out[24]); + buf b_doa_out25 (doa_out25, doa_out[25]); + buf b_doa_out26 (doa_out26, doa_out[26]); + buf b_doa_out27 (doa_out27, doa_out[27]); + buf b_doa_out28 (doa_out28, doa_out[28]); + buf b_doa_out29 (doa_out29, doa_out[29]); + buf b_doa_out30 (doa_out30, doa_out[30]); + buf b_doa_out31 (doa_out31, doa_out[31]); + buf b_dopa_out0 (dopa_out0, dopa_out[0]); + buf b_dopa_out1 (dopa_out1, dopa_out[1]); + buf b_dopa_out2 (dopa_out2, dopa_out[2]); + buf b_dopa_out3 (dopa_out3, dopa_out[3]); + buf b_dob_out0 (dob_out0, dob_out[0]); + buf b_dob_out1 (dob_out1, dob_out[1]); + buf b_dob_out2 (dob_out2, dob_out[2]); + buf b_dob_out3 (dob_out3, dob_out[3]); + buf b_dob_out4 (dob_out4, dob_out[4]); + buf b_dob_out5 (dob_out5, dob_out[5]); + buf b_dob_out6 (dob_out6, dob_out[6]); + buf b_dob_out7 (dob_out7, dob_out[7]); + buf b_dob_out8 (dob_out8, dob_out[8]); + buf b_dob_out9 (dob_out9, dob_out[9]); + buf b_dob_out10 (dob_out10, dob_out[10]); + buf b_dob_out11 (dob_out11, dob_out[11]); + buf b_dob_out12 (dob_out12, dob_out[12]); + buf b_dob_out13 (dob_out13, dob_out[13]); + buf b_dob_out14 (dob_out14, dob_out[14]); + buf b_dob_out15 (dob_out15, dob_out[15]); + buf b_dob_out16 (dob_out16, dob_out[16]); + buf b_dob_out17 (dob_out17, dob_out[17]); + buf b_dob_out18 (dob_out18, dob_out[18]); + buf b_dob_out19 (dob_out19, dob_out[19]); + buf b_dob_out20 (dob_out20, dob_out[20]); + buf b_dob_out21 (dob_out21, dob_out[21]); + buf b_dob_out22 (dob_out22, dob_out[22]); + buf b_dob_out23 (dob_out23, dob_out[23]); + buf b_dob_out24 (dob_out24, dob_out[24]); + buf b_dob_out25 (dob_out25, dob_out[25]); + buf b_dob_out26 (dob_out26, dob_out[26]); + buf b_dob_out27 (dob_out27, dob_out[27]); + buf b_dob_out28 (dob_out28, dob_out[28]); + buf b_dob_out29 (dob_out29, dob_out[29]); + buf b_dob_out30 (dob_out30, dob_out[30]); + buf b_dob_out31 (dob_out31, dob_out[31]); + buf b_dopb_out0 (dopb_out0, dopb_out[0]); + buf b_dopb_out1 (dopb_out1, dopb_out[1]); + buf b_dopb_out2 (dopb_out2, dopb_out[2]); + buf b_dopb_out3 (dopb_out3, dopb_out[3]); + + buf b_doa0 (DOA[0], doa_out0); + buf b_doa1 (DOA[1], doa_out1); + buf b_doa2 (DOA[2], doa_out2); + buf b_doa3 (DOA[3], doa_out3); + buf b_doa4 (DOA[4], doa_out4); + buf b_doa5 (DOA[5], doa_out5); + buf b_doa6 (DOA[6], doa_out6); + buf b_doa7 (DOA[7], doa_out7); + buf b_doa8 (DOA[8], doa_out8); + buf b_doa9 (DOA[9], doa_out9); + buf b_doa10 (DOA[10], doa_out10); + buf b_doa11 (DOA[11], doa_out11); + buf b_doa12 (DOA[12], doa_out12); + buf b_doa13 (DOA[13], doa_out13); + buf b_doa14 (DOA[14], doa_out14); + buf b_doa15 (DOA[15], doa_out15); + buf b_doa16 (DOA[16], doa_out16); + buf b_doa17 (DOA[17], doa_out17); + buf b_doa18 (DOA[18], doa_out18); + buf b_doa19 (DOA[19], doa_out19); + buf b_doa20 (DOA[20], doa_out20); + buf b_doa21 (DOA[21], doa_out21); + buf b_doa22 (DOA[22], doa_out22); + buf b_doa23 (DOA[23], doa_out23); + buf b_doa24 (DOA[24], doa_out24); + buf b_doa25 (DOA[25], doa_out25); + buf b_doa26 (DOA[26], doa_out26); + buf b_doa27 (DOA[27], doa_out27); + buf b_doa28 (DOA[28], doa_out28); + buf b_doa29 (DOA[29], doa_out29); + buf b_doa30 (DOA[30], doa_out30); + buf b_doa31 (DOA[31], doa_out31); + buf b_dopa0 (DOPA[0], dopa_out0); + buf b_dopa1 (DOPA[1], dopa_out1); + buf b_dopa2 (DOPA[2], dopa_out2); + buf b_dopa3 (DOPA[3], dopa_out3); + buf b_dob0 (DOB[0], dob_out0); + buf b_dob1 (DOB[1], dob_out1); + buf b_dob2 (DOB[2], dob_out2); + buf b_dob3 (DOB[3], dob_out3); + buf b_dob4 (DOB[4], dob_out4); + buf b_dob5 (DOB[5], dob_out5); + buf b_dob6 (DOB[6], dob_out6); + buf b_dob7 (DOB[7], dob_out7); + buf b_dob8 (DOB[8], dob_out8); + buf b_dob9 (DOB[9], dob_out9); + buf b_dob10 (DOB[10], dob_out10); + buf b_dob11 (DOB[11], dob_out11); + buf b_dob12 (DOB[12], dob_out12); + buf b_dob13 (DOB[13], dob_out13); + buf b_dob14 (DOB[14], dob_out14); + buf b_dob15 (DOB[15], dob_out15); + buf b_dob16 (DOB[16], dob_out16); + buf b_dob17 (DOB[17], dob_out17); + buf b_dob18 (DOB[18], dob_out18); + buf b_dob19 (DOB[19], dob_out19); + buf b_dob20 (DOB[20], dob_out20); + buf b_dob21 (DOB[21], dob_out21); + buf b_dob22 (DOB[22], dob_out22); + buf b_dob23 (DOB[23], dob_out23); + buf b_dob24 (DOB[24], dob_out24); + buf b_dob25 (DOB[25], dob_out25); + buf b_dob26 (DOB[26], dob_out26); + buf b_dob27 (DOB[27], dob_out27); + buf b_dob28 (DOB[28], dob_out28); + buf b_dob29 (DOB[29], dob_out29); + buf b_dob30 (DOB[30], dob_out30); + buf b_dob31 (DOB[31], dob_out31); + buf b_dopb0 (DOPB[0], dopb_out0); + buf b_dopb1 (DOPB[1], dopb_out1); + buf b_dopb2 (DOPB[2], dopb_out2); + buf b_dopb3 (DOPB[3], dopb_out3); + + buf b_addra_0 (addra_int[0], ADDRA[0]); + buf b_addra_1 (addra_int[1], ADDRA[1]); + buf b_addra_2 (addra_int[2], ADDRA[2]); + buf b_addra_3 (addra_int[3], ADDRA[3]); + buf b_addra_4 (addra_int[4], ADDRA[4]); + buf b_addra_5 (addra_int[5], ADDRA[5]); + buf b_addra_6 (addra_int[6], ADDRA[6]); + buf b_addra_7 (addra_int[7], ADDRA[7]); + buf b_addra_8 (addra_int[8], ADDRA[8]); + buf b_dia_0 (dia_int[0], DIA[0]); + buf b_dia_1 (dia_int[1], DIA[1]); + buf b_dia_2 (dia_int[2], DIA[2]); + buf b_dia_3 (dia_int[3], DIA[3]); + buf b_dia_4 (dia_int[4], DIA[4]); + buf b_dia_5 (dia_int[5], DIA[5]); + buf b_dia_6 (dia_int[6], DIA[6]); + buf b_dia_7 (dia_int[7], DIA[7]); + buf b_dia_8 (dia_int[8], DIA[8]); + buf b_dia_9 (dia_int[9], DIA[9]); + buf b_dia_10 (dia_int[10], DIA[10]); + buf b_dia_11 (dia_int[11], DIA[11]); + buf b_dia_12 (dia_int[12], DIA[12]); + buf b_dia_13 (dia_int[13], DIA[13]); + buf b_dia_14 (dia_int[14], DIA[14]); + buf b_dia_15 (dia_int[15], DIA[15]); + buf b_dia_16 (dia_int[16], DIA[16]); + buf b_dia_17 (dia_int[17], DIA[17]); + buf b_dia_18 (dia_int[18], DIA[18]); + buf b_dia_19 (dia_int[19], DIA[19]); + buf b_dia_20 (dia_int[20], DIA[20]); + buf b_dia_21 (dia_int[21], DIA[21]); + buf b_dia_22 (dia_int[22], DIA[22]); + buf b_dia_23 (dia_int[23], DIA[23]); + buf b_dia_24 (dia_int[24], DIA[24]); + buf b_dia_25 (dia_int[25], DIA[25]); + buf b_dia_26 (dia_int[26], DIA[26]); + buf b_dia_27 (dia_int[27], DIA[27]); + buf b_dia_28 (dia_int[28], DIA[28]); + buf b_dia_29 (dia_int[29], DIA[29]); + buf b_dia_30 (dia_int[30], DIA[30]); + buf b_dia_31 (dia_int[31], DIA[31]); + buf b_dipa_0 (dipa_int[0], DIPA[0]); + buf b_dipa_1 (dipa_int[1], DIPA[1]); + buf b_dipa_2 (dipa_int[2], DIPA[2]); + buf b_dipa_3 (dipa_int[3], DIPA[3]); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + buf b_addrb_0 (addrb_int[0], ADDRB[0]); + buf b_addrb_1 (addrb_int[1], ADDRB[1]); + buf b_addrb_2 (addrb_int[2], ADDRB[2]); + buf b_addrb_3 (addrb_int[3], ADDRB[3]); + buf b_addrb_4 (addrb_int[4], ADDRB[4]); + buf b_addrb_5 (addrb_int[5], ADDRB[5]); + buf b_addrb_6 (addrb_int[6], ADDRB[6]); + buf b_addrb_7 (addrb_int[7], ADDRB[7]); + buf b_addrb_8 (addrb_int[8], ADDRB[8]); + buf b_dib_0 (dib_int[0], DIB[0]); + buf b_dib_1 (dib_int[1], DIB[1]); + buf b_dib_2 (dib_int[2], DIB[2]); + buf b_dib_3 (dib_int[3], DIB[3]); + buf b_dib_4 (dib_int[4], DIB[4]); + buf b_dib_5 (dib_int[5], DIB[5]); + buf b_dib_6 (dib_int[6], DIB[6]); + buf b_dib_7 (dib_int[7], DIB[7]); + buf b_dib_8 (dib_int[8], DIB[8]); + buf b_dib_9 (dib_int[9], DIB[9]); + buf b_dib_10 (dib_int[10], DIB[10]); + buf b_dib_11 (dib_int[11], DIB[11]); + buf b_dib_12 (dib_int[12], DIB[12]); + buf b_dib_13 (dib_int[13], DIB[13]); + buf b_dib_14 (dib_int[14], DIB[14]); + buf b_dib_15 (dib_int[15], DIB[15]); + buf b_dib_16 (dib_int[16], DIB[16]); + buf b_dib_17 (dib_int[17], DIB[17]); + buf b_dib_18 (dib_int[18], DIB[18]); + buf b_dib_19 (dib_int[19], DIB[19]); + buf b_dib_20 (dib_int[20], DIB[20]); + buf b_dib_21 (dib_int[21], DIB[21]); + buf b_dib_22 (dib_int[22], DIB[22]); + buf b_dib_23 (dib_int[23], DIB[23]); + buf b_dib_24 (dib_int[24], DIB[24]); + buf b_dib_25 (dib_int[25], DIB[25]); + buf b_dib_26 (dib_int[26], DIB[26]); + buf b_dib_27 (dib_int[27], DIB[27]); + buf b_dib_28 (dib_int[28], DIB[28]); + buf b_dib_29 (dib_int[29], DIB[29]); + buf b_dib_30 (dib_int[30], DIB[30]); + buf b_dib_31 (dib_int[31], DIB[31]); + buf b_dipb_0 (dipb_int[0], DIPB[0]); + buf b_dipb_1 (dipb_int[1], DIPB[1]); + buf b_dipb_2 (dipb_int[2], DIPB[2]); + buf b_dipb_3 (dipb_int[3], DIPB[3]); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + initial begin + for (count = 0; count < 256; count = count + 1) begin + mem[count] <= INIT_00[count]; + mem[256 * 1 + count] <= INIT_01[count]; + mem[256 * 2 + count] <= INIT_02[count]; + mem[256 * 3 + count] <= INIT_03[count]; + mem[256 * 4 + count] <= INIT_04[count]; + mem[256 * 5 + count] <= INIT_05[count]; + mem[256 * 6 + count] <= INIT_06[count]; + mem[256 * 7 + count] <= INIT_07[count]; + mem[256 * 8 + count] <= INIT_08[count]; + mem[256 * 9 + count] <= INIT_09[count]; + mem[256 * 10 + count] <= INIT_0A[count]; + mem[256 * 11 + count] <= INIT_0B[count]; + mem[256 * 12 + count] <= INIT_0C[count]; + mem[256 * 13 + count] <= INIT_0D[count]; + mem[256 * 14 + count] <= INIT_0E[count]; + mem[256 * 15 + count] <= INIT_0F[count]; + mem[256 * 16 + count] <= INIT_10[count]; + mem[256 * 17 + count] <= INIT_11[count]; + mem[256 * 18 + count] <= INIT_12[count]; + mem[256 * 19 + count] <= INIT_13[count]; + mem[256 * 20 + count] <= INIT_14[count]; + mem[256 * 21 + count] <= INIT_15[count]; + mem[256 * 22 + count] <= INIT_16[count]; + mem[256 * 23 + count] <= INIT_17[count]; + mem[256 * 24 + count] <= INIT_18[count]; + mem[256 * 25 + count] <= INIT_19[count]; + mem[256 * 26 + count] <= INIT_1A[count]; + mem[256 * 27 + count] <= INIT_1B[count]; + mem[256 * 28 + count] <= INIT_1C[count]; + mem[256 * 29 + count] <= INIT_1D[count]; + mem[256 * 30 + count] <= INIT_1E[count]; + mem[256 * 31 + count] <= INIT_1F[count]; + mem[256 * 32 + count] <= INIT_20[count]; + mem[256 * 33 + count] <= INIT_21[count]; + mem[256 * 34 + count] <= INIT_22[count]; + mem[256 * 35 + count] <= INIT_23[count]; + mem[256 * 36 + count] <= INIT_24[count]; + mem[256 * 37 + count] <= INIT_25[count]; + mem[256 * 38 + count] <= INIT_26[count]; + mem[256 * 39 + count] <= INIT_27[count]; + mem[256 * 40 + count] <= INIT_28[count]; + mem[256 * 41 + count] <= INIT_29[count]; + mem[256 * 42 + count] <= INIT_2A[count]; + mem[256 * 43 + count] <= INIT_2B[count]; + mem[256 * 44 + count] <= INIT_2C[count]; + mem[256 * 45 + count] <= INIT_2D[count]; + mem[256 * 46 + count] <= INIT_2E[count]; + mem[256 * 47 + count] <= INIT_2F[count]; + mem[256 * 48 + count] <= INIT_30[count]; + mem[256 * 49 + count] <= INIT_31[count]; + mem[256 * 50 + count] <= INIT_32[count]; + mem[256 * 51 + count] <= INIT_33[count]; + mem[256 * 52 + count] <= INIT_34[count]; + mem[256 * 53 + count] <= INIT_35[count]; + mem[256 * 54 + count] <= INIT_36[count]; + mem[256 * 55 + count] <= INIT_37[count]; + mem[256 * 56 + count] <= INIT_38[count]; + mem[256 * 57 + count] <= INIT_39[count]; + mem[256 * 58 + count] <= INIT_3A[count]; + mem[256 * 59 + count] <= INIT_3B[count]; + mem[256 * 60 + count] <= INIT_3C[count]; + mem[256 * 61 + count] <= INIT_3D[count]; + mem[256 * 62 + count] <= INIT_3E[count]; + mem[256 * 63 + count] <= INIT_3F[count]; + mem[256 * 64 + count] <= INITP_00[count]; + mem[256 * 65 + count] <= INITP_01[count]; + mem[256 * 66 + count] <= INITP_02[count]; + mem[256 * 67 + count] <= INITP_03[count]; + mem[256 * 68 + count] <= INITP_04[count]; + mem[256 * 69 + count] <= INITP_05[count]; + mem[256 * 70 + count] <= INITP_06[count]; + mem[256 * 71 + count] <= INITP_07[count]; + end + address_collision <= 0; + address_collision_a_b <= 0; + address_collision_b_a <= 0; + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 32; + assign data_addra_reg = addra_reg * 32; + assign data_addrb_int = addrb_int * 32; + assign data_addrb_reg = addrb_reg * 32; + assign parity_addra_int = 16384 + addra_int * 4; + assign parity_addra_reg = 16384 + addra_reg * 4; + assign parity_addrb_int = 16384 + addrb_int * 4; + assign parity_addrb_reg = 16384 + addrb_reg * 4; + + + initial begin + + display_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + assign setup_all_a_b = 1'b0; + assign setup_all_b_a = 1'b0; + assign setup_zero = 1'b0; + assign setup_rf_a_b = 1'b0; + assign setup_rf_b_a = 1'b0; + assign display_flag = 0; + end + "WARNING_ONLY" : begin + assign data_collision = 2'b00; + assign data_collision_a_b = 2'b00; + assign data_collision_b_a = 2'b00; + assign memory_collision = 1'b0; + assign memory_collision_a_b = 1'b0; + assign memory_collision_b_a = 1'b0; + end + "GENERATE_X_ONLY" : begin + assign display_flag = 0; + end + "ALL" : ; + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_X_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + + always @(posedge clkb_int) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:5] == data_addrb_int[14:5])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:5] == data_addrb_int[14:5])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:5] == data_addrb_reg[14:5])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:5] == data_addrb_int[14:5]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + + always @(posedge clkb_int) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + + // Data + always @(posedge memory_collision) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision <= 0; + end + + always @(posedge memory_collision_a_b) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_reg + dmi] <= 1'bX; + end + memory_collision_a_b <= 0; + end + + always @(posedge memory_collision_b_a) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision_b_a <= 0; + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0) begin + doa_out <= 32'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0) begin + dob_out <= 32'bX; + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0) begin + doa_out <= 32'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0) begin + dob_out <= 32'bX; + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0) begin + doa_out <= 32'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0) begin + dob_out <= 32'bX; + end + data_collision_b_a[0] <= 0; + end + + + // Parity + always @(posedge memory_collision) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_int + pmi] <= 1'bX; + end + end + + always @(posedge memory_collision_a_b) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_reg + pmi] <= 1'bX; + end + end + + always @(posedge memory_collision_b_a) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_int + pmi] <= 1'bX; + end + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0) begin + dopb_out <= 4'bX; + end + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0) begin + dopb_out <= 4'bX; + end + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0) begin + dopb_out <= 4'bX; + end + end + + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + // Port A + always @(posedge clka_int) begin + if (ena_int == 1'b1) begin + if (ssra_int == 1'b1) begin + doa_out[0] <= SRVAL_A[0]; + doa_out[1] <= SRVAL_A[1]; + doa_out[2] <= SRVAL_A[2]; + doa_out[3] <= SRVAL_A[3]; + doa_out[4] <= SRVAL_A[4]; + doa_out[5] <= SRVAL_A[5]; + doa_out[6] <= SRVAL_A[6]; + doa_out[7] <= SRVAL_A[7]; + doa_out[8] <= SRVAL_A[8]; + doa_out[9] <= SRVAL_A[9]; + doa_out[10] <= SRVAL_A[10]; + doa_out[11] <= SRVAL_A[11]; + doa_out[12] <= SRVAL_A[12]; + doa_out[13] <= SRVAL_A[13]; + doa_out[14] <= SRVAL_A[14]; + doa_out[15] <= SRVAL_A[15]; + doa_out[16] <= SRVAL_A[16]; + doa_out[17] <= SRVAL_A[17]; + doa_out[18] <= SRVAL_A[18]; + doa_out[19] <= SRVAL_A[19]; + doa_out[20] <= SRVAL_A[20]; + doa_out[21] <= SRVAL_A[21]; + doa_out[22] <= SRVAL_A[22]; + doa_out[23] <= SRVAL_A[23]; + doa_out[24] <= SRVAL_A[24]; + doa_out[25] <= SRVAL_A[25]; + doa_out[26] <= SRVAL_A[26]; + doa_out[27] <= SRVAL_A[27]; + doa_out[28] <= SRVAL_A[28]; + doa_out[29] <= SRVAL_A[29]; + doa_out[30] <= SRVAL_A[30]; + doa_out[31] <= SRVAL_A[31]; + dopa_out[0] <= SRVAL_A[32]; + dopa_out[1] <= SRVAL_A[33]; + dopa_out[2] <= SRVAL_A[34]; + dopa_out[3] <= SRVAL_A[35]; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= dia_int; + dopa_out <= dipa_int; + end + else if (wr_mode_a == 2'b01) begin + doa_out[0] <= mem[data_addra_int + 0]; + doa_out[1] <= mem[data_addra_int + 1]; + doa_out[2] <= mem[data_addra_int + 2]; + doa_out[3] <= mem[data_addra_int + 3]; + doa_out[4] <= mem[data_addra_int + 4]; + doa_out[5] <= mem[data_addra_int + 5]; + doa_out[6] <= mem[data_addra_int + 6]; + doa_out[7] <= mem[data_addra_int + 7]; + doa_out[8] <= mem[data_addra_int + 8]; + doa_out[9] <= mem[data_addra_int + 9]; + doa_out[10] <= mem[data_addra_int + 10]; + doa_out[11] <= mem[data_addra_int + 11]; + doa_out[12] <= mem[data_addra_int + 12]; + doa_out[13] <= mem[data_addra_int + 13]; + doa_out[14] <= mem[data_addra_int + 14]; + doa_out[15] <= mem[data_addra_int + 15]; + doa_out[16] <= mem[data_addra_int + 16]; + doa_out[17] <= mem[data_addra_int + 17]; + doa_out[18] <= mem[data_addra_int + 18]; + doa_out[19] <= mem[data_addra_int + 19]; + doa_out[20] <= mem[data_addra_int + 20]; + doa_out[21] <= mem[data_addra_int + 21]; + doa_out[22] <= mem[data_addra_int + 22]; + doa_out[23] <= mem[data_addra_int + 23]; + doa_out[24] <= mem[data_addra_int + 24]; + doa_out[25] <= mem[data_addra_int + 25]; + doa_out[26] <= mem[data_addra_int + 26]; + doa_out[27] <= mem[data_addra_int + 27]; + doa_out[28] <= mem[data_addra_int + 28]; + doa_out[29] <= mem[data_addra_int + 29]; + doa_out[30] <= mem[data_addra_int + 30]; + doa_out[31] <= mem[data_addra_int + 31]; + dopa_out[0] <= mem[parity_addra_int + 0]; + dopa_out[1] <= mem[parity_addra_int + 1]; + dopa_out[2] <= mem[parity_addra_int + 2]; + dopa_out[3] <= mem[parity_addra_int + 3]; + end + end + else begin + doa_out[0] <= mem[data_addra_int + 0]; + doa_out[1] <= mem[data_addra_int + 1]; + doa_out[2] <= mem[data_addra_int + 2]; + doa_out[3] <= mem[data_addra_int + 3]; + doa_out[4] <= mem[data_addra_int + 4]; + doa_out[5] <= mem[data_addra_int + 5]; + doa_out[6] <= mem[data_addra_int + 6]; + doa_out[7] <= mem[data_addra_int + 7]; + doa_out[8] <= mem[data_addra_int + 8]; + doa_out[9] <= mem[data_addra_int + 9]; + doa_out[10] <= mem[data_addra_int + 10]; + doa_out[11] <= mem[data_addra_int + 11]; + doa_out[12] <= mem[data_addra_int + 12]; + doa_out[13] <= mem[data_addra_int + 13]; + doa_out[14] <= mem[data_addra_int + 14]; + doa_out[15] <= mem[data_addra_int + 15]; + doa_out[16] <= mem[data_addra_int + 16]; + doa_out[17] <= mem[data_addra_int + 17]; + doa_out[18] <= mem[data_addra_int + 18]; + doa_out[19] <= mem[data_addra_int + 19]; + doa_out[20] <= mem[data_addra_int + 20]; + doa_out[21] <= mem[data_addra_int + 21]; + doa_out[22] <= mem[data_addra_int + 22]; + doa_out[23] <= mem[data_addra_int + 23]; + doa_out[24] <= mem[data_addra_int + 24]; + doa_out[25] <= mem[data_addra_int + 25]; + doa_out[26] <= mem[data_addra_int + 26]; + doa_out[27] <= mem[data_addra_int + 27]; + doa_out[28] <= mem[data_addra_int + 28]; + doa_out[29] <= mem[data_addra_int + 29]; + doa_out[30] <= mem[data_addra_int + 30]; + doa_out[31] <= mem[data_addra_int + 31]; + dopa_out[0] <= mem[parity_addra_int + 0]; + dopa_out[1] <= mem[parity_addra_int + 1]; + dopa_out[2] <= mem[parity_addra_int + 2]; + dopa_out[3] <= mem[parity_addra_int + 3]; + end + end + end + end + + always @(posedge clka_int) begin + if (ena_int == 1'b1 && wea_int == 1'b1) begin + mem[data_addra_int + 0] <= dia_int[0]; + mem[data_addra_int + 1] <= dia_int[1]; + mem[data_addra_int + 2] <= dia_int[2]; + mem[data_addra_int + 3] <= dia_int[3]; + mem[data_addra_int + 4] <= dia_int[4]; + mem[data_addra_int + 5] <= dia_int[5]; + mem[data_addra_int + 6] <= dia_int[6]; + mem[data_addra_int + 7] <= dia_int[7]; + mem[data_addra_int + 8] <= dia_int[8]; + mem[data_addra_int + 9] <= dia_int[9]; + mem[data_addra_int + 10] <= dia_int[10]; + mem[data_addra_int + 11] <= dia_int[11]; + mem[data_addra_int + 12] <= dia_int[12]; + mem[data_addra_int + 13] <= dia_int[13]; + mem[data_addra_int + 14] <= dia_int[14]; + mem[data_addra_int + 15] <= dia_int[15]; + mem[data_addra_int + 16] <= dia_int[16]; + mem[data_addra_int + 17] <= dia_int[17]; + mem[data_addra_int + 18] <= dia_int[18]; + mem[data_addra_int + 19] <= dia_int[19]; + mem[data_addra_int + 20] <= dia_int[20]; + mem[data_addra_int + 21] <= dia_int[21]; + mem[data_addra_int + 22] <= dia_int[22]; + mem[data_addra_int + 23] <= dia_int[23]; + mem[data_addra_int + 24] <= dia_int[24]; + mem[data_addra_int + 25] <= dia_int[25]; + mem[data_addra_int + 26] <= dia_int[26]; + mem[data_addra_int + 27] <= dia_int[27]; + mem[data_addra_int + 28] <= dia_int[28]; + mem[data_addra_int + 29] <= dia_int[29]; + mem[data_addra_int + 30] <= dia_int[30]; + mem[data_addra_int + 31] <= dia_int[31]; + mem[parity_addra_int + 0] <= dipa_int[0]; + mem[parity_addra_int + 1] <= dipa_int[1]; + mem[parity_addra_int + 2] <= dipa_int[2]; + mem[parity_addra_int + 3] <= dipa_int[3]; + end + end + + // Port B + always @(posedge clkb_int) begin + if (enb_int == 1'b1) begin + if (ssrb_int == 1'b1) begin + dob_out[0] <= SRVAL_B[0]; + dob_out[1] <= SRVAL_B[1]; + dob_out[2] <= SRVAL_B[2]; + dob_out[3] <= SRVAL_B[3]; + dob_out[4] <= SRVAL_B[4]; + dob_out[5] <= SRVAL_B[5]; + dob_out[6] <= SRVAL_B[6]; + dob_out[7] <= SRVAL_B[7]; + dob_out[8] <= SRVAL_B[8]; + dob_out[9] <= SRVAL_B[9]; + dob_out[10] <= SRVAL_B[10]; + dob_out[11] <= SRVAL_B[11]; + dob_out[12] <= SRVAL_B[12]; + dob_out[13] <= SRVAL_B[13]; + dob_out[14] <= SRVAL_B[14]; + dob_out[15] <= SRVAL_B[15]; + dob_out[16] <= SRVAL_B[16]; + dob_out[17] <= SRVAL_B[17]; + dob_out[18] <= SRVAL_B[18]; + dob_out[19] <= SRVAL_B[19]; + dob_out[20] <= SRVAL_B[20]; + dob_out[21] <= SRVAL_B[21]; + dob_out[22] <= SRVAL_B[22]; + dob_out[23] <= SRVAL_B[23]; + dob_out[24] <= SRVAL_B[24]; + dob_out[25] <= SRVAL_B[25]; + dob_out[26] <= SRVAL_B[26]; + dob_out[27] <= SRVAL_B[27]; + dob_out[28] <= SRVAL_B[28]; + dob_out[29] <= SRVAL_B[29]; + dob_out[30] <= SRVAL_B[30]; + dob_out[31] <= SRVAL_B[31]; + dopb_out[0] <= SRVAL_B[32]; + dopb_out[1] <= SRVAL_B[33]; + dopb_out[2] <= SRVAL_B[34]; + dopb_out[3] <= SRVAL_B[35]; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= dib_int; + dopb_out <= dipb_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + dob_out[2] <= mem[data_addrb_int + 2]; + dob_out[3] <= mem[data_addrb_int + 3]; + dob_out[4] <= mem[data_addrb_int + 4]; + dob_out[5] <= mem[data_addrb_int + 5]; + dob_out[6] <= mem[data_addrb_int + 6]; + dob_out[7] <= mem[data_addrb_int + 7]; + dob_out[8] <= mem[data_addrb_int + 8]; + dob_out[9] <= mem[data_addrb_int + 9]; + dob_out[10] <= mem[data_addrb_int + 10]; + dob_out[11] <= mem[data_addrb_int + 11]; + dob_out[12] <= mem[data_addrb_int + 12]; + dob_out[13] <= mem[data_addrb_int + 13]; + dob_out[14] <= mem[data_addrb_int + 14]; + dob_out[15] <= mem[data_addrb_int + 15]; + dob_out[16] <= mem[data_addrb_int + 16]; + dob_out[17] <= mem[data_addrb_int + 17]; + dob_out[18] <= mem[data_addrb_int + 18]; + dob_out[19] <= mem[data_addrb_int + 19]; + dob_out[20] <= mem[data_addrb_int + 20]; + dob_out[21] <= mem[data_addrb_int + 21]; + dob_out[22] <= mem[data_addrb_int + 22]; + dob_out[23] <= mem[data_addrb_int + 23]; + dob_out[24] <= mem[data_addrb_int + 24]; + dob_out[25] <= mem[data_addrb_int + 25]; + dob_out[26] <= mem[data_addrb_int + 26]; + dob_out[27] <= mem[data_addrb_int + 27]; + dob_out[28] <= mem[data_addrb_int + 28]; + dob_out[29] <= mem[data_addrb_int + 29]; + dob_out[30] <= mem[data_addrb_int + 30]; + dob_out[31] <= mem[data_addrb_int + 31]; + dopb_out[0] <= mem[parity_addrb_int + 0]; + dopb_out[1] <= mem[parity_addrb_int + 1]; + dopb_out[2] <= mem[parity_addrb_int + 2]; + dopb_out[3] <= mem[parity_addrb_int + 3]; + end + end + else begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + dob_out[2] <= mem[data_addrb_int + 2]; + dob_out[3] <= mem[data_addrb_int + 3]; + dob_out[4] <= mem[data_addrb_int + 4]; + dob_out[5] <= mem[data_addrb_int + 5]; + dob_out[6] <= mem[data_addrb_int + 6]; + dob_out[7] <= mem[data_addrb_int + 7]; + dob_out[8] <= mem[data_addrb_int + 8]; + dob_out[9] <= mem[data_addrb_int + 9]; + dob_out[10] <= mem[data_addrb_int + 10]; + dob_out[11] <= mem[data_addrb_int + 11]; + dob_out[12] <= mem[data_addrb_int + 12]; + dob_out[13] <= mem[data_addrb_int + 13]; + dob_out[14] <= mem[data_addrb_int + 14]; + dob_out[15] <= mem[data_addrb_int + 15]; + dob_out[16] <= mem[data_addrb_int + 16]; + dob_out[17] <= mem[data_addrb_int + 17]; + dob_out[18] <= mem[data_addrb_int + 18]; + dob_out[19] <= mem[data_addrb_int + 19]; + dob_out[20] <= mem[data_addrb_int + 20]; + dob_out[21] <= mem[data_addrb_int + 21]; + dob_out[22] <= mem[data_addrb_int + 22]; + dob_out[23] <= mem[data_addrb_int + 23]; + dob_out[24] <= mem[data_addrb_int + 24]; + dob_out[25] <= mem[data_addrb_int + 25]; + dob_out[26] <= mem[data_addrb_int + 26]; + dob_out[27] <= mem[data_addrb_int + 27]; + dob_out[28] <= mem[data_addrb_int + 28]; + dob_out[29] <= mem[data_addrb_int + 29]; + dob_out[30] <= mem[data_addrb_int + 30]; + dob_out[31] <= mem[data_addrb_int + 31]; + dopb_out[0] <= mem[parity_addrb_int + 0]; + dopb_out[1] <= mem[parity_addrb_int + 1]; + dopb_out[2] <= mem[parity_addrb_int + 2]; + dopb_out[3] <= mem[parity_addrb_int + 3]; + end + end + end + end + + always @(posedge clkb_int) begin + if (enb_int == 1'b1 && web_int == 1'b1) begin + mem[data_addrb_int + 0] <= dib_int[0]; + mem[data_addrb_int + 1] <= dib_int[1]; + mem[data_addrb_int + 2] <= dib_int[2]; + mem[data_addrb_int + 3] <= dib_int[3]; + mem[data_addrb_int + 4] <= dib_int[4]; + mem[data_addrb_int + 5] <= dib_int[5]; + mem[data_addrb_int + 6] <= dib_int[6]; + mem[data_addrb_int + 7] <= dib_int[7]; + mem[data_addrb_int + 8] <= dib_int[8]; + mem[data_addrb_int + 9] <= dib_int[9]; + mem[data_addrb_int + 10] <= dib_int[10]; + mem[data_addrb_int + 11] <= dib_int[11]; + mem[data_addrb_int + 12] <= dib_int[12]; + mem[data_addrb_int + 13] <= dib_int[13]; + mem[data_addrb_int + 14] <= dib_int[14]; + mem[data_addrb_int + 15] <= dib_int[15]; + mem[data_addrb_int + 16] <= dib_int[16]; + mem[data_addrb_int + 17] <= dib_int[17]; + mem[data_addrb_int + 18] <= dib_int[18]; + mem[data_addrb_int + 19] <= dib_int[19]; + mem[data_addrb_int + 20] <= dib_int[20]; + mem[data_addrb_int + 21] <= dib_int[21]; + mem[data_addrb_int + 22] <= dib_int[22]; + mem[data_addrb_int + 23] <= dib_int[23]; + mem[data_addrb_int + 24] <= dib_int[24]; + mem[data_addrb_int + 25] <= dib_int[25]; + mem[data_addrb_int + 26] <= dib_int[26]; + mem[data_addrb_int + 27] <= dib_int[27]; + mem[data_addrb_int + 28] <= dib_int[28]; + mem[data_addrb_int + 29] <= dib_int[29]; + mem[data_addrb_int + 30] <= dib_int[30]; + mem[data_addrb_int + 31] <= dib_int[31]; + mem[parity_addrb_int + 0] <= dipb_int[0]; + mem[parity_addrb_int + 1] <= dipb_int[1]; + mem[parity_addrb_int + 2] <= dipb_int[2]; + mem[parity_addrb_int + 3] <= dipb_int[3]; + end + end + + specify + (CLKA *> DOA) = (100, 100); + (CLKA *> DOPA) = (100, 100); + (CLKB *> DOB) = (100, 100); + (CLKB *> DOPB) = (100, 100); + endspecify + +endmodule + +`else + +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S36_S36.v,v 1.9 2005/03/14 22:54:41 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Timing Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S36_S36.v +// \ \ / \ Timestamp : Thu Mar 10 16:44:01 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// 03/10/05 - Initialized outputs. +// End Revision + +`timescale 1 ps/1 ps + +module RAMB16_S36_S36 (DOA, DOB, DOPA, DOPB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, DIPA, DIPB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 36'h0; + parameter INIT_B = 36'h0; + parameter SRVAL_A = 36'h0; + parameter SRVAL_B = 36'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [31:0] DOA; + output [3:0] DOPA; + output [31:0] DOB; + output [3:0] DOPB; + + input [8:0] ADDRA; + input [31:0] DIA; + input [3:0] DIPA; + input ENA, CLKA, WEA, SSRA; + input [8:0] ADDRB; + input [31:0] DIB; + input [3:0] DIPB; + input ENB, CLKB, WEB, SSRB; + + reg [31:0] doa_out = INIT_A[31:0]; + reg [3:0] dopa_out = INIT_A[35:32]; + reg [31:0] dob_out = INIT_B[31:0]; + reg [3:0] dopb_out = INIT_B[35:32]; + + reg [31:0] mem [511:0]; + reg [3:0] memp [511:0]; + + reg [8:0] count, countp; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [8:0] addra_int; + reg [8:0] addra_reg; + wire [31:0] dia_int; + wire [3:0] dipa_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [8:0] addrb_int; + reg [8:0] addrb_reg; + wire [31:0] dib_int; + wire [3:0] dipb_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag, output_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + + wire dia_enable = ena_int && wea_int; + wire dib_enable = enb_int && web_int; + + tri0 GSR = glbl.GSR; + wire gsr_int; + + buf b_gsr (gsr_int, GSR); + + buf b_doa [31:0] (DOA, doa_out); + buf b_dopa [3:0] (DOPA, dopa_out); + buf b_addra [8:0] (addra_int, ADDRA); + buf b_dia [31:0] (dia_int, DIA); + buf b_dipa [3:0] (dipa_int, DIPA); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + + buf b_dob [31:0] (DOB, dob_out); + buf b_dopb [3:0] (DOPB, dopb_out); + buf b_addrb [8:0] (addrb_int, ADDRB); + buf b_dib [31:0] (dib_int, DIB); + buf b_dipb [3:0] (dipb_int, DIPB); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + + always @(gsr_int) + if (gsr_int) begin + assign {dopa_out, doa_out} = INIT_A; + assign {dopb_out, dob_out} = INIT_B; + end + else begin + deassign doa_out; + deassign dopa_out; + deassign dob_out; + deassign dopb_out; + end + + initial begin : initialize_mems + +`ifdef UNDEFINED + for (count = 0; count < 8; count = count + 1) begin + mem[count] = INIT_00[(count * 32) +: 32]; + mem[8 * 1 + count] = INIT_01[(count * 32) +: 32]; + mem[8 * 2 + count] = INIT_02[(count * 32) +: 32]; + mem[8 * 3 + count] = INIT_03[(count * 32) +: 32]; + mem[8 * 4 + count] = INIT_04[(count * 32) +: 32]; + mem[8 * 5 + count] = INIT_05[(count * 32) +: 32]; + mem[8 * 6 + count] = INIT_06[(count * 32) +: 32]; + mem[8 * 7 + count] = INIT_07[(count * 32) +: 32]; + mem[8 * 8 + count] = INIT_08[(count * 32) +: 32]; + mem[8 * 9 + count] = INIT_09[(count * 32) +: 32]; + mem[8 * 10 + count] = INIT_0A[(count * 32) +: 32]; + mem[8 * 11 + count] = INIT_0B[(count * 32) +: 32]; + mem[8 * 12 + count] = INIT_0C[(count * 32) +: 32]; + mem[8 * 13 + count] = INIT_0D[(count * 32) +: 32]; + mem[8 * 14 + count] = INIT_0E[(count * 32) +: 32]; + mem[8 * 15 + count] = INIT_0F[(count * 32) +: 32]; + mem[8 * 16 + count] = INIT_10[(count * 32) +: 32]; + mem[8 * 17 + count] = INIT_11[(count * 32) +: 32]; + mem[8 * 18 + count] = INIT_12[(count * 32) +: 32]; + mem[8 * 19 + count] = INIT_13[(count * 32) +: 32]; + mem[8 * 20 + count] = INIT_14[(count * 32) +: 32]; + mem[8 * 21 + count] = INIT_15[(count * 32) +: 32]; + mem[8 * 22 + count] = INIT_16[(count * 32) +: 32]; + mem[8 * 23 + count] = INIT_17[(count * 32) +: 32]; + mem[8 * 24 + count] = INIT_18[(count * 32) +: 32]; + mem[8 * 25 + count] = INIT_19[(count * 32) +: 32]; + mem[8 * 26 + count] = INIT_1A[(count * 32) +: 32]; + mem[8 * 27 + count] = INIT_1B[(count * 32) +: 32]; + mem[8 * 28 + count] = INIT_1C[(count * 32) +: 32]; + mem[8 * 29 + count] = INIT_1D[(count * 32) +: 32]; + mem[8 * 30 + count] = INIT_1E[(count * 32) +: 32]; + mem[8 * 31 + count] = INIT_1F[(count * 32) +: 32]; + mem[8 * 32 + count] = INIT_20[(count * 32) +: 32]; + mem[8 * 33 + count] = INIT_21[(count * 32) +: 32]; + mem[8 * 34 + count] = INIT_22[(count * 32) +: 32]; + mem[8 * 35 + count] = INIT_23[(count * 32) +: 32]; + mem[8 * 36 + count] = INIT_24[(count * 32) +: 32]; + mem[8 * 37 + count] = INIT_25[(count * 32) +: 32]; + mem[8 * 38 + count] = INIT_26[(count * 32) +: 32]; + mem[8 * 39 + count] = INIT_27[(count * 32) +: 32]; + mem[8 * 40 + count] = INIT_28[(count * 32) +: 32]; + mem[8 * 41 + count] = INIT_29[(count * 32) +: 32]; + mem[8 * 42 + count] = INIT_2A[(count * 32) +: 32]; + mem[8 * 43 + count] = INIT_2B[(count * 32) +: 32]; + mem[8 * 44 + count] = INIT_2C[(count * 32) +: 32]; + mem[8 * 45 + count] = INIT_2D[(count * 32) +: 32]; + mem[8 * 46 + count] = INIT_2E[(count * 32) +: 32]; + mem[8 * 47 + count] = INIT_2F[(count * 32) +: 32]; + mem[8 * 48 + count] = INIT_30[(count * 32) +: 32]; + mem[8 * 49 + count] = INIT_31[(count * 32) +: 32]; + mem[8 * 50 + count] = INIT_32[(count * 32) +: 32]; + mem[8 * 51 + count] = INIT_33[(count * 32) +: 32]; + mem[8 * 52 + count] = INIT_34[(count * 32) +: 32]; + mem[8 * 53 + count] = INIT_35[(count * 32) +: 32]; + mem[8 * 54 + count] = INIT_36[(count * 32) +: 32]; + mem[8 * 55 + count] = INIT_37[(count * 32) +: 32]; + mem[8 * 56 + count] = INIT_38[(count * 32) +: 32]; + mem[8 * 57 + count] = INIT_39[(count * 32) +: 32]; + mem[8 * 58 + count] = INIT_3A[(count * 32) +: 32]; + mem[8 * 59 + count] = INIT_3B[(count * 32) +: 32]; + mem[8 * 60 + count] = INIT_3C[(count * 32) +: 32]; + mem[8 * 61 + count] = INIT_3D[(count * 32) +: 32]; + mem[8 * 62 + count] = INIT_3E[(count * 32) +: 32]; + mem[8 * 63 + count] = INIT_3F[(count * 32) +: 32]; + end +`else + integer i; + for (i = 0; i < 512; i = i + 1) + begin + mem[i] = 0; + memp[i] = 0; + end + +`endif + +// initiate parity start +`ifdef UNDEFINED + for (countp = 0; countp < 64; countp = countp + 1) begin + memp[countp] = INITP_00[(countp * 4) +: 4]; + memp[64 * 1 + countp] = INITP_01[(countp * 4) +: 4]; + memp[64 * 2 + countp] = INITP_02[(countp * 4) +: 4]; + memp[64 * 3 + countp] = INITP_03[(countp * 4) +: 4]; + memp[64 * 4 + countp] = INITP_04[(countp * 4) +: 4]; + memp[64 * 5 + countp] = INITP_05[(countp * 4) +: 4]; + memp[64 * 6 + countp] = INITP_06[(countp * 4) +: 4]; + memp[64 * 7 + countp] = INITP_07[(countp * 4) +: 4]; + end +`endif +// initiate parity end + + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 32; + assign data_addra_reg = addra_reg * 32; + assign data_addrb_int = addrb_int * 32; + assign data_addrb_reg = addrb_reg * 32; + + + initial begin + + display_flag = 1; + output_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + output_flag = 0; + display_flag = 0; + end + "WARNING_ONLY" : output_flag = 0; + "GENERATE_ONLY" : display_flag = 0; + "ALL" : ; + + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:5] == data_addrb_int[14:5])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:5] == data_addrb_int[14:5])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:5] == data_addrb_reg[14:5])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:5] == data_addrb_int[14:5]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + end + + + // Data + always @(posedge memory_collision) begin + if ((output_flag || display_flag)) begin + mem[addra_int] <= 32'bx; + memory_collision <= 0; + end + + end + + always @(posedge memory_collision_a_b) begin + if ((output_flag || display_flag)) begin + mem[addra_reg] <= 32'bx; + memory_collision_a_b <= 0; + end + end + + always @(posedge memory_collision_b_a) begin + if ((output_flag || display_flag)) begin + mem[addra_int] <= 32'bx; + memory_collision_b_a <= 0; + end + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision_b_a[0] <= 0; + end + +// x parity start + always @(posedge memory_collision) begin + if ((output_flag || display_flag)) + memp[addra_int] <= 4'bx; + end + + always @(posedge memory_collision_a_b) begin + if ((output_flag || display_flag)) + memp[addra_reg] <= 4'bx; + end + + always @(posedge memory_collision_b_a) begin + if ((output_flag || display_flag)) + memp[addra_int] <= 4'bx; + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end +// x parity end + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + + // Port A + always @(posedge clka_int) begin + + if (ena_int == 1'b1) begin + + if (ssra_int == 1'b1) begin + {dopa_out, doa_out} <= #100 SRVAL_A; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= #100 dia_int; + dopa_out <= #100 dipa_int; + end + else if (wr_mode_a == 2'b01) begin + + doa_out <= #100 mem[addra_int]; + dopa_out <= #100 memp[addra_int]; + + end + end + else begin + + doa_out <= #100 mem[addra_int]; + dopa_out <= #100 memp[addra_int]; + + end + end + + // memory + if (wea_int == 1'b1) begin + mem[addra_int] <= dia_int; + memp[addra_int] <= dipa_int; + end + + end + end + + + // Port B + always @(posedge clkb_int) begin + + if (enb_int == 1'b1) begin + + if (ssrb_int == 1'b1) begin + {dopb_out, dob_out} <= #100 SRVAL_B; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= #100 dib_int; + dopb_out <= #100 dipb_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out <= #100 mem[addrb_int]; + dopb_out <= #100 memp[addrb_int]; + end + end + else begin + dob_out <= #100 mem[addrb_int]; + dopb_out <= #100 memp[addrb_int]; + end + end + + // memory + if (web_int == 1'b1) begin + mem[addrb_int] <= dib_int; + memp[addrb_int] <= dipb_int; + end + + end + end + + +endmodule + +`endif diff --git a/usrp2/fpga/eth/rtl/verilog/elastic_buffer.v b/usrp2/fpga/eth/rtl/verilog/elastic_buffer.v new file mode 100644 index 00000000..56c821b7 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/elastic_buffer.v @@ -0,0 +1,93 @@ + + +module elastic_buffer + ( input rx_clk, + input tx_clk, + input rst, + + input [7:0] rxd, + input rx_dv, + input rx_er, + input crs, + input col, + + output [7:0] rxd_ret, + output rx_dv_ret, + output rx_er_ret, + output crs_ret, + output col_ret ); + + reg [3:0] addr_wr,addr_wr_gray,awg_d1,awg_d2,addr_wr_gray_ret,awgr_d1,addr_wr_ungray,addr_rd; + + reg [11:0] buffer [0:15]; + integer i; + initial + for(i=0;i<16;i=i+1) + buffer[i] <= 0; + + reg [7:0] rxd_d1, rxd_d2; + reg rx_dv_d1,rx_er_d1,crs_d1,col_d1, rx_dv_d2,rx_er_d2,crs_d2,col_d2; + wire rx_dv_ret_adv; + reg rx_dv_ontime; + + always @(posedge rx_clk) + {col_d1,crs_d1,rx_er_d1,rx_dv_d1,rxd_d1} <= {col,crs,rx_er,rx_dv,rxd}; + + always @(posedge rx_clk) + {col_d2,crs_d2,rx_er_d2,rx_dv_d2,rxd_d2} <= {col_d1,crs_d1,rx_er_d1,rx_dv_d1,rxd_d1}; + + always @(posedge rx_clk) + buffer[addr_wr] <= {col_d2,crs_d2,rx_er_d2,rx_dv_d1,rxd_d2}; + + always @(posedge rx_clk or posedge rst) + if(rst) addr_wr <= 0; + else addr_wr <= addr_wr + 1; + + always @(posedge rx_clk) + begin + addr_wr_gray <= {addr_wr[3],^addr_wr[3:2],^addr_wr[2:1],^addr_wr[1:0]}; + awg_d1 <= addr_wr_gray; + awg_d2 <= awg_d1; + end + + always @(posedge tx_clk) + begin + addr_wr_gray_ret <= awg_d2; + awgr_d1 <= addr_wr_gray_ret; + addr_wr_ungray <= {awgr_d1[3],^awgr_d1[3:2],^awgr_d1[3:1],^awgr_d1[3:0]}; + end + + wire [3:0] addr_delta = addr_rd-addr_wr_ungray; + reg [1:0] direction; + localparam retard = 2'd0; + localparam good = 2'd1; + localparam advance = 2'd2; + localparam wayoff = 2'd3; + + always @* + case(addr_delta) + 4'd1, 4'd2, 4'd3, 4'd4, 4'd5 : direction <= retard; + 4'd15, 4'd14, 4'd13, 4'd12, 4'd11 : direction <= advance; + 4'd0 : direction <= good; + default : direction <= wayoff; + endcase // case(addr_delta) + + always @(posedge tx_clk or posedge rst) + if(rst) + addr_rd <= 0; + else if(rx_dv_ret_adv | rx_dv_ontime) + addr_rd <= addr_rd + 1; + else + case(direction) + retard : addr_rd <= addr_rd; + advance : addr_rd <= addr_rd + 2; + good : addr_rd <= addr_rd + 1; + wayoff : addr_rd <= addr_wr_ungray; + endcase // case(direction) + + assign {col_ret,crs_ret,rx_er_ret,rx_dv_ret_adv,rxd_ret} = buffer[addr_rd]; + always @(posedge tx_clk) + rx_dv_ontime <= rx_dv_ret_adv; + + assign rx_dv_ret = rx_dv_ontime; +endmodule // elastic_buffer diff --git a/usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v b/usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v new file mode 100644 index 00000000..757049ec --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v @@ -0,0 +1,66 @@ + +module elastic_buffer_tb; + + reg rx_clk = 0, tx_clk = 0, rst = 1; + + reg [7:0] rxd; + wire [7:0] rxd_ret; + reg rx_dv, rx_er, crs, col; + wire rx_dv_ret, rx_er_ret, crs_ret, col_ret; + + elastic_buffer elastic_buffer + (.rx_clk(rx_clk),.tx_clk(tx_clk),.rst(rst), + .rxd(rxd),.rx_dv(rx_dv),.rx_er(rx_er),.crs(crs),.col(col), + .rxd_ret(rxd_ret),.rx_dv_ret(rx_dv_ret),.rx_er_ret(rx_er_ret), + .crs_ret(crs_ret),.col_ret(col_ret) ); + + always #100 rx_clk = ~rx_clk; + always #101 tx_clk = ~tx_clk; + initial #950 rst = 0; + + initial + begin + {col,crs,rx_er,rx_dv,rxd} <= 0; + @(negedge rst); + @(posedge rx_clk); + + repeat (13) + begin + repeat (284) + @(posedge rx_clk); + SendPKT; + end + repeat (100) + @(posedge rx_clk); + $finish; + end // initial begin + + reg [7:0] rxd_ret_d1; + always @(posedge tx_clk) + rxd_ret_d1 <= rxd_ret; + + wire [7:0] diff = rxd_ret_d1 - rxd_ret; + + wire error = rx_dv_ret && (diff != 8'hFF); + + task SendPKT; + begin + {col,crs,rx_er,rx_dv,rxd} <= 0; + @(posedge rx_clk); + {col,crs,rx_er,rx_dv,rxd} <= {4'hF,8'd1}; + @(posedge rx_clk); + repeat (250) + begin + rxd <= rxd + 1; + @(posedge rx_clk); + end + {col,crs,rx_er,rx_dv,rxd} <= 0; + @(posedge rx_clk); + end + endtask // SendPKT + + initial begin + $dumpfile("elastic_buffer_tb.vcd"); + $dumpvars(0,elastic_buffer_tb); + end +endmodule // elastic_buffer_tb diff --git a/usrp2/fpga/eth/rtl/verilog/eth_miim.v b/usrp2/fpga/eth/rtl/verilog/eth_miim.v new file mode 100644 index 00000000..a15c9420 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/eth_miim.v @@ -0,0 +1,470 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_miim.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_miim.v,v $ +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// +// Revision 1.4 2005/08/16 12:07:57 Administrator +// no message +// +// Revision 1.3 2005/05/19 07:04:29 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:46 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.5 2003/05/16 10:08:27 mohor +// Busy was set 2 cycles too late. Reported by Dennis Scott. +// +// Revision 1.4 2002/08/14 18:32:10 mohor +// - Busy signal was not set on time when scan status operation was performed +// and clock was divided with more than 2. +// - Nvalid remains valid two more clocks (was previously cleared too soon). +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.2 2001/08/02 09:25:31 mohor +// Unconnected signals are now connected. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:56 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +module eth_miim +( + Clk, + Reset, + Divider, + NoPre, + CtrlData, + Rgad, + Fiad, + WCtrlData, + RStat, + ScanStat, + Mdio, + Mdc, + Busy, + Prsd, + LinkFail, + Nvalid, + WCtrlDataStart, + RStatStart, + UpdateMIIRX_DATAReg +); + +input Clk; // Host Clock +input Reset; // General Reset +input [7:0] Divider; // Divider for the host clock +input [15:0] CtrlData; // Control Data (to be written to the PHY reg.) +input [4:0] Rgad; // Register Address (within the PHY) +input [4:0] Fiad; // PHY Address +input NoPre; // No Preamble (no 32-bit preamble) +input WCtrlData; // Write Control Data operation +input RStat; // Read Status operation +input ScanStat; // Scan Status operation +inout Mdio; // MII Management Data In + +output Mdc; // MII Management Data Clock + +output Busy; // Busy Signal +output LinkFail; // Link Integrity Signal +output Nvalid; // Invalid Status (qualifier for the valid scan result) + +output [15:0] Prsd; // Read Status Data (data read from the PHY) + +output WCtrlDataStart; // This signals resets the WCTRLDATA bit in the MIIM Command register +output RStatStart; // This signal resets the RSTAT BIT in the MIIM Command register +output UpdateMIIRX_DATAReg;// Updates MII RX_DATA register with read data + +//parameter Tp = 1; + + +reg Nvalid; +reg EndBusy_d; // Pre-end Busy signal +reg EndBusy; // End Busy signal (stops the operation in progress) + +reg WCtrlData_q1; // Write Control Data operation delayed 1 Clk cycle +reg WCtrlData_q2; // Write Control Data operation delayed 2 Clk cycles +reg WCtrlData_q3; // Write Control Data operation delayed 3 Clk cycles +reg WCtrlDataStart; // Start Write Control Data Command (positive edge detected) +reg WCtrlDataStart_q; +reg WCtrlDataStart_q1; // Start Write Control Data Command delayed 1 Mdc cycle +reg WCtrlDataStart_q2; // Start Write Control Data Command delayed 2 Mdc cycles + +reg RStat_q1; // Read Status operation delayed 1 Clk cycle +reg RStat_q2; // Read Status operation delayed 2 Clk cycles +reg RStat_q3; // Read Status operation delayed 3 Clk cycles +reg RStatStart; // Start Read Status Command (positive edge detected) +reg RStatStart_q1; // Start Read Status Command delayed 1 Mdc cycle +reg RStatStart_q2; // Start Read Status Command delayed 2 Mdc cycles + +reg ScanStat_q1; // Scan Status operation delayed 1 cycle +reg ScanStat_q2; // Scan Status operation delayed 2 cycles +reg SyncStatMdcEn; // Scan Status operation delayed at least cycles and synchronized to MdcEn + +wire WriteDataOp; // Write Data Operation (positive edge detected) +wire ReadStatusOp; // Read Status Operation (positive edge detected) +wire ScanStatusOp; // Scan Status Operation (positive edge detected) +wire StartOp; // Start Operation (start of any of the preceding operations) +wire EndOp; // End of Operation + +reg InProgress; // Operation in progress +reg InProgress_q1; // Operation in progress delayed 1 Mdc cycle +reg InProgress_q2; // Operation in progress delayed 2 Mdc cycles +reg InProgress_q3; // Operation in progress delayed 3 Mdc cycles + +reg WriteOp; // Write Operation Latch (When asserted, write operation is in progress) +reg [6:0] BitCounter; // Bit Counter + + +wire [3:0] ByteSelect; // Byte Select defines which byte (preamble, data, operation, etc.) is loaded and shifted through the shift register. +wire MdcEn; // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc rises. +wire ShiftedBit; // This bit is output of the shift register and is connected to the Mdo signal + + +wire LatchByte1_d2; +wire LatchByte0_d2; +reg LatchByte1_d; +reg LatchByte0_d; +reg [1:0] LatchByte; // Latch Byte selects which part of Read Status Data is updated from the shift register + +reg UpdateMIIRX_DATAReg;// Updates MII RX_DATA register with read data + +wire Mdo; // MII Management Data Output +wire MdoEn; // MII Management Data Output Enable +wire Mdi; + +assign Mdi=Mdio; +assign Mdio=MdoEn?Mdo:1'bz; + + + +// Generation of the EndBusy signal. It is used for ending the MII Management operation. +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + EndBusy_d <= 1'b0; + EndBusy <= 1'b0; + end + else + begin + EndBusy_d <= ~InProgress_q2 & InProgress_q3; + EndBusy <= EndBusy_d; + end +end + + +// Update MII RX_DATA register +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + UpdateMIIRX_DATAReg <= 0; + else + if(EndBusy & ~WCtrlDataStart_q) + UpdateMIIRX_DATAReg <= 1; + else + UpdateMIIRX_DATAReg <= 0; +end + + + +// Generation of the delayed signals used for positive edge triggering. +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + WCtrlData_q1 <= 1'b0; + WCtrlData_q2 <= 1'b0; + WCtrlData_q3 <= 1'b0; + + RStat_q1 <= 1'b0; + RStat_q2 <= 1'b0; + RStat_q3 <= 1'b0; + + ScanStat_q1 <= 1'b0; + ScanStat_q2 <= 1'b0; + SyncStatMdcEn <= 1'b0; + end + else + begin + WCtrlData_q1 <= WCtrlData; + WCtrlData_q2 <= WCtrlData_q1; + WCtrlData_q3 <= WCtrlData_q2; + + RStat_q1 <= RStat; + RStat_q2 <= RStat_q1; + RStat_q3 <= RStat_q2; + + ScanStat_q1 <= ScanStat; + ScanStat_q2 <= ScanStat_q1; + if(MdcEn) + SyncStatMdcEn <= ScanStat_q2; + end +end + + +// Generation of the Start Commands (Write Control Data or Read Status) +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + WCtrlDataStart <= 1'b0; + WCtrlDataStart_q <= 1'b0; + RStatStart <= 1'b0; + end + else + begin + if(EndBusy) + begin + WCtrlDataStart <= 1'b0; + RStatStart <= 1'b0; + end + else + begin + if(WCtrlData_q2 & ~WCtrlData_q3) + WCtrlDataStart <= 1'b1; + if(RStat_q2 & ~RStat_q3) + RStatStart <= 1'b1; + WCtrlDataStart_q <= WCtrlDataStart; + end + end +end + + +// Generation of the Nvalid signal (indicates when the status is invalid) +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + Nvalid <= 1'b0; + else + begin + if(~InProgress_q2 & InProgress_q3) + begin + Nvalid <= 1'b0; + end + else + begin + if(ScanStat_q2 & ~SyncStatMdcEn) + Nvalid <= 1'b1; + end + end +end + +// Signals used for the generation of the Operation signals (positive edge) +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + WCtrlDataStart_q1 <= 1'b0; + WCtrlDataStart_q2 <= 1'b0; + + RStatStart_q1 <= 1'b0; + RStatStart_q2 <= 1'b0; + + InProgress_q1 <= 1'b0; + InProgress_q2 <= 1'b0; + InProgress_q3 <= 1'b0; + + LatchByte0_d <= 1'b0; + LatchByte1_d <= 1'b0; + + LatchByte <= 2'b00; + end + else + begin + if(MdcEn) + begin + WCtrlDataStart_q1 <= WCtrlDataStart; + WCtrlDataStart_q2 <= WCtrlDataStart_q1; + + RStatStart_q1 <= RStatStart; + RStatStart_q2 <= RStatStart_q1; + + LatchByte[0] <= LatchByte0_d; + LatchByte[1] <= LatchByte1_d; + + LatchByte0_d <= LatchByte0_d2; + LatchByte1_d <= LatchByte1_d2; + + InProgress_q1 <= InProgress; + InProgress_q2 <= InProgress_q1; + InProgress_q3 <= InProgress_q2; + end + end +end + + +// Generation of the Operation signals +assign WriteDataOp = WCtrlDataStart_q1 & ~WCtrlDataStart_q2; +assign ReadStatusOp = RStatStart_q1 & ~RStatStart_q2; +assign ScanStatusOp = SyncStatMdcEn & ~InProgress & ~InProgress_q1 & ~InProgress_q2; +assign StartOp = WriteDataOp | ReadStatusOp | ScanStatusOp; + +// Busy +reg Busy; +always @ (posedge Clk or posedge Reset) + if (Reset) + Busy <=0; + else if(WCtrlData | WCtrlDataStart | RStat | RStatStart | SyncStatMdcEn | EndBusy | InProgress | InProgress_q3 | Nvalid) + Busy <=1; + else + Busy <=0; + +//assign Busy = WCtrlData | WCtrlDataStart | RStat | RStatStart | SyncStatMdcEn | EndBusy | InProgress | InProgress_q3 | Nvalid; + + +// Generation of the InProgress signal (indicates when an operation is in progress) +// Generation of the WriteOp signal (indicates when a write is in progress) +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + InProgress <= 1'b0; + WriteOp <= 1'b0; + end + else + begin + if(MdcEn) + begin + if(StartOp) + begin + if(~InProgress) + WriteOp <= WriteDataOp; + InProgress <= 1'b1; + end + else + begin + if(EndOp) + begin + InProgress <= 1'b0; + WriteOp <= 1'b0; + end + end + end + end +end + + + +// Bit Counter counts from 0 to 63 (from 32 to 63 when NoPre is asserted) +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + BitCounter[6:0] <= 7'h0; + else + begin + if(MdcEn) + begin + if(InProgress) + begin + if(NoPre & ( BitCounter == 7'h0 )) + BitCounter[6:0] <= 7'h21; + else + BitCounter[6:0] <= BitCounter[6:0] + 1'b1; + end + else + BitCounter[6:0] <= 7'h0; + end + end +end + + +// Operation ends when the Bit Counter reaches 63 +assign EndOp = BitCounter==63; + +assign ByteSelect[0] = InProgress & ((NoPre & (BitCounter == 7'h0)) | (~NoPre & (BitCounter == 7'h20))); +assign ByteSelect[1] = InProgress & (BitCounter == 7'h28); +assign ByteSelect[2] = InProgress & WriteOp & (BitCounter == 7'h30); +assign ByteSelect[3] = InProgress & WriteOp & (BitCounter == 7'h38); + + +// Latch Byte selects which part of Read Status Data is updated from the shift register +assign LatchByte1_d2 = InProgress & ~WriteOp & BitCounter == 7'h37; +assign LatchByte0_d2 = InProgress & ~WriteOp & BitCounter == 7'h3F; + +wire MdcEn_n; + +// Connecting the Clock Generator Module +eth_clockgen clkgen(.Clk(Clk), .Reset(Reset), .Divider(Divider[7:0]), .MdcEn(MdcEn), .MdcEn_n(MdcEn_n), .Mdc(Mdc) + ); + +// Connecting the Shift Register Module +eth_shiftreg shftrg(.Clk(Clk), .Reset(Reset), .MdcEn_n(MdcEn_n), .Mdi(Mdi), .Fiad(Fiad), .Rgad(Rgad), + .CtrlData(CtrlData), .WriteOp(WriteOp), .ByteSelect(ByteSelect), .LatchByte(LatchByte), + .ShiftedBit(ShiftedBit), .Prsd(Prsd), .LinkFail(LinkFail) + ); + +// Connecting the Output Control Module +eth_outputcontrol outctrl(.Clk(Clk), .Reset(Reset), .MdcEn_n(MdcEn_n), .InProgress(InProgress), + .ShiftedBit(ShiftedBit), .BitCounter(BitCounter), .WriteOp(WriteOp), .NoPre(NoPre), + .Mdo(Mdo), .MdoEn(MdoEn) + ); + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v b/usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v new file mode 100644 index 00000000..7ded9e08 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v @@ -0,0 +1,85 @@ + +// RX side of flow control -- when we are running out of RX space, send a PAUSE + +module flow_ctrl_rx + (input rst, + //host processor + input pause_frame_send_en, + input [15:0] pause_quanta_set, + input [15:0] fc_hwmark, + input [15:0] fc_lwmark, + // From MAC_rx_ctrl + input rx_clk, + input [15:0] rx_fifo_space, + // MAC_tx_ctrl + input tx_clk, + output reg xoff_gen, + output reg xon_gen, + input xoff_gen_complete, + input xon_gen_complete + ); + + // ****************************************************************************** + // Force our TX to send a PAUSE frame because our RX is nearly full + // ****************************************************************************** + + reg xon_int, xoff_int; + reg [21:0] countdown; + + always @(posedge rx_clk or posedge rst) + if(rst) + begin + xon_int <= 0; + xoff_int <= 0; + end + else + begin + xon_int <= 0; + xoff_int <= 0; + if(pause_frame_send_en) + if(countdown == 0) + if(rx_fifo_space < fc_lwmark) + xoff_int <= 1; + else + ; + else + if(rx_fifo_space > fc_hwmark) + xon_int <= 1; + end // else: !if(rst) + + reg xoff_int_d1, xon_int_d1; + + always @(posedge rx_clk) + xon_int_d1 <= xon_int; + always @(posedge rx_clk) + xoff_int_d1 <= xoff_int; + + always @ (posedge tx_clk or posedge rst) + if (rst) + xoff_gen <=0; + else if (xoff_gen_complete) + xoff_gen <=0; + else if (xoff_int | xoff_int_d1) + xoff_gen <=1; + + always @ (posedge tx_clk or posedge rst) + if (rst) + xon_gen <=0; + else if (xon_gen_complete) + xon_gen <=0; + else if (xon_int | xon_int_d1) + xon_gen <=1; + + wire [15:0] pq_reduced = pause_quanta_set - 2; + + always @(posedge tx_clk or posedge rst) + if(rst) + countdown <= 0; + else if(xoff_gen) + countdown <= {pq_reduced,6'd0}; + else if(xon_gen) + countdown <= 0; + else if(countdown != 0) + countdown <= countdown - 1; + +endmodule // flow_ctrl diff --git a/usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v b/usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v new file mode 100644 index 00000000..9f7556de --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v @@ -0,0 +1,36 @@ + +// TX side of flow control -- when other side sends PAUSE, we wait + +module flow_ctrl_tx + (input rst, + input tx_clk, + //host processor + input tx_pause_en, + // From MAC_rx_ctrl + input [15:0] pause_quanta, + input pause_quanta_val, + // MAC_tx_ctrl + output pause_apply, + input pause_quanta_sub); + + // ****************************************************************************** + // Inhibit our TX from transmitting because they sent us a PAUSE frame + // ****************************************************************************** + + reg [15:0] pause_quanta_counter; + reg pqval_d1, pqval_d2; + + always @(posedge tx_clk) pqval_d1 <= pause_quanta_val; + always @(posedge tx_clk) pqval_d2 <= pqval_d1; + + always @ (posedge tx_clk or posedge rst) + if (rst) + pause_quanta_counter <= 0; + else if (pqval_d1 & ~pqval_d2) + pause_quanta_counter <= pause_quanta; + else if((pause_quanta_counter!=0) & pause_quanta_sub) + pause_quanta_counter <= pause_quanta_counter - 1; + + assign pause_apply = tx_pause_en & (pause_quanta_counter != 0); + +endmodule // flow_ctrl diff --git a/usrp2/fpga/eth/rtl/verilog/header.vh b/usrp2/fpga/eth/rtl/verilog/header.vh new file mode 100644 index 00000000..ca0b580e --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/header.vh @@ -0,0 +1,7 @@ +`define MAC_SOURCE_REPLACE_EN 1 +`define MAC_TARGET_CHECK_EN 1 +`define MAC_BROADCAST_FILTER_EN 1 +`define MAC_TX_FF_DEPTH 9 +`define MAC_RX_FF_DEPTH 9 +`define MAC_TARGET_XILINX 1 +// `define MAC_TARGET_ALTERA 1 diff --git a/usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v b/usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v new file mode 100644 index 00000000..9da732f7 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v @@ -0,0 +1,141 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_clockgen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_clockgen.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:45 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:55 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +module eth_clockgen(Clk, Reset, Divider, MdcEn, MdcEn_n, Mdc); + +//parameter Tp=1; + +input Clk; // Input clock (Host clock) +input Reset; // Reset signal +input [7:0] Divider; // Divider (input clock will be divided by the Divider[7:0]) + +output Mdc; // Output clock +output MdcEn; // Enable signal is asserted for one Clk period before Mdc rises. +output MdcEn_n; // Enable signal is asserted for one Clk period before Mdc falls. + +reg Mdc; +reg [7:0] Counter; + +wire CountEq0; +wire [7:0] CounterPreset; +wire [7:0] TempDivider; + + +assign TempDivider[7:0] = (Divider[7:0]<2)? 8'h02 : Divider[7:0]; // If smaller than 2 +assign CounterPreset[7:0] = (TempDivider[7:0]>>1) -1; // We are counting half of period + + +// Counter counts half period +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + Counter[7:0] <= 8'h1; + else + begin + if(CountEq0) + begin + Counter[7:0] <= CounterPreset[7:0]; + end + else + Counter[7:0] <= Counter - 8'h1; + end +end + + +// Mdc is asserted every other half period +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + Mdc <= 1'b0; + else + begin + if(CountEq0) + Mdc <= ~Mdc; + end +end + + +assign CountEq0 = Counter == 8'h0; +assign MdcEn = CountEq0 & ~Mdc; +assign MdcEn_n = CountEq0 & Mdc; + +endmodule + + diff --git a/usrp2/fpga/eth/rtl/verilog/miim/eth_outputcontrol.v b/usrp2/fpga/eth/rtl/verilog/miim/eth_outputcontrol.v new file mode 100644 index 00000000..3df6c560 --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/miim/eth_outputcontrol.v @@ -0,0 +1,158 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_outputcontrol.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_outputcontrol.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:46 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.4 2002/07/09 20:11:59 mohor +// Comment removed. +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:56 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +module eth_outputcontrol(Clk, Reset, InProgress, ShiftedBit, BitCounter, WriteOp, NoPre, MdcEn_n, Mdo, MdoEn); + +input Clk; // Host Clock +input Reset; // General Reset +input WriteOp; // Write Operation Latch (When asserted, write operation is in progress) +input NoPre; // No Preamble (no 32-bit preamble) +input InProgress; // Operation in progress +input ShiftedBit; // This bit is output of the shift register and is connected to the Mdo signal +input [6:0] BitCounter; // Bit Counter +input MdcEn_n; // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc falls. + +output Mdo; // MII Management Data Output +output MdoEn; // MII Management Data Output Enable + +wire SerialEn; + +reg MdoEn_2d; +reg MdoEn_d; +reg MdoEn; + +reg Mdo_2d; +reg Mdo_d; +reg Mdo; // MII Management Data Output + + + +// Generation of the Serial Enable signal (enables the serialization of the data) +assign SerialEn = WriteOp & InProgress & ( BitCounter>31 | ( ( BitCounter == 0 ) & NoPre ) ) + | ~WriteOp & InProgress & (( BitCounter>31 & BitCounter<46 ) | ( ( BitCounter == 0 ) & NoPre )); + + +// Generation of the MdoEn signal +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + MdoEn_2d <= 1'b0; + MdoEn_d <= 1'b0; + MdoEn <= 1'b0; + end + else + begin + if(MdcEn_n) + begin + MdoEn_2d <= SerialEn | InProgress & BitCounter<32; + MdoEn_d <= MdoEn_2d; + MdoEn <= MdoEn_d; + end + end +end + + +// Generation of the Mdo signal. +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + Mdo_2d <= 1'b0; + Mdo_d <= 1'b0; + Mdo <= 1'b0; + end + else + begin + if(MdcEn_n) + begin + Mdo_2d <= ~SerialEn & BitCounter<32; + Mdo_d <= ShiftedBit | Mdo_2d; + Mdo <= Mdo_d; + end + end +end + + + +endmodule diff --git a/usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v b/usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v new file mode 100644 index 00000000..0b97bb7b --- /dev/null +++ b/usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v @@ -0,0 +1,159 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_shiftreg.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_shiftreg.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:47 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.5 2002/08/14 18:16:59 mohor +// LinkFail signal was not latching appropriate bit. +// +// Revision 1.4 2002/03/02 21:06:01 mohor +// LinkFail signal was not latching appropriate bit. +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:56 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +module eth_shiftreg(Clk, Reset, MdcEn_n, Mdi, Fiad, Rgad, CtrlData, WriteOp, ByteSelect, + LatchByte, ShiftedBit, Prsd, LinkFail); + + +input Clk; // Input clock (Host clock) +input Reset; // Reset signal +input MdcEn_n; // Enable signal is asserted for one Clk period before Mdc falls. +input Mdi; // MII input data +input [4:0] Fiad; // PHY address +input [4:0] Rgad; // Register address (within the selected PHY) +input [15:0]CtrlData; // Control data (data to be written to the PHY) +input WriteOp; // The current operation is a PHY register write operation +input [3:0] ByteSelect; // Byte select +input [1:0] LatchByte; // Byte select for latching (read operation) + +output ShiftedBit; // Bit shifted out of the shift register +output[15:0]Prsd; // Read Status Data (data read from the PHY) +output LinkFail; // Link Integrity Signal + +reg [7:0] ShiftReg; // Shift register for shifting the data in and out +reg [15:0]Prsd; +reg LinkFail; + + + + +// ShiftReg[7:0] :: Shift Register Data +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + ShiftReg[7:0] <= 8'h0; + Prsd[15:0] <= 16'h0; + LinkFail <= 1'b0; + end + else + begin + if(MdcEn_n) + begin + if(|ByteSelect) + begin + case (ByteSelect[3:0]) + 4'h1 : ShiftReg[7:0] <= {2'b01, ~WriteOp, WriteOp, Fiad[4:1]}; + 4'h2 : ShiftReg[7:0] <= {Fiad[0], Rgad[4:0], 2'b10}; + 4'h4 : ShiftReg[7:0] <= CtrlData[15:8]; + 4'h8 : ShiftReg[7:0] <= CtrlData[7:0]; + default : ShiftReg[7:0] <= 8'h0; + endcase + end + else + begin + ShiftReg[7:0] <= {ShiftReg[6:0], Mdi}; + if(LatchByte[0]) + begin + Prsd[7:0] <= {ShiftReg[6:0], Mdi}; + if(Rgad == 5'h01) + LinkFail <= ~ShiftReg[1]; // this is bit [2], because it is not shifted yet + end + else + begin + if(LatchByte[1]) + Prsd[15:8] <= {ShiftReg[6:0], Mdi}; + end + end + end + end +end + + +assign ShiftedBit = ShiftReg[7]; + + +endmodule diff --git a/usrp2/fpga/eth/rx_prot_engine.v b/usrp2/fpga/eth/rx_prot_engine.v new file mode 100644 index 00000000..d34f168d --- /dev/null +++ b/usrp2/fpga/eth/rx_prot_engine.v @@ -0,0 +1,156 @@ + +module rx_prot_engine + #(parameter FIFO_SIZE=11) + (input clk, input rst, + + input Rx_mac_ra, + output Rx_mac_rd, + input [31:0] Rx_mac_data, + input [1:0] Rx_mac_BE, + input Rx_mac_pa, + input Rx_mac_sop, + input Rx_mac_eop, + input Rx_mac_err, + + output [31:0] wr_dat_o, + output wr_write_o, + output wr_done_o, + output wr_error_o, + input wr_ready_i, + input wr_full_i, + output wr_flag_o, + + input set_stb, + input [7:0] set_addr, + input [31:0] set_data, + + output [15:0] rx_fifo_status, + output reg [7:0] rx_seqnum, + output reg [7:0] rx_channel, + output [7:0] rx_flags + ); + + wire read, write, full, empty; + wire eop_i, err_i, eop_o, err_o, flag_i, sop_i, flag_o, sop_o; + wire [31:0] dat_i, dat_o; + reg xfer_active; + + wire [3:0] hdr_adr; + wire [31:0] hdr_dat; + + header_ram #(.REGNUM(48),.WIDTH(32)) rx_header_ram + (.clk(clk),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .addr(hdr_adr),.q(hdr_dat)); + + // Buffer interface side + always @(posedge clk) + if(rst) + xfer_active <= 0; + else if(wr_ready_i & ~empty) + xfer_active <= 1; + else if(eop_o | err_o | wr_full_i) + xfer_active <= 0; + + assign wr_done_o = eop_o & wr_write_o; + assign wr_error_o = err_o & wr_write_o; + assign wr_dat_o = dat_o; + assign wr_write_o = xfer_active & ~empty; + assign read = wr_write_o; + + // FIFO in the middle + cascadefifo2 #(.WIDTH(36),.SIZE(11)) rx_prot_fifo + (.clk(clk),.rst(rst), + .datain({flag_i,sop_i,eop_i,err_i,dat_i}),.write(write),.full(full), + .dataout({flag_o,sop_o,eop_o,err_o,dat_o}),.read(read),.empty(empty), + .clear(0),.fifo_space(rx_fifo_status)); + + // MAC side + localparam ETH_TYPE = 16'hBEEF; + + reg [2:0] prot_state; + localparam PROT_IDLE = 0; + localparam PROT_HDR1 = 1; + localparam PROT_HDR2 = 2; + localparam PROT_HDR3 = 3; + localparam PROT_HDR4 = 4; + localparam PROT_HDR5 = 5; + localparam PROT_PKT = 6; + + // Things to control: flag_i, sop_i, eop_i, err_i, dat_i, write, Rx_mac_rd + // Inputs to SM: Rx_mac_sop, Rx_mac_eop, Rx_mac_ra, Rx_mac_pa, + // Rx_mac_BE, Rx_mac_err, full + + reg flag; + assign dat_i = Rx_mac_data; + assign sop_i = Rx_mac_sop; + assign eop_i = Rx_mac_eop; + assign err_i = Rx_mac_err; + assign flag_i = flag; + assign wr_flag_o = flag_o; + assign Rx_mac_rd = (prot_state != PROT_IDLE) && (~full|~Rx_mac_pa); + assign write = (prot_state != PROT_IDLE) && ~full && Rx_mac_pa; + + assign hdr_adr = {1'b0,prot_state[2:0]}; + + wire [7:0] rx_seqnum_p1 = rx_seqnum + 1; + + always @(posedge clk) + if(rst) + begin + prot_state <= PROT_IDLE; + flag <= 0; + end + else if(prot_state == PROT_IDLE) + begin + flag <= 0; + if(Rx_mac_ra) + prot_state <= PROT_HDR1; + end + else if(write) + case(prot_state) + PROT_HDR1 : + begin + prot_state <= PROT_HDR2; + if(hdr_dat != Rx_mac_data) + flag <= 1; + end + PROT_HDR2 : + begin + prot_state <= PROT_HDR3; + if(hdr_dat != Rx_mac_data) + flag <= 1; + end + PROT_HDR3 : + begin + prot_state <= PROT_HDR4; + if(hdr_dat != Rx_mac_data) + flag <= 1; + end + PROT_HDR4 : + begin + prot_state <= PROT_HDR5; + if(hdr_dat[31:16] != Rx_mac_data[31:16]) + flag <= 1; + rx_channel <= hdr_dat[15:8]; + end + PROT_HDR5 : + begin + prot_state <= PROT_PKT; + if((rx_seqnum_p1) != Rx_mac_data[15:8]) + flag <= 1; + end + PROT_PKT : + if(Rx_mac_eop | Rx_mac_err) + prot_state <= PROT_IDLE; + endcase // case(prot_state) + + always @(posedge clk) + if(rst) + rx_seqnum <= 8'hFF; + else if(set_stb & (set_addr == 54)) + rx_seqnum <= set_data[7:0]; + else if(write & (prot_state == PROT_HDR5) & ((rx_seqnum_p1) == Rx_mac_data[15:8]) & ~flag) + rx_seqnum <= rx_seqnum + 1; + + // Error cases -- Rx_mac_error, BE != 0 +endmodule // rx_prot_engine diff --git a/usrp2/fpga/eth/tx_prot_engine.v b/usrp2/fpga/eth/tx_prot_engine.v new file mode 100644 index 00000000..894d74a1 --- /dev/null +++ b/usrp2/fpga/eth/tx_prot_engine.v @@ -0,0 +1,144 @@ + +module tx_prot_engine + (input clk, input rst, + + // To MAC + input Tx_mac_wa, + output Tx_mac_wr, + output [31:0] Tx_mac_data, + output [1:0] Tx_mac_BE, + output Tx_mac_sop, + output Tx_mac_eop, + + // To buffer interface + input [31:0] rd_dat_i, + output rd_read_o, + output rd_done_o, + output rd_error_o, + input rd_sop_i, + input rd_eop_i, + + // To control + input set_stb, + input [7:0] set_addr, + input [31:0] set_data, + + // Protocol Stuff + input [15:0] rx_fifo_status, + input [7:0] rx_seqnum + //input [7:0] tx_channel, + //input [7:0] tx_flags + ); + + wire [3:0] hdr_adr; + wire [31:0] hdr_dat; + wire [7:0] tx_channel; + + header_ram #(.REGNUM(32),.WIDTH(32)) tx_header_ram + (.clk(clk),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .addr(hdr_adr),.q(hdr_dat)); + + setting_reg #(.my_addr(32)) sr_channel + (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),.in(set_data), + .out(tx_channel),.changed()); + + // Might as well use a shortfifo here since they are basically free + wire empty, full, sfifo_write, sfifo_read; + wire [33:0] sfifo_in, sfifo_out; + + shortfifo #(.WIDTH(34)) txmac_sfifo + (.clk(clk),.rst(rst),.clear(0), + .datain(sfifo_in),.write(sfifo_write),.full(full), + .dataout(sfifo_out),.read(sfifo_read),.empty(empty)); + + // MAC side signals + // Inputs -- Tx_mac_wa, sfifo_out, empty + // outputs -- sfifo_read, Tx_mac_data, Tx_mac_wr, Tx_mac_BE, Tx_mac_sop, Tx_mac_eop + + // We are allowed to do one more write after we are told the FIFO is full + // This allows us to register the _wa signal and speed up timing. + reg tx_mac_wa_d1; + always @(posedge clk) + tx_mac_wa_d1 <= Tx_mac_wa; + + reg [2:0] prot_state; + localparam PROT_IDLE = 0; + localparam PROT_HDR1 = 1; + localparam PROT_HDR2 = 2; + localparam PROT_HDR3 = 3; + localparam PROT_HDR4 = 4; + localparam PROT_HDR5 = 5; + localparam PROT_PKT = 6; + + reg [7:0] tx_seqnum; + reg all_match; + always @(posedge clk) + if(rst) + tx_seqnum <= 0; + else if(set_stb & (set_addr == 36)) + tx_seqnum <= set_data[7:0]; + else if(tx_mac_wa_d1 & all_match & (prot_state == PROT_HDR5)) + tx_seqnum <= tx_seqnum + 1; + + always @(posedge clk) + if(rst) + prot_state <= PROT_IDLE; + else + if(tx_mac_wa_d1 & ~empty) + case(prot_state) + PROT_IDLE : + prot_state <= PROT_HDR1; + PROT_HDR1 : + prot_state <= PROT_HDR2; + PROT_HDR2 : + prot_state <= PROT_HDR3; + PROT_HDR3 : + prot_state <= PROT_HDR4; + PROT_HDR4 : + prot_state <= PROT_HDR5; + PROT_HDR5 : + prot_state <= PROT_PKT; + PROT_PKT : + if(sfifo_out[32] & ~empty) + prot_state <= PROT_IDLE; + default : + prot_state <= PROT_IDLE; + endcase // case(prot_state) + + assign hdr_adr = {1'b0,prot_state}; + wire match = (hdr_dat == sfifo_out[31:0]); + always @(posedge clk) + if(prot_state == PROT_IDLE) + all_match <= 1; + else if(tx_mac_wa_d1 & ~empty & + ((prot_state==PROT_HDR1)|(prot_state==PROT_HDR2)|(prot_state==PROT_HDR3))) + all_match <= all_match & match; + + localparam ETH_TYPE = 16'hBEEF; + assign Tx_mac_data = + ((prot_state == PROT_HDR5) & all_match) ? {rx_fifo_status,tx_seqnum,rx_seqnum} : + sfifo_out[31:0]; + assign sfifo_read = (prot_state != PROT_IDLE) & ~empty & tx_mac_wa_d1; + assign Tx_mac_wr = sfifo_read; + assign Tx_mac_BE = 0; // Since we only deal with packets that are multiples of 32 bits long + assign Tx_mac_sop = sfifo_out[33]; + assign Tx_mac_eop = sfifo_out[32]; + + // BUFFER side signals + reg xfer_active; + always @(posedge clk) + if(rst) + xfer_active <= 0; + else if(rd_eop_i & ~full) + xfer_active <= 0; + else if(rd_sop_i) + xfer_active <= 1; + + assign sfifo_in = {rd_sop_i, rd_eop_i, rd_dat_i}; + assign sfifo_write = xfer_active & ~full; + + assign rd_read_o = sfifo_write; + assign rd_done_o = 0; // Always send everything we're given? + assign rd_error_o = 0; // No possible error situations? + +endmodule // tx_prot_engine diff --git a/usrp2/fpga/models/BUFG.v b/usrp2/fpga/models/BUFG.v new file mode 100644 index 00000000..a935c628 --- /dev/null +++ b/usrp2/fpga/models/BUFG.v @@ -0,0 +1,33 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/BUFG.v,v 1.5 2005/03/14 22:32:51 yanx Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2004 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / Global Clock Buffer +// /___/ /\ Filename : BUFG.v +// \ \ / \ Timestamp : Thu Mar 25 16:42:14 PST 2004 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`timescale 100 ps / 10 ps + + +module BUFG (O, I); + + output O; + + input I; + + buf B1 (O, I); + + +endmodule + diff --git a/usrp2/fpga/models/CY7C1356C/cy1356.inp b/usrp2/fpga/models/CY7C1356C/cy1356.inp new file mode 100644 index 00000000..a55ffac3 --- /dev/null +++ b/usrp2/fpga/models/CY7C1356C/cy1356.inp @@ -0,0 +1,140 @@ +/*Address inputs only 0=LOW + "Data is in HEX, four bytes (LSB,MSB)" 1=HIGH + ZZZZZZZZZZZZZZZZ=Tri-state G-WRITE = Global Write + XXXXXXXXXXXXXXXX= Don't care B-WRITE = Byte Write + YYYY=unknown BG-WRITE = BURST GLOBAL WRITE + BB-WRITE = BURST BYTE WRITE + +*/ +// CE3# BW2# ADV/LD# +// CEN# CE2 WE# +// Add IN OUT CE1# BW1# +0000000000000000_XXXXXXXXXXXXXXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000001_XXXXXXXXXXXXXXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000010_0000000000000000_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000011_0000000000000001_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000100_0000000000000010_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000101_0000000000000011_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000110_0000000000000100_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000111_0000000000000101_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001000_0000000000000110_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001001_0000000000000111_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001010_0000000000001000_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001011_0000000000001001_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001100_0000000000001010_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001101_0000000000001011_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001110_0000000000001100_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001111_0000000000001101_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000000000_0000000000001110_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000000001_0000000000001111_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000000010_xxxxxxxxxxxxxxxx_0000000000000000_0_0_1_0_1_X_X_0 +0000000000000011_xxxxxxxxxxxxxxxx_0000000000000001_0_0_1_0_1_X_X_0 +0000000000000100_xxxxxxxxxxxxxxxx_0000000000000010_0_0_1_0_1_X_X_0 +0000000000000101_xxxxxxxxxxxxxxxx_0000000000000011_0_0_1_0_1_X_X_0 +0000000000000110_xxxxxxxxxxxxxxxx_0000000000000100_0_0_1_0_1_X_X_0 +0000000000000111_xxxxxxxxxxxxxxxx_0000000000000101_0_0_1_0_1_X_X_0 +0000000000001000_xxxxxxxxxxxxxxxx_0000000000000110_0_0_1_0_1_X_X_0 +0000000000001001_xxxxxxxxxxxxxxxx_0000000000000111_0_0_1_0_1_X_X_0 +0000000000001010_xxxxxxxxxxxxxxxx_0000000000001000_0_0_1_0_1_X_X_0 +0000000000001011_xxxxxxxxxxxxxxxx_0000000000001001_0_0_1_0_1_X_X_0 +0000000000001100_xxxxxxxxxxxxxxxx_0000000000001010_0_0_1_0_1_X_X_0 +0000000000001101_xxxxxxxxxxxxxxxx_0000000000001011_0_0_1_0_1_X_X_0 +0000000000001110_xxxxxxxxxxxxxxxx_0000000000001100_0_0_1_0_1_X_X_0 +0000000000001111_xxxxxxxxxxxxxxxx_0000000000001101_0_0_1_0_1_X_X_0 +0000000000000000_xxxxxxxxxxxxxxxx_0000000000001110_0_0_1_0_0_0_0_0 +0000000000001111_xxxxxxxxxxxxxxxx_0000000000001111_0_0_1_0_1_X_X_0 +0000000000000000_0001000100010001_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_1_0_0 +0000000000000000_xxxxxxxxxxxxxxxx_0000000000001111_0_0_1_0_1_X_X_0 +0000000000001100_XXXX1010XXXX1010_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_0_0 +0000000000001011_xxxxxxxxxxxxxxxx_0001101000011010_0_0_1_0_1_X_X_0 +0000000000000111_0010001000100010_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_1_0 +0000000000000111_xxxxxxxxxxxxxxxx_0000000000001011_0_0_1_0_1_X_X_0 +0000000000001000_001100110011XXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000001001_xxxxxxxxxxxxxxxx_0011001100110111_0_0_1_0_0_0_0_0 +0000000000001001_xxxxxxxxxxxxxxxx_0000000000001000_0_0_1_0_0_0_1_0 +0000000000001001_0100010001000100_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_1_1_0 +0000000000001010_XXXX01010101XXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_1_1_0 +0000000000000000_xxxxxxxxxxxxxxxx_0100010101010100_0_0_1_0_0_0_0_0 +0000000000000001_xxxxxxxxxxxxxxxx_0000000000001010_0_0_1_0_0_0_0_0 +0000000000001001_0110011001100110_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000000000_0111011101110111_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000000101_xxxxxxxxxxxxxxxx_0100010101010100_0_0_1_0_0_0_1_0 +0000000000000100_xxxxxxxxxxxxxxxx_0110011001100110_0_0_1_0_0_1_0_0 +0000000000000001_1000XXXX1000XXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000000010_XXXX1001XXXX1001_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000001100_xxxxxxxxxxxxxxxx_0111011101110111_0_0_1_0_0_1_1_0 +0000000000001101_xxxxxxxxxxxxxxxx_0000000000000010_0_0_1_0_0_0_0_0 +0000000000001010_00010001XXXXXXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000001011_0000111100001111_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +0000000000001100_xxxxxxxxxxxxxxxx_0000000000001010_0_0_1_0_1_X_X_0 +0000000000000011_xxxxxxxxxxxxxxxx_0000000000001011_0_0_1_0_0_0_0_0 +0000000000000100_xxxxxxxxxxxxxxxx_0001000100100010_0_0_1_0_0_0_1_0 +0000000000000101_0011001100110011_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_0_0 +0000000000000011_010001000100XXXX_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000100_0101010101010101_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000101_xxxxxxxxxxxxxxxx_0011001100110011_0_0_1_0_1_X_X_0 +0000000000000110_xxxxxxxxxxxxxxxx_0100010001001001_0_0_1_0_0_0_0_0 +0000000000000111_xxxxxxxxxxxxxxxx_0101010101010101_0_0_1_0_0_0_0_0 +0000000000001000_0110011001100110_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_0_0 +0000000000000110_0111011101110111_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000111_1000100010001000_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000001000_xxxxxxxxxxxxxxxx_0110011001100110_0_0_1_0_1_X_X_0 +0000000000000110_xxxxxxxxxxxxxxxx_0111011101110111_0_0_1_0_0_0_1_0 +0000000000000111_xxxxxxxxxxxxxxxx_1000100010001000_0_0_1_0_0_1_0_0 +0000000000001000_0001XXXX0001XXXX_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_1_0 +0000000000000110_XXXX0001XXXX0001_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000111_0001XXXX0001XXXX_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000001000_xxxxxxxxxxxxxxxx_0001011000010110_0_0_1_0_1_X_X_0 +0000000000001001_xxxxxxxxxxxxxxxx_0111000101110001_0_0_1_0_0_1_1_0 +0000000000001010_xxxxxxxxxxxxxxxx_0001100000011000_0_0_1_0_0_0_0_0 +0000000000001011_00100010XXXXXXXX_xxxxxxxxxxxxxxxx_0_0_1_0_0_1_1_0 +0000000000000001_XXXXXXXX00110011_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000010_01000100XXXXXXXX_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000011_xxxxxxxxxxxxxxxx_0111011101110111_0_0_1_0_1_X_X_0 +0000000000000100_xxxxxxxxxxxxxxxx_0000000000000010_0_0_1_0_1_X_X_0 +0000000000000001_xxxxxxxxxxxxxxxx_0011001100110011_0_0_1_0_0_0_0_0 +0000000000000010_xxxxxxxxxxxxxxxx_0100010001001001_0_0_1_0_0_0_0_0 +0000000000000011_0001000100010001_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_0_0 +0000000000000100_0010001000100010_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_0_0 +0000000000000001_0011001100110011_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000010_0100010001000100_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000011_xxxxxxxxxxxxxxxx_0001000100010001_0_0_1_0_1_X_X_0 +0000000000000100_xxxxxxxxxxxxxxxx_0010001000100010_0_0_1_0_1_X_X_0 +0000000000000101_xxxxxxxxxxxxxxxx_0011001100110011_0_0_1_0_0_0_0_0 +0000000000000110_xxxxxxxxxxxxxxxx_0100010001000100_0_X_X_X_X_0_0_1 +0000000000000111_0101010101010101_xxxxxxxxxxxxxxxx_0_X_X_X_X_1_1_1 +0000000000001000_0110011001100110_xxxxxxxxxxxxxxxx_0_X_X_X_X_0_0_1 +0000000000000101_01110111XXXXXXXX_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +XXXXXXXXXXXXXXXX_XXXXXXXX10001000_xxxxxxxxxxxxxxxx_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0101010101010101_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0110011001100110_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0111011101110001_0_1_X_X_X_X_X_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0100010010001000_1_X_X_X_X_X_X_X +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0100010010001000_0_X_0_X_X_X_X_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_ZZZZZZZZZZZZZZZZ_0_X_X_1_X_X_X_0 +0000000000000101_xxxxxxxxxxxxxxxx_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_ZZZZZZZZZZZZZZZZ_1_X_X_X_X_X_X_X +0000000000001000_xxxxxxxxxxxxxxxx_ZZZZZZZZZZZZZZZZ_0_0_1_0_0_0_1_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0101010101010101_1_X_X_X_X_X_X_X +0000000000000111_xxxxxxxxxxxxxxxx_0101010101010101_0_0_1_0_1_X_X_0 +xxxxxxxxxxxxxxxx_XXXXXXXXXXXXXXXX_ZZZZZZZZZZZZZZZZ_1_X_X_X_X_X_X_X +0000000000001000_0000XXXX0000XXXX_ZZZZZZZZZZZZZZZZ_0_0_1_0_1_X_X_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0111011101110001_1_X_X_X_X_X_X_X +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0111011101110001_1_X_X_X_X_X_X_X +0000000000000000_xxxxxxxxxxxxxxxx_0111011101110001_0_0_1_0_1_X_X_0 +0000000000000001_xxxxxxxxxxxxxxxx_0000100000001000_0_0_1_0_1_X_X_0 +0000000000000001_xxxxxxxxxxxxxxxx_0110011001100110_0_0_1_0_0_1_1_0 +0000000000000001_xxxxxxxxxxxxxxxx_0001000100010001_0_0_1_0_0_1_1_0 +0000000000000001_0001XXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0_0_1_0_0_0_1_0 +0000000000000001_XXXX0010XXXXXXXX_xxxxxxxxxxxxxxxx_0_0_1_0_0_1_0_0 +0000000000000001_XXXXXXXX0011XXXX_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +0000000000000000_XXXXXXXXXXXX0100_xxxxxxxxxxxxxxxx_0_0_1_0_1_X_X_0 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0001001000110100_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0110011001100110_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0001001000110100_0_X_X_X_X_X_X_1 +XXXXXXXXXXXXXXXX_xxxxxxxxxxxxxxxx_0010001000100010_0_1_X_X_X_X_X_0 +xxxxxxxxxxxxxxxx_xxxxxxxxxxxxxxxx_0011001100110011_0_1_x_x_x_x_x_x +xxxxxxxxxxxxxxxx_xxxxxxxxxxxxxxxx_ZZZZZZZZZZZZZZZZ_0_1_x_x_x_x_x_x +//Lines =126 + + diff --git a/usrp2/fpga/models/CY7C1356C/cy1356.v b/usrp2/fpga/models/CY7C1356C/cy1356.v new file mode 100644 index 00000000..9197eea6 --- /dev/null +++ b/usrp2/fpga/models/CY7C1356C/cy1356.v @@ -0,0 +1,485 @@ +`define sb200 +//************************************************************************ +//************************************************************************ +//** This model is the property of Cypress Semiconductor Corp and is ** +//** protected by the US copyright laws, any unauthorized copying and ** +//** distribution is prohibited. Cypress reserves the right to change ** +//** any of the functional specifications without any prior notice. ** +//** Cypress is not liable for any damages which may result from the ** +//** use of this functional model. ** +//** ** +//** File Name : CY7C1356 ** +//** ** +//** Revision : 1.0 - 08/03/2004 ** +//** ** +//** The timings are to be selected by the user depending upon the ** +//** frequency of operation from the datasheet. ** +//** ** +//** Model : CY7C1356C - NoBL Pipelined SRAM ** +//** Queries : MPD Applications ** +//** Website: www.cypress.com/support ** +//************************************************************************ +//************************************************************************ + +`timescale 1ns / 10ps + +// NOTE : Any setup/hold errors will force input signal to x state +// or if results indeterminant (write addr) core is reset x + +// define fixed values + +`define wordsize (18 -1) // +`define no_words (1048576 -1) // 1M x 18 RAM + +module cy1356 ( d, clk, a, bws, we_b, adv_lb, ce1b, ce2, ce3b, oeb, cenb, mode); + +inout [`wordsize:0] d; +input clk, // clock input (R) + we_b, // byte write enable(L) + adv_lb, // burst(H)/load(L) address + ce1b, // chip enable(L) + ce2, // chip enable(H) + ce3b, // chip enable(L) + oeb, // async output enable(L)(read) + cenb, // clock enable(L) + mode; // interleave(H)/linear(L) burst +input [1:0] bws; // byte write select(L) +input [18:0] a; // address bus + +// *** NOTE DEVICE OPERATES #0.01 AFTER CLOCK *** +// *** THEREFORE DELAYS HAVE TO TAKE THIS INTO ACCOUNT *** + + +//********************************************************************** +// Timings for 225MHz +//********************************************************************** +`ifdef sb225 + `define teohz #2.8 + `define teolz #0 + `define tchz #2.8 + `define tclz #1.25 + + `define tco #2.8 + `define tdoh #1.25 + `define tas 1.4 + `define tah 0.4 +`endif +//*********************************************************************** +// Timings for 200MHz +//********************************************************************** +`ifdef sb200 + `define teohz #3.2 + `define teolz #0 + `define tchz #3.2 + `define tclz #1.5 + + `define tco #3.2 + `define tdoh #1.5 + + `define tas 1.5 + `define tah 0.5 +`endif +//*********************************************************************** + +//********************************************************************** +// This model is configured for 166 MHz Operation (CY7C1356-166). +//********************************************************************** +`ifdef sb166 + `define teohz #3.5 + `define teolz #0 + `define tchz #3.5 + `define tclz #1.5 + + `define tco #3.5 + `define tdoh #1.5 + + `define tas 1.5 + `define tah 0.5 +`endif + +reg notifier; // error support reg's +reg noti1_0; +reg noti1_1; +reg noti1_2; +reg noti1_3; +reg noti1_4; +reg noti1_5; +reg noti1_6; +reg noti2; + + +wire chipen; // combined chip enable (high for an active chip) + +reg chipen_d; // _d = delayed +reg chipen_o; // _o = operational = delayed sig or _d sig + +wire writestate; // holds 1 if any of writebus is low +reg writestate_d; +reg writestate_o; + +wire loadcyc; // holds 1 for load cycles (setup and hold checks) +wire writecyc; // holds 1 for write cycles (setup and hold checks) +wire [1:0] bws; // holds the bws values + +wire [1:0] writebusb; // holds the "internal" bws bus based on we_b +reg [1:0] writebusb_d; +reg [1:0] writebusb_o; + +wire [2:0] operation; // holds chipen, adv_ld and writestate +reg [2:0] operation_d; +reg [2:0] operation_o; + +wire [18:0] a; // address input bus +reg [18:0] a_d; +reg [18:0] a_o; + +reg [`wordsize:0] do; // data output reg +reg [`wordsize:0] di; // data input bus +reg [`wordsize:0] dd; // data delayed bus + +wire tristate; // tristate output (on a bytewise basis) when asserted +reg cetri; // register set by chip disable which sets the tristate +reg oetri; // register set by oe which sets the tristate +reg enable; // register to make the ram enabled when equal to 1 +reg [18:0] addreg; // register to hold the input address +reg [`wordsize:0] pipereg; // register for the output data + +reg [`wordsize:0] mem [0:`no_words]; // RAM array + +reg [`wordsize:0] writeword; // temporary holding register for the write data +reg burstinit; // register to hold a[0] for burst type +reg [18:0] i; // temporary register used to write to all mem locs. +reg writetri; // tristate +reg lw, bw; // pipelined write functions +reg we_bl; + + +wire [`wordsize:0] d = !tristate ? do[`wordsize:0] : 18'bz ; // data bus + +assign chipen = (adv_lb == 1 ) ? chipen_d : + ~ce1b & ce2 & ~ce3b ; + +assign writestate = ~& writebusb; + +assign operation = {chipen, adv_lb, writestate}; + +assign writebusb[1:0] = ( we_b ==0 & adv_lb ==0) ? bws[1:0]: + ( we_b ==1 & adv_lb ==0) ? 2'b11 : + ( we_bl ==0 & adv_lb ==1) ? bws[1:0]: + ( we_bl ==1 & adv_lb ==1) ? 2'b11 : + 2'bxx ; + +assign loadcyc = chipen & !cenb; + +assign writecyc = writestate_d & enable & ~cenb & chipen; // check + +assign tristate = cetri | writetri | oetri; + +pullup (mode); + +// formers for notices/errors etc +// +//$display("NOTICE : xxx :"); +//$display("WARNING : xxx :"); +//$display("ERROR *** : xxx :"); + + +// initialize the output to be tri-state, ram to be disabled + +initial + begin +// signals + + writetri = 0; + cetri = 1; + enable = 0; + lw = 0; + bw = 0; + +// error signals + + notifier = 0; + noti1_0 = 0; + noti1_1 = 0; + noti1_2 = 0; + noti1_3 = 0; + noti1_4 = 0; + noti1_5 = 0; + noti1_6 = 0; + noti2 = 0; + +end + + + +// asynchronous OE + +always @(oeb) +begin + if (oeb == 1) + oetri <= `teohz 1; + else + oetri <= `teolz 0; +end + +// *** SETUP / HOLD VIOLATIONS *** + +always @(noti2) +begin +$display("NOTICE : 020 : Data bus corruption"); + force d =18'bx; + #1; + release d; +end + +always @(noti1_0) +begin +$display("NOTICE : 010 : Byte write corruption"); + force bws = 2'bx; + #1; + release bws; +end + +always @(noti1_1) +begin +$display("NOTICE : 011 : Byte enable corruption"); + force we_b = 1'bx; + #1; + release we_b; +end + +always @(noti1_2) +begin +$display("NOTICE : 012 : CE1B corruption"); + force ce1b =1'bx; + #1; + release ce1b; +end + +always @(noti1_3) +begin +$display("NOTICE : 013 : CE2 corruption"); + force ce2 =1'bx; + #1; + release ce2; +end + +always @(noti1_4) +begin +$display("NOTICE : 014 : CE3B corruption"); + force ce3b =1'bx; + #1; + release ce3b; +end + +always @(noti1_5) +begin +$display("NOTICE : 015 : CENB corruption"); + force cenb =1'bx; + #1; + release cenb; +end + +always @(noti1_6) +begin +$display("NOTICE : 016 : ADV_LB corruption"); + force adv_lb = 1'bx; + #1; + release adv_lb; +end + +// synchronous functions from clk edge + +always @(posedge clk) +if (!cenb) +begin +#0.01; + // latch conditions on adv_lb + + if (adv_lb) + we_bl <= we_bl; + else + we_bl <= we_b; + + chipen_d <= chipen; + + + chipen_o <= chipen; + writestate_o <= writestate; + writestate_d <= writestate_o; + writebusb_o <= writebusb; + writebusb_d <= writebusb_o; + operation_o <= operation; + a_o <= a; + a_d <= a_o; + di = d; + + // execute previously pipelined fns + + if (lw) begin + loadwrite; + lw =0; + end + + if (bw) begin + burstwrite; + bw =0; + end + + // decode input/piplined state + + casex (operation_o) + 3'b0?? : turnoff; + 3'b101 : setlw; + 3'b111 : setbw; + 3'b100 : loadread; + 3'b110 : burstread; + default : unknown; // output unknown values and display an error message + endcase + + do <= `tco pipereg; + +end + +// *** task section *** + +task read; +begin + if (enable) cetri <= `tclz 0; + writetri <= `tchz 0; + do <= `tdoh 18'hx; + pipereg = mem[addreg]; +end +endtask + +task write; +begin + if (enable) cetri <= `tclz 0; + writeword = mem[addreg]; // set up a word to hold the data for the current location + /* overwrite the current word for the bytes being written to */ + if (!writebusb_d[1]) writeword[17:9] = di[17:9]; + if (!writebusb_d[0]) writeword[8:0] = di[8:0]; + writeword = writeword & writeword; //convert z to x states + mem[addreg] = writeword; // store the new word into the memory location + //writetri <= `tchz 1; // tristate the outputs +end +endtask + +task setlw; +begin + lw =1; + writetri <= `tchz 1; // tristate the outputs +end +endtask + +task setbw; +begin + bw =1; + writetri <= `tchz 1; // tristate the outputs +end +endtask + +task loadread; +begin + burstinit = a_o[0]; + addreg = a_o; + enable = 1; + read; +end +endtask + +task loadwrite; +begin + burstinit = a_d[0]; + addreg = a_d; + enable = 1; + write; +end +endtask + +task burstread; +begin + burst; + read; +end +endtask + +task burstwrite; +begin + burst; + write; +end +endtask + +task unknown; +begin + do = 18'bx; + // $display ("Unknown function: Operation = %b\n", operation); +end +endtask + +task turnoff; +begin + enable = 0; + cetri <= `tchz 1; + pipereg = 18'h0; +end +endtask + +task burst; +begin + if (burstinit == 0 || mode == 0) + begin + case (addreg[1:0]) + 2'b00: addreg[1:0] = 2'b01; + 2'b01: addreg[1:0] = 2'b10; + 2'b10: addreg[1:0] = 2'b11; + 2'b11: addreg[1:0] = 2'b00; + default: addreg[1:0] = 2'bxx; + endcase + end + else + begin + case (addreg[1:0]) + 2'b00: addreg[1:0] = 2'b11; + 2'b01: addreg[1:0] = 2'b00; + 2'b10: addreg[1:0] = 2'b01; + 2'b11: addreg[1:0] = 2'b10; + default: addreg[1:0] = 2'bxx; + endcase + end +end +endtask + +// IO checks + +specify +// specify the setup and hold checks + +// notifier will wipe memory as result is indeterminent + +$setuphold(posedge clk &&& loadcyc, a, `tas, `tah, notifier); + +// noti1 should make ip = 'bx; + +$setuphold(posedge clk, bws, `tas, `tah, noti1_0); + +$setuphold(posedge clk, we_b, `tas, `tah, noti1_1); +$setuphold(posedge clk, ce1b, `tas, `tah, noti1_2); +$setuphold(posedge clk, ce2, `tas, `tah, noti1_3); +$setuphold(posedge clk, ce3b, `tas, `tah, noti1_4); + +// noti2 should make d = 18'hxxxxx; + +$setuphold(posedge clk &&& writecyc, d, `tas, `tah, noti2); + +// add extra tests here. + +$setuphold(posedge clk, cenb, `tas, `tah, noti1_5); +$setuphold(posedge clk, adv_lb, `tas, `tah, noti1_6); + +endspecify + +endmodule + + diff --git a/usrp2/fpga/models/CY7C1356C/readme.txt b/usrp2/fpga/models/CY7C1356C/readme.txt new file mode 100644 index 00000000..3578c80d --- /dev/null +++ b/usrp2/fpga/models/CY7C1356C/readme.txt @@ -0,0 +1,33 @@ +*************************** +Cypress Semiconductor +MPD Applications +Verilog model for NoBL SRAM CY7C1356 +Created: August 04, 2004 +Rev: 1.0 +*************************** + +This is the verilog model for the CY7C1356 along with the testbench and test vectors. + +Contact support@cypress.com if you have any questions. + +This directory has 4 files. including this readme. + +1)cy7c1356c.v -> Verilog model for CY7C1356c + +2)cy1356.inp -> Test Vector File used for testing the verilog model + +3)testbench.v -> Test bench used for testing the verilog model + + +COMPILING METHOD : +------------------ + + verilog +define+
+ + Ex: + verilog +define+sb133 CY7C1356c.v testbench.v + +VERIFIED WITH: +-------------- + +VERILOG-XL 2.2 \ No newline at end of file diff --git a/usrp2/fpga/models/CY7C1356C/testbench.v b/usrp2/fpga/models/CY7C1356C/testbench.v new file mode 100644 index 00000000..5dde89e6 --- /dev/null +++ b/usrp2/fpga/models/CY7C1356C/testbench.v @@ -0,0 +1,189 @@ +`timescale 1ns / 10ps + + +// +// CY7C1356 +// Simulatiom of Verilog model +// +// + +// +// test bench for US vector input +// +// + +// define speed 166MHz + +`define tx10 #6 +`define tx08 #4.8 +`define tx05 #3 +`define tx04 #2.4 +`define tx02 #1.2 + +/* +`define tx10 #4.0 // period +`define tx08 #3.2 //0.8 period +`define tx05 #2.0 //0.5 period 250MHZ +`define tx04 #1.6 //0.4 period +`define tx02 #0.8 //0.2 period + +`define tx10 #4.4 // period +`define tx08 #3.52 //0.8 period +`define tx05 #2.2 //0.5 period 225MHZ +`define tx04 #1.76 //0.4 period +`define tx02 #0.88 //0.2 period + +`define tx10 #5 // period +`define tx08 #4 //0.8 period +`define tx05 #2.5 //0.5 period 200MHZ +`define tx04 #2.0 //0.4 period +`define tx02 #1.0 //0.2 period +*/ + + +module rw_test; + +`define num_vectors 126 +`define impi {a[15:0],io[15:0],tsti[15:0],cenb,ce1b,ce2,ce3b,bweb,bwb,adv_lb} + + +reg [57:1] lsim_vectors [1:`num_vectors]; + + +reg clk; +reg adv_lb; +reg ce1b; //cs1b +reg ce2; //cs2 +reg ce3b; //cs3b +reg [1:0] bwb; +reg bweb; +reg oeb; +reg ftb; +reg mode; //lbob +reg cenb; //zz +reg tp42; //sclk +reg tp39; //se +reg tp38; //tm +reg [19:0] a; +reg [17:0] io; +reg [17:0] tsti; +reg vddq; +reg vssqr; +reg iosel; + + +wire [17:0] d = iosel ? io[17:0] : 18'bz; + +reg noti3; +reg strb,j; +integer vector,i; + + + +cy1356 testram ( d, clk, a, bwb, bweb, adv_lb, ce1b, ce2, ce3b, oeb, cenb, mode); + +initial +begin + $dumpfile("dumpfile.dump"); + $dumpvars(0,rw_test); +end + +initial +begin +io = 18'bz; +ftb = 1; +oeb = 0; +a[19:16] = 4'h0; +mode = 0; +strb = 0; +tp38 = 0; +tp39 = 0; +tp42 = 0; +`tx02; +forever `tx05 strb = ~strb; +end + +initial +begin +clk = 0; +forever `tx05 clk =~clk; +end + +initial + begin + + $readmemb("cy1356.inp", lsim_vectors); //load input vector file + + `impi = lsim_vectors[1]; //apply 1st test vector + + for (vector = 2; vector <= `num_vectors; vector = vector + 1) + @(posedge strb) + begin + + `impi = lsim_vectors[vector]; + + + + io[16:13] = io[07:04]; + io[12:09] = io[07:04]; + io[07:04] = io[03:00]; + io[03:00] = io[03:00]; + + io[17] = io[16] ^^ io[15] ^^ io[14] ^^ io[13] ^^ io[11] ^^ io[11] ^^ io[10] ^^ io[9]; + io[8] = io[7] ^^ io[6] ^^ io[5] ^^ io[4] ^^ io[3] ^^ io[2] ^^ io[1] ^^ io[0]; + + tsti[16:13] = tsti[07:04]; + tsti[12:09] = tsti[07:04]; + tsti[07:04] = tsti[03:00]; + tsti[03:00] = tsti[03:00]; + + tsti[17] = tsti[16] ^^ tsti[15] ^^ tsti[14] ^^ tsti[13] ^^ tsti[11] ^^ tsti[11] ^^ tsti[10] ^^ tsti[9]; + tsti[8] = tsti[7] ^^ tsti[6] ^^ tsti[5] ^^ tsti[4] ^^ tsti[3] ^^ tsti[2] ^^ tsti[1] ^^ tsti[0]; + + if (io === 18'hxxxxx) + iosel = `tx05 0; + else + iosel = `tx05 1; + + end + #15 $finish; // This prevents simulation beyond end of test patterns + end + +always@(posedge clk) +begin + + if (io !== 18'hxxxxx) //input cycle + begin + $display("NOTICE : 001 : line = %d OK",vector -1); + end + else //do the test + begin + if (d == tsti) + begin + $display("NOTICE : 002 : line = %d OK",vector -1); + end + else + begin + j =0; + for (i =0;i< 18; i=i+1) + begin + if(tsti[i] !== 1'bx) + begin + if (d[i] !== tsti[i]) j = 1; + end + else + j = 0; + end + if (j) + $display("ERROR *** : 003 : line = %d data = %b test = %b",vector -1,d,tsti); + else + $display("NOTICE : 003 : line = %d OK",vector -1); + end + end +end + +endmodule + + + + diff --git a/usrp2/fpga/models/FIFO_GENERATOR_V4_3.v b/usrp2/fpga/models/FIFO_GENERATOR_V4_3.v new file mode 100644 index 00000000..3489ee50 --- /dev/null +++ b/usrp2/fpga/models/FIFO_GENERATOR_V4_3.v @@ -0,0 +1,4093 @@ +/* + * $RDCfile: $ $Revision: 1.1.2.6 $ $Date: 2007/11/28 17:15:18 $ + ******************************************************************************* + * + * FIFO Generator - Verilog Behavioral Model + * + ******************************************************************************* + * + * Copyright(C) 2006 by Xilinx, Inc. All rights reserved. + * This text/file contains proprietary, confidential + * information of Xilinx, Inc., is distributed under + * license from Xilinx, Inc., and may be used, copied + * and/or disclosed only pursuant to the terms of a valid + * license agreement with Xilinx, Inc. Xilinx hereby + * grants you a license to use this text/file solely for + * design, simulation, implementation and creation of + * design files limited to Xilinx devices or technologies. + * Use with non-Xilinx devices or technologies is expressly + * prohibited and immediately terminates your license unless + * covered by a separate agreement. + * + * Xilinx is providing theis design, code, or information + * "as-is" solely for use in developing programs and + * solutions for Xilinx devices, with no obligation on the + * part of Xilinx to provide support. By providing this design, + * code, or information as one possible implementation of + * this feature, application or standard. Xilinx is making no + * representation that this implementation is free from any + * claims of infringement. You are responsible for obtaining + * any rights you may require for your implementation. + * Xilinx expressly disclaims any warranty whatsoever with + * respect to the adequacy of the implementation, including + * but not limited to any warranties or representations that this + * implementation is free from claims of infringement, implied + * warranties of merchantability or fitness for a particular + * purpose. + * + * Xilinx products are not intended for use in life support + * appliances, devices, or systems. Use in such applications is + * expressly prohibited. + * + * This copyright and support notice must be retained as part + * of this text at all times. (c)Copyright 1995-2006 Xilinx, Inc. + * All rights reserved. + * + ******************************************************************************* + * + * Filename: FIFO_GENERATOR_V4_3.v + * + * Author : Xilinx + * + ******************************************************************************* + * Structure: + * + * fifo_generator_v4_3.vhd + * | + * +-fifo_generator_v4_3_bhv_as + * | + * +-fifo_generator_v4_3_bhv_ss + * | + * +-fifo_generator_v4_3_bhv_preload0 + * + ******************************************************************************* + * Description: + * + * The Verilog behavioral model for the FIFO Generator. + * + * The behavioral model has three parts: + * - The behavioral model for independent clocks FIFOs (_as) + * - The behavioral model for common clock FIFOs (_ss) + * - The "preload logic" block which implements First-word Fall-through + * + ******************************************************************************* + * Description: + * The verilog behavioral model for the FIFO generator core. + * + ******************************************************************************* + */ + +`timescale 1ps/1ps + +/******************************************************************************* + * Declaration of top-level module + ******************************************************************************/ +module FIFO_GENERATOR_V4_3 +( + BACKUP, //not used + BACKUP_MARKER, //not used + CLK, + DIN, + PROG_EMPTY_THRESH, + PROG_EMPTY_THRESH_ASSERT, + PROG_EMPTY_THRESH_NEGATE, + PROG_FULL_THRESH, + PROG_FULL_THRESH_ASSERT, + PROG_FULL_THRESH_NEGATE, + RD_CLK, + RD_EN, + RD_RST, //not used + RST, + SRST, + WR_CLK, + WR_EN, + WR_RST, //not used + INT_CLK, + + ALMOST_EMPTY, + ALMOST_FULL, + DATA_COUNT, + DOUT, + EMPTY, + FULL, + OVERFLOW, + PROG_EMPTY, + PROG_FULL, + RD_DATA_COUNT, + UNDERFLOW, + VALID, + WR_ACK, + WR_DATA_COUNT, + + SBITERR, + DBITERR + ); + +/* + ****************************************************************************** + * Definition of Parameters + ****************************************************************************** + * C_COMMON_CLOCK : Common Clock (1), Independent Clocks (0) + * C_COUNT_TYPE : *not used + * C_DATA_COUNT_WIDTH : Width of DATA_COUNT bus + * C_DEFAULT_VALUE : *not used + * C_DIN_WIDTH : Width of DIN bus + * C_DOUT_RST_VAL : Reset value of DOUT + * C_DOUT_WIDTH : Width of DOUT bus + * C_ENABLE_RLOCS : *not used + * C_FAMILY : not used in bhv model + * C_FULL_FLAGS_RST_VAL : Full flags rst val (0 or 1) + * C_HAS_ALMOST_EMPTY : 1=Core has ALMOST_EMPTY flag + * C_HAS_ALMOST_FULL : 1=Core has ALMOST_FULL flag + * C_HAS_BACKUP : *not used + * C_HAS_DATA_COUNT : 1=Core has DATA_COUNT bus + * C_HAS_INT_CLK : not used in bhv model + * C_HAS_MEMINIT_FILE : *not used + * C_HAS_OVERFLOW : 1=Core has OVERFLOW flag + * C_HAS_RD_DATA_COUNT : 1=Core has RD_DATA_COUNT bus + * C_HAS_RD_RST : *not used + * C_HAS_RST : 1=Core has Async Rst + * C_HAS_SRST : 1=Core has Sync Rst + * C_HAS_UNDERFLOW : 1=Core has UNDERFLOW flag + * C_HAS_VALID : 1=Core has VALID flag + * C_HAS_WR_ACK : 1=Core has WR_ACK flag + * C_HAS_WR_DATA_COUNT : 1=Core has WR_DATA_COUNT bus + * C_HAS_WR_RST : *not used + * C_IMPLEMENTATION_TYPE : 0=Common-Clock Bram/Dram + * 1=Common-Clock ShiftRam + * 2=Indep. Clocks Bram/Dram + * 3=Virtex-4 Built-in + * 4=Virtex-5 Built-in + * C_INIT_WR_PNTR_VAL : *not used + * C_MEMORY_TYPE : 1=Block RAM + * 2=Distributed RAM + * 3=Shift RAM + * 4=Built-in FIFO + * C_MIF_FILE_NAME : *not used + * C_OPTIMIZATION_MODE : *not used + * C_OVERFLOW_LOW : 1=OVERFLOW active low + * C_PRELOAD_LATENCY : Latency of read: 0, 1, 2 + * C_PRELOAD_REGS : 1=Use output registers + * C_PRIM_FIFO_TYPE : not used in bhv model + * C_PROG_EMPTY_THRESH_ASSERT_VAL: PROG_EMPTY assert threshold + * C_PROG_EMPTY_THRESH_NEGATE_VAL: PROG_EMPTY negate threshold + * C_PROG_EMPTY_TYPE : 0=No programmable empty + * 1=Single prog empty thresh constant + * 2=Multiple prog empty thresh constants + * 3=Single prog empty thresh input + * 4=Multiple prog empty thresh inputs + * C_PROG_FULL_THRESH_ASSERT_VAL : PROG_FULL assert threshold + * C_PROG_FULL_THRESH_NEGATE_VAL : PROG_FULL negate threshold + * C_PROG_FULL_TYPE : 0=No prog full + * 1=Single prog full thresh constant + * 2=Multiple prog full thresh constants + * 3=Single prog full thresh input + * 4=Multiple prog full thresh inputs + * C_RD_DATA_COUNT_WIDTH : Width of RD_DATA_COUNT bus + * C_RD_DEPTH : Depth of read interface (2^N) + * C_RD_FREQ : not used in bhv model + * C_RD_PNTR_WIDTH : always log2(C_RD_DEPTH) + * C_UNDERFLOW_LOW : 1=UNDERFLOW active low + * C_USE_DOUT_RST : 1=Resets DOUT on RST + * C_USE_ECC : not used in bhv model + * C_USE_EMBEDDED_REG : 1=Use BRAM embedded output register + * C_USE_FIFO16_FLAGS : not used in bhv model + * C_USE_FWFT_DATA_COUNT : 1=Use extra logic for FWFT data count + * C_VALID_LOW : 1=VALID active low + * C_WR_ACK_LOW : 1=WR_ACK active low + * C_WR_DATA_COUNT_WIDTH : Width of WR_DATA_COUNT bus + * C_WR_DEPTH : Depth of write interface (2^N) + * C_WR_FREQ : not used in bhv model + * C_WR_PNTR_WIDTH : always log2(C_WR_DEPTH) + * C_WR_RESPONSE_LATENCY : *not used + * C_MSGON_VAL : *not used by bhv model + ****************************************************************************** + * Definition of Ports + ****************************************************************************** + * BACKUP : Not used + * BACKUP_MARKER: Not used + * CLK : Clock + * DIN : Input data bus + * PROG_EMPTY_THRESH : Threshold for Programmable Empty Flag + * PROG_EMPTY_THRESH_ASSERT: Threshold for Programmable Empty Flag + * PROG_EMPTY_THRESH_NEGATE: Threshold for Programmable Empty Flag + * PROG_FULL_THRESH : Threshold for Programmable Full Flag + * PROG_FULL_THRESH_ASSERT : Threshold for Programmable Full Flag + * PROG_FULL_THRESH_NEGATE : Threshold for Programmable Full Flag + * RD_CLK : Read Domain Clock + * RD_EN : Read enable + * RD_RST : Not used + * RST : Asynchronous Reset + * SRST : Synchronous Reset + * WR_CLK : Write Domain Clock + * WR_EN : Write enable + * WR_RST : Not used + * INT_CLK : Internal Clock + * ALMOST_EMPTY : One word remaining in FIFO + * ALMOST_FULL : One empty space remaining in FIFO + * DATA_COUNT : Number of data words in fifo( synchronous to CLK) + * DOUT : Output data bus + * EMPTY : Empty flag + * FULL : Full flag + * OVERFLOW : Last write rejected + * PROG_EMPTY : Programmable Empty Flag + * PROG_FULL : Programmable Full Flag + * RD_DATA_COUNT: Number of data words in fifo (synchronous to RD_CLK) + * UNDERFLOW : Last read rejected + * VALID : Last read acknowledged, DOUT bus VALID + * WR_ACK : Last write acknowledged + * WR_DATA_COUNT: Number of data words in fifo (synchronous to WR_CLK) + * SBITERR : Single Bit ECC Error Detected + * DBITERR : Double Bit ECC Error Detected + ****************************************************************************** + */ + + + /**************************************************************************** + * Declare user parameters and their defaults + *****************************************************************************/ + parameter C_COMMON_CLOCK = 0; + parameter C_COUNT_TYPE = 0; //not used + parameter C_DATA_COUNT_WIDTH = 2; + parameter C_DEFAULT_VALUE = ""; //not used + parameter C_DIN_WIDTH = 8; + parameter C_DOUT_RST_VAL = ""; + parameter C_DOUT_WIDTH = 8; + parameter C_ENABLE_RLOCS = 0; //not used + parameter C_FAMILY = "virtex2"; //not used in bhv model + parameter C_FULL_FLAGS_RST_VAL = 1; + parameter C_HAS_ALMOST_EMPTY = 0; + parameter C_HAS_ALMOST_FULL = 0; + parameter C_HAS_BACKUP = 0; //not used + parameter C_HAS_DATA_COUNT = 0; + parameter C_HAS_INT_CLK = 0; //not used in bhv model + parameter C_HAS_MEMINIT_FILE = 0; //not used + parameter C_HAS_OVERFLOW = 0; + parameter C_HAS_RD_DATA_COUNT = 0; + parameter C_HAS_RD_RST = 0; //not used + parameter C_HAS_RST = 0; + parameter C_HAS_SRST = 0; + parameter C_HAS_UNDERFLOW = 0; + parameter C_HAS_VALID = 0; + parameter C_HAS_WR_ACK = 0; + parameter C_HAS_WR_DATA_COUNT = 0; + parameter C_HAS_WR_RST = 0; //not used + parameter C_IMPLEMENTATION_TYPE = 0; + parameter C_INIT_WR_PNTR_VAL = 0; //not used + parameter C_MEMORY_TYPE = 1; + parameter C_MIF_FILE_NAME = ""; //not used + parameter C_OPTIMIZATION_MODE = 0; //not used + parameter C_OVERFLOW_LOW = 0; + parameter C_PRELOAD_LATENCY = 1; + parameter C_PRELOAD_REGS = 0; + parameter C_PRIM_FIFO_TYPE = 512; //not used in bhv model + parameter C_PROG_EMPTY_THRESH_ASSERT_VAL = 0; + parameter C_PROG_EMPTY_THRESH_NEGATE_VAL = 0; + parameter C_PROG_EMPTY_TYPE = 0; + parameter C_PROG_FULL_THRESH_ASSERT_VAL = 0; + parameter C_PROG_FULL_THRESH_NEGATE_VAL = 0; + parameter C_PROG_FULL_TYPE = 0; + parameter C_RD_DATA_COUNT_WIDTH = 2; + parameter C_RD_DEPTH = 256; + parameter C_RD_FREQ = 1; //not used in bhv model + parameter C_RD_PNTR_WIDTH = 8; + parameter C_UNDERFLOW_LOW = 0; + parameter C_USE_DOUT_RST = 0; + parameter C_USE_ECC = 0; //not used in bhv model + parameter C_USE_EMBEDDED_REG = 0; + parameter C_USE_FIFO16_FLAGS = 0; //not used in bhv model + parameter C_USE_FWFT_DATA_COUNT = 0; + parameter C_VALID_LOW = 0; + parameter C_WR_ACK_LOW = 0; + parameter C_WR_DATA_COUNT_WIDTH = 2; + parameter C_WR_DEPTH = 256; + parameter C_WR_FREQ = 1; //not used in bhv model + parameter C_WR_PNTR_WIDTH = 8; + parameter C_WR_RESPONSE_LATENCY = 1; //not used + parameter C_MSGON_VAL = 1; //not used + + + + /***************************************************************************** + * Derived parameters + ****************************************************************************/ + //There are 2 Verilog behavioral models + // 0 = Common-Clock FIFO/ShiftRam FIFO + // 1 = Independent Clocks FIFO + parameter C_VERILOG_IMPL = (C_IMPLEMENTATION_TYPE==0 ? 0 : + (C_IMPLEMENTATION_TYPE==1 ? 0 : + (C_IMPLEMENTATION_TYPE==2 ? 1 : 0))); + + /***************************************************************************** + * Declare Input and Output Ports + ****************************************************************************/ + input CLK; + input BACKUP; + input BACKUP_MARKER; + input [C_DIN_WIDTH-1:0] DIN; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + input RD_CLK; + input RD_EN; + input RD_RST; + input RST; + input SRST; + input WR_CLK; + input WR_EN; + input WR_RST; + input INT_CLK; + + output ALMOST_EMPTY; + output ALMOST_FULL; + output [C_DATA_COUNT_WIDTH-1:0] DATA_COUNT; + output [C_DOUT_WIDTH-1:0] DOUT; + output EMPTY; + output FULL; + output OVERFLOW; + output PROG_EMPTY; + output PROG_FULL; + output VALID; + output [C_RD_DATA_COUNT_WIDTH-1:0] RD_DATA_COUNT; + output UNDERFLOW; + output WR_ACK; + output [C_WR_DATA_COUNT_WIDTH-1:0] WR_DATA_COUNT; + output SBITERR; + output DBITERR; + + + wire ALMOST_EMPTY; + wire ALMOST_FULL; + wire [C_DATA_COUNT_WIDTH-1:0] DATA_COUNT; + wire [C_DOUT_WIDTH-1:0] DOUT; + wire EMPTY; + wire FULL; + wire OVERFLOW; + wire PROG_EMPTY; + wire PROG_FULL; + wire VALID; + wire [C_RD_DATA_COUNT_WIDTH-1:0] RD_DATA_COUNT; + wire UNDERFLOW; + wire WR_ACK; + wire [C_WR_DATA_COUNT_WIDTH-1:0] WR_DATA_COUNT; + + + wire RD_CLK_P0_IN; + wire RST_P0_IN; + wire RD_EN_FIFO_IN; + wire RD_EN_P0_IN; + + wire ALMOST_EMPTY_FIFO_OUT; + wire ALMOST_FULL_FIFO_OUT; + wire [C_DATA_COUNT_WIDTH-1:0] DATA_COUNT_FIFO_OUT; + wire [C_DOUT_WIDTH-1:0] DOUT_FIFO_OUT; + wire EMPTY_FIFO_OUT; + wire FULL_FIFO_OUT; + wire OVERFLOW_FIFO_OUT; + wire PROG_EMPTY_FIFO_OUT; + wire PROG_FULL_FIFO_OUT; + wire VALID_FIFO_OUT; + wire [C_RD_DATA_COUNT_WIDTH-1:0] RD_DATA_COUNT_FIFO_OUT; + wire UNDERFLOW_FIFO_OUT; + wire WR_ACK_FIFO_OUT; + wire [C_WR_DATA_COUNT_WIDTH-1:0] WR_DATA_COUNT_FIFO_OUT; + + + //*************************************************************************** + // Internal Signals + // The core uses either the internal_ wires or the preload0_ wires depending + // on whether the core uses Preload0 or not. + // When using preload0, the internal signals connect the internal core to + // the preload logic, and the external core's interfaces are tied to the + // preload0 signals from the preload logic. + //*************************************************************************** + wire [C_DOUT_WIDTH-1:0] DATA_P0_OUT; + wire VALID_P0_OUT; + wire EMPTY_P0_OUT; + wire ALMOSTEMPTY_P0_OUT; + reg EMPTY_P0_OUT_Q; + reg ALMOSTEMPTY_P0_OUT_Q; + wire UNDERFLOW_P0_OUT; + wire RDEN_P0_OUT; + wire [C_DOUT_WIDTH-1:0] DATA_P0_IN; + wire EMPTY_P0_IN; + reg [31:0] DATA_COUNT_FWFT; + reg SS_FWFT_WR ; + reg SS_FWFT_RD ; + + assign SBITERR = 1'b0; + assign DBITERR = 1'b0; + + +// Choose the behavioral model to instantiate based on the C_VERILOG_IMPL +// parameter (1=Independent Clocks, 0=Common Clock) +generate +case (C_VERILOG_IMPL) +0 : begin : block1 + //Common Clock Behavioral Model + fifo_generator_v4_3_bhv_ver_ss + #( + C_DATA_COUNT_WIDTH, + C_DIN_WIDTH, + C_DOUT_RST_VAL, + C_DOUT_WIDTH, + C_FULL_FLAGS_RST_VAL, + C_HAS_ALMOST_EMPTY, + C_HAS_ALMOST_FULL, + C_HAS_DATA_COUNT, + C_HAS_OVERFLOW, + C_HAS_RD_DATA_COUNT, + C_HAS_RST, + C_HAS_SRST, + C_HAS_UNDERFLOW, + C_HAS_VALID, + C_HAS_WR_ACK, + C_HAS_WR_DATA_COUNT, + C_IMPLEMENTATION_TYPE, + C_MEMORY_TYPE, + C_OVERFLOW_LOW, + C_PRELOAD_LATENCY, + C_PRELOAD_REGS, + C_PROG_EMPTY_THRESH_ASSERT_VAL, + C_PROG_EMPTY_THRESH_NEGATE_VAL, + C_PROG_EMPTY_TYPE, + C_PROG_FULL_THRESH_ASSERT_VAL, + C_PROG_FULL_THRESH_NEGATE_VAL, + C_PROG_FULL_TYPE, + C_RD_DATA_COUNT_WIDTH, + C_RD_DEPTH, + C_RD_PNTR_WIDTH, + C_UNDERFLOW_LOW, + C_USE_DOUT_RST, + C_USE_EMBEDDED_REG, + C_USE_FWFT_DATA_COUNT, + C_VALID_LOW, + C_WR_ACK_LOW, + C_WR_DATA_COUNT_WIDTH, + C_WR_DEPTH, + C_WR_PNTR_WIDTH + ) + gen_ss + ( + .CLK (CLK), + .RST (RST), + .SRST (SRST), + .DIN (DIN), + .WR_EN (WR_EN), + .RD_EN (RD_EN_FIFO_IN), + .PROG_EMPTY_THRESH (PROG_EMPTY_THRESH), + .PROG_EMPTY_THRESH_ASSERT (PROG_EMPTY_THRESH_ASSERT), + .PROG_EMPTY_THRESH_NEGATE (PROG_EMPTY_THRESH_NEGATE), + .PROG_FULL_THRESH (PROG_FULL_THRESH), + .PROG_FULL_THRESH_ASSERT (PROG_FULL_THRESH_ASSERT), + .PROG_FULL_THRESH_NEGATE (PROG_FULL_THRESH_NEGATE), + .DOUT (DOUT_FIFO_OUT), + .FULL (FULL_FIFO_OUT), + .ALMOST_FULL (ALMOST_FULL_FIFO_OUT), + .WR_ACK (WR_ACK_FIFO_OUT), + .OVERFLOW (OVERFLOW_FIFO_OUT), + .EMPTY (EMPTY_FIFO_OUT), + .ALMOST_EMPTY (ALMOST_EMPTY_FIFO_OUT), + .VALID (VALID_FIFO_OUT), + .UNDERFLOW (UNDERFLOW_FIFO_OUT), + .DATA_COUNT (DATA_COUNT_FIFO_OUT), + .PROG_FULL (PROG_FULL_FIFO_OUT), + .PROG_EMPTY (PROG_EMPTY_FIFO_OUT) + ); +end +1 : begin : block1 + //Independent Clocks Behavioral Model + fifo_generator_v4_3_bhv_ver_as + #( + C_DATA_COUNT_WIDTH, + C_DIN_WIDTH, + C_DOUT_RST_VAL, + C_DOUT_WIDTH, + C_FULL_FLAGS_RST_VAL, + C_HAS_ALMOST_EMPTY, + C_HAS_ALMOST_FULL, + C_HAS_DATA_COUNT, + C_HAS_OVERFLOW, + C_HAS_RD_DATA_COUNT, + C_HAS_RST, + C_HAS_UNDERFLOW, + C_HAS_VALID, + C_HAS_WR_ACK, + C_HAS_WR_DATA_COUNT, + C_IMPLEMENTATION_TYPE, + C_MEMORY_TYPE, + C_OVERFLOW_LOW, + C_PRELOAD_LATENCY, + C_PRELOAD_REGS, + C_PROG_EMPTY_THRESH_ASSERT_VAL, + C_PROG_EMPTY_THRESH_NEGATE_VAL, + C_PROG_EMPTY_TYPE, + C_PROG_FULL_THRESH_ASSERT_VAL, + C_PROG_FULL_THRESH_NEGATE_VAL, + C_PROG_FULL_TYPE, + C_RD_DATA_COUNT_WIDTH, + C_RD_DEPTH, + C_RD_PNTR_WIDTH, + C_UNDERFLOW_LOW, + C_USE_DOUT_RST, + C_USE_EMBEDDED_REG, + C_USE_FWFT_DATA_COUNT, + C_VALID_LOW, + C_WR_ACK_LOW, + C_WR_DATA_COUNT_WIDTH, + C_WR_DEPTH, + C_WR_PNTR_WIDTH + ) + gen_as + ( + .WR_CLK (WR_CLK), + .RD_CLK (RD_CLK), + .RST (RST), + .DIN (DIN), + .WR_EN (WR_EN), + .RD_EN (RD_EN_FIFO_IN), + .PROG_EMPTY_THRESH (PROG_EMPTY_THRESH), + .PROG_EMPTY_THRESH_ASSERT (PROG_EMPTY_THRESH_ASSERT), + .PROG_EMPTY_THRESH_NEGATE (PROG_EMPTY_THRESH_NEGATE), + .PROG_FULL_THRESH (PROG_FULL_THRESH), + .PROG_FULL_THRESH_ASSERT (PROG_FULL_THRESH_ASSERT), + .PROG_FULL_THRESH_NEGATE (PROG_FULL_THRESH_NEGATE), + .DOUT (DOUT_FIFO_OUT), + .FULL (FULL_FIFO_OUT), + .ALMOST_FULL (ALMOST_FULL_FIFO_OUT), + .WR_ACK (WR_ACK_FIFO_OUT), + .OVERFLOW (OVERFLOW_FIFO_OUT), + .EMPTY (EMPTY_FIFO_OUT), + .ALMOST_EMPTY (ALMOST_EMPTY_FIFO_OUT), + .VALID (VALID_FIFO_OUT), + .UNDERFLOW (UNDERFLOW_FIFO_OUT), + .RD_DATA_COUNT (RD_DATA_COUNT_FIFO_OUT), + .WR_DATA_COUNT (WR_DATA_COUNT_FIFO_OUT), + .PROG_FULL (PROG_FULL_FIFO_OUT), + .PROG_EMPTY (PROG_EMPTY_FIFO_OUT) + ); +end + +default : begin : block1 + //Independent Clocks Behavioral Model + fifo_generator_v4_3_bhv_ver_as + #( + C_DATA_COUNT_WIDTH, + C_DIN_WIDTH, + C_DOUT_RST_VAL, + C_DOUT_WIDTH, + C_FULL_FLAGS_RST_VAL, + C_HAS_ALMOST_EMPTY, + C_HAS_ALMOST_FULL, + C_HAS_DATA_COUNT, + C_HAS_OVERFLOW, + C_HAS_RD_DATA_COUNT, + C_HAS_RST, + C_HAS_UNDERFLOW, + C_HAS_VALID, + C_HAS_WR_ACK, + C_HAS_WR_DATA_COUNT, + C_IMPLEMENTATION_TYPE, + C_MEMORY_TYPE, + C_OVERFLOW_LOW, + C_PRELOAD_LATENCY, + C_PRELOAD_REGS, + C_PROG_EMPTY_THRESH_ASSERT_VAL, + C_PROG_EMPTY_THRESH_NEGATE_VAL, + C_PROG_EMPTY_TYPE, + C_PROG_FULL_THRESH_ASSERT_VAL, + C_PROG_FULL_THRESH_NEGATE_VAL, + C_PROG_FULL_TYPE, + C_RD_DATA_COUNT_WIDTH, + C_RD_DEPTH, + C_RD_PNTR_WIDTH, + C_UNDERFLOW_LOW, + C_USE_DOUT_RST, + C_USE_EMBEDDED_REG, + C_USE_FWFT_DATA_COUNT, + C_VALID_LOW, + C_WR_ACK_LOW, + C_WR_DATA_COUNT_WIDTH, + C_WR_DEPTH, + C_WR_PNTR_WIDTH + ) + gen_as + ( + .WR_CLK (WR_CLK), + .RD_CLK (RD_CLK), + .RST (RST), + .DIN (DIN), + .WR_EN (WR_EN), + .RD_EN (RD_EN_FIFO_IN), + .PROG_EMPTY_THRESH (PROG_EMPTY_THRESH), + .PROG_EMPTY_THRESH_ASSERT (PROG_EMPTY_THRESH_ASSERT), + .PROG_EMPTY_THRESH_NEGATE (PROG_EMPTY_THRESH_NEGATE), + .PROG_FULL_THRESH (PROG_FULL_THRESH), + .PROG_FULL_THRESH_ASSERT (PROG_FULL_THRESH_ASSERT), + .PROG_FULL_THRESH_NEGATE (PROG_FULL_THRESH_NEGATE), + .DOUT (DOUT_FIFO_OUT), + .FULL (FULL_FIFO_OUT), + .ALMOST_FULL (ALMOST_FULL_FIFO_OUT), + .WR_ACK (WR_ACK_FIFO_OUT), + .OVERFLOW (OVERFLOW_FIFO_OUT), + .EMPTY (EMPTY_FIFO_OUT), + .ALMOST_EMPTY (ALMOST_EMPTY_FIFO_OUT), + .VALID (VALID_FIFO_OUT), + .UNDERFLOW (UNDERFLOW_FIFO_OUT), + .RD_DATA_COUNT (RD_DATA_COUNT_FIFO_OUT), + .WR_DATA_COUNT (WR_DATA_COUNT_FIFO_OUT), + .PROG_FULL (PROG_FULL_FIFO_OUT), + .PROG_EMPTY (PROG_EMPTY_FIFO_OUT) + ); +end + +endcase +endgenerate + + + //************************************************************************** + // Connect Internal Signals + // (Signals labeled internal_*) + // In the normal case, these signals tie directly to the FIFO's inputs and + // outputs. + // In the case of Preload Latency 0 or 1, there are intermediate + // signals between the internal FIFO and the preload logic. + //************************************************************************** + + + //*********************************************** + // If First-Word Fall-Through, instantiate + // the preload0 (FWFT) module + //*********************************************** + generate + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin : block2 + + + fifo_generator_v4_3_bhv_ver_preload0 + #( + C_DOUT_RST_VAL, + C_DOUT_WIDTH, + C_HAS_RST, + C_USE_DOUT_RST, + C_VALID_LOW, + C_UNDERFLOW_LOW + ) + fgpl0 + ( + .RD_CLK (RD_CLK_P0_IN), + .RD_RST (RST_P0_IN), + .RD_EN (RD_EN_P0_IN), + .FIFOEMPTY (EMPTY_P0_IN), + .FIFODATA (DATA_P0_IN), + .USERDATA (DATA_P0_OUT), + .USERVALID (VALID_P0_OUT), + .USEREMPTY (EMPTY_P0_OUT), + .USERALMOSTEMPTY (ALMOSTEMPTY_P0_OUT), + .USERUNDERFLOW (UNDERFLOW_P0_OUT), + .RAMVALID (RAMVALID_P0_OUT), + .FIFORDEN (RDEN_P0_OUT) + ); + + + //*********************************************** + // Connect inputs to preload (FWFT) module + //*********************************************** + //Connect the RD_CLK of the Preload (FWFT) module to CLK if we + // have a common-clock FIFO, or RD_CLK if we have an + // independent clock FIFO + assign RD_CLK_P0_IN = ((C_VERILOG_IMPL == 0) ? CLK : RD_CLK); + assign RST_P0_IN = RST; + assign RD_EN_P0_IN = RD_EN; + assign EMPTY_P0_IN = EMPTY_FIFO_OUT; + assign DATA_P0_IN = DOUT_FIFO_OUT; + + //*********************************************** + // Connect outputs from preload (FWFT) module + //*********************************************** + assign DOUT = DATA_P0_OUT; + assign VALID = VALID_P0_OUT ; + assign EMPTY = EMPTY_P0_OUT; + assign ALMOST_EMPTY = ALMOSTEMPTY_P0_OUT; + assign UNDERFLOW = UNDERFLOW_P0_OUT ; + + assign RD_EN_FIFO_IN = RDEN_P0_OUT; + + + //*********************************************** + // Create DATA_COUNT from First-Word Fall-Through + // data count + //*********************************************** + assign DATA_COUNT = (C_DATA_COUNT_WIDTH>C_RD_PNTR_WIDTH) ? + DATA_COUNT_FWFT[C_RD_PNTR_WIDTH:0] : + DATA_COUNT_FWFT[C_RD_PNTR_WIDTH:C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH+1]; + + //*********************************************** + // Create DATA_COUNT from First-Word Fall-Through + // data count + //*********************************************** + always @ (posedge RD_CLK or posedge RST) begin + if (RST) begin + EMPTY_P0_OUT_Q <= 1; + ALMOSTEMPTY_P0_OUT_Q <= 1; + end else begin + EMPTY_P0_OUT_Q <= EMPTY_P0_OUT; + ALMOSTEMPTY_P0_OUT_Q <= ALMOSTEMPTY_P0_OUT; + end + end //always + + + //*********************************************** + // logic for common-clock data count when FWFT is selected + //*********************************************** + initial begin + SS_FWFT_RD = 1'b0; + DATA_COUNT_FWFT = 0 ; + SS_FWFT_WR = 1'b0 ; + end //initial + + + //*********************************************** + // common-clock data count is implemented as an + // up-down counter. SS_FWFT_WR and SS_FWFT_RD + // are the up/down enables for the counter. + //*********************************************** + always @ (RD_EN or VALID_P0_OUT or WR_EN or FULL_FIFO_OUT) begin + SS_FWFT_RD = RD_EN && VALID_P0_OUT ; + SS_FWFT_WR = (WR_EN && (~FULL_FIFO_OUT)) ; + end + + //*********************************************** + // common-clock data count is implemented as an + // up-down counter for FWFT. This always block + // calculates the counter. + //*********************************************** + always @ (posedge RD_CLK_P0_IN or posedge RST) begin + if (RST && (C_HAS_RST == 1) ) begin + DATA_COUNT_FWFT <= 0; + end else begin + if (SRST && (C_HAS_SRST == 1) ) begin + DATA_COUNT_FWFT <= 0; + end else begin + case ( {SS_FWFT_WR, SS_FWFT_RD}) + 2'b00: DATA_COUNT_FWFT <= DATA_COUNT_FWFT ; + 2'b01: DATA_COUNT_FWFT <= DATA_COUNT_FWFT - 1 ; + 2'b10: DATA_COUNT_FWFT <= DATA_COUNT_FWFT + 1 ; + 2'b11: DATA_COUNT_FWFT <= DATA_COUNT_FWFT ; + endcase + end //if SRST + end //IF RST + end //always + + + end else begin : block2 //if !(C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) + + //*********************************************** + // If NOT First-Word Fall-Through, wire the outputs + // of the internal _ss or _as FIFO directly to the + // output, and do not instantiate the preload0 + // module. + //*********************************************** + + assign RD_CLK_P0_IN = 0; + assign RST_P0_IN = 0; + assign RD_EN_P0_IN = 0; + + assign RD_EN_FIFO_IN = RD_EN; + + assign DOUT = DOUT_FIFO_OUT; + assign DATA_P0_IN = 0; + assign VALID = VALID_FIFO_OUT; + assign EMPTY = EMPTY_FIFO_OUT; + assign ALMOST_EMPTY = ALMOST_EMPTY_FIFO_OUT; + assign EMPTY_P0_IN = 0; + assign UNDERFLOW = UNDERFLOW_FIFO_OUT; + assign DATA_COUNT = DATA_COUNT_FIFO_OUT; + + end //if !(C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) + endgenerate + + + //*********************************************** + // Connect user flags to internal signals + //*********************************************** + + //If we are using extra logic for the FWFT data count, then override the + //RD_DATA_COUNT output when we are EMPTY or ALMOST_EMPTY. + //RD_DATA_COUNT is 0 when EMPTY and 1 when ALMOST_EMPTY. + generate + if (C_USE_FWFT_DATA_COUNT==1 && (C_RD_DATA_COUNT_WIDTH>C_RD_PNTR_WIDTH) ) begin : block3 + assign RD_DATA_COUNT = (EMPTY_P0_OUT_Q | RST) ? 0 : (ALMOSTEMPTY_P0_OUT_Q ? 1 : RD_DATA_COUNT_FIFO_OUT); + end //block3 + endgenerate + + //If we are using extra logic for the FWFT data count, then override the + //RD_DATA_COUNT output when we are EMPTY or ALMOST_EMPTY. + //Due to asymmetric ports, RD_DATA_COUNT is 0 when EMPTY or ALMOST_EMPTY. + generate + if (C_USE_FWFT_DATA_COUNT==1 && (C_RD_DATA_COUNT_WIDTH <=C_RD_PNTR_WIDTH) ) begin : block30 + assign RD_DATA_COUNT = (EMPTY_P0_OUT_Q | RST) ? 0 : (ALMOSTEMPTY_P0_OUT_Q ? 0 : RD_DATA_COUNT_FIFO_OUT); + end //block30 + endgenerate + + //If we are not using extra logic for the FWFT data count, + //then connect RD_DATA_COUNT to the RD_DATA_COUNT from the + //internal FIFO instance + generate + if (C_USE_FWFT_DATA_COUNT==0 ) begin : block31 + assign RD_DATA_COUNT = RD_DATA_COUNT_FIFO_OUT; + end + endgenerate + + //Always connect WR_DATA_COUNT to the WR_DATA_COUNT from the internal + //FIFO instance + generate + if (C_USE_FWFT_DATA_COUNT==1) begin : block4 + assign WR_DATA_COUNT = WR_DATA_COUNT_FIFO_OUT; + end + else begin : block4 + assign WR_DATA_COUNT = WR_DATA_COUNT_FIFO_OUT; + end + endgenerate + + + //Connect other flags to the internal FIFO instance + assign FULL = FULL_FIFO_OUT; + assign ALMOST_FULL = ALMOST_FULL_FIFO_OUT; + assign WR_ACK = WR_ACK_FIFO_OUT; + assign OVERFLOW = OVERFLOW_FIFO_OUT; + assign PROG_FULL = PROG_FULL_FIFO_OUT; + assign PROG_EMPTY = PROG_EMPTY_FIFO_OUT; + + + // if an asynchronous FIFO has been selected, display a message that the FIFO + // will not be cycle-accurate in simulation + initial begin + if (C_IMPLEMENTATION_TYPE == 2) begin + $display("Warning in %m at time %t: When using an asynchronous configuration for the FIFO Generator, the behavioral model is not cycle-accurate. You may wish to choose the structural simulation model instead of the behavioral model. This will ensure accurate behavior and latencies during simulation. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.", $time); + end else if (C_IMPLEMENTATION_TYPE == 3 || C_IMPLEMENTATION_TYPE == 4) begin + $display("Failure in %m at time %t: Use of Virtex-4 and Virtex-5 built-in FIFO configurations is currently not supported. Please use the structural simulation model. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.", $time); + $finish; + end + end //initial + +endmodule //FIFO_GENERATOR_V4_3 + + + +/******************************************************************************* + * Declaration of Independent-Clocks FIFO Module + ******************************************************************************/ +module fifo_generator_v4_3_bhv_ver_as + ( + WR_CLK, RD_CLK, RST, DIN, WR_EN, RD_EN, + PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT, PROG_EMPTY_THRESH_NEGATE, + PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT, PROG_FULL_THRESH_NEGATE, + DOUT, FULL, ALMOST_FULL, WR_ACK, OVERFLOW, EMPTY, ALMOST_EMPTY, VALID, + UNDERFLOW, RD_DATA_COUNT, WR_DATA_COUNT, PROG_FULL, PROG_EMPTY + ); + + /*************************************************************************** + * Declare user parameters and their defaults + ***************************************************************************/ + parameter C_DATA_COUNT_WIDTH = 2; + parameter C_DIN_WIDTH = 8; + parameter C_DOUT_RST_VAL = ""; + parameter C_DOUT_WIDTH = 8; + parameter C_FULL_FLAGS_RST_VAL = 1; + parameter C_HAS_ALMOST_EMPTY = 0; + parameter C_HAS_ALMOST_FULL = 0; + parameter C_HAS_DATA_COUNT = 0; + parameter C_HAS_OVERFLOW = 0; + parameter C_HAS_RD_DATA_COUNT = 0; + parameter C_HAS_RST = 0; + parameter C_HAS_UNDERFLOW = 0; + parameter C_HAS_VALID = 0; + parameter C_HAS_WR_ACK = 0; + parameter C_HAS_WR_DATA_COUNT = 0; + parameter C_IMPLEMENTATION_TYPE = 0; + parameter C_MEMORY_TYPE = 1; + parameter C_OVERFLOW_LOW = 0; + parameter C_PRELOAD_LATENCY = 1; + parameter C_PRELOAD_REGS = 0; + parameter C_PROG_EMPTY_THRESH_ASSERT_VAL = 0; + parameter C_PROG_EMPTY_THRESH_NEGATE_VAL = 0; + parameter C_PROG_EMPTY_TYPE = 0; + parameter C_PROG_FULL_THRESH_ASSERT_VAL = 0; + parameter C_PROG_FULL_THRESH_NEGATE_VAL = 0; + parameter C_PROG_FULL_TYPE = 0; + parameter C_RD_DATA_COUNT_WIDTH = 2; + parameter C_RD_DEPTH = 256; + parameter C_RD_PNTR_WIDTH = 8; + parameter C_UNDERFLOW_LOW = 0; + parameter C_USE_DOUT_RST = 0; + parameter C_USE_EMBEDDED_REG = 0; + parameter C_USE_FWFT_DATA_COUNT = 0; + parameter C_VALID_LOW = 0; + parameter C_WR_ACK_LOW = 0; + parameter C_WR_DATA_COUNT_WIDTH = 2; + parameter C_WR_DEPTH = 256; + parameter C_WR_PNTR_WIDTH = 8; + + /*************************************************************************** + * Declare Input and Output Ports + ***************************************************************************/ + input [C_DIN_WIDTH-1:0] DIN; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + input RD_CLK; + input RD_EN; + input RST; + input WR_CLK; + input WR_EN; + output ALMOST_EMPTY; + output ALMOST_FULL; + output [C_DOUT_WIDTH-1:0] DOUT; + output EMPTY; + output FULL; + output OVERFLOW; + output PROG_EMPTY; + output PROG_FULL; + output VALID; + output [C_RD_DATA_COUNT_WIDTH-1:0] RD_DATA_COUNT; + output UNDERFLOW; + output WR_ACK; + output [C_WR_DATA_COUNT_WIDTH-1:0] WR_DATA_COUNT; + + /************************************************************************* + * Declare the type for each Input/Output port, and connect each I/O + * to it's associated internal signal in the behavioral model + * + * The values for the outputs are assigned in assign statements immediately + * following wire, parameter, and function declarations in this code. + *************************************************************************/ + //Inputs + wire [C_DIN_WIDTH-1:0] DIN; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + wire RD_CLK; + wire RD_EN; + wire RST; + wire WR_CLK; + wire WR_EN; + + //Outputs + wire ALMOST_EMPTY; + wire ALMOST_FULL; + wire [C_DOUT_WIDTH-1:0] DOUT; + wire EMPTY; + wire FULL; + wire OVERFLOW; + wire PROG_EMPTY; + wire PROG_FULL; + wire VALID; + wire [C_RD_DATA_COUNT_WIDTH-1:0] RD_DATA_COUNT; + wire UNDERFLOW; + wire WR_ACK; + wire [C_WR_DATA_COUNT_WIDTH-1:0] WR_DATA_COUNT; + + + /*************************************************************************** + * Parameters used as constants + **************************************************************************/ + //When RST is present, set FULL reset value to '1'. + //If core has no RST, make sure FULL powers-on as '0'. + parameter C_DEPTH_RATIO_WR = + (C_WR_DEPTH>C_RD_DEPTH) ? (C_WR_DEPTH/C_RD_DEPTH) : 1; + parameter C_DEPTH_RATIO_RD = + (C_RD_DEPTH>C_WR_DEPTH) ? (C_RD_DEPTH/C_WR_DEPTH) : 1; + parameter C_FIFO_WR_DEPTH = C_WR_DEPTH - 1; + parameter C_FIFO_RD_DEPTH = C_RD_DEPTH - 1; + + + // EXTRA_WORDS = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD + // WR_DEPTH : RD_DEPTH = 1:2 => EXTRA_WORDS = 1 + // WR_DEPTH : RD_DEPTH = 1:4 => EXTRA_WORDS = 1 (rounded to ceiling) + // WR_DEPTH : RD_DEPTH = 2:1 => EXTRA_WORDS = 4 + // WR_DEPTH : RD_DEPTH = 4:1 => EXTRA_WORDS = 8 + parameter EXTRA_WORDS = (C_DEPTH_RATIO_RD > 1)? 1:(2 * C_DEPTH_RATIO_WR); + // extra_words_dc = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD + // C_DEPTH_RATIO_WR | C_DEPTH_RATIO_RD | C_PNTR_WIDTH | EXTRA_WORDS_DC + // -----------------|------------------|-----------------|--------------- + // 1 | 8 | C_RD_PNTR_WIDTH | 0 + // 1 | 4 | C_RD_PNTR_WIDTH | 0 + // 1 | 2 | C_RD_PNTR_WIDTH | 1 + // 1 | 1 | C_WR_PNTR_WIDTH | 2 + // 2 | 1 | C_WR_PNTR_WIDTH | 4 + // 4 | 1 | C_WR_PNTR_WIDTH | 8 + // 8 | 1 | C_WR_PNTR_WIDTH | 16 + parameter EXTRA_WORDS_DC = ( C_DEPTH_RATIO_RD > 2)? + 0:(2 * C_DEPTH_RATIO_WR/C_DEPTH_RATIO_RD); + + + parameter [31:0] reads_per_write = C_DIN_WIDTH/C_DOUT_WIDTH; + + parameter [31:0] log2_reads_per_write = log2_val(reads_per_write); + + parameter [31:0] writes_per_read = C_DOUT_WIDTH/C_DIN_WIDTH; + + parameter [31:0] log2_writes_per_read = log2_val(writes_per_read); + + + + /************************************************************************** + * FIFO Contents Tracking and Data Count Calculations + *************************************************************************/ + + //Memory which will be used to simulate a FIFO + reg [C_DIN_WIDTH-1:0] memory[C_WR_DEPTH-1:0]; + + //The amount of data stored in the FIFO at any time is given + // by num_wr_bits (in the WR_CLK domain) and num_rd_bits (in the RD_CLK + // domain. + //num_wr_bits is calculated by considering the total words in the FIFO, + // and the state of the read pointer (which may not have yet crossed clock + // domains.) + //num_rd_bits is calculated by considering the total words in the FIFO, + // and the state of the write pointer (which may not have yet crossed clock + // domains.) + reg [31:0] num_wr_bits; + reg [31:0] num_rd_bits; + reg [31:0] next_num_wr_bits; + reg [31:0] next_num_rd_bits; + + //The write pointer - tracks write operations + // (Works opposite to core: wr_ptr is a DOWN counter) + reg [31:0] wr_ptr; + + //The read pointer - tracks read operations + // (Works opposite to core: rd_ptr is a DOWN counter) + reg [31:0] rd_ptr; + + //Pointers passed into opposite clock domain + reg [31:0] wr_ptr_rdclk; + reg [31:0] wr_ptr_rdclk_next; + reg [31:0] rd_ptr_wrclk; + reg [31:0] rd_ptr_wrclk_next; + + //Amount of data stored in the FIFO scaled to the narrowest (deepest) port + // (Do not include data in FWFT stages) + //Used to calculate PROG_EMPTY. + wire [31:0] num_read_words_pe = + num_rd_bits/(C_DOUT_WIDTH/C_DEPTH_RATIO_WR); + + //Amount of data stored in the FIFO scaled to the narrowest (deepest) port + // (Do not include data in FWFT stages) + //Used to calculate PROG_FULL. + wire [31:0] num_write_words_pf = + num_wr_bits/(C_DIN_WIDTH/C_DEPTH_RATIO_RD); + + /************************** + * Read Data Count + *************************/ + + /* ORIGINAL CODE - Removed 10/24/07 jeo + //Amount of data stored in the FIFO scaled to read words + // (Do not include data in FWFT stages) + //Not used in the code. + wire [31:0] num_read_words_dc = num_rd_bits/C_DOUT_WIDTH; + + //Amount of data stored in the FIFO scaled to read words + // (Include data in FWFT stages) + //Not used in the code. + wire [31:0] num_read_words_fwft_dc = (num_rd_bits/C_DOUT_WIDTH+2); + + //Not used in the code. + wire [31:0] num_read_words_dc_i = + C_USE_FWFT_DATA_COUNT ? num_read_words_fwft_dc : num_read_words_dc; + + //Not used in the code. + wire [C_RD_DATA_COUNT_WIDTH-1:0] num_read_words_sized = + num_read_words_dc_i[C_RD_PNTR_WIDTH-1 : C_RD_PNTR_WIDTH-C_RD_DATA_COUNT_WIDTH]; + + //Not used in the code. + wire [C_RD_DATA_COUNT_WIDTH-1:0] num_read_words_sized_fwft = + num_read_words_dc_i[C_RD_PNTR_WIDTH : C_RD_PNTR_WIDTH-C_RD_DATA_COUNT_WIDTH+1]; + + //Used to calculate ideal_rd_count (RD_DATA_COUNT) + wire [C_RD_DATA_COUNT_WIDTH-1:0] num_read_words_sized_i = + C_USE_FWFT_DATA_COUNT ? num_read_words_sized_fwft : num_read_words_sized; + */ + + reg [31:0] num_read_words_dc; + reg [C_RD_DATA_COUNT_WIDTH-1:0] num_read_words_sized_i; + + always @(num_rd_bits) begin + if (C_USE_FWFT_DATA_COUNT) begin + + //If using extra logic for FWFT Data Counts, + // then scale FIFO contents to read domain, + // and add two read words for FWFT stages + //This value is only a temporary value and not used in the code. + num_read_words_dc = (num_rd_bits/C_DOUT_WIDTH+2); + + //Trim the read words for use with RD_DATA_COUNT + num_read_words_sized_i = + num_read_words_dc[C_RD_PNTR_WIDTH : C_RD_PNTR_WIDTH-C_RD_DATA_COUNT_WIDTH+1]; + + end else begin + + //If not using extra logic for FWFT Data Counts, + // then scale FIFO contents to read domain. + //This value is only a temporary value and not used in the code. + num_read_words_dc = num_rd_bits/C_DOUT_WIDTH; + + //Trim the read words for use with RD_DATA_COUNT + num_read_words_sized_i = + num_read_words_dc[C_RD_PNTR_WIDTH-1 : C_RD_PNTR_WIDTH-C_RD_DATA_COUNT_WIDTH]; + + end //if (C_USE_FWFT_DATA_COUNT) + end //always + + + + + + + + + /************************** + * Write Data Count + *************************/ + /* ORIGINAL CODE - Removed 10/24/07 jeo + + //Calculate the Data Count value for the number of write words, when not + // using First-Word Fall-Through with extra logic for Data Counts. This + // calculates only the number of words in the internal FIFO. + //The expression (((A-1)/B))+1 divides A/B, but takes the + // ceiling of the result. + //When num_wr_bits==0, set the result manually to prevent division errors. + wire [31:0] num_write_words_dc = + (num_wr_bits==0) ? 0 : ((num_wr_bits-1)/C_DIN_WIDTH) + 1; + + //Calculate the Data Count value for the number of write words, when using + // First-Word Fall-Through with extra logic for Data Counts. This takes into + // consideration the number of words that are expected to be stored in the + // FWFT register stages (it always assumes they are filled). + //The expression (((A-1)/B))+1 divides A/B, but takes the + // ceiling of the result. + //When num_wr_bits==0, set the result manually to prevent division errors. + //EXTRA_WORDS_DC is the number of words added to write_words due to FWFT. + wire [31:0] num_write_words_fwft_dc = + (num_wr_bits==0) ? EXTRA_WORDS_DC : (((num_wr_bits-1)/C_DIN_WIDTH) + 1) + EXTRA_WORDS_DC ; + + wire [31:0] num_write_words_dc_i = + C_USE_FWFT_DATA_COUNT ? num_write_words_fwft_dc : num_write_words_dc; + + + + wire [C_WR_DATA_COUNT_WIDTH-1:0] num_write_words_sized = + num_write_words_dc_i[C_WR_PNTR_WIDTH-1 : C_WR_PNTR_WIDTH-C_WR_DATA_COUNT_WIDTH]; + + wire [C_WR_DATA_COUNT_WIDTH-1:0] num_write_words_sized_fwft = + num_write_words_dc_i[C_WR_PNTR_WIDTH : C_WR_PNTR_WIDTH-C_WR_DATA_COUNT_WIDTH+1]; + + wire [C_WR_DATA_COUNT_WIDTH-1:0] num_write_words_sized_i = C_USE_FWFT_DATA_COUNT? + num_write_words_sized_fwft:num_write_words_sized; + + */ + + reg [31:0] num_write_words_dc; + reg [C_WR_DATA_COUNT_WIDTH-1:0] num_write_words_sized_i; + + always @(num_wr_bits) begin + if (C_USE_FWFT_DATA_COUNT) begin + + //Calculate the Data Count value for the number of write words, + // when using First-Word Fall-Through with extra logic for Data + // Counts. This takes into consideration the number of words that + // are expected to be stored in the FWFT register stages (it always + // assumes they are filled). + //This value is scaled to the Write Domain. + //The expression (((A-1)/B))+1 divides A/B, but takes the + // ceiling of the result. + //When num_wr_bits==0, set the result manually to prevent + // division errors. + //EXTRA_WORDS_DC is the number of words added to write_words + // due to FWFT. + //This value is only a temporary value and not used in the code. + num_write_words_dc = (num_wr_bits==0) ? EXTRA_WORDS_DC : (((num_wr_bits-1)/C_DIN_WIDTH)+1) + EXTRA_WORDS_DC ; + + //Trim the write words for use with WR_DATA_COUNT + num_write_words_sized_i = + num_write_words_dc[C_WR_PNTR_WIDTH : C_WR_PNTR_WIDTH-C_WR_DATA_COUNT_WIDTH+1]; + + end else begin + + //Calculate the Data Count value for the number of write words, when NOT + // using First-Word Fall-Through with extra logic for Data Counts. This + // calculates only the number of words in the internal FIFO. + //The expression (((A-1)/B))+1 divides A/B, but takes the + // ceiling of the result. + //This value is scaled to the Write Domain. + //When num_wr_bits==0, set the result manually to prevent + // division errors. + //This value is only a temporary value and not used in the code. + num_write_words_dc = (num_wr_bits==0) ? 0 : ((num_wr_bits-1)/C_DIN_WIDTH)+1; + + //Trim the read words for use with RD_DATA_COUNT + num_write_words_sized_i = + num_write_words_dc[C_WR_PNTR_WIDTH-1 : C_WR_PNTR_WIDTH-C_WR_DATA_COUNT_WIDTH]; + + end //if (C_USE_FWFT_DATA_COUNT) + end //always + + + + /*************************************************************************** + * Internal registers and wires + **************************************************************************/ + + //Temporary signals used for calculating the model's outputs. These + //are only used in the assign statements immediately following wire, + //parameter, and function declarations. + wire [C_DOUT_WIDTH-1:0] ideal_dout_out; + wire valid_i; + wire valid_out; + wire underflow_i; + + //Ideal FIFO signals. These are the raw output of the behavioral model, + //which behaves like an ideal FIFO. + reg [C_DOUT_WIDTH-1:0] ideal_dout; + reg [C_DOUT_WIDTH-1:0] ideal_dout_d1; + reg ideal_wr_ack; + reg ideal_valid; + reg ideal_overflow; + reg ideal_underflow; + reg ideal_full; + reg ideal_empty; + reg ideal_almost_full; + reg ideal_almost_empty; + reg ideal_prog_full; + reg ideal_prog_empty; + reg [C_WR_DATA_COUNT_WIDTH-1 : 0] ideal_wr_count; + reg [C_RD_DATA_COUNT_WIDTH-1 : 0] ideal_rd_count; + + //Assorted reg values for delayed versions of signals + reg valid_d1; + reg prog_full_d; + reg prog_empty_d; + + //Internal reset signals + reg rd_rst_asreg =0; + reg rd_rst_asreg_d1 =0; + reg rd_rst_asreg_d2 =0; + reg rd_rst_reg =0; + reg rd_rst_d1 =0; + reg wr_rst_asreg =0; + reg wr_rst_asreg_d1 =0; + reg wr_rst_asreg_d2 =0; + reg wr_rst_reg =0; + reg wr_rst_d1 =0; + + wire rd_rst_comb; + wire rd_rst_i; + wire wr_rst_comb; + wire wr_rst_i; + + + //user specified value for reseting the size of the fifo + reg [C_DOUT_WIDTH-1:0] dout_reset_val; + + //temporary registers for WR_RESPONSE_LATENCY feature + + integer tmp_wr_listsize; + integer tmp_rd_listsize; + + //Signal for registered version of prog full and empty + + //Threshold values for Programmable Flags + integer prog_empty_actual_thresh_assert; + integer prog_empty_actual_thresh_negate; + integer prog_full_actual_thresh_assert; + integer prog_full_actual_thresh_negate; + + + /**************************************************************************** + * Function Declarations + ***************************************************************************/ + + /************************************************************************** + * write_fifo + * This task writes a word to the FIFO memory and updates the + * write pointer. + * FIFO size is relative to write domain. + ***************************************************************************/ + task write_fifo; + begin + memory[wr_ptr] <= DIN; + // (Works opposite to core: wr_ptr is a DOWN counter) + if (wr_ptr == 0) begin + wr_ptr <= C_WR_DEPTH - 1; + end else begin + wr_ptr <= wr_ptr - 1; + end + end + endtask // write_fifo + + /************************************************************************** + * read_fifo + * This task reads a word from the FIFO memory and updates the read + * pointer. It's output is the ideal_dout bus. + * FIFO size is relative to write domain. + ***************************************************************************/ + task read_fifo; + integer i; + reg [C_DOUT_WIDTH-1:0] tmp_dout; + reg [C_DIN_WIDTH-1:0] memory_read; + reg [31:0] tmp_rd_ptr; + reg [31:0] rd_ptr_high; + reg [31:0] rd_ptr_low; + begin + // output is wider than input + if (reads_per_write == 0) begin + tmp_dout = 0; + tmp_rd_ptr = (rd_ptr << log2_writes_per_read)+(writes_per_read-1); + for (i = writes_per_read - 1; i >= 0; i = i - 1) begin + tmp_dout = tmp_dout << C_DIN_WIDTH; + tmp_dout = tmp_dout | memory[tmp_rd_ptr]; + + // (Works opposite to core: rd_ptr is a DOWN counter) + if (tmp_rd_ptr == 0) begin + tmp_rd_ptr = C_WR_DEPTH - 1; + end else begin + tmp_rd_ptr = tmp_rd_ptr - 1; + end + end + + // output is symmetric + end else if (reads_per_write == 1) begin + tmp_dout = memory[rd_ptr]; + + // input is wider than output + end else begin + rd_ptr_high = rd_ptr >> log2_reads_per_write; + rd_ptr_low = rd_ptr & (reads_per_write - 1); + memory_read = memory[rd_ptr_high]; + tmp_dout = memory_read >> (rd_ptr_low*C_DOUT_WIDTH); + end + ideal_dout <= tmp_dout; + + // (Works opposite to core: rd_ptr is a DOWN counter) + if (rd_ptr == 0) begin + rd_ptr <= C_RD_DEPTH - 1; + end else begin + rd_ptr <= rd_ptr - 1; + end + end + endtask + + /************************************************************************** + * log2_val + * Returns the 'log2' value for the input value for the supported ratios + ***************************************************************************/ + function [31:0] log2_val; + input [31:0] binary_val; + + begin + if (binary_val == 8) begin + log2_val = 3; + end else if (binary_val == 4) begin + log2_val = 2; + end else begin + log2_val = 1; + end + end + endfunction + + /*********************************************************************** + * hexstr_conv + * Converts a string of type hex to a binary value (for C_DOUT_RST_VAL) + ***********************************************************************/ + function [C_DOUT_WIDTH-1:0] hexstr_conv; + input [(C_DOUT_WIDTH*8)-1:0] def_data; + + integer index,i,j; + reg [3:0] bin; + + begin + index = 0; + hexstr_conv = 'b0; + for( i=C_DOUT_WIDTH-1; i>=0; i=i-1 ) + begin + case (def_data[7:0]) + 8'b00000000 : + begin + bin = 4'b0000; + i = -1; + end + 8'b00110000 : bin = 4'b0000; + 8'b00110001 : bin = 4'b0001; + 8'b00110010 : bin = 4'b0010; + 8'b00110011 : bin = 4'b0011; + 8'b00110100 : bin = 4'b0100; + 8'b00110101 : bin = 4'b0101; + 8'b00110110 : bin = 4'b0110; + 8'b00110111 : bin = 4'b0111; + 8'b00111000 : bin = 4'b1000; + 8'b00111001 : bin = 4'b1001; + 8'b01000001 : bin = 4'b1010; + 8'b01000010 : bin = 4'b1011; + 8'b01000011 : bin = 4'b1100; + 8'b01000100 : bin = 4'b1101; + 8'b01000101 : bin = 4'b1110; + 8'b01000110 : bin = 4'b1111; + 8'b01100001 : bin = 4'b1010; + 8'b01100010 : bin = 4'b1011; + 8'b01100011 : bin = 4'b1100; + 8'b01100100 : bin = 4'b1101; + 8'b01100101 : bin = 4'b1110; + 8'b01100110 : bin = 4'b1111; + default : + begin + bin = 4'bx; + end + endcase + for( j=0; j<4; j=j+1) + begin + if ((index*4)+j < C_DOUT_WIDTH) + begin + hexstr_conv[(index*4)+j] = bin[j]; + end + end + index = index + 1; + def_data = def_data >> 8; + end + end + endfunction + + /************************************************************************* + * Initialize Signals for clean power-on simulation + *************************************************************************/ + initial begin + num_wr_bits = 0; + num_rd_bits = 0; + next_num_wr_bits = 0; + next_num_rd_bits = 0; + rd_ptr = C_RD_DEPTH - 1; + wr_ptr = C_WR_DEPTH - 1; + rd_ptr_wrclk = rd_ptr; + wr_ptr_rdclk = wr_ptr; + dout_reset_val = hexstr_conv(C_DOUT_RST_VAL); + ideal_dout = dout_reset_val; + ideal_dout_d1 = 0 ; + ideal_wr_ack = 1'b0; + ideal_valid = 1'b0; + valid_d1 = 1'b0; + ideal_overflow = 1'b0; + ideal_underflow = 1'b0; + ideal_full = 1'b0; + ideal_empty = 1'b1; + ideal_almost_full = 1'b0; + ideal_almost_empty = 1'b1; + ideal_wr_count = 0; + ideal_rd_count = 0; + ideal_prog_full = 1'b0; + ideal_prog_empty = 1'b1; + prog_full_d = 1'b0; + prog_empty_d = 1'b1; + end + + + /************************************************************************* + * Connect the module inputs and outputs to the internal signals of the + * behavioral model. + *************************************************************************/ + //Inputs + /* + wire [C_DIN_WIDTH-1:0] DIN; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + wire RD_CLK; + wire RD_EN; + wire RST; + wire WR_CLK; + wire WR_EN; + */ + + //Outputs + assign ALMOST_EMPTY = ideal_almost_empty; + assign ALMOST_FULL = ideal_almost_full; + + //Dout may change behavior based on latency + assign ideal_dout_out[C_DOUT_WIDTH-1:0] = (C_PRELOAD_LATENCY==2 && + (C_MEMORY_TYPE==0 || C_MEMORY_TYPE==1))? + ideal_dout_d1: ideal_dout; + assign DOUT[C_DOUT_WIDTH-1:0] = ideal_dout_out; + + assign EMPTY = ideal_empty; + assign FULL = ideal_full; + + //Overflow may be active-low + assign OVERFLOW = ideal_overflow ? !C_OVERFLOW_LOW : C_OVERFLOW_LOW; + + assign PROG_EMPTY = ideal_prog_empty; + assign PROG_FULL = ideal_prog_full; + + //Valid may change behavior based on latency or active-low + assign valid_i = (C_PRELOAD_LATENCY==0) ? (RD_EN & ~EMPTY) : ideal_valid; + assign valid_out = (C_PRELOAD_LATENCY==2 && + (C_MEMORY_TYPE==0 || C_MEMORY_TYPE==1))? + valid_d1: valid_i; + assign VALID = valid_out ? !C_VALID_LOW : C_VALID_LOW; + + assign RD_DATA_COUNT[C_RD_DATA_COUNT_WIDTH-1:0] = ideal_rd_count; + + //Underflow may change behavior based on latency or active-low + assign underflow_i = (C_PRELOAD_LATENCY==0) ? (RD_EN & EMPTY) : ideal_underflow; + assign UNDERFLOW = underflow_i ? !C_UNDERFLOW_LOW : C_UNDERFLOW_LOW; + + //Write acknowledge may be active low + assign WR_ACK = ideal_wr_ack ? !C_WR_ACK_LOW : C_WR_ACK_LOW; + + assign WR_DATA_COUNT[C_WR_DATA_COUNT_WIDTH-1:0] = ideal_wr_count; + + + /************************************************************************** + * Internal reset logic + **************************************************************************/ + assign wr_rst_comb = !wr_rst_asreg_d2 && wr_rst_asreg; + assign rd_rst_comb = !rd_rst_asreg_d2 && rd_rst_asreg; + assign wr_rst_i = C_HAS_RST ? wr_rst_reg : 0; + assign rd_rst_i = C_HAS_RST ? rd_rst_reg : 0; + + + always @(posedge WR_CLK or posedge RST) begin + if (RST == 1'b1) begin + wr_rst_asreg <= 1'b1; + end else begin + if (wr_rst_asreg_d1 == 1'b1) begin + wr_rst_asreg <= 1'b0; + end else begin + wr_rst_asreg <= wr_rst_asreg; + end + end + end + + always @(posedge WR_CLK) begin + wr_rst_asreg_d1 <= wr_rst_asreg; + wr_rst_asreg_d2 <= wr_rst_asreg_d1; + end + + always @(posedge WR_CLK or posedge wr_rst_comb) begin + if (wr_rst_comb == 1'b1) begin + wr_rst_reg <= 1'b1; + end else begin + wr_rst_reg <= 1'b0; + end + end + + always @(posedge WR_CLK or posedge wr_rst_i) begin + if (wr_rst_i == 1'b1) begin + wr_rst_d1 <= 1'b1; + end else begin + wr_rst_d1 <= wr_rst_i; + end + end + always @(posedge RD_CLK or posedge RST) begin + if (RST == 1'b1) begin + rd_rst_asreg <= 1'b1; + end else begin + if (rd_rst_asreg_d1 == 1'b1) begin + rd_rst_asreg <= 1'b0; + end else begin + rd_rst_asreg <= rd_rst_asreg; + end + end + end + + always @(posedge RD_CLK) begin + rd_rst_asreg_d1 <= rd_rst_asreg; + rd_rst_asreg_d2 <= rd_rst_asreg_d1; + end + + always @(posedge RD_CLK or posedge rd_rst_comb) begin + if (rd_rst_comb == 1'b1) begin + rd_rst_reg <= 1'b1; + end else begin + rd_rst_reg <= 1'b0; + end + end + + /************************************************************************** + * Assorted registers for delayed versions of signals + **************************************************************************/ + //Capture delayed version of valid + always @(posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i == 1'b1) begin + valid_d1 <= 1'b0; + end else begin + valid_d1 <= valid_i; + end + end + + //Capture delayed version of dout + always @(posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i == 1'b1 && C_USE_DOUT_RST == 1) begin + ideal_dout_d1 <= dout_reset_val; + end else begin + ideal_dout_d1 <= ideal_dout; + end + end + + /************************************************************************** + * Overflow and Underflow Flag calculation + * (handled separately because they don't support rst) + **************************************************************************/ + always @(posedge WR_CLK) begin + ideal_overflow <= WR_EN & ideal_full; + end + + always @(posedge RD_CLK) begin + ideal_underflow <= ideal_empty & RD_EN; + end + + /************************************************************************** + * Write Domain Logic + **************************************************************************/ + always @(posedge WR_CLK or posedge wr_rst_i) begin : gen_fifo_w + + /****** Reset fifo (case 1)***************************************/ + if (wr_rst_i == 1'b1) begin + num_wr_bits <= 0; + next_num_wr_bits <= 0; + wr_ptr <= C_WR_DEPTH - 1; + rd_ptr_wrclk <= C_RD_DEPTH - 1; + ideal_wr_ack <= 0; + ideal_full <= C_FULL_FLAGS_RST_VAL; + ideal_almost_full <= C_FULL_FLAGS_RST_VAL; + ideal_wr_count <= 0; + + ideal_prog_full <= C_FULL_FLAGS_RST_VAL; + prog_full_d <= C_FULL_FLAGS_RST_VAL; + + end else begin //wr_rst_i==0 + + //Determine the current number of words in the FIFO + tmp_wr_listsize = (C_DEPTH_RATIO_RD > 1) ? num_wr_bits/C_DOUT_WIDTH : + num_wr_bits/C_DIN_WIDTH; + rd_ptr_wrclk_next = rd_ptr; + if (rd_ptr_wrclk < rd_ptr_wrclk_next) begin + next_num_wr_bits = num_wr_bits - + C_DOUT_WIDTH*(rd_ptr_wrclk + C_RD_DEPTH + - rd_ptr_wrclk_next); + end else begin + next_num_wr_bits = num_wr_bits - + C_DOUT_WIDTH*(rd_ptr_wrclk - rd_ptr_wrclk_next); + end + + //If this is a write, handle the write by adding the value + // to the linked list, and updating all outputs appropriately + if (WR_EN == 1'b1) begin + if (ideal_full == 1'b1) begin + + //If the FIFO is full, do NOT perform the write, + // update flags accordingly + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD + >= C_FIFO_WR_DEPTH) begin + //write unsuccessful - do not change contents + + //Do not acknowledge the write + ideal_wr_ack <= 0; + //Reminder that FIFO is still full + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + ideal_wr_count <= num_write_words_sized_i; + + //If the FIFO is one from full, but reporting full + end else + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD == + C_FIFO_WR_DEPTH-1) begin + //No change to FIFO + + //Write not successful + ideal_wr_ack <= 0; + //With DEPTH-1 words in the FIFO, it is almost_full + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + ideal_wr_count <= num_write_words_sized_i; + + + //If the FIFO is completely empty, but it is + // reporting FULL for some reason (like reset) + end else + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD <= + C_FIFO_WR_DEPTH-2) begin + //No change to FIFO + + //Write not successful + ideal_wr_ack <= 0; + //FIFO is really not close to full, so change flag status. + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + ideal_wr_count <= num_write_words_sized_i; + end //(tmp_wr_listsize == 0) + + end else begin + + //If the FIFO is full, do NOT perform the write, + // update flags accordingly + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD >= + C_FIFO_WR_DEPTH) begin + //write unsuccessful - do not change contents + + //Do not acknowledge the write + ideal_wr_ack <= 0; + //Reminder that FIFO is still full + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + ideal_wr_count <= num_write_words_sized_i; + + //If the FIFO is one from full + end else + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD == + C_FIFO_WR_DEPTH-1) begin + //Add value on DIN port to FIFO + write_fifo; + next_num_wr_bits = next_num_wr_bits + C_DIN_WIDTH; + + //Write successful, so issue acknowledge + // and no error + ideal_wr_ack <= 1; + //This write is CAUSING the FIFO to go full + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + ideal_wr_count <= num_write_words_sized_i; + + //If the FIFO is 2 from full + end else + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD == + C_FIFO_WR_DEPTH-2) begin + //Add value on DIN port to FIFO + write_fifo; + next_num_wr_bits = next_num_wr_bits + C_DIN_WIDTH; + //Write successful, so issue acknowledge + // and no error + ideal_wr_ack <= 1; + //Still 2 from full + ideal_full <= 1'b0; + //2 from full, and writing, so set almost_full + ideal_almost_full <= 1'b1; + + ideal_wr_count <= num_write_words_sized_i; + + //If the FIFO is not close to being full + end else + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD < + C_FIFO_WR_DEPTH-2) begin + //Add value on DIN port to FIFO + write_fifo; + next_num_wr_bits = next_num_wr_bits + C_DIN_WIDTH; + //Write successful, so issue acknowledge + // and no error + ideal_wr_ack <= 1; + //Not even close to full. + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + ideal_wr_count <= num_write_words_sized_i; + + end + + end + + end else begin //(WR_EN == 1'b1) + + //If user did not attempt a write, then do not + // give ack or err + ideal_wr_ack <= 0; + + //Implied statements: + //ideal_empty <= ideal_empty; + //ideal_almost_empty <= ideal_almost_empty; + + //Check for full + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD >= C_FIFO_WR_DEPTH) + ideal_full <= 1'b1; + else + ideal_full <= 1'b0; + + //Check for almost_full + if ((tmp_wr_listsize + C_DEPTH_RATIO_RD - 1)/C_DEPTH_RATIO_RD >= C_FIFO_WR_DEPTH-1) + ideal_almost_full <= 1'b1; + else + ideal_almost_full <= 1'b0; + + ideal_wr_count <= num_write_words_sized_i; + end + + /********************************************************* + * Programmable FULL flags + *********************************************************/ + //Single Programmable Full Constant Threshold + if (C_PROG_FULL_TYPE==1) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_full_actual_thresh_assert = C_PROG_FULL_THRESH_ASSERT_VAL-EXTRA_WORDS; + prog_full_actual_thresh_negate = C_PROG_FULL_THRESH_ASSERT_VAL-EXTRA_WORDS; + end else begin + prog_full_actual_thresh_assert = C_PROG_FULL_THRESH_ASSERT_VAL; + prog_full_actual_thresh_negate = C_PROG_FULL_THRESH_ASSERT_VAL; + end + + //Two Programmable Full Constant Thresholds + end else if (C_PROG_FULL_TYPE==2) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_full_actual_thresh_assert = C_PROG_FULL_THRESH_ASSERT_VAL-EXTRA_WORDS; + prog_full_actual_thresh_negate = C_PROG_FULL_THRESH_NEGATE_VAL-EXTRA_WORDS; + end else begin + prog_full_actual_thresh_assert = C_PROG_FULL_THRESH_ASSERT_VAL; + prog_full_actual_thresh_negate = C_PROG_FULL_THRESH_NEGATE_VAL; + end + + //Single Programmable Full Threshold Input + end else if (C_PROG_FULL_TYPE==3) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_full_actual_thresh_assert = PROG_FULL_THRESH-EXTRA_WORDS; + prog_full_actual_thresh_negate = PROG_FULL_THRESH-EXTRA_WORDS; + end else begin + prog_full_actual_thresh_assert = PROG_FULL_THRESH; + prog_full_actual_thresh_negate = PROG_FULL_THRESH; + end + + //Two Programmable Full Threshold Inputs + end else if (C_PROG_FULL_TYPE==4) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_full_actual_thresh_assert = PROG_FULL_THRESH_ASSERT-EXTRA_WORDS; + prog_full_actual_thresh_negate = PROG_FULL_THRESH_NEGATE-EXTRA_WORDS; + end else begin + prog_full_actual_thresh_assert = PROG_FULL_THRESH_ASSERT; + prog_full_actual_thresh_negate = PROG_FULL_THRESH_NEGATE; + end + end //C_PROG_FULL_TYPE + + if (num_write_words_pf==0) begin + prog_full_d <= 1'b0; + end else begin + if (((1+(num_write_words_pf-1)/C_DEPTH_RATIO_RD) + == prog_full_actual_thresh_assert-1) && WR_EN) begin + prog_full_d <= 1'b1; + end else if ((1+(num_write_words_pf-1)/C_DEPTH_RATIO_RD) + >= prog_full_actual_thresh_assert) begin + prog_full_d <= 1'b1; + end else if ((1+(num_write_words_pf-1)/C_DEPTH_RATIO_RD) + < prog_full_actual_thresh_negate) begin + prog_full_d <= 1'b0; + end + end + + if (wr_rst_d1==1 && wr_rst_i==0) begin + ideal_prog_full <= 0; + end else begin + ideal_prog_full <= prog_full_d; + end + num_wr_bits <= next_num_wr_bits; + rd_ptr_wrclk <= rd_ptr; + + end //wr_rst_i==0 + end // write always + + + /************************************************************************** + * Read Domain Logic + **************************************************************************/ + always @(posedge RD_CLK or posedge rd_rst_i) begin : gen_fifo_r + + /****** Reset fifo (case 1)***************************************/ + if (rd_rst_i) begin + num_rd_bits <= 0; + next_num_rd_bits <= 0; + rd_ptr <= C_RD_DEPTH -1; + wr_ptr_rdclk <= C_WR_DEPTH -1; + if (C_USE_DOUT_RST == 1) begin + ideal_dout <= dout_reset_val; + end else begin + ideal_dout <= ideal_dout; + end + ideal_valid <= 1'b0; + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + ideal_rd_count <= 0; + + ideal_prog_empty <= 1'b1; + prog_empty_d <= 1; + + + end else begin //rd_rst_i==0 + + //Determine the current number of words in the FIFO + tmp_rd_listsize = (C_DEPTH_RATIO_WR > 1) ? num_rd_bits/C_DIN_WIDTH : + num_rd_bits/C_DOUT_WIDTH; + wr_ptr_rdclk_next = wr_ptr; + + if (wr_ptr_rdclk < wr_ptr_rdclk_next) begin + next_num_rd_bits = num_rd_bits + + C_DIN_WIDTH*(wr_ptr_rdclk +C_WR_DEPTH + - wr_ptr_rdclk_next); + end else begin + next_num_rd_bits = num_rd_bits + + C_DIN_WIDTH*(wr_ptr_rdclk - wr_ptr_rdclk_next); + end + + /*****************************************************************/ + // Read Operation - Read Latency 1 + /*****************************************************************/ + if (C_PRELOAD_LATENCY==1 || C_PRELOAD_LATENCY==2) begin + + if (RD_EN == 1'b1) begin + + if (ideal_empty == 1'b1) begin + + //If the FIFO is completely empty, and is reporting empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) + begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Reminder that FIFO is still empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + end // if (tmp_rd_listsize <= 0) + + //If the FIFO is one from empty, but it is reporting empty + else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 1) + begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Note that FIFO is no longer empty, but is almost empty (has one word left) + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize == 1) + + //If the FIFO is two from empty, and is reporting empty + else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 2) + begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Fifo has two words, so is neither empty or almost empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize == 2) + + //If the FIFO is not close to empty, but is reporting that it is + // Treat the FIFO as empty this time, but unset EMPTY flags. + if ((tmp_rd_listsize/C_DEPTH_RATIO_WR > 2) && (tmp_rd_listsize/C_DEPTH_RATIO_WR 2) && (tmp_rd_listsize<=C_FIFO_RD_DEPTH-1)) + end // else: if(ideal_empty == 1'b1) + + else //if (ideal_empty == 1'b0) + begin + + //If the FIFO is completely full, and we are successfully reading from it + if (tmp_rd_listsize/C_DEPTH_RATIO_WR >= C_FIFO_RD_DEPTH) + begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Not close to empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize == C_FIFO_RD_DEPTH) + + //If the FIFO is not close to being empty + else if ((tmp_rd_listsize/C_DEPTH_RATIO_WR > 2) && (tmp_rd_listsize/C_DEPTH_RATIO_WR<=C_FIFO_RD_DEPTH)) + begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Not close to empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + end // if ((tmp_rd_listsize > 2) && (tmp_rd_listsize<=C_FIFO_RD_DEPTH-1)) + + //If the FIFO is two from empty + else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 2) + begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Fifo is not yet empty. It is going almost_empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize == 2) + + //If the FIFO is one from empty + else if ((tmp_rd_listsize/C_DEPTH_RATIO_WR == 1)) + begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Note that FIFO is GOING empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize == 1) + + + //If the FIFO is completely empty + else if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) + begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Reminder that FIFO is still empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize <= 0) + + end // if (ideal_empty == 1'b0) + + end //(RD_EN == 1'b1) + + else //if (RD_EN == 1'b0) + begin + //If user did not attempt a read, do not give an ack or err + ideal_valid <= 1'b0; + + //Check for empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) + ideal_empty <= 1'b1; + else + ideal_empty <= 1'b0; + + //Check for almost_empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 1) + ideal_almost_empty <= 1'b1; + else + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + end // else: !if(RD_EN == 1'b1) + + /*****************************************************************/ + // Read Operation - Read Latency 0 + /*****************************************************************/ + end else if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + if (RD_EN == 1'b1) begin + + if (ideal_empty == 1'b1) begin + + //If the FIFO is completely empty, and is reporting empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Reminder that FIFO is still empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is one from empty, but it is reporting empty + end else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 1) begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Note that FIFO is no longer empty, but is almost empty (has one word left) + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is two from empty, and is reporting empty + end else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 2) begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Fifo has two words, so is neither empty or almost empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is not close to empty, but is reporting that it is + // Treat the FIFO as empty this time, but unset EMPTY flags. + end else if ((tmp_rd_listsize/C_DEPTH_RATIO_WR > 2) && + (tmp_rd_listsize/C_DEPTH_RATIO_WR 2) && (tmp_rd_listsize<=C_FIFO_RD_DEPTH-1)) + + end else begin + + //If the FIFO is completely full, and we are successfully reading from it + if (tmp_rd_listsize/C_DEPTH_RATIO_WR >= C_FIFO_RD_DEPTH) begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Not close to empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is not close to being empty + end else if ((tmp_rd_listsize/C_DEPTH_RATIO_WR > 2) && + (tmp_rd_listsize/C_DEPTH_RATIO_WR<=C_FIFO_RD_DEPTH)) begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Not close to empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is two from empty + end else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 2) begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Fifo is not yet empty. It is going almost_empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is one from empty + end else if (tmp_rd_listsize/C_DEPTH_RATIO_WR == 1) begin + //Read the value from the FIFO + read_fifo; + next_num_rd_bits = next_num_rd_bits - C_DOUT_WIDTH; + + //Acknowledge the read from the FIFO, no error + ideal_valid <= 1'b1; + //Note that FIFO is GOING empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + //If the FIFO is completely empty + end else if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) begin + //Do not change the contents of the FIFO + + //Do not acknowledge the read from empty FIFO + ideal_valid <= 1'b0; + //Reminder that FIFO is still empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + ideal_rd_count <= num_read_words_sized_i; + + end // if (tmp_rd_listsize <= 0) + + end // if (ideal_empty == 1'b0) + + end else begin//(RD_EN == 1'b0) + + + //If user did not attempt a read, do not give an ack or err + ideal_valid <= 1'b0; + + //Check for empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 0) + ideal_empty <= 1'b1; + else + ideal_empty <= 1'b0; + + //Check for almost_empty + if (tmp_rd_listsize/C_DEPTH_RATIO_WR <= 1) + ideal_almost_empty <= 1'b1; + else + ideal_almost_empty <= 1'b0; + + ideal_rd_count <= num_read_words_sized_i; + + end // else: !if(RD_EN == 1'b1) + end //if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) + + + /********************************************************* + * Programmable EMPTY flags + *********************************************************/ + //Determine the Assert and Negate thresholds for Programmable Empty + // (Subtract 2 read-sized words when using Preload 0) + + //Single Programmable Empty Constant Threshold + if (C_PROG_EMPTY_TYPE==1) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_empty_actual_thresh_assert = C_PROG_EMPTY_THRESH_ASSERT_VAL-2; + prog_empty_actual_thresh_negate = C_PROG_EMPTY_THRESH_ASSERT_VAL-2; + end + else begin + prog_empty_actual_thresh_assert = C_PROG_EMPTY_THRESH_ASSERT_VAL; + prog_empty_actual_thresh_negate = C_PROG_EMPTY_THRESH_ASSERT_VAL; + end + + //Two Programmable Empty Constant Thresholds + end else if (C_PROG_EMPTY_TYPE==2) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_empty_actual_thresh_assert = C_PROG_EMPTY_THRESH_ASSERT_VAL-2; + prog_empty_actual_thresh_negate = C_PROG_EMPTY_THRESH_NEGATE_VAL-2; + end + else begin + prog_empty_actual_thresh_assert = C_PROG_EMPTY_THRESH_ASSERT_VAL; + prog_empty_actual_thresh_negate = C_PROG_EMPTY_THRESH_NEGATE_VAL; + end + + //Single Programmable Empty Constant Threshold + end else if (C_PROG_EMPTY_TYPE==3) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_empty_actual_thresh_assert = PROG_EMPTY_THRESH-2; + prog_empty_actual_thresh_negate = PROG_EMPTY_THRESH-2; + end + else begin + prog_empty_actual_thresh_assert = PROG_EMPTY_THRESH; + prog_empty_actual_thresh_negate = PROG_EMPTY_THRESH; + + end + //Two Programmable Empty Constant Thresholds + end else if (C_PROG_EMPTY_TYPE==4) begin + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + prog_empty_actual_thresh_assert = PROG_EMPTY_THRESH_ASSERT-2; + prog_empty_actual_thresh_negate = PROG_EMPTY_THRESH_NEGATE-2; + end + else begin + prog_empty_actual_thresh_assert = PROG_EMPTY_THRESH_ASSERT; + prog_empty_actual_thresh_negate = PROG_EMPTY_THRESH_NEGATE; + end + end + + if ((num_read_words_pe/C_DEPTH_RATIO_WR == prog_empty_actual_thresh_assert+1) + && RD_EN) begin + prog_empty_d <= 1'b1; + end else if (num_read_words_pe/C_DEPTH_RATIO_WR + <= prog_empty_actual_thresh_assert) begin + prog_empty_d <= 1'b1; + end else if (num_read_words_pe/C_DEPTH_RATIO_WR + > prog_empty_actual_thresh_negate) begin + prog_empty_d <= 1'b0; + end + + + ideal_prog_empty <= prog_empty_d; + num_rd_bits <= next_num_rd_bits; + wr_ptr_rdclk <= wr_ptr; + end //rd_rst_i==0 + end //always + +endmodule // fifo_generator_v4_3_bhv_ver_as + + +/******************************************************************************* + * Declaration of top-level module + ******************************************************************************/ +module fifo_generator_v4_3_bhv_ver_ss + ( + CLK, RST, SRST, DIN, WR_EN, RD_EN, + PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT, PROG_FULL_THRESH_NEGATE, + PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT, PROG_EMPTY_THRESH_NEGATE, + DOUT, FULL, ALMOST_FULL, WR_ACK, OVERFLOW, EMPTY, + ALMOST_EMPTY, VALID, UNDERFLOW, DATA_COUNT, + PROG_FULL, PROG_EMPTY + ); + + /************************************************************************** + * Declare user parameters and their defaults + *************************************************************************/ + parameter C_DATA_COUNT_WIDTH = 2; + parameter C_DIN_WIDTH = 8; + parameter C_DOUT_RST_VAL = ""; + parameter C_DOUT_WIDTH = 8; + parameter C_FULL_FLAGS_RST_VAL = 1; + parameter C_HAS_ALMOST_EMPTY = 0; + parameter C_HAS_ALMOST_FULL = 0; + parameter C_HAS_DATA_COUNT = 0; + parameter C_HAS_OVERFLOW = 0; + parameter C_HAS_RD_DATA_COUNT = 0; + parameter C_HAS_RST = 0; + parameter C_HAS_SRST = 0; + parameter C_HAS_UNDERFLOW = 0; + parameter C_HAS_VALID = 0; + parameter C_HAS_WR_ACK = 0; + parameter C_HAS_WR_DATA_COUNT = 0; + parameter C_IMPLEMENTATION_TYPE = 0; + parameter C_MEMORY_TYPE = 1; + parameter C_OVERFLOW_LOW = 0; + parameter C_PRELOAD_LATENCY = 1; + parameter C_PRELOAD_REGS = 0; + parameter C_PROG_EMPTY_THRESH_ASSERT_VAL = 0; + parameter C_PROG_EMPTY_THRESH_NEGATE_VAL = 0; + parameter C_PROG_EMPTY_TYPE = 0; + parameter C_PROG_FULL_THRESH_ASSERT_VAL = 0; + parameter C_PROG_FULL_THRESH_NEGATE_VAL = 0; + parameter C_PROG_FULL_TYPE = 0; + parameter C_RD_DATA_COUNT_WIDTH = 2; + parameter C_RD_DEPTH = 256; + parameter C_RD_PNTR_WIDTH = 8; + parameter C_UNDERFLOW_LOW = 0; + parameter C_USE_DOUT_RST = 0; + parameter C_USE_EMBEDDED_REG = 0; + parameter C_USE_FWFT_DATA_COUNT = 0; + parameter C_VALID_LOW = 0; + parameter C_WR_ACK_LOW = 0; + parameter C_WR_DATA_COUNT_WIDTH = 2; + parameter C_WR_DEPTH = 256; + parameter C_WR_PNTR_WIDTH = 8; + + + /************************************************************************** + * Declare Input and Output Ports + *************************************************************************/ + //Inputs + input CLK; + input [C_DIN_WIDTH-1:0] DIN; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + input [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + input [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + input RD_EN; + input RST; + input SRST; + input WR_EN; + + //Outputs + output ALMOST_EMPTY; + output ALMOST_FULL; + output [C_DATA_COUNT_WIDTH-1:0] DATA_COUNT; + output [C_DOUT_WIDTH-1:0] DOUT; + output EMPTY; + output FULL; + output OVERFLOW; + output PROG_EMPTY; + output PROG_FULL; + output VALID; + output UNDERFLOW; + output WR_ACK; + + /************************************************************************* + * Declare the type for each Input/Output port, and connect each I/O + * to it's associated internal signal in the behavioral model + * + * The values for the outputs are assigned in assign statements immediately + * following wire, parameter, and function declarations in this code. + *************************************************************************/ + //Inputs + wire CLK; + wire [C_DIN_WIDTH-1:0] DIN; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + wire RD_EN; + wire RST; + wire SRST; + wire WR_EN; + + //Outputs + wire ALMOST_EMPTY; + wire ALMOST_FULL; + reg [C_DATA_COUNT_WIDTH-1:0] DATA_COUNT; + wire [C_DOUT_WIDTH-1:0] DOUT; + wire EMPTY; + wire FULL; + wire OVERFLOW; + wire PROG_EMPTY; + wire PROG_FULL; + wire VALID; + wire UNDERFLOW; + wire WR_ACK; + + + /*************************************************************************** + * Parameters used as constants + **************************************************************************/ + //When RST is present, set FULL reset value to '1'. + //If core has no RST, make sure FULL powers-on as '0'. + //The reset value assignments for FULL, ALMOST_FULL, and PROG_FULL are not + //changed for v3.2(IP2_Im). When the core has Sync Reset, C_HAS_SRST=1 and C_HAS_RST=0. + // Therefore, during SRST, all the FULL flags reset to 0. + parameter C_HAS_FAST_FIFO = 0; + parameter C_FIFO_WR_DEPTH = C_WR_DEPTH; + parameter C_FIFO_RD_DEPTH = C_RD_DEPTH; + + /************************************************************************** + * FIFO Contents Tracking and Data Count Calculations + *************************************************************************/ + //Memory which will be used to simulate a FIFO + reg [C_DIN_WIDTH-1:0] memory[C_WR_DEPTH-1:0]; + + //The amount of data stored in the FIFO at any time is given + // by num_bits. + //num_bits is calculated by from the total words in the FIFO. + reg [31:0] num_bits; + + //The write pointer - tracks write operations + // (Works opposite to core: wr_ptr is a DOWN counter) + reg [31:0] wr_ptr; + + //The write pointer - tracks read operations + // (Works opposite to core: rd_ptr is a DOWN counter) + reg [31:0] rd_ptr; + + /************************** + * Data Count + *************************/ + //Amount of data stored in the FIFO scaled to read words + wire [31:0] num_read_words = num_bits/C_DOUT_WIDTH; + //num_read_words delayed 1 clock cycle + reg [31:0] num_read_words_q; + + //Amount of data stored in the FIFO scaled to write words + wire [31:0] num_write_words = num_bits/C_DIN_WIDTH; + //num_write_words delayed 1 clock cycle + reg [31:0] num_write_words_q; + + + /************************************************************************** + * Internal Registers and wires + *************************************************************************/ + + //Temporary signals used for calculating the model's outputs. These + //are only used in the assign statements immediately following wire, + //parameter, and function declarations. + wire underflow_i; + wire valid_i; + wire valid_out; + + //Ideal FIFO signals. These are the raw output of the behavioral model, + //which behaves like an ideal FIFO. + reg [C_DOUT_WIDTH-1:0] ideal_dout; + reg [C_DOUT_WIDTH-1:0] ideal_dout_d1; + wire [C_DOUT_WIDTH-1:0] ideal_dout_out; + reg ideal_wr_ack; + reg ideal_valid; + reg ideal_overflow; + reg ideal_underflow; + reg ideal_full; + reg ideal_empty; + reg ideal_almost_full; + reg ideal_almost_empty; + reg ideal_prog_full; + reg ideal_prog_empty; + + //Assorted reg values for delayed versions of signals + reg valid_d1; + reg prog_full_d; + reg prog_empty_d; + + + //Internal reset signals + reg rst_asreg =0; + reg rst_asreg_d1 =0; + reg rst_asreg_d2 =0; + reg rst_reg =0; + reg rst_d1 =0; + wire rst_comb; + wire rst_i; + wire srst_i; + + //Delayed version of RST + reg rst_q; + reg rst_qq; + + //user specified value for reseting the size of the fifo + reg [C_DOUT_WIDTH-1:0] dout_reset_val; + + + /**************************************************************************** + * Function Declarations + ***************************************************************************/ + + /************************************************************************** + * write_fifo + * This task writes a word to the FIFO memory and updates the + * write pointer. + * FIFO size is relative to write domain. + ***************************************************************************/ + task write_fifo; + begin + memory[wr_ptr] <= DIN; + if (wr_ptr == 0) begin + wr_ptr <= C_WR_DEPTH - 1; + end else begin + wr_ptr <= wr_ptr - 1; + end + end + endtask // write_fifo + + /************************************************************************** + * read_fifo + * This task reads a word from the FIFO memory and updates the read + * pointer. It's output is the ideal_dout bus. + * FIFO size is relative to write domain. + ***************************************************************************/ + task read_fifo; + begin + ideal_dout <= memory[rd_ptr]; + if (rd_ptr == 0) begin + rd_ptr <= C_RD_DEPTH - 1; + end else begin + rd_ptr <= rd_ptr - 1; + end + end + endtask + + /**************************************************************************** + * log2_val + * Returns the 'log2' value for the input value for the supported ratios + ***************************************************************************/ + function [31:0] log2_val; + input [31:0] binary_val; + + begin + if (binary_val == 8) begin + log2_val = 3; + end else if (binary_val == 4) begin + log2_val = 2; + end else begin + log2_val = 1; + end + end + endfunction + + /**************************************************************************** + * hexstr_conv + * Converts a string of type hex to a binary value (for C_DOUT_RST_VAL) + ***************************************************************************/ + function [C_DOUT_WIDTH-1:0] hexstr_conv; + input [(C_DOUT_WIDTH*8)-1:0] def_data; + + integer index,i,j; + reg [3:0] bin; + + begin + index = 0; + hexstr_conv = 'b0; + for( i=C_DOUT_WIDTH-1; i>=0; i=i-1 ) + begin + case (def_data[7:0]) + 8'b00000000 : + begin + bin = 4'b0000; + i = -1; + end + 8'b00110000 : bin = 4'b0000; + 8'b00110001 : bin = 4'b0001; + 8'b00110010 : bin = 4'b0010; + 8'b00110011 : bin = 4'b0011; + 8'b00110100 : bin = 4'b0100; + 8'b00110101 : bin = 4'b0101; + 8'b00110110 : bin = 4'b0110; + 8'b00110111 : bin = 4'b0111; + 8'b00111000 : bin = 4'b1000; + 8'b00111001 : bin = 4'b1001; + 8'b01000001 : bin = 4'b1010; + 8'b01000010 : bin = 4'b1011; + 8'b01000011 : bin = 4'b1100; + 8'b01000100 : bin = 4'b1101; + 8'b01000101 : bin = 4'b1110; + 8'b01000110 : bin = 4'b1111; + 8'b01100001 : bin = 4'b1010; + 8'b01100010 : bin = 4'b1011; + 8'b01100011 : bin = 4'b1100; + 8'b01100100 : bin = 4'b1101; + 8'b01100101 : bin = 4'b1110; + 8'b01100110 : bin = 4'b1111; + default : + begin + bin = 4'bx; + end + endcase + for( j=0; j<4; j=j+1) + begin + if ((index*4)+j < C_DOUT_WIDTH) + begin + hexstr_conv[(index*4)+j] = bin[j]; + end + end + index = index + 1; + def_data = def_data >> 8; + end + end + endfunction + + + /************************************************************************* + * Initialize Signals for clean power-on simulation + *************************************************************************/ + initial begin + num_bits = 0; + num_read_words_q = 0; + num_write_words_q = 0; + rd_ptr = C_RD_DEPTH -1; + wr_ptr = C_WR_DEPTH -1; + dout_reset_val = hexstr_conv(C_DOUT_RST_VAL); + ideal_dout = dout_reset_val; + ideal_wr_ack = 1'b0; + ideal_valid = 1'b0; + valid_d1 = 1'b0; + ideal_overflow = 1'b0; + ideal_underflow = 1'b0; + ideal_full = 1'b0; + ideal_empty = 1'b1; + ideal_almost_full = 1'b0; + ideal_almost_empty = 1'b1; + ideal_prog_full = 1'b0; + ideal_prog_empty = 1'b1; + prog_full_d = 1'b0; + prog_empty_d = 1'b1; + rst_q = 1'b0; + rst_qq = 1'b0; + end + + + /************************************************************************* + * Connect the module inputs and outputs to the internal signals of the + * behavioral model. + *************************************************************************/ + //Inputs + /* + wire CLK; + wire [C_DIN_WIDTH-1:0] DIN; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_ASSERT; + wire [C_RD_PNTR_WIDTH-1:0] PROG_EMPTY_THRESH_NEGATE; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_ASSERT; + wire [C_WR_PNTR_WIDTH-1:0] PROG_FULL_THRESH_NEGATE; + wire RD_EN; + wire RST; + wire WR_EN; + */ + + //Outputs + assign ALMOST_EMPTY = ideal_almost_empty; + assign ALMOST_FULL = ideal_almost_full; + + //Dout may change behavior based on latency + assign ideal_dout_out= (C_USE_EMBEDDED_REG==1 && + (C_MEMORY_TYPE==0 || C_MEMORY_TYPE==1))? + ideal_dout_d1: ideal_dout; + assign DOUT = ideal_dout_out; + + assign EMPTY = ideal_empty; + assign FULL = ideal_full; + + //Overflow may be active-low + assign OVERFLOW = ideal_overflow ? !C_OVERFLOW_LOW : C_OVERFLOW_LOW; + + assign PROG_EMPTY = ideal_prog_empty; + assign PROG_FULL = ideal_prog_full; + + //Valid may change behavior based on latency or active-low + assign valid_i = (C_PRELOAD_LATENCY==0) ? (RD_EN & ~EMPTY) : ideal_valid; + assign valid_out = (C_PRELOAD_LATENCY==2 && + (C_MEMORY_TYPE==0 || C_MEMORY_TYPE==1))? + valid_d1: valid_i; + assign VALID = valid_out ? !C_VALID_LOW : C_VALID_LOW; + + //Trim data count differently depending on set widths + always @(num_read_words) begin + if (C_DATA_COUNT_WIDTH>C_RD_PNTR_WIDTH) begin + DATA_COUNT = num_read_words[C_RD_PNTR_WIDTH:0]; + end else begin + DATA_COUNT = + num_read_words[C_RD_PNTR_WIDTH-1:C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH]; + end //if + end //always + + //Underflow may change behavior based on latency or active-low + assign underflow_i = (C_PRELOAD_LATENCY==0) ? (RD_EN & EMPTY) : ideal_underflow; + assign UNDERFLOW = underflow_i ? !C_UNDERFLOW_LOW : C_UNDERFLOW_LOW; + + + //Write acknowledge may be active low + assign WR_ACK = ideal_wr_ack ? !C_WR_ACK_LOW : C_WR_ACK_LOW; + + + + /***************************************************************************** + * Internal reset logic + ****************************************************************************/ + assign srst_i = C_HAS_SRST ? SRST : 0; + assign rst_comb = !rst_asreg_d2 && rst_asreg; + assign rst_i = C_HAS_RST ? rst_reg : 0; + + always @(posedge CLK or posedge RST) begin + if (RST == 1'b1) begin + rst_asreg <= 1'b1; + end else begin + if (rst_asreg_d1 == 1'b1) begin + rst_asreg <= 1'b0; + end else begin + rst_asreg <= rst_asreg; + end + end + end + + always @(posedge CLK) begin + rst_asreg_d1 <= rst_asreg; + rst_asreg_d2 <= rst_asreg_d1; + end + + always @(posedge CLK or posedge rst_comb) begin + if (rst_comb == 1'b1) begin + rst_reg <= 1'b1; + end else begin + rst_reg <= 1'b0; + end + end + + /************************************************************************** + * Assorted registers for delayed versions of signals + **************************************************************************/ + //Capture delayed version of valid + always @(posedge CLK or posedge rst_i) begin + if (rst_i == 1'b1) begin + valid_d1 <= 1'b0; + end else begin + if (srst_i) begin + valid_d1 <= 1'b0; + end else begin + valid_d1 <= valid_i; + end + end + end // always @ (posedge CLK or posedge rst_i) + + //Capture delayed version of dout + always @(posedge CLK or posedge rst_i) begin + if (rst_i == 1'b1 && C_USE_DOUT_RST == 1) begin + ideal_dout_d1 <= dout_reset_val; + end else begin + if (srst_i && C_USE_DOUT_RST == 1) begin + ideal_dout_d1 <= dout_reset_val; + end else begin + ideal_dout_d1 <= ideal_dout; + end + end + end + + /************************************************************************** + * Overflow and Underflow Flag calculation + * (handled separately because they don't support rst) + **************************************************************************/ + always @(posedge CLK) begin + ideal_overflow <= WR_EN & ideal_full; + ideal_underflow <= ideal_empty & RD_EN; + end + + /************************************************************************* + * Write and Read Logic + ************************************************************************/ + always @(posedge CLK or posedge rst_i) + begin : gen_wr_ack_resp + + //Register reset + rst_q <= rst_i; + rst_qq <= rst_q; + + end // block: gen_wr_ack_resp + + // block memory has a synchronous reset + always @(posedge CLK) begin : gen_fifo_blkmemdout + //Changed the latency of during async reset to '1' instead of '2' to + // make it consistent with the core. + if (rst_i || rst_q || srst_i) begin + /******Initialize Read Domain Signals*********************************/ + if (C_MEMORY_TYPE == 1 && C_USE_DOUT_RST == 1) begin + ideal_dout <= dout_reset_val; + end + end + end //always + + always @(posedge CLK or posedge rst_i) begin : gen_fifo + + /****** Reset fifo - Asynchronous Reset**********************************/ + //Changed the latency of during async reset to '1' instead of '2' to + // make it consistent with the core. + if (rst_i) begin //v3.2 + /******Initialize Generic FIFO constructs*****************************/ + num_bits <= 0; + wr_ptr <= C_WR_DEPTH - 1; + rd_ptr <= C_RD_DEPTH - 1; + num_read_words_q <= 0; + num_write_words_q <= 0; + + + /******Initialize Write Domain Signals********************************/ + ideal_wr_ack <= 0; + ideal_full <= C_FULL_FLAGS_RST_VAL; + ideal_almost_full <= C_FULL_FLAGS_RST_VAL; + + /******Initialize Read Domain Signals*********************************/ + if (C_MEMORY_TYPE != 1 && C_USE_DOUT_RST == 1) begin + ideal_dout <= dout_reset_val; + end + ideal_valid <= 1'b0; + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + end else begin + if (srst_i) begin + // SRST is available only for Sync BRAM and Sync DRAM. + // Not for SSHFT. + if (C_MEMORY_TYPE == 1 || C_MEMORY_TYPE == 2) begin + /******Initialize Generic FIFO constructs***********************/ + num_bits <= 0; + wr_ptr <= C_WR_DEPTH - 1; + rd_ptr <= C_RD_DEPTH - 1; + num_read_words_q <= 0; + num_write_words_q <= 0; + + /******Initialize Write Domain Signals**************************/ + ideal_wr_ack <= 0; + ideal_full <= 0; //'0' + ideal_almost_full <= 0; //'0' + + /******Initialize Read Domain Signals***************************/ + //Reset DOUT of Sync DRAM. Sync BRAM DOUT was reset in the + // above always block. + if (C_MEMORY_TYPE == 2 && C_USE_DOUT_RST == 1 ) begin + ideal_dout <= dout_reset_val; + end + ideal_valid <= 1'b0; + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + end + + end else begin //normal operating conditions + /********************************************************************/ + // Synchronous FIFO Condition #1 : Writing and not reading + /********************************************************************/ + if (WR_EN & ~RD_EN) begin + + /*********************************/ + //If the FIFO is full, do NOT perform the write, + // update flags accordingly + /*********************************/ + if (num_write_words >= C_FIFO_WR_DEPTH) begin + ideal_wr_ack <= 0; + + //still full + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + //write unsuccessful - do not change contents + + // no read attempted + ideal_valid <= 1'b0; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + + /*********************************/ + //If the FIFO is reporting FULL + // (Startup condition) + /*********************************/ + end else if ((num_write_words < C_FIFO_WR_DEPTH) && (ideal_full == 1'b1)) begin + ideal_wr_ack <= 0; + + //still full + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //write unsuccessful - do not change contents + + // no read attempted + ideal_valid <= 1'b0; + + //FIFO EMPTY in this state can not be determined + //ideal_empty <= 1'b0; + //ideal_almost_empty <= 1'b0; + + + /*********************************/ + //If the FIFO is one from full + /*********************************/ + end else if (num_write_words == C_FIFO_WR_DEPTH-1) begin + //good write + ideal_wr_ack <= 1; + + //FIFO is one from FULL and going FULL + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + //Add input data + write_fifo; + + // no read attempted + ideal_valid <= 1'b0; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + num_bits <= num_bits + C_DIN_WIDTH; + + /*********************************/ + //If the FIFO is 2 from full + /*********************************/ + end else if (num_write_words == C_FIFO_WR_DEPTH-2) begin + //good write + ideal_wr_ack <= 1; + + //2 from full, and writing, so set almost_full + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + //Add input data + write_fifo; + + //no read attempted + ideal_valid <= 1'b0; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + num_bits <= num_bits + C_DIN_WIDTH; + + /*********************************/ + //If the FIFO is ALMOST EMPTY + /*********************************/ + end else if (num_read_words == 1) begin + //good write + ideal_wr_ack <= 1; + + //Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Add input data + write_fifo; + + // no read attempted + ideal_valid <= 1'b0; + + //Leaving ALMOST_EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + num_bits <= num_bits + C_DIN_WIDTH; + + /*********************************/ + //If the FIFO is EMPTY + /*********************************/ + end else if (num_read_words == 0) begin + // good write + ideal_wr_ack <= 1; + + //Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Add input data + write_fifo; + + // no read attempted + ideal_valid <= 1'b0; + + //Leaving EMPTY (still ALMOST_EMPTY) + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + num_bits <= num_bits + C_DIN_WIDTH; + + /*********************************/ + //If the FIFO is not near EMPTY or FULL + /*********************************/ + end else begin + // good write + ideal_wr_ack <= 1; + + //Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Add input data + write_fifo; + + // no read attempted + ideal_valid <= 1'b0; + + //Not near EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + num_bits <= num_bits + C_DIN_WIDTH; + + end // average case + + + /******************************************************************/ + // Synchronous FIFO Condition #2 : Reading and not writing + /******************************************************************/ + end else if (~WR_EN & RD_EN) begin + + /*********************************/ + //If the FIFO is EMPTY + /*********************************/ + if ((num_read_words == 0) || (ideal_empty == 1'b1)) begin + //no write attemped + ideal_wr_ack <= 0; + + //FIFO is not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read will fail + ideal_valid <= 1'b0; + + //FIFO is still empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + //No read + + /*********************************/ + //If the FIFO is ALMOST EMPTY + /*********************************/ + end else if (num_read_words == 1) begin + //no write attempted + ideal_wr_ack <= 0; + + //FIFO is not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read successful + ideal_valid <= 1'b1; + + //This read will make FIFO go empty + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + //Get the data from the FIFO + read_fifo; + num_bits <= num_bits - C_DIN_WIDTH; + + + /*********************************/ + //If the FIFO is 2 from EMPTY + /*********************************/ + end else if (num_read_words == 2) begin + + //no write attempted + ideal_wr_ack <= 0; + + //FIFO is not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read successful + ideal_valid <= 1'b1; + + //FIFO is going ALMOST_EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + //Get the data from the FIFO + read_fifo; + num_bits <= num_bits - C_DOUT_WIDTH; + + + + /*********************************/ + //If the FIFO is one from full + /*********************************/ + end else if (num_write_words == C_FIFO_WR_DEPTH-1) begin + + //no write attempted + ideal_wr_ack <= 0; + + //FIFO is leaving ALMOST FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read successful + ideal_valid <= 1'b1; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits - C_DOUT_WIDTH; + + + /*********************************/ + // FIFO is FULL + /*********************************/ + end else if (num_write_words >= C_FIFO_WR_DEPTH) + begin + //no write attempted + ideal_wr_ack <= 0; + + //FIFO is leaving FULL, but is still ALMOST_FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + //Read successful + ideal_valid <= 1'b1; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits - C_DOUT_WIDTH; + + /*********************************/ + //If the FIFO is not near EMPTY or FULL + /*********************************/ + end else begin + //no write attemped + ideal_wr_ack <= 0; + + //Not near empty + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read successful + ideal_valid <= 1'b1; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits - C_DOUT_WIDTH; + + + end // average read + + + /******************************************************************/ + // Synchronous FIFO Condition #3 : Reading and writing + /******************************************************************/ + end else if (WR_EN & RD_EN) begin + + /*********************************/ + // FIFO is FULL + /*********************************/ + if (num_write_words >= C_FIFO_WR_DEPTH) begin + + ideal_wr_ack <= 0; + + //Read will be successful, so FIFO will leave FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + //Read successful + ideal_valid <= 1'b1; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits - C_DOUT_WIDTH; + + + /*********************************/ + // FIFO is reporting FULL, but it is empty + // (This is a special case, when coming out of RST + /*********************************/ + end else if ((num_write_words == 0) && (ideal_full == 1'b1)) begin + + ideal_wr_ack <= 0; + + //Read will be successful, so FIFO will leave FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //Read unsuccessful + ideal_valid <= 1'b0; + + //Report empty condition + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + //Do not read from empty FIFO + // Read from the FIFO + + + /*********************************/ + //If the FIFO is one from full + /*********************************/ + end else if (num_write_words == C_FIFO_WR_DEPTH-1) begin + + //Write successful + ideal_wr_ack <= 1; + + //FIFO will remain ALMOST_FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + // put the data into the FIFO + write_fifo; + + //Read successful + ideal_valid <= 1'b1; + + //Not near empty + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits + C_DIN_WIDTH - C_DOUT_WIDTH; + + /*********************************/ + //If the FIFO is ALMOST EMPTY + /*********************************/ + end else if (num_read_words == 1) begin + + //Write successful + ideal_wr_ack <= 1; + + // Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + // put the data into the FIFO + write_fifo; + + //Read successful + ideal_valid <= 1'b1; + + //FIFO will stay ALMOST_EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits + C_DIN_WIDTH - C_DOUT_WIDTH; + + + /*********************************/ + //If the FIFO is EMPTY + /*********************************/ + end else if (num_read_words == 0) begin + + //Write successful + ideal_wr_ack <= 1; + + // Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + // put the data into the FIFO + write_fifo; + + //Read will fail + ideal_valid <= 1'b0; + + //FIFO will leave EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + // No read + num_bits <= num_bits + C_DIN_WIDTH; + + + /*********************************/ + //If the FIFO is not near EMPTY or FULL + /*********************************/ + end else begin + + //Write successful + ideal_wr_ack <= 1; + + // Not near FULL + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + // put the data into the FIFO + write_fifo; + + //Read successful + ideal_valid <= 1'b1; + + // Not near EMPTY + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //Read from the FIFO + read_fifo; + num_bits <= num_bits + C_DIN_WIDTH - C_DOUT_WIDTH; + + end // average case + + /******************************************************************/ + // Synchronous FIFO Condition #4 : Not reading or writing + /******************************************************************/ + end else begin + + /*********************************/ + // FIFO is FULL + /*********************************/ + if (num_write_words >= C_FIFO_WR_DEPTH) begin + + //No write + ideal_wr_ack <= 0; + ideal_full <= 1'b1; + ideal_almost_full <= 1'b1; + + //No read + ideal_valid <= 1'b0; + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //No change to memory + + /*********************************/ + //If the FIFO is one from full + /*********************************/ + end else if (num_write_words == C_FIFO_WR_DEPTH-1) begin + + //No write + ideal_wr_ack <= 0; + ideal_full <= 1'b0; + ideal_almost_full <= 1'b1; + + //No read + ideal_valid <= 1'b0; + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //No change to memory + + /*********************************/ + //If the FIFO is ALMOST EMPTY + /*********************************/ + end else if (num_read_words == 1) begin + //No write + ideal_wr_ack <= 0; + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //No read + ideal_valid <= 1'b0; + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b1; + + //No change to memory + + end // almost empty + + + /*********************************/ + //If the FIFO is EMPTY + /*********************************/ + else if (num_read_words == 0) + begin + //No write + ideal_wr_ack <= 0; + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //No read + ideal_valid <= 1'b0; + ideal_empty <= 1'b1; + ideal_almost_empty <= 1'b1; + + //No change to memory + + /*********************************/ + //If the FIFO is not near EMPTY or FULL + /*********************************/ + end else begin + + //No write + ideal_wr_ack <= 0; + ideal_full <= 1'b0; + ideal_almost_full <= 1'b0; + + //No read + ideal_valid <= 1'b0; + ideal_empty <= 1'b0; + ideal_almost_empty <= 1'b0; + + //No change to memory + + end // average case + + end // neither reading or writing + + num_read_words_q <= num_read_words; + num_write_words_q <= num_write_words; + + end //normal operating conditions + end + + end // block: gen_fifo + + + always @(posedge CLK or posedge rst_i) begin : gen_fifo_p + + /****** Reset fifo - Async Reset****************************************/ + //The latency of de-assertion of the flags is reduced by 1 to be + // consistent with the core. + if (rst_i) begin + ideal_prog_full <= C_FULL_FLAGS_RST_VAL; + ideal_prog_empty <= 1'b1; + prog_full_d <= C_FULL_FLAGS_RST_VAL; + prog_empty_d <= 1'b1; + + end else begin + if (srst_i) begin + //SRST is available only for Sync BRAM and Sync DRAM. Not for SSHFT. + if (C_MEMORY_TYPE == 1 || C_MEMORY_TYPE == 2) begin + ideal_prog_full <= 1'b0; + ideal_prog_empty <= 1'b1; + prog_full_d <= 1'b0; + prog_empty_d <= 1'b1; + end + end else begin + + /*************************************************************** + * Programmable FULL flags + ****************************************************************/ + //calculation for standard fifo and latency =2 + if (! (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) ) begin + //Single constant threshold + if (C_PROG_FULL_TYPE == 1) begin + if ((num_write_words >= C_PROG_FULL_THRESH_ASSERT_VAL-1) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if (((num_write_words == C_PROG_FULL_THRESH_ASSERT_VAL) + && RD_EN && !WR_EN) || (rst_q && !rst_i)) begin + prog_full_d <= 1'b0; + end + + //Dual constant thresholds + end else if (C_PROG_FULL_TYPE == 2) begin + if ((num_write_words == C_PROG_FULL_THRESH_ASSERT_VAL-1) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == C_PROG_FULL_THRESH_NEGATE_VAL) + && RD_EN && !WR_EN) begin + prog_full_d <= 1'b0; + end + + //Single input threshold + end else if (C_PROG_FULL_TYPE == 3) begin + if ((num_write_words == PROG_FULL_THRESH-1) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == PROG_FULL_THRESH) + && !WR_EN && RD_EN) begin + prog_full_d <= 1'b0; + end else if (num_write_words >= PROG_FULL_THRESH) begin + prog_full_d <= 1'b1; + end else if (num_write_words < PROG_FULL_THRESH) begin + prog_full_d <= 1'b0; + end + + //Dual input thresholds + end else begin + if ((num_write_words == PROG_FULL_THRESH_ASSERT-1) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == PROG_FULL_THRESH_NEGATE) + && !WR_EN && RD_EN)begin + prog_full_d <= 1'b0; + end else if (num_write_words >= PROG_FULL_THRESH_ASSERT) begin + prog_full_d <= 1'b1; + end else if (num_write_words < PROG_FULL_THRESH_NEGATE) begin + prog_full_d <= 1'b0; + end + end + end // (~ (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) ) + + + //calculation for FWFT fifo + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + if (C_PROG_FULL_TYPE == 1) begin + if ((num_write_words >= C_PROG_FULL_THRESH_ASSERT_VAL-1 - 2) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if (((num_write_words == C_PROG_FULL_THRESH_ASSERT_VAL - 2) + && RD_EN && !WR_EN) || (rst_q && !rst_i)) begin + prog_full_d <= 1'b0; + end + + //Dual constant thresholds + end else if (C_PROG_FULL_TYPE == 2) begin + if ((num_write_words == C_PROG_FULL_THRESH_ASSERT_VAL-1 - 2) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == C_PROG_FULL_THRESH_NEGATE_VAL - 2) + && RD_EN && !WR_EN) begin + prog_full_d <= 1'b0; + end + + //Single input threshold + end else if (C_PROG_FULL_TYPE == 3) begin + if ((num_write_words == PROG_FULL_THRESH-1 - 2) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == PROG_FULL_THRESH - 2) + && !WR_EN && RD_EN) begin + prog_full_d <= 1'b0; + end else if (num_write_words >= PROG_FULL_THRESH - 2) begin + prog_full_d <= 1'b1; + end else if (num_write_words < PROG_FULL_THRESH - 2) begin + prog_full_d <= 1'b0; + end + + //Dual input thresholds + end else begin + if ((num_write_words == PROG_FULL_THRESH_ASSERT-1 - 2) + && WR_EN && !RD_EN) begin + prog_full_d <= 1'b1; + end else if ((num_write_words == PROG_FULL_THRESH_NEGATE - 2) + && !WR_EN && RD_EN)begin + prog_full_d <= 1'b0; + end else if (num_write_words >= PROG_FULL_THRESH_ASSERT - 2) begin + prog_full_d <= 1'b1; + end else if (num_write_words < PROG_FULL_THRESH_NEGATE - 2) begin + prog_full_d <= 1'b0; + end + end + end // (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) + + /***************************************************************** + * Programmable EMPTY flags + ****************************************************************/ + //calculation for standard fifo and latency = 2 + if (! (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) ) begin + //Single constant threshold + if (C_PROG_EMPTY_TYPE == 1) begin + if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL+1) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL) + && WR_EN && !RD_EN) begin + prog_empty_d <= 1'b0; + end + //Dual constant thresholds + end else if (C_PROG_EMPTY_TYPE == 2) begin + if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL+1) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == C_PROG_EMPTY_THRESH_NEGATE_VAL) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end + + //Single input threshold + end else if (C_PROG_EMPTY_TYPE == 3) begin + if ((num_read_words == PROG_EMPTY_THRESH+1) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == PROG_EMPTY_THRESH) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end else if (num_read_words <= PROG_EMPTY_THRESH) begin + prog_empty_d <= 1'b1; + end else if (num_read_words > PROG_EMPTY_THRESH)begin + prog_empty_d <= 1'b0; + end + + //Dual input thresholds + end else begin + if (num_read_words <= PROG_EMPTY_THRESH_ASSERT) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == PROG_EMPTY_THRESH_ASSERT+1) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if (num_read_words > PROG_EMPTY_THRESH_NEGATE)begin + prog_empty_d <= 1'b0; + end else if ((num_read_words == PROG_EMPTY_THRESH_NEGATE) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end + end + end // (~ (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) ) + + //calculation for FWFT fifo + if (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) begin + //Single constant threshold + if (C_PROG_EMPTY_TYPE == 1) begin + if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL+1 - 2) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL - 2) + && WR_EN && !RD_EN) begin + prog_empty_d <= 1'b0; + end + //Dual constant thresholds + end else if (C_PROG_EMPTY_TYPE == 2) begin + if ((num_read_words == C_PROG_EMPTY_THRESH_ASSERT_VAL+1 - 2) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == C_PROG_EMPTY_THRESH_NEGATE_VAL - 2) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end + + //Single input threshold + end else if (C_PROG_EMPTY_TYPE == 3) begin + if ((num_read_words == PROG_EMPTY_THRESH+1 - 2) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == PROG_EMPTY_THRESH - 2) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end else if (num_read_words <= PROG_EMPTY_THRESH - 2) begin + prog_empty_d <= 1'b1; + end else if (num_read_words > PROG_EMPTY_THRESH - 2)begin + prog_empty_d <= 1'b0; + end + + //Dual input thresholds + end else begin + if (num_read_words <= PROG_EMPTY_THRESH_ASSERT - 2) begin + prog_empty_d <= 1'b1; + end else if ((num_read_words == PROG_EMPTY_THRESH_ASSERT+1 - 2) + && RD_EN && !WR_EN) begin + prog_empty_d <= 1'b1; + end else if (num_read_words > PROG_EMPTY_THRESH_NEGATE - 2)begin + prog_empty_d <= 1'b0; + end else if ((num_read_words == PROG_EMPTY_THRESH_NEGATE - 2) + && !RD_EN && WR_EN) begin + prog_empty_d <= 1'b0; + end + end + end // (~ (C_PRELOAD_REGS==1 && C_PRELOAD_LATENCY==0) ) + + ideal_prog_empty <= prog_empty_d; + if (rst_q && !rst_i) begin + ideal_prog_full <= 1'b0; + prog_full_d <= 1'b0; + end else begin + ideal_prog_full <= prog_full_d; + end + + end //if (srst_i) begin + end //if (rst_i) begin + end //always @(posedge CLK or posedge rst_i) begin : gen_fifo_p +endmodule // fifo_generator_v4_3_bhv_ver_ss + + + +/************************************************************************** + * First-Word Fall-Through module (preload 0) + **************************************************************************/ +module fifo_generator_v4_3_bhv_ver_preload0 + ( + RD_CLK, + RD_RST, + RD_EN, + FIFOEMPTY, + FIFODATA, + USERDATA, + USERVALID, + USERUNDERFLOW, + USEREMPTY, + USERALMOSTEMPTY, + RAMVALID, + FIFORDEN + ); + + parameter C_DOUT_RST_VAL = ""; + parameter C_DOUT_WIDTH = 8; + parameter C_HAS_RST = 0; + parameter C_USE_DOUT_RST = 0; + parameter C_USERVALID_LOW = 0; + parameter C_USERUNDERFLOW_LOW = 0; + + //Inputs + input RD_CLK; + input RD_RST; + input RD_EN; + input FIFOEMPTY; + input [C_DOUT_WIDTH-1:0] FIFODATA; + + //Outputs + output [C_DOUT_WIDTH-1:0] USERDATA; + output USERVALID; + output USERUNDERFLOW; + output USEREMPTY; + output USERALMOSTEMPTY; + output RAMVALID; + output FIFORDEN; + + //Inputs + wire RD_CLK; + wire RD_RST; + wire RD_EN; + wire FIFOEMPTY; + wire [C_DOUT_WIDTH-1:0] FIFODATA; + + //Outputs + reg [C_DOUT_WIDTH-1:0] USERDATA; + wire USERVALID; + wire USERUNDERFLOW; + wire USEREMPTY; + wire USERALMOSTEMPTY; + wire RAMVALID; + wire FIFORDEN; + + //Internal signals + wire preloadstage1; + wire preloadstage2; + reg ram_valid_i; + reg read_data_valid_i; + wire ram_regout_en; + wire ram_rd_en; + reg empty_i = 1'b1; + reg empty_q = 1'b1; + reg rd_en_q = 1'b0; + reg almost_empty_i = 1'b1; + reg almost_empty_q = 1'b1; + wire rd_rst_i; + + +/************************************************************************* +* FUNCTIONS +*************************************************************************/ + + /************************************************************************* + * hexstr_conv + * Converts a string of type hex to a binary value (for C_DOUT_RST_VAL) + ***********************************************************************/ + function [C_DOUT_WIDTH-1:0] hexstr_conv; + input [(C_DOUT_WIDTH*8)-1:0] def_data; + + integer index,i,j; + reg [3:0] bin; + + begin + index = 0; + hexstr_conv = 'b0; + for( i=C_DOUT_WIDTH-1; i>=0; i=i-1 ) + begin + case (def_data[7:0]) + 8'b00000000 : + begin + bin = 4'b0000; + i = -1; + end + 8'b00110000 : bin = 4'b0000; + 8'b00110001 : bin = 4'b0001; + 8'b00110010 : bin = 4'b0010; + 8'b00110011 : bin = 4'b0011; + 8'b00110100 : bin = 4'b0100; + 8'b00110101 : bin = 4'b0101; + 8'b00110110 : bin = 4'b0110; + 8'b00110111 : bin = 4'b0111; + 8'b00111000 : bin = 4'b1000; + 8'b00111001 : bin = 4'b1001; + 8'b01000001 : bin = 4'b1010; + 8'b01000010 : bin = 4'b1011; + 8'b01000011 : bin = 4'b1100; + 8'b01000100 : bin = 4'b1101; + 8'b01000101 : bin = 4'b1110; + 8'b01000110 : bin = 4'b1111; + 8'b01100001 : bin = 4'b1010; + 8'b01100010 : bin = 4'b1011; + 8'b01100011 : bin = 4'b1100; + 8'b01100100 : bin = 4'b1101; + 8'b01100101 : bin = 4'b1110; + 8'b01100110 : bin = 4'b1111; + default : + begin + bin = 4'bx; + end + endcase + for( j=0; j<4; j=j+1) + begin + if ((index*4)+j < C_DOUT_WIDTH) + begin + hexstr_conv[(index*4)+j] = bin[j]; + end + end + index = index + 1; + def_data = def_data >> 8; + end + end + endfunction + + + //************************************************************************* + // Set power-on states for regs + //************************************************************************* + initial begin + ram_valid_i = 1'b0; + read_data_valid_i = 1'b0; + USERDATA = hexstr_conv(C_DOUT_RST_VAL); + end //initial + + //*************************************************************************** + // connect up optional reset + //*************************************************************************** + assign rd_rst_i = C_HAS_RST ? RD_RST : 0; + + + //*************************************************************************** + // preloadstage2 indicates that stage2 needs to be updated. This is true + // whenever read_data_valid is false, and RAM_valid is true. + //*************************************************************************** + assign preloadstage2 = ram_valid_i & (~read_data_valid_i | RD_EN); + + //*************************************************************************** + // preloadstage1 indicates that stage1 needs to be updated. This is true + // whenever the RAM has data (RAM_EMPTY is false), and either RAM_Valid is + // false (indicating that Stage1 needs updating), or preloadstage2 is active + // (indicating that Stage2 is going to update, so Stage1, therefore, must + // also be updated to keep it valid. + //*************************************************************************** + assign preloadstage1 = ((~ram_valid_i | preloadstage2) & ~FIFOEMPTY); + + //*************************************************************************** + // Calculate RAM_REGOUT_EN + // The output registers are controlled by the ram_regout_en signal. + // These registers should be updated either when the output in Stage2 is + // invalid (preloadstage2), OR when the user is reading, in which case the + // Stage2 value will go invalid unless it is replenished. + //*************************************************************************** + assign ram_regout_en = preloadstage2; + + //*************************************************************************** + // Calculate RAM_RD_EN + // RAM_RD_EN will be asserted whenever the RAM needs to be read in order to + // update the value in Stage1. + // One case when this happens is when preloadstage1=true, which indicates + // that the data in Stage1 or Stage2 is invalid, and needs to automatically + // be updated. + // The other case is when the user is reading from the FIFO, which + // guarantees that Stage1 or Stage2 will be invalid on the next clock + // cycle, unless it is replinished by data from the memory. So, as long + // as the RAM has data in it, a read of the RAM should occur. + //*************************************************************************** + assign ram_rd_en = (RD_EN & ~FIFOEMPTY) | preloadstage1; + + //*************************************************************************** + // Calculate RAMVALID_P0_OUT + // RAMVALID_P0_OUT indicates that the data in Stage1 is valid. + // + // If the RAM is being read from on this clock cycle (ram_rd_en=1), then + // RAMVALID_P0_OUT is certainly going to be true. + // If the RAM is not being read from, but the output registers are being + // updated to fill Stage2 (ram_regout_en=1), then Stage1 will be emptying, + // therefore causing RAMVALID_P0_OUT to be false. + // Otherwise, RAMVALID_P0_OUT will remain unchanged. + //*************************************************************************** + // PROCESS regout_valid + always @ (posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i) begin + // asynchronous reset (active high) + ram_valid_i <= 1'b0; + end else begin + if (ram_rd_en == 1'b1) begin + ram_valid_i <= 1'b1; + end else begin + if (ram_regout_en == 1'b1) + ram_valid_i <= 1'b0; + else + ram_valid_i <= ram_valid_i; + end + end //rd_rst_i + end //always + + //*************************************************************************** + // Calculate READ_DATA_VALID + // READ_DATA_VALID indicates whether the value in Stage2 is valid or not. + // Stage2 has valid data whenever Stage1 had valid data and + // ram_regout_en_i=1, such that the data in Stage1 is propogated + // into Stage2. + //*************************************************************************** + always @ (posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i) + read_data_valid_i <= 1'b0; + else + read_data_valid_i <= ram_valid_i | (read_data_valid_i & ~RD_EN); + end //always + + + //************************************************************************** + // Calculate EMPTY + // Defined as the inverse of READ_DATA_VALID + // + // Description: + // + // If read_data_valid_i indicates that the output is not valid, + // and there is no valid data on the output of the ram to preload it + // with, then we will report empty. + // + // If there is no valid data on the output of the ram and we are + // reading, then the FIFO will go empty. + // + //************************************************************************** + always @ (posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i) begin + // asynchronous reset (active high) + empty_i <= 1'b1; + empty_q <= 1'b1; + end else begin + // rising clock edge + empty_i <= (~ram_valid_i & ~read_data_valid_i) | (~ram_valid_i & RD_EN); + empty_q <= empty_i; + end + end //always + + //Register RD_EN from user to calculate USERUNDERFLOW. + always @ (posedge RD_CLK or posedge rd_rst_i) begin + if (rd_rst_i) begin + // asynchronous reset (active high) + rd_en_q <= 1'b0; + end else begin + // rising clock edge + rd_en_q <= RD_EN; + end + end //always + + + //*************************************************************************** + // Calculate user_almost_empty + // user_almost_empty is defined such that, unless more words are written + // to the FIFO, the next read will cause the FIFO to go EMPTY. + // + // In most cases, whenever the output registers are updated (due to a user + // read or a preload condition), then user_almost_empty will update to + // whatever RAM_EMPTY is. + // + // The exception is when the output is valid, the user is not reading, and + // Stage1 is not empty. In this condition, Stage1 will be preloaded from the + // memory, so we need to make sure user_almost_empty deasserts properly under + // this condition. + //*************************************************************************** + always @ (posedge RD_CLK or posedge rd_rst_i) + begin + if (rd_rst_i) // asynchronous reset (active high) + begin + almost_empty_i <= 1'b1; + almost_empty_q <= 1'b1; + end + else // rising clock edge + begin + if ((ram_regout_en) | (~FIFOEMPTY & read_data_valid_i & ~RD_EN)) + begin + almost_empty_i <= FIFOEMPTY; + end + almost_empty_q <= empty_i; + end + end //always + + + assign USEREMPTY = empty_i; + assign USERALMOSTEMPTY = almost_empty_i; + assign FIFORDEN = ram_rd_en; + assign RAMVALID = ram_valid_i; + assign USERVALID = C_USERVALID_LOW ? ~read_data_valid_i : read_data_valid_i; + assign USERUNDERFLOW = C_USERUNDERFLOW_LOW ? ~(empty_q & rd_en_q) : empty_q & rd_en_q; + + always @ (posedge RD_CLK or posedge rd_rst_i) + begin + if (rd_rst_i && C_USE_DOUT_RST == 1) //asynchronous reset (active high) + USERDATA <= hexstr_conv(C_DOUT_RST_VAL); + else // rising clock edge + if (ram_regout_en) + USERDATA <= FIFODATA; + end //always + + + + + +endmodule diff --git a/usrp2/fpga/models/M24LC024B.v b/usrp2/fpga/models/M24LC024B.v new file mode 100644 index 00000000..45e04b45 --- /dev/null +++ b/usrp2/fpga/models/M24LC024B.v @@ -0,0 +1,459 @@ +// Modified 11/14/07 to simulate the 24lc024, which responds to the address pins + +// ******************************************************************************************************* +// ** ** +// ** M24LC024B.v - 24LC02B 2K-BIT I2C SERIAL EEPROM (VCC = +2.5V TO +5.5V) ** +// ** ** +// ******************************************************************************************************* +// ** ** +// ** COPYRIGHT (c) 2003 YOUNG ENGINEERING ** +// ** ALL RIGHTS RESERVED ** +// ** ** +// ** THIS PROGRAM IS CONFIDENTIAL AND A TRADE SECRET OF YOUNG ENGINEERING. THE RECEIPT OR ** +// ** POSSESSION OF THIS PROGRAM DOES NOT CONVEY ANY RIGHTS TO REPRODUCE OR DISCLOSE ITS ** +// ** CONTENTS, OR TO MANUFACTURE, USE, OR SELL ANYTHING THAT IT MAY DESCRIBE, IN WHOLE OR IN ** +// ** PART, WITHOUT THE SPECIFIC WRITTEN CONSENT OF YOUNG ENGINEERING. ** +// ** ** +// ******************************************************************************************************* +// ** Revision : 1.1 ** +// ** Modified Date : 07/19/2004 ** +// ** Revision History: ** +// ** ** +// ** 02/01/2003: Initial design ** +// ** 07/19/2004: Fixed the timing checks and the open-drain modeling for SDA. ** +// ** ** +// ******************************************************************************************************* +// ** TABLE OF CONTENTS ** +// ******************************************************************************************************* +// **---------------------------------------------------------------------------------------------------** +// ** DECLARATIONS ** +// **---------------------------------------------------------------------------------------------------** +// **---------------------------------------------------------------------------------------------------** +// ** INITIALIZATION ** +// **---------------------------------------------------------------------------------------------------** +// **---------------------------------------------------------------------------------------------------** +// ** CORE LOGIC ** +// **---------------------------------------------------------------------------------------------------** +// ** 1.01: START Bit Detection ** +// ** 1.02: STOP Bit Detection ** +// ** 1.03: Input Shift Register ** +// ** 1.04: Input Bit Counter ** +// ** 1.05: Control Byte Register ** +// ** 1.06: Byte Address Register ** +// ** 1.07: Write Data Buffer ** +// ** 1.08: Acknowledge Generator ** +// ** 1.09: Acknowledge Detect ** +// ** 1.10: Write Cycle Timer ** +// ** 1.11: Write Cycle Processor ** +// ** 1.12: Read Data Multiplexor ** +// ** 1.13: Read Data Processor ** +// ** 1.14: SDA Data I/O Buffer ** +// ** ** +// **---------------------------------------------------------------------------------------------------** +// ** DEBUG LOGIC ** +// **---------------------------------------------------------------------------------------------------** +// ** 2.01: Memory Data Bytes ** +// ** 2.02: Write Data Buffer ** +// ** ** +// **---------------------------------------------------------------------------------------------------** +// ** TIMING CHECKS ** +// **---------------------------------------------------------------------------------------------------** +// ** ** +// ******************************************************************************************************* + + +`timescale 1ns/10ps + +module M24LC024B (A0, A1, A2, WP, SDA, SCL, RESET); + + input A0; // unconnected pin + input A1; // unconnected pin + input A2; // unconnected pin + + input WP; // write protect pin + + inout SDA; // serial data I/O + input SCL; // serial data clock + + input RESET; // system reset + + +// ******************************************************************************************************* +// ** DECLARATIONS ** +// ******************************************************************************************************* + + reg SDA_DO; // serial data - output + reg SDA_OE; // serial data - output enable + + wire SDA_DriveEnable; // serial data output enable + reg SDA_DriveEnableDlyd; // serial data output enable - delayed + + reg [03:00] BitCounter; // serial bit counter + + reg START_Rcvd; // START bit received flag + reg STOP_Rcvd; // STOP bit received flag + reg CTRL_Rcvd; // control byte received flag + reg ADDR_Rcvd; // byte address received flag + reg MACK_Rcvd; // master acknowledge received flag + + reg WrCycle; // memory write cycle + reg RdCycle; // memory read cycle + + reg [07:00] ShiftRegister; // input data shift register + + reg [07:00] ControlByte; // control byte register + wire RdWrBit; // read/write control bit + + reg [07:00] StartAddress; // memory access starting address + reg [02:00] PageAddress; // memory page address + + reg [07:00] WrDataByte [0:7]; // memory write data buffer + wire [07:00] RdDataByte; // memory read data + + reg [15:00] WrCounter; // write buffer counter + + reg [02:00] WrPointer; // write buffer pointer + reg [07:00] RdPointer; // read address pointer + + reg WriteActive; // memory write cycle active + + reg [07:00] MemoryBlock [0:255]; // EEPROM data memory array + + integer LoopIndex; // iterative loop index + + integer tAA; // timing parameter + integer tWC; // timing parameter + + +// ******************************************************************************************************* +// ** INITIALIZATION ** +// ******************************************************************************************************* + + initial tAA = 900; // SCL to SDA output delay + initial tWC = 5000000; // memory write cycle time + //initial tWC = 50000; // shortened memory write cycle time to speed up sims + + initial begin + SDA_DO = 0; + SDA_OE = 0; + end + + initial begin + START_Rcvd = 0; + STOP_Rcvd = 0; + CTRL_Rcvd = 0; + ADDR_Rcvd = 0; + MACK_Rcvd = 0; + end + + initial begin + BitCounter = 0; + ControlByte = 0; + end + + initial begin + WrCycle = 0; + RdCycle = 0; + + WriteActive = 0; + end + + +// ******************************************************************************************************* +// ** CORE LOGIC ** +// ******************************************************************************************************* +// ------------------------------------------------------------------------------------------------------- +// 1.01: START Bit Detection +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SDA) begin + if (SCL == 1) begin + START_Rcvd <= 1; + STOP_Rcvd <= 0; + CTRL_Rcvd <= 0; + ADDR_Rcvd <= 0; + MACK_Rcvd <= 0; + + WrCycle <= #1 0; + RdCycle <= #1 0; + + BitCounter <= 0; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.02: STOP Bit Detection +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SDA) begin + if (SCL == 1) begin + START_Rcvd <= 0; + STOP_Rcvd <= 1; + CTRL_Rcvd <= 0; + ADDR_Rcvd <= 0; + MACK_Rcvd <= 0; + + WrCycle <= #1 0; + RdCycle <= #1 0; + + BitCounter <= 10; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.03: Input Shift Register +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + ShiftRegister[00] <= SDA; + ShiftRegister[01] <= ShiftRegister[00]; + ShiftRegister[02] <= ShiftRegister[01]; + ShiftRegister[03] <= ShiftRegister[02]; + ShiftRegister[04] <= ShiftRegister[03]; + ShiftRegister[05] <= ShiftRegister[04]; + ShiftRegister[06] <= ShiftRegister[05]; + ShiftRegister[07] <= ShiftRegister[06]; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.04: Input Bit Counter +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + if (BitCounter < 10) BitCounter <= BitCounter + 1; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.05: Control Byte Register +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (START_Rcvd & (BitCounter == 8)) begin + if (!WriteActive & (ShiftRegister[07:04] == 4'b1010) + & (ShiftRegister[3:1] == {A2,A1,A0})) begin + if (ShiftRegister[00] == 0) WrCycle <= 1; + if (ShiftRegister[00] == 1) RdCycle <= 1; + + ControlByte <= ShiftRegister[07:00]; + + CTRL_Rcvd <= 1; + end + + START_Rcvd <= 0; + end + end + + assign RdWrBit = ControlByte[00]; + +// ------------------------------------------------------------------------------------------------------- +// 1.06: Byte Address Register +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (CTRL_Rcvd & (BitCounter == 8)) begin + if (RdWrBit == 0) begin + StartAddress <= ShiftRegister[07:00]; + RdPointer <= ShiftRegister[07:00]; + + ADDR_Rcvd <= 1; + end + + WrCounter <= 0; + WrPointer <= 0; + + CTRL_Rcvd <= 0; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.07: Write Data Buffer +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (ADDR_Rcvd & (BitCounter == 8)) begin + if ((WP == 0) & (RdWrBit == 0)) begin + WrDataByte[WrPointer] <= ShiftRegister[07:00]; + + WrCounter <= WrCounter + 1; + WrPointer <= WrPointer + 1; + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.08: Acknowledge Generator +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (!WriteActive) begin + if (BitCounter == 8) begin + if (WrCycle | (START_Rcvd & (ShiftRegister[07:04] == 4'b1010))) begin + SDA_DO <= 0; + SDA_OE <= 1; + end + end + if (BitCounter == 9) begin + BitCounter <= 0; + + if (!RdCycle) begin + SDA_DO <= 0; + SDA_OE <= 0; + end + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.09: Acknowledge Detect +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + if (RdCycle & (BitCounter == 8)) begin + if ((SDA == 0) & (SDA_OE == 0)) MACK_Rcvd <= 1; + end + end + + always @(negedge SCL) MACK_Rcvd <= 0; + +// ------------------------------------------------------------------------------------------------------- +// 1.10: Write Cycle Timer +// ------------------------------------------------------------------------------------------------------- + + always @(posedge STOP_Rcvd) begin + if (WrCycle & (WP == 0) & (WrCounter > 0)) begin + WriteActive = 1; + #(tWC); + WriteActive = 0; + end + end + + always @(posedge STOP_Rcvd) begin + #(1.0); + STOP_Rcvd = 0; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.11: Write Cycle Processor +// ------------------------------------------------------------------------------------------------------- + + always @(posedge WriteActive) begin + for (LoopIndex = 0; LoopIndex < WrCounter; LoopIndex = LoopIndex + 1) begin + PageAddress = StartAddress[02:00] + LoopIndex; + + MemoryBlock[{StartAddress[07:03],PageAddress[02:00]}] = WrDataByte[LoopIndex[02:00]]; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.12: Read Data Multiplexor +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (BitCounter == 8) begin + if (WrCycle & ADDR_Rcvd) begin + RdPointer <= StartAddress + WrPointer + 1; + end + if (RdCycle) begin + RdPointer <= RdPointer + 1; + end + end + end + + assign RdDataByte = MemoryBlock[RdPointer[07:00]]; + +// ------------------------------------------------------------------------------------------------------- +// 1.13: Read Data Processor +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (RdCycle) begin + if (BitCounter == 8) begin + SDA_DO <= 0; + SDA_OE <= 0; + end + else if (BitCounter == 9) begin + SDA_DO <= RdDataByte[07]; + + if (MACK_Rcvd) SDA_OE <= 1; + end + else begin + SDA_DO <= RdDataByte[7-BitCounter]; + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.14: SDA Data I/O Buffer +// ------------------------------------------------------------------------------------------------------- + + bufif1 (SDA, 1'b0, SDA_DriveEnableDlyd); + + assign SDA_DriveEnable = !SDA_DO & SDA_OE; + always @(SDA_DriveEnable) SDA_DriveEnableDlyd <= #(tAA) SDA_DriveEnable; + + +// ******************************************************************************************************* +// ** DEBUG LOGIC ** +// ******************************************************************************************************* +// ------------------------------------------------------------------------------------------------------- +// 2.01: Memory Data Bytes +// ------------------------------------------------------------------------------------------------------- + + wire [07:00] MemoryByte00 = MemoryBlock[00]; + wire [07:00] MemoryByte01 = MemoryBlock[01]; + wire [07:00] MemoryByte02 = MemoryBlock[02]; + wire [07:00] MemoryByte03 = MemoryBlock[03]; + wire [07:00] MemoryByte04 = MemoryBlock[04]; + wire [07:00] MemoryByte05 = MemoryBlock[05]; + wire [07:00] MemoryByte06 = MemoryBlock[06]; + wire [07:00] MemoryByte07 = MemoryBlock[07]; + + wire [07:00] MemoryByte08 = MemoryBlock[08]; + wire [07:00] MemoryByte09 = MemoryBlock[09]; + wire [07:00] MemoryByte0A = MemoryBlock[10]; + wire [07:00] MemoryByte0B = MemoryBlock[11]; + wire [07:00] MemoryByte0C = MemoryBlock[12]; + wire [07:00] MemoryByte0D = MemoryBlock[13]; + wire [07:00] MemoryByte0E = MemoryBlock[14]; + wire [07:00] MemoryByte0F = MemoryBlock[15]; + +// ------------------------------------------------------------------------------------------------------- +// 2.02: Write Data Buffer +// ------------------------------------------------------------------------------------------------------- + + wire [07:00] WriteData_0 = WrDataByte[00]; + wire [07:00] WriteData_1 = WrDataByte[01]; + wire [07:00] WriteData_2 = WrDataByte[02]; + wire [07:00] WriteData_3 = WrDataByte[03]; + wire [07:00] WriteData_4 = WrDataByte[04]; + wire [07:00] WriteData_5 = WrDataByte[05]; + wire [07:00] WriteData_6 = WrDataByte[06]; + wire [07:00] WriteData_7 = WrDataByte[07]; + + +// ******************************************************************************************************* +// ** TIMING CHECKS ** +// ******************************************************************************************************* + + wire TimingCheckEnable = (RESET == 0) & (SDA_OE == 0); + + specify + specparam + tHI = 600, // SCL pulse width - high + tLO = 1300, // SCL pulse width - low + tSU_STA = 600, // SCL to SDA setup time + tHD_STA = 600, // SCL to SDA hold time + tSU_DAT = 100, // SDA to SCL setup time + tSU_STO = 600; // SCL to SDA setup time + + $width (posedge SCL, tHI); + $width (negedge SCL, tLO); + + $setup (SCL, negedge SDA &&& TimingCheckEnable, tSU_STA); + $setup (SDA, posedge SCL &&& TimingCheckEnable, tSU_DAT); + $setup (SCL, posedge SDA &&& TimingCheckEnable, tSU_STO); + + $hold (negedge SDA &&& TimingCheckEnable, SCL, tHD_STA); + endspecify + +endmodule diff --git a/usrp2/fpga/models/M24LC02B.v b/usrp2/fpga/models/M24LC02B.v new file mode 100644 index 00000000..4d9e2c6e --- /dev/null +++ b/usrp2/fpga/models/M24LC02B.v @@ -0,0 +1,455 @@ +// ******************************************************************************************************* +// ** ** +// ** M24LC02B.v - 24LC02B 2K-BIT I2C SERIAL EEPROM (VCC = +2.5V TO +5.5V) ** +// ** ** +// ******************************************************************************************************* +// ** ** +// ** COPYRIGHT (c) 2003 YOUNG ENGINEERING ** +// ** ALL RIGHTS RESERVED ** +// ** ** +// ** THIS PROGRAM IS CONFIDENTIAL AND A TRADE SECRET OF YOUNG ENGINEERING. THE RECEIPT OR ** +// ** POSSESSION OF THIS PROGRAM DOES NOT CONVEY ANY RIGHTS TO REPRODUCE OR DISCLOSE ITS ** +// ** CONTENTS, OR TO MANUFACTURE, USE, OR SELL ANYTHING THAT IT MAY DESCRIBE, IN WHOLE OR IN ** +// ** PART, WITHOUT THE SPECIFIC WRITTEN CONSENT OF YOUNG ENGINEERING. ** +// ** ** +// ******************************************************************************************************* +// ** Revision : 1.1 ** +// ** Modified Date : 07/19/2004 ** +// ** Revision History: ** +// ** ** +// ** 02/01/2003: Initial design ** +// ** 07/19/2004: Fixed the timing checks and the open-drain modeling for SDA. ** +// ** ** +// ******************************************************************************************************* +// ** TABLE OF CONTENTS ** +// ******************************************************************************************************* +// **---------------------------------------------------------------------------------------------------** +// ** DECLARATIONS ** +// **---------------------------------------------------------------------------------------------------** +// **---------------------------------------------------------------------------------------------------** +// ** INITIALIZATION ** +// **---------------------------------------------------------------------------------------------------** +// **---------------------------------------------------------------------------------------------------** +// ** CORE LOGIC ** +// **---------------------------------------------------------------------------------------------------** +// ** 1.01: START Bit Detection ** +// ** 1.02: STOP Bit Detection ** +// ** 1.03: Input Shift Register ** +// ** 1.04: Input Bit Counter ** +// ** 1.05: Control Byte Register ** +// ** 1.06: Byte Address Register ** +// ** 1.07: Write Data Buffer ** +// ** 1.08: Acknowledge Generator ** +// ** 1.09: Acknowledge Detect ** +// ** 1.10: Write Cycle Timer ** +// ** 1.11: Write Cycle Processor ** +// ** 1.12: Read Data Multiplexor ** +// ** 1.13: Read Data Processor ** +// ** 1.14: SDA Data I/O Buffer ** +// ** ** +// **---------------------------------------------------------------------------------------------------** +// ** DEBUG LOGIC ** +// **---------------------------------------------------------------------------------------------------** +// ** 2.01: Memory Data Bytes ** +// ** 2.02: Write Data Buffer ** +// ** ** +// **---------------------------------------------------------------------------------------------------** +// ** TIMING CHECKS ** +// **---------------------------------------------------------------------------------------------------** +// ** ** +// ******************************************************************************************************* + + +`timescale 1ns/10ps + +module M24LC02B (A0, A1, A2, WP, SDA, SCL, RESET); + + input A0; // unconnected pin + input A1; // unconnected pin + input A2; // unconnected pin + + input WP; // write protect pin + + inout SDA; // serial data I/O + input SCL; // serial data clock + + input RESET; // system reset + + +// ******************************************************************************************************* +// ** DECLARATIONS ** +// ******************************************************************************************************* + + reg SDA_DO; // serial data - output + reg SDA_OE; // serial data - output enable + + wire SDA_DriveEnable; // serial data output enable + reg SDA_DriveEnableDlyd; // serial data output enable - delayed + + reg [03:00] BitCounter; // serial bit counter + + reg START_Rcvd; // START bit received flag + reg STOP_Rcvd; // STOP bit received flag + reg CTRL_Rcvd; // control byte received flag + reg ADDR_Rcvd; // byte address received flag + reg MACK_Rcvd; // master acknowledge received flag + + reg WrCycle; // memory write cycle + reg RdCycle; // memory read cycle + + reg [07:00] ShiftRegister; // input data shift register + + reg [07:00] ControlByte; // control byte register + wire RdWrBit; // read/write control bit + + reg [07:00] StartAddress; // memory access starting address + reg [02:00] PageAddress; // memory page address + + reg [07:00] WrDataByte [0:7]; // memory write data buffer + wire [07:00] RdDataByte; // memory read data + + reg [15:00] WrCounter; // write buffer counter + + reg [02:00] WrPointer; // write buffer pointer + reg [07:00] RdPointer; // read address pointer + + reg WriteActive; // memory write cycle active + + reg [07:00] MemoryBlock [0:255]; // EEPROM data memory array + + integer LoopIndex; // iterative loop index + + integer tAA; // timing parameter + integer tWC; // timing parameter + + +// ******************************************************************************************************* +// ** INITIALIZATION ** +// ******************************************************************************************************* + + initial tAA = 900; // SCL to SDA output delay + initial tWC = 5000000; // memory write cycle time + + initial begin + SDA_DO = 0; + SDA_OE = 0; + end + + initial begin + START_Rcvd = 0; + STOP_Rcvd = 0; + CTRL_Rcvd = 0; + ADDR_Rcvd = 0; + MACK_Rcvd = 0; + end + + initial begin + BitCounter = 0; + ControlByte = 0; + end + + initial begin + WrCycle = 0; + RdCycle = 0; + + WriteActive = 0; + end + + +// ******************************************************************************************************* +// ** CORE LOGIC ** +// ******************************************************************************************************* +// ------------------------------------------------------------------------------------------------------- +// 1.01: START Bit Detection +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SDA) begin + if (SCL == 1) begin + START_Rcvd <= 1; + STOP_Rcvd <= 0; + CTRL_Rcvd <= 0; + ADDR_Rcvd <= 0; + MACK_Rcvd <= 0; + + WrCycle <= #1 0; + RdCycle <= #1 0; + + BitCounter <= 0; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.02: STOP Bit Detection +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SDA) begin + if (SCL == 1) begin + START_Rcvd <= 0; + STOP_Rcvd <= 1; + CTRL_Rcvd <= 0; + ADDR_Rcvd <= 0; + MACK_Rcvd <= 0; + + WrCycle <= #1 0; + RdCycle <= #1 0; + + BitCounter <= 10; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.03: Input Shift Register +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + ShiftRegister[00] <= SDA; + ShiftRegister[01] <= ShiftRegister[00]; + ShiftRegister[02] <= ShiftRegister[01]; + ShiftRegister[03] <= ShiftRegister[02]; + ShiftRegister[04] <= ShiftRegister[03]; + ShiftRegister[05] <= ShiftRegister[04]; + ShiftRegister[06] <= ShiftRegister[05]; + ShiftRegister[07] <= ShiftRegister[06]; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.04: Input Bit Counter +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + if (BitCounter < 10) BitCounter <= BitCounter + 1; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.05: Control Byte Register +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (START_Rcvd & (BitCounter == 8)) begin + if (!WriteActive & (ShiftRegister[07:04] == 4'b1010)) begin + if (ShiftRegister[00] == 0) WrCycle <= 1; + if (ShiftRegister[00] == 1) RdCycle <= 1; + + ControlByte <= ShiftRegister[07:00]; + + CTRL_Rcvd <= 1; + end + + START_Rcvd <= 0; + end + end + + assign RdWrBit = ControlByte[00]; + +// ------------------------------------------------------------------------------------------------------- +// 1.06: Byte Address Register +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (CTRL_Rcvd & (BitCounter == 8)) begin + if (RdWrBit == 0) begin + StartAddress <= ShiftRegister[07:00]; + RdPointer <= ShiftRegister[07:00]; + + ADDR_Rcvd <= 1; + end + + WrCounter <= 0; + WrPointer <= 0; + + CTRL_Rcvd <= 0; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.07: Write Data Buffer +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (ADDR_Rcvd & (BitCounter == 8)) begin + if ((WP == 0) & (RdWrBit == 0)) begin + WrDataByte[WrPointer] <= ShiftRegister[07:00]; + + WrCounter <= WrCounter + 1; + WrPointer <= WrPointer + 1; + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.08: Acknowledge Generator +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (!WriteActive) begin + if (BitCounter == 8) begin + if (WrCycle | (START_Rcvd & (ShiftRegister[07:04] == 4'b1010))) begin + SDA_DO <= 0; + SDA_OE <= 1; + end + end + if (BitCounter == 9) begin + BitCounter <= 0; + + if (!RdCycle) begin + SDA_DO <= 0; + SDA_OE <= 0; + end + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.09: Acknowledge Detect +// ------------------------------------------------------------------------------------------------------- + + always @(posedge SCL) begin + if (RdCycle & (BitCounter == 8)) begin + if ((SDA == 0) & (SDA_OE == 0)) MACK_Rcvd <= 1; + end + end + + always @(negedge SCL) MACK_Rcvd <= 0; + +// ------------------------------------------------------------------------------------------------------- +// 1.10: Write Cycle Timer +// ------------------------------------------------------------------------------------------------------- + + always @(posedge STOP_Rcvd) begin + if (WrCycle & (WP == 0) & (WrCounter > 0)) begin + WriteActive = 1; + #(tWC); + WriteActive = 0; + end + end + + always @(posedge STOP_Rcvd) begin + #(1.0); + STOP_Rcvd = 0; + end + +// ------------------------------------------------------------------------------------------------------- +// 1.11: Write Cycle Processor +// ------------------------------------------------------------------------------------------------------- + + always @(posedge WriteActive) begin + for (LoopIndex = 0; LoopIndex < WrCounter; LoopIndex = LoopIndex + 1) begin + PageAddress = StartAddress[02:00] + LoopIndex; + + MemoryBlock[{StartAddress[07:03],PageAddress[02:00]}] = WrDataByte[LoopIndex[02:00]]; + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.12: Read Data Multiplexor +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (BitCounter == 8) begin + if (WrCycle & ADDR_Rcvd) begin + RdPointer <= StartAddress + WrPointer + 1; + end + if (RdCycle) begin + RdPointer <= RdPointer + 1; + end + end + end + + assign RdDataByte = MemoryBlock[RdPointer[07:00]]; + +// ------------------------------------------------------------------------------------------------------- +// 1.13: Read Data Processor +// ------------------------------------------------------------------------------------------------------- + + always @(negedge SCL) begin + if (RdCycle) begin + if (BitCounter == 8) begin + SDA_DO <= 0; + SDA_OE <= 0; + end + else if (BitCounter == 9) begin + SDA_DO <= RdDataByte[07]; + + if (MACK_Rcvd) SDA_OE <= 1; + end + else begin + SDA_DO <= RdDataByte[7-BitCounter]; + end + end + end + +// ------------------------------------------------------------------------------------------------------- +// 1.14: SDA Data I/O Buffer +// ------------------------------------------------------------------------------------------------------- + + bufif1 (SDA, 1'b0, SDA_DriveEnableDlyd); + + assign SDA_DriveEnable = !SDA_DO & SDA_OE; + always @(SDA_DriveEnable) SDA_DriveEnableDlyd <= #(tAA) SDA_DriveEnable; + + +// ******************************************************************************************************* +// ** DEBUG LOGIC ** +// ******************************************************************************************************* +// ------------------------------------------------------------------------------------------------------- +// 2.01: Memory Data Bytes +// ------------------------------------------------------------------------------------------------------- + + wire [07:00] MemoryByte00 = MemoryBlock[00]; + wire [07:00] MemoryByte01 = MemoryBlock[01]; + wire [07:00] MemoryByte02 = MemoryBlock[02]; + wire [07:00] MemoryByte03 = MemoryBlock[03]; + wire [07:00] MemoryByte04 = MemoryBlock[04]; + wire [07:00] MemoryByte05 = MemoryBlock[05]; + wire [07:00] MemoryByte06 = MemoryBlock[06]; + wire [07:00] MemoryByte07 = MemoryBlock[07]; + + wire [07:00] MemoryByte08 = MemoryBlock[08]; + wire [07:00] MemoryByte09 = MemoryBlock[09]; + wire [07:00] MemoryByte0A = MemoryBlock[10]; + wire [07:00] MemoryByte0B = MemoryBlock[11]; + wire [07:00] MemoryByte0C = MemoryBlock[12]; + wire [07:00] MemoryByte0D = MemoryBlock[13]; + wire [07:00] MemoryByte0E = MemoryBlock[14]; + wire [07:00] MemoryByte0F = MemoryBlock[15]; + +// ------------------------------------------------------------------------------------------------------- +// 2.02: Write Data Buffer +// ------------------------------------------------------------------------------------------------------- + + wire [07:00] WriteData_0 = WrDataByte[00]; + wire [07:00] WriteData_1 = WrDataByte[01]; + wire [07:00] WriteData_2 = WrDataByte[02]; + wire [07:00] WriteData_3 = WrDataByte[03]; + wire [07:00] WriteData_4 = WrDataByte[04]; + wire [07:00] WriteData_5 = WrDataByte[05]; + wire [07:00] WriteData_6 = WrDataByte[06]; + wire [07:00] WriteData_7 = WrDataByte[07]; + + +// ******************************************************************************************************* +// ** TIMING CHECKS ** +// ******************************************************************************************************* + + wire TimingCheckEnable = (RESET == 0) & (SDA_OE == 0); + + specify + specparam + tHI = 600, // SCL pulse width - high + tLO = 1300, // SCL pulse width - low + tSU_STA = 600, // SCL to SDA setup time + tHD_STA = 600, // SCL to SDA hold time + tSU_DAT = 100, // SDA to SCL setup time + tSU_STO = 600; // SCL to SDA setup time + + $width (posedge SCL, tHI); + $width (negedge SCL, tLO); + + $setup (SCL, negedge SDA &&& TimingCheckEnable, tSU_STA); + $setup (SDA, posedge SCL &&& TimingCheckEnable, tSU_DAT); + $setup (SCL, posedge SDA &&& TimingCheckEnable, tSU_STO); + + $hold (negedge SDA &&& TimingCheckEnable, SCL, tHD_STA); + endspecify + +endmodule diff --git a/usrp2/fpga/models/MULT18X18S.v b/usrp2/fpga/models/MULT18X18S.v new file mode 100644 index 00000000..5d39eeaa --- /dev/null +++ b/usrp2/fpga/models/MULT18X18S.v @@ -0,0 +1,20 @@ + +// Model of the Xilinx mult18x18s for signed 18x18 bit multiplies, +// As in the Spartan 3 series + +module MULT18X18S + (output reg signed [35:0] P, + input signed [17:0] A, + input signed [17:0] B, + input C, // Clock + input CE, // Clock Enable + input R // Synchronous Reset + ); + + always @(posedge C) + if(R) + P <= 36'sd0; + else if(CE) + P <= A * B; + +endmodule // MULT18X18S diff --git a/usrp2/fpga/models/RAMB16_S36_S36.v b/usrp2/fpga/models/RAMB16_S36_S36.v new file mode 100644 index 00000000..f1a92c7c --- /dev/null +++ b/usrp2/fpga/models/RAMB16_S36_S36.v @@ -0,0 +1,2194 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S36_S36.v,v 1.10 2007/02/22 01:58:06 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 10.1 +// \ \ Description : Xilinx Functional Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S36_S36.v +// \ \ / \ Timestamp : Thu Mar 10 16:43:36 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`ifdef legacy_model + +`timescale 1 ps / 1 ps + +module RAMB16_S36_S36 (DOA, DOB, DOPA, DOPB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, DIPA, DIPB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 36'h0; + parameter INIT_B = 36'h0; + parameter SRVAL_A = 36'h0; + parameter SRVAL_B = 36'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [31:0] DOA; + output [3:0] DOPA; + reg [31:0] doa_out; + reg [3:0] dopa_out; + wire doa_out0, doa_out1, doa_out2, doa_out3, doa_out4, doa_out5, doa_out6, doa_out7, doa_out8, doa_out9, doa_out10, doa_out11, doa_out12, doa_out13, doa_out14, doa_out15, doa_out16, doa_out17, doa_out18, doa_out19, doa_out20, doa_out21, doa_out22, doa_out23, doa_out24, doa_out25, doa_out26, doa_out27, doa_out28, doa_out29, doa_out30, doa_out31; + wire dopa0_out, dopa1_out, dopa2_out, dopa3_out; + + input [8:0] ADDRA; + input [31:0] DIA; + input [3:0] DIPA; + input ENA, CLKA, WEA, SSRA; + + output [31:0] DOB; + output [3:0] DOPB; + reg [31:0] dob_out; + reg [3:0] dopb_out; + wire dob_out0, dob_out1, dob_out2, dob_out3, dob_out4, dob_out5, dob_out6, dob_out7, dob_out8, dob_out9, dob_out10, dob_out11, dob_out12, dob_out13, dob_out14, dob_out15, dob_out16, dob_out17, dob_out18, dob_out19, dob_out20, dob_out21, dob_out22, dob_out23, dob_out24, dob_out25, dob_out26, dob_out27, dob_out28, dob_out29, dob_out30, dob_out31; + wire dopb0_out, dopb1_out, dopb2_out, dopb3_out; + + input [8:0] ADDRB; + input [31:0] DIB; + input [3:0] DIPB; + input ENB, CLKB, WEB, SSRB; + + reg [18431:0] mem; + reg [8:0] count; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [8:0] addra_int; + reg [8:0] addra_reg; + wire [31:0] dia_int; + wire [3:0] dipa_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [8:0] addrb_int; + reg [8:0] addrb_reg; + wire [31:0] dib_int; + wire [3:0] dipb_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg address_collision, address_collision_a_b, address_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + wire [15:0] parity_addra_int; + wire [15:0] parity_addra_reg; + wire [15:0] parity_addrb_int; + wire [15:0] parity_addrb_reg; + + tri0 GSR = glbl.GSR; + + always @(GSR) + if (GSR) begin + assign doa_out = INIT_A[31:0]; + assign dopa_out = INIT_A[35:32]; + assign dob_out = INIT_B[31:0]; + assign dopb_out = INIT_B[35:32]; + end + else begin + deassign doa_out; + deassign dopa_out; + deassign dob_out; + deassign dopb_out; + end + + buf b_doa_out0 (doa_out0, doa_out[0]); + buf b_doa_out1 (doa_out1, doa_out[1]); + buf b_doa_out2 (doa_out2, doa_out[2]); + buf b_doa_out3 (doa_out3, doa_out[3]); + buf b_doa_out4 (doa_out4, doa_out[4]); + buf b_doa_out5 (doa_out5, doa_out[5]); + buf b_doa_out6 (doa_out6, doa_out[6]); + buf b_doa_out7 (doa_out7, doa_out[7]); + buf b_doa_out8 (doa_out8, doa_out[8]); + buf b_doa_out9 (doa_out9, doa_out[9]); + buf b_doa_out10 (doa_out10, doa_out[10]); + buf b_doa_out11 (doa_out11, doa_out[11]); + buf b_doa_out12 (doa_out12, doa_out[12]); + buf b_doa_out13 (doa_out13, doa_out[13]); + buf b_doa_out14 (doa_out14, doa_out[14]); + buf b_doa_out15 (doa_out15, doa_out[15]); + buf b_doa_out16 (doa_out16, doa_out[16]); + buf b_doa_out17 (doa_out17, doa_out[17]); + buf b_doa_out18 (doa_out18, doa_out[18]); + buf b_doa_out19 (doa_out19, doa_out[19]); + buf b_doa_out20 (doa_out20, doa_out[20]); + buf b_doa_out21 (doa_out21, doa_out[21]); + buf b_doa_out22 (doa_out22, doa_out[22]); + buf b_doa_out23 (doa_out23, doa_out[23]); + buf b_doa_out24 (doa_out24, doa_out[24]); + buf b_doa_out25 (doa_out25, doa_out[25]); + buf b_doa_out26 (doa_out26, doa_out[26]); + buf b_doa_out27 (doa_out27, doa_out[27]); + buf b_doa_out28 (doa_out28, doa_out[28]); + buf b_doa_out29 (doa_out29, doa_out[29]); + buf b_doa_out30 (doa_out30, doa_out[30]); + buf b_doa_out31 (doa_out31, doa_out[31]); + buf b_dopa_out0 (dopa_out0, dopa_out[0]); + buf b_dopa_out1 (dopa_out1, dopa_out[1]); + buf b_dopa_out2 (dopa_out2, dopa_out[2]); + buf b_dopa_out3 (dopa_out3, dopa_out[3]); + buf b_dob_out0 (dob_out0, dob_out[0]); + buf b_dob_out1 (dob_out1, dob_out[1]); + buf b_dob_out2 (dob_out2, dob_out[2]); + buf b_dob_out3 (dob_out3, dob_out[3]); + buf b_dob_out4 (dob_out4, dob_out[4]); + buf b_dob_out5 (dob_out5, dob_out[5]); + buf b_dob_out6 (dob_out6, dob_out[6]); + buf b_dob_out7 (dob_out7, dob_out[7]); + buf b_dob_out8 (dob_out8, dob_out[8]); + buf b_dob_out9 (dob_out9, dob_out[9]); + buf b_dob_out10 (dob_out10, dob_out[10]); + buf b_dob_out11 (dob_out11, dob_out[11]); + buf b_dob_out12 (dob_out12, dob_out[12]); + buf b_dob_out13 (dob_out13, dob_out[13]); + buf b_dob_out14 (dob_out14, dob_out[14]); + buf b_dob_out15 (dob_out15, dob_out[15]); + buf b_dob_out16 (dob_out16, dob_out[16]); + buf b_dob_out17 (dob_out17, dob_out[17]); + buf b_dob_out18 (dob_out18, dob_out[18]); + buf b_dob_out19 (dob_out19, dob_out[19]); + buf b_dob_out20 (dob_out20, dob_out[20]); + buf b_dob_out21 (dob_out21, dob_out[21]); + buf b_dob_out22 (dob_out22, dob_out[22]); + buf b_dob_out23 (dob_out23, dob_out[23]); + buf b_dob_out24 (dob_out24, dob_out[24]); + buf b_dob_out25 (dob_out25, dob_out[25]); + buf b_dob_out26 (dob_out26, dob_out[26]); + buf b_dob_out27 (dob_out27, dob_out[27]); + buf b_dob_out28 (dob_out28, dob_out[28]); + buf b_dob_out29 (dob_out29, dob_out[29]); + buf b_dob_out30 (dob_out30, dob_out[30]); + buf b_dob_out31 (dob_out31, dob_out[31]); + buf b_dopb_out0 (dopb_out0, dopb_out[0]); + buf b_dopb_out1 (dopb_out1, dopb_out[1]); + buf b_dopb_out2 (dopb_out2, dopb_out[2]); + buf b_dopb_out3 (dopb_out3, dopb_out[3]); + + buf b_doa0 (DOA[0], doa_out0); + buf b_doa1 (DOA[1], doa_out1); + buf b_doa2 (DOA[2], doa_out2); + buf b_doa3 (DOA[3], doa_out3); + buf b_doa4 (DOA[4], doa_out4); + buf b_doa5 (DOA[5], doa_out5); + buf b_doa6 (DOA[6], doa_out6); + buf b_doa7 (DOA[7], doa_out7); + buf b_doa8 (DOA[8], doa_out8); + buf b_doa9 (DOA[9], doa_out9); + buf b_doa10 (DOA[10], doa_out10); + buf b_doa11 (DOA[11], doa_out11); + buf b_doa12 (DOA[12], doa_out12); + buf b_doa13 (DOA[13], doa_out13); + buf b_doa14 (DOA[14], doa_out14); + buf b_doa15 (DOA[15], doa_out15); + buf b_doa16 (DOA[16], doa_out16); + buf b_doa17 (DOA[17], doa_out17); + buf b_doa18 (DOA[18], doa_out18); + buf b_doa19 (DOA[19], doa_out19); + buf b_doa20 (DOA[20], doa_out20); + buf b_doa21 (DOA[21], doa_out21); + buf b_doa22 (DOA[22], doa_out22); + buf b_doa23 (DOA[23], doa_out23); + buf b_doa24 (DOA[24], doa_out24); + buf b_doa25 (DOA[25], doa_out25); + buf b_doa26 (DOA[26], doa_out26); + buf b_doa27 (DOA[27], doa_out27); + buf b_doa28 (DOA[28], doa_out28); + buf b_doa29 (DOA[29], doa_out29); + buf b_doa30 (DOA[30], doa_out30); + buf b_doa31 (DOA[31], doa_out31); + buf b_dopa0 (DOPA[0], dopa_out0); + buf b_dopa1 (DOPA[1], dopa_out1); + buf b_dopa2 (DOPA[2], dopa_out2); + buf b_dopa3 (DOPA[3], dopa_out3); + buf b_dob0 (DOB[0], dob_out0); + buf b_dob1 (DOB[1], dob_out1); + buf b_dob2 (DOB[2], dob_out2); + buf b_dob3 (DOB[3], dob_out3); + buf b_dob4 (DOB[4], dob_out4); + buf b_dob5 (DOB[5], dob_out5); + buf b_dob6 (DOB[6], dob_out6); + buf b_dob7 (DOB[7], dob_out7); + buf b_dob8 (DOB[8], dob_out8); + buf b_dob9 (DOB[9], dob_out9); + buf b_dob10 (DOB[10], dob_out10); + buf b_dob11 (DOB[11], dob_out11); + buf b_dob12 (DOB[12], dob_out12); + buf b_dob13 (DOB[13], dob_out13); + buf b_dob14 (DOB[14], dob_out14); + buf b_dob15 (DOB[15], dob_out15); + buf b_dob16 (DOB[16], dob_out16); + buf b_dob17 (DOB[17], dob_out17); + buf b_dob18 (DOB[18], dob_out18); + buf b_dob19 (DOB[19], dob_out19); + buf b_dob20 (DOB[20], dob_out20); + buf b_dob21 (DOB[21], dob_out21); + buf b_dob22 (DOB[22], dob_out22); + buf b_dob23 (DOB[23], dob_out23); + buf b_dob24 (DOB[24], dob_out24); + buf b_dob25 (DOB[25], dob_out25); + buf b_dob26 (DOB[26], dob_out26); + buf b_dob27 (DOB[27], dob_out27); + buf b_dob28 (DOB[28], dob_out28); + buf b_dob29 (DOB[29], dob_out29); + buf b_dob30 (DOB[30], dob_out30); + buf b_dob31 (DOB[31], dob_out31); + buf b_dopb0 (DOPB[0], dopb_out0); + buf b_dopb1 (DOPB[1], dopb_out1); + buf b_dopb2 (DOPB[2], dopb_out2); + buf b_dopb3 (DOPB[3], dopb_out3); + + buf b_addra_0 (addra_int[0], ADDRA[0]); + buf b_addra_1 (addra_int[1], ADDRA[1]); + buf b_addra_2 (addra_int[2], ADDRA[2]); + buf b_addra_3 (addra_int[3], ADDRA[3]); + buf b_addra_4 (addra_int[4], ADDRA[4]); + buf b_addra_5 (addra_int[5], ADDRA[5]); + buf b_addra_6 (addra_int[6], ADDRA[6]); + buf b_addra_7 (addra_int[7], ADDRA[7]); + buf b_addra_8 (addra_int[8], ADDRA[8]); + buf b_dia_0 (dia_int[0], DIA[0]); + buf b_dia_1 (dia_int[1], DIA[1]); + buf b_dia_2 (dia_int[2], DIA[2]); + buf b_dia_3 (dia_int[3], DIA[3]); + buf b_dia_4 (dia_int[4], DIA[4]); + buf b_dia_5 (dia_int[5], DIA[5]); + buf b_dia_6 (dia_int[6], DIA[6]); + buf b_dia_7 (dia_int[7], DIA[7]); + buf b_dia_8 (dia_int[8], DIA[8]); + buf b_dia_9 (dia_int[9], DIA[9]); + buf b_dia_10 (dia_int[10], DIA[10]); + buf b_dia_11 (dia_int[11], DIA[11]); + buf b_dia_12 (dia_int[12], DIA[12]); + buf b_dia_13 (dia_int[13], DIA[13]); + buf b_dia_14 (dia_int[14], DIA[14]); + buf b_dia_15 (dia_int[15], DIA[15]); + buf b_dia_16 (dia_int[16], DIA[16]); + buf b_dia_17 (dia_int[17], DIA[17]); + buf b_dia_18 (dia_int[18], DIA[18]); + buf b_dia_19 (dia_int[19], DIA[19]); + buf b_dia_20 (dia_int[20], DIA[20]); + buf b_dia_21 (dia_int[21], DIA[21]); + buf b_dia_22 (dia_int[22], DIA[22]); + buf b_dia_23 (dia_int[23], DIA[23]); + buf b_dia_24 (dia_int[24], DIA[24]); + buf b_dia_25 (dia_int[25], DIA[25]); + buf b_dia_26 (dia_int[26], DIA[26]); + buf b_dia_27 (dia_int[27], DIA[27]); + buf b_dia_28 (dia_int[28], DIA[28]); + buf b_dia_29 (dia_int[29], DIA[29]); + buf b_dia_30 (dia_int[30], DIA[30]); + buf b_dia_31 (dia_int[31], DIA[31]); + buf b_dipa_0 (dipa_int[0], DIPA[0]); + buf b_dipa_1 (dipa_int[1], DIPA[1]); + buf b_dipa_2 (dipa_int[2], DIPA[2]); + buf b_dipa_3 (dipa_int[3], DIPA[3]); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + buf b_addrb_0 (addrb_int[0], ADDRB[0]); + buf b_addrb_1 (addrb_int[1], ADDRB[1]); + buf b_addrb_2 (addrb_int[2], ADDRB[2]); + buf b_addrb_3 (addrb_int[3], ADDRB[3]); + buf b_addrb_4 (addrb_int[4], ADDRB[4]); + buf b_addrb_5 (addrb_int[5], ADDRB[5]); + buf b_addrb_6 (addrb_int[6], ADDRB[6]); + buf b_addrb_7 (addrb_int[7], ADDRB[7]); + buf b_addrb_8 (addrb_int[8], ADDRB[8]); + buf b_dib_0 (dib_int[0], DIB[0]); + buf b_dib_1 (dib_int[1], DIB[1]); + buf b_dib_2 (dib_int[2], DIB[2]); + buf b_dib_3 (dib_int[3], DIB[3]); + buf b_dib_4 (dib_int[4], DIB[4]); + buf b_dib_5 (dib_int[5], DIB[5]); + buf b_dib_6 (dib_int[6], DIB[6]); + buf b_dib_7 (dib_int[7], DIB[7]); + buf b_dib_8 (dib_int[8], DIB[8]); + buf b_dib_9 (dib_int[9], DIB[9]); + buf b_dib_10 (dib_int[10], DIB[10]); + buf b_dib_11 (dib_int[11], DIB[11]); + buf b_dib_12 (dib_int[12], DIB[12]); + buf b_dib_13 (dib_int[13], DIB[13]); + buf b_dib_14 (dib_int[14], DIB[14]); + buf b_dib_15 (dib_int[15], DIB[15]); + buf b_dib_16 (dib_int[16], DIB[16]); + buf b_dib_17 (dib_int[17], DIB[17]); + buf b_dib_18 (dib_int[18], DIB[18]); + buf b_dib_19 (dib_int[19], DIB[19]); + buf b_dib_20 (dib_int[20], DIB[20]); + buf b_dib_21 (dib_int[21], DIB[21]); + buf b_dib_22 (dib_int[22], DIB[22]); + buf b_dib_23 (dib_int[23], DIB[23]); + buf b_dib_24 (dib_int[24], DIB[24]); + buf b_dib_25 (dib_int[25], DIB[25]); + buf b_dib_26 (dib_int[26], DIB[26]); + buf b_dib_27 (dib_int[27], DIB[27]); + buf b_dib_28 (dib_int[28], DIB[28]); + buf b_dib_29 (dib_int[29], DIB[29]); + buf b_dib_30 (dib_int[30], DIB[30]); + buf b_dib_31 (dib_int[31], DIB[31]); + buf b_dipb_0 (dipb_int[0], DIPB[0]); + buf b_dipb_1 (dipb_int[1], DIPB[1]); + buf b_dipb_2 (dipb_int[2], DIPB[2]); + buf b_dipb_3 (dipb_int[3], DIPB[3]); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + initial begin + for (count = 0; count < 256; count = count + 1) begin + mem[count] <= INIT_00[count]; + mem[256 * 1 + count] <= INIT_01[count]; + mem[256 * 2 + count] <= INIT_02[count]; + mem[256 * 3 + count] <= INIT_03[count]; + mem[256 * 4 + count] <= INIT_04[count]; + mem[256 * 5 + count] <= INIT_05[count]; + mem[256 * 6 + count] <= INIT_06[count]; + mem[256 * 7 + count] <= INIT_07[count]; + mem[256 * 8 + count] <= INIT_08[count]; + mem[256 * 9 + count] <= INIT_09[count]; + mem[256 * 10 + count] <= INIT_0A[count]; + mem[256 * 11 + count] <= INIT_0B[count]; + mem[256 * 12 + count] <= INIT_0C[count]; + mem[256 * 13 + count] <= INIT_0D[count]; + mem[256 * 14 + count] <= INIT_0E[count]; + mem[256 * 15 + count] <= INIT_0F[count]; + mem[256 * 16 + count] <= INIT_10[count]; + mem[256 * 17 + count] <= INIT_11[count]; + mem[256 * 18 + count] <= INIT_12[count]; + mem[256 * 19 + count] <= INIT_13[count]; + mem[256 * 20 + count] <= INIT_14[count]; + mem[256 * 21 + count] <= INIT_15[count]; + mem[256 * 22 + count] <= INIT_16[count]; + mem[256 * 23 + count] <= INIT_17[count]; + mem[256 * 24 + count] <= INIT_18[count]; + mem[256 * 25 + count] <= INIT_19[count]; + mem[256 * 26 + count] <= INIT_1A[count]; + mem[256 * 27 + count] <= INIT_1B[count]; + mem[256 * 28 + count] <= INIT_1C[count]; + mem[256 * 29 + count] <= INIT_1D[count]; + mem[256 * 30 + count] <= INIT_1E[count]; + mem[256 * 31 + count] <= INIT_1F[count]; + mem[256 * 32 + count] <= INIT_20[count]; + mem[256 * 33 + count] <= INIT_21[count]; + mem[256 * 34 + count] <= INIT_22[count]; + mem[256 * 35 + count] <= INIT_23[count]; + mem[256 * 36 + count] <= INIT_24[count]; + mem[256 * 37 + count] <= INIT_25[count]; + mem[256 * 38 + count] <= INIT_26[count]; + mem[256 * 39 + count] <= INIT_27[count]; + mem[256 * 40 + count] <= INIT_28[count]; + mem[256 * 41 + count] <= INIT_29[count]; + mem[256 * 42 + count] <= INIT_2A[count]; + mem[256 * 43 + count] <= INIT_2B[count]; + mem[256 * 44 + count] <= INIT_2C[count]; + mem[256 * 45 + count] <= INIT_2D[count]; + mem[256 * 46 + count] <= INIT_2E[count]; + mem[256 * 47 + count] <= INIT_2F[count]; + mem[256 * 48 + count] <= INIT_30[count]; + mem[256 * 49 + count] <= INIT_31[count]; + mem[256 * 50 + count] <= INIT_32[count]; + mem[256 * 51 + count] <= INIT_33[count]; + mem[256 * 52 + count] <= INIT_34[count]; + mem[256 * 53 + count] <= INIT_35[count]; + mem[256 * 54 + count] <= INIT_36[count]; + mem[256 * 55 + count] <= INIT_37[count]; + mem[256 * 56 + count] <= INIT_38[count]; + mem[256 * 57 + count] <= INIT_39[count]; + mem[256 * 58 + count] <= INIT_3A[count]; + mem[256 * 59 + count] <= INIT_3B[count]; + mem[256 * 60 + count] <= INIT_3C[count]; + mem[256 * 61 + count] <= INIT_3D[count]; + mem[256 * 62 + count] <= INIT_3E[count]; + mem[256 * 63 + count] <= INIT_3F[count]; + mem[256 * 64 + count] <= INITP_00[count]; + mem[256 * 65 + count] <= INITP_01[count]; + mem[256 * 66 + count] <= INITP_02[count]; + mem[256 * 67 + count] <= INITP_03[count]; + mem[256 * 68 + count] <= INITP_04[count]; + mem[256 * 69 + count] <= INITP_05[count]; + mem[256 * 70 + count] <= INITP_06[count]; + mem[256 * 71 + count] <= INITP_07[count]; + end + address_collision <= 0; + address_collision_a_b <= 0; + address_collision_b_a <= 0; + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 32; + assign data_addra_reg = addra_reg * 32; + assign data_addrb_int = addrb_int * 32; + assign data_addrb_reg = addrb_reg * 32; + assign parity_addra_int = 16384 + addra_int * 4; + assign parity_addra_reg = 16384 + addra_reg * 4; + assign parity_addrb_int = 16384 + addrb_int * 4; + assign parity_addrb_reg = 16384 + addrb_reg * 4; + + + initial begin + + display_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + assign setup_all_a_b = 1'b0; + assign setup_all_b_a = 1'b0; + assign setup_zero = 1'b0; + assign setup_rf_a_b = 1'b0; + assign setup_rf_b_a = 1'b0; + assign display_flag = 0; + end + "WARNING_ONLY" : begin + assign data_collision = 2'b00; + assign data_collision_a_b = 2'b00; + assign data_collision_b_a = 2'b00; + assign memory_collision = 1'b0; + assign memory_collision_a_b = 1'b0; + assign memory_collision_b_a = 1'b0; + end + "GENERATE_X_ONLY" : begin + assign display_flag = 0; + end + "ALL" : ; + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_X_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + + always @(posedge clkb_int) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:5] == data_addrb_int[14:5])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:5] == data_addrb_int[14:5])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:5] == data_addrb_reg[14:5])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:5] == data_addrb_int[14:5]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + + always @(posedge clkb_int) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + + // Data + always @(posedge memory_collision) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision <= 0; + end + + always @(posedge memory_collision_a_b) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_reg + dmi] <= 1'bX; + end + memory_collision_a_b <= 0; + end + + always @(posedge memory_collision_b_a) begin + for (dmi = 0; dmi < 32; dmi = dmi + 1) begin + mem[data_addra_int + dmi] <= 1'bX; + end + memory_collision_b_a <= 0; + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0) begin + doa_out <= 32'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0) begin + dob_out <= 32'bX; + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0) begin + doa_out <= 32'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0) begin + dob_out <= 32'bX; + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0) begin + doa_out <= 32'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0) begin + dob_out <= 32'bX; + end + data_collision_b_a[0] <= 0; + end + + + // Parity + always @(posedge memory_collision) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_int + pmi] <= 1'bX; + end + end + + always @(posedge memory_collision_a_b) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_reg + pmi] <= 1'bX; + end + end + + always @(posedge memory_collision_b_a) begin + for (pmi = 0; pmi < 4; pmi = pmi + 1) begin + mem[parity_addra_int + pmi] <= 1'bX; + end + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0) begin + dopb_out <= 4'bX; + end + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0) begin + dopb_out <= 4'bX; + end + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0) begin + dopa_out <= 4'bX; + end + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0) begin + dopb_out <= 4'bX; + end + end + + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + // Port A + always @(posedge clka_int) begin + if (ena_int == 1'b1) begin + if (ssra_int == 1'b1) begin + doa_out[0] <= SRVAL_A[0]; + doa_out[1] <= SRVAL_A[1]; + doa_out[2] <= SRVAL_A[2]; + doa_out[3] <= SRVAL_A[3]; + doa_out[4] <= SRVAL_A[4]; + doa_out[5] <= SRVAL_A[5]; + doa_out[6] <= SRVAL_A[6]; + doa_out[7] <= SRVAL_A[7]; + doa_out[8] <= SRVAL_A[8]; + doa_out[9] <= SRVAL_A[9]; + doa_out[10] <= SRVAL_A[10]; + doa_out[11] <= SRVAL_A[11]; + doa_out[12] <= SRVAL_A[12]; + doa_out[13] <= SRVAL_A[13]; + doa_out[14] <= SRVAL_A[14]; + doa_out[15] <= SRVAL_A[15]; + doa_out[16] <= SRVAL_A[16]; + doa_out[17] <= SRVAL_A[17]; + doa_out[18] <= SRVAL_A[18]; + doa_out[19] <= SRVAL_A[19]; + doa_out[20] <= SRVAL_A[20]; + doa_out[21] <= SRVAL_A[21]; + doa_out[22] <= SRVAL_A[22]; + doa_out[23] <= SRVAL_A[23]; + doa_out[24] <= SRVAL_A[24]; + doa_out[25] <= SRVAL_A[25]; + doa_out[26] <= SRVAL_A[26]; + doa_out[27] <= SRVAL_A[27]; + doa_out[28] <= SRVAL_A[28]; + doa_out[29] <= SRVAL_A[29]; + doa_out[30] <= SRVAL_A[30]; + doa_out[31] <= SRVAL_A[31]; + dopa_out[0] <= SRVAL_A[32]; + dopa_out[1] <= SRVAL_A[33]; + dopa_out[2] <= SRVAL_A[34]; + dopa_out[3] <= SRVAL_A[35]; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= dia_int; + dopa_out <= dipa_int; + end + else if (wr_mode_a == 2'b01) begin + doa_out[0] <= mem[data_addra_int + 0]; + doa_out[1] <= mem[data_addra_int + 1]; + doa_out[2] <= mem[data_addra_int + 2]; + doa_out[3] <= mem[data_addra_int + 3]; + doa_out[4] <= mem[data_addra_int + 4]; + doa_out[5] <= mem[data_addra_int + 5]; + doa_out[6] <= mem[data_addra_int + 6]; + doa_out[7] <= mem[data_addra_int + 7]; + doa_out[8] <= mem[data_addra_int + 8]; + doa_out[9] <= mem[data_addra_int + 9]; + doa_out[10] <= mem[data_addra_int + 10]; + doa_out[11] <= mem[data_addra_int + 11]; + doa_out[12] <= mem[data_addra_int + 12]; + doa_out[13] <= mem[data_addra_int + 13]; + doa_out[14] <= mem[data_addra_int + 14]; + doa_out[15] <= mem[data_addra_int + 15]; + doa_out[16] <= mem[data_addra_int + 16]; + doa_out[17] <= mem[data_addra_int + 17]; + doa_out[18] <= mem[data_addra_int + 18]; + doa_out[19] <= mem[data_addra_int + 19]; + doa_out[20] <= mem[data_addra_int + 20]; + doa_out[21] <= mem[data_addra_int + 21]; + doa_out[22] <= mem[data_addra_int + 22]; + doa_out[23] <= mem[data_addra_int + 23]; + doa_out[24] <= mem[data_addra_int + 24]; + doa_out[25] <= mem[data_addra_int + 25]; + doa_out[26] <= mem[data_addra_int + 26]; + doa_out[27] <= mem[data_addra_int + 27]; + doa_out[28] <= mem[data_addra_int + 28]; + doa_out[29] <= mem[data_addra_int + 29]; + doa_out[30] <= mem[data_addra_int + 30]; + doa_out[31] <= mem[data_addra_int + 31]; + dopa_out[0] <= mem[parity_addra_int + 0]; + dopa_out[1] <= mem[parity_addra_int + 1]; + dopa_out[2] <= mem[parity_addra_int + 2]; + dopa_out[3] <= mem[parity_addra_int + 3]; + end + end + else begin + doa_out[0] <= mem[data_addra_int + 0]; + doa_out[1] <= mem[data_addra_int + 1]; + doa_out[2] <= mem[data_addra_int + 2]; + doa_out[3] <= mem[data_addra_int + 3]; + doa_out[4] <= mem[data_addra_int + 4]; + doa_out[5] <= mem[data_addra_int + 5]; + doa_out[6] <= mem[data_addra_int + 6]; + doa_out[7] <= mem[data_addra_int + 7]; + doa_out[8] <= mem[data_addra_int + 8]; + doa_out[9] <= mem[data_addra_int + 9]; + doa_out[10] <= mem[data_addra_int + 10]; + doa_out[11] <= mem[data_addra_int + 11]; + doa_out[12] <= mem[data_addra_int + 12]; + doa_out[13] <= mem[data_addra_int + 13]; + doa_out[14] <= mem[data_addra_int + 14]; + doa_out[15] <= mem[data_addra_int + 15]; + doa_out[16] <= mem[data_addra_int + 16]; + doa_out[17] <= mem[data_addra_int + 17]; + doa_out[18] <= mem[data_addra_int + 18]; + doa_out[19] <= mem[data_addra_int + 19]; + doa_out[20] <= mem[data_addra_int + 20]; + doa_out[21] <= mem[data_addra_int + 21]; + doa_out[22] <= mem[data_addra_int + 22]; + doa_out[23] <= mem[data_addra_int + 23]; + doa_out[24] <= mem[data_addra_int + 24]; + doa_out[25] <= mem[data_addra_int + 25]; + doa_out[26] <= mem[data_addra_int + 26]; + doa_out[27] <= mem[data_addra_int + 27]; + doa_out[28] <= mem[data_addra_int + 28]; + doa_out[29] <= mem[data_addra_int + 29]; + doa_out[30] <= mem[data_addra_int + 30]; + doa_out[31] <= mem[data_addra_int + 31]; + dopa_out[0] <= mem[parity_addra_int + 0]; + dopa_out[1] <= mem[parity_addra_int + 1]; + dopa_out[2] <= mem[parity_addra_int + 2]; + dopa_out[3] <= mem[parity_addra_int + 3]; + end + end + end + end + + always @(posedge clka_int) begin + if (ena_int == 1'b1 && wea_int == 1'b1) begin + mem[data_addra_int + 0] <= dia_int[0]; + mem[data_addra_int + 1] <= dia_int[1]; + mem[data_addra_int + 2] <= dia_int[2]; + mem[data_addra_int + 3] <= dia_int[3]; + mem[data_addra_int + 4] <= dia_int[4]; + mem[data_addra_int + 5] <= dia_int[5]; + mem[data_addra_int + 6] <= dia_int[6]; + mem[data_addra_int + 7] <= dia_int[7]; + mem[data_addra_int + 8] <= dia_int[8]; + mem[data_addra_int + 9] <= dia_int[9]; + mem[data_addra_int + 10] <= dia_int[10]; + mem[data_addra_int + 11] <= dia_int[11]; + mem[data_addra_int + 12] <= dia_int[12]; + mem[data_addra_int + 13] <= dia_int[13]; + mem[data_addra_int + 14] <= dia_int[14]; + mem[data_addra_int + 15] <= dia_int[15]; + mem[data_addra_int + 16] <= dia_int[16]; + mem[data_addra_int + 17] <= dia_int[17]; + mem[data_addra_int + 18] <= dia_int[18]; + mem[data_addra_int + 19] <= dia_int[19]; + mem[data_addra_int + 20] <= dia_int[20]; + mem[data_addra_int + 21] <= dia_int[21]; + mem[data_addra_int + 22] <= dia_int[22]; + mem[data_addra_int + 23] <= dia_int[23]; + mem[data_addra_int + 24] <= dia_int[24]; + mem[data_addra_int + 25] <= dia_int[25]; + mem[data_addra_int + 26] <= dia_int[26]; + mem[data_addra_int + 27] <= dia_int[27]; + mem[data_addra_int + 28] <= dia_int[28]; + mem[data_addra_int + 29] <= dia_int[29]; + mem[data_addra_int + 30] <= dia_int[30]; + mem[data_addra_int + 31] <= dia_int[31]; + mem[parity_addra_int + 0] <= dipa_int[0]; + mem[parity_addra_int + 1] <= dipa_int[1]; + mem[parity_addra_int + 2] <= dipa_int[2]; + mem[parity_addra_int + 3] <= dipa_int[3]; + end + end + + // Port B + always @(posedge clkb_int) begin + if (enb_int == 1'b1) begin + if (ssrb_int == 1'b1) begin + dob_out[0] <= SRVAL_B[0]; + dob_out[1] <= SRVAL_B[1]; + dob_out[2] <= SRVAL_B[2]; + dob_out[3] <= SRVAL_B[3]; + dob_out[4] <= SRVAL_B[4]; + dob_out[5] <= SRVAL_B[5]; + dob_out[6] <= SRVAL_B[6]; + dob_out[7] <= SRVAL_B[7]; + dob_out[8] <= SRVAL_B[8]; + dob_out[9] <= SRVAL_B[9]; + dob_out[10] <= SRVAL_B[10]; + dob_out[11] <= SRVAL_B[11]; + dob_out[12] <= SRVAL_B[12]; + dob_out[13] <= SRVAL_B[13]; + dob_out[14] <= SRVAL_B[14]; + dob_out[15] <= SRVAL_B[15]; + dob_out[16] <= SRVAL_B[16]; + dob_out[17] <= SRVAL_B[17]; + dob_out[18] <= SRVAL_B[18]; + dob_out[19] <= SRVAL_B[19]; + dob_out[20] <= SRVAL_B[20]; + dob_out[21] <= SRVAL_B[21]; + dob_out[22] <= SRVAL_B[22]; + dob_out[23] <= SRVAL_B[23]; + dob_out[24] <= SRVAL_B[24]; + dob_out[25] <= SRVAL_B[25]; + dob_out[26] <= SRVAL_B[26]; + dob_out[27] <= SRVAL_B[27]; + dob_out[28] <= SRVAL_B[28]; + dob_out[29] <= SRVAL_B[29]; + dob_out[30] <= SRVAL_B[30]; + dob_out[31] <= SRVAL_B[31]; + dopb_out[0] <= SRVAL_B[32]; + dopb_out[1] <= SRVAL_B[33]; + dopb_out[2] <= SRVAL_B[34]; + dopb_out[3] <= SRVAL_B[35]; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= dib_int; + dopb_out <= dipb_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + dob_out[2] <= mem[data_addrb_int + 2]; + dob_out[3] <= mem[data_addrb_int + 3]; + dob_out[4] <= mem[data_addrb_int + 4]; + dob_out[5] <= mem[data_addrb_int + 5]; + dob_out[6] <= mem[data_addrb_int + 6]; + dob_out[7] <= mem[data_addrb_int + 7]; + dob_out[8] <= mem[data_addrb_int + 8]; + dob_out[9] <= mem[data_addrb_int + 9]; + dob_out[10] <= mem[data_addrb_int + 10]; + dob_out[11] <= mem[data_addrb_int + 11]; + dob_out[12] <= mem[data_addrb_int + 12]; + dob_out[13] <= mem[data_addrb_int + 13]; + dob_out[14] <= mem[data_addrb_int + 14]; + dob_out[15] <= mem[data_addrb_int + 15]; + dob_out[16] <= mem[data_addrb_int + 16]; + dob_out[17] <= mem[data_addrb_int + 17]; + dob_out[18] <= mem[data_addrb_int + 18]; + dob_out[19] <= mem[data_addrb_int + 19]; + dob_out[20] <= mem[data_addrb_int + 20]; + dob_out[21] <= mem[data_addrb_int + 21]; + dob_out[22] <= mem[data_addrb_int + 22]; + dob_out[23] <= mem[data_addrb_int + 23]; + dob_out[24] <= mem[data_addrb_int + 24]; + dob_out[25] <= mem[data_addrb_int + 25]; + dob_out[26] <= mem[data_addrb_int + 26]; + dob_out[27] <= mem[data_addrb_int + 27]; + dob_out[28] <= mem[data_addrb_int + 28]; + dob_out[29] <= mem[data_addrb_int + 29]; + dob_out[30] <= mem[data_addrb_int + 30]; + dob_out[31] <= mem[data_addrb_int + 31]; + dopb_out[0] <= mem[parity_addrb_int + 0]; + dopb_out[1] <= mem[parity_addrb_int + 1]; + dopb_out[2] <= mem[parity_addrb_int + 2]; + dopb_out[3] <= mem[parity_addrb_int + 3]; + end + end + else begin + dob_out[0] <= mem[data_addrb_int + 0]; + dob_out[1] <= mem[data_addrb_int + 1]; + dob_out[2] <= mem[data_addrb_int + 2]; + dob_out[3] <= mem[data_addrb_int + 3]; + dob_out[4] <= mem[data_addrb_int + 4]; + dob_out[5] <= mem[data_addrb_int + 5]; + dob_out[6] <= mem[data_addrb_int + 6]; + dob_out[7] <= mem[data_addrb_int + 7]; + dob_out[8] <= mem[data_addrb_int + 8]; + dob_out[9] <= mem[data_addrb_int + 9]; + dob_out[10] <= mem[data_addrb_int + 10]; + dob_out[11] <= mem[data_addrb_int + 11]; + dob_out[12] <= mem[data_addrb_int + 12]; + dob_out[13] <= mem[data_addrb_int + 13]; + dob_out[14] <= mem[data_addrb_int + 14]; + dob_out[15] <= mem[data_addrb_int + 15]; + dob_out[16] <= mem[data_addrb_int + 16]; + dob_out[17] <= mem[data_addrb_int + 17]; + dob_out[18] <= mem[data_addrb_int + 18]; + dob_out[19] <= mem[data_addrb_int + 19]; + dob_out[20] <= mem[data_addrb_int + 20]; + dob_out[21] <= mem[data_addrb_int + 21]; + dob_out[22] <= mem[data_addrb_int + 22]; + dob_out[23] <= mem[data_addrb_int + 23]; + dob_out[24] <= mem[data_addrb_int + 24]; + dob_out[25] <= mem[data_addrb_int + 25]; + dob_out[26] <= mem[data_addrb_int + 26]; + dob_out[27] <= mem[data_addrb_int + 27]; + dob_out[28] <= mem[data_addrb_int + 28]; + dob_out[29] <= mem[data_addrb_int + 29]; + dob_out[30] <= mem[data_addrb_int + 30]; + dob_out[31] <= mem[data_addrb_int + 31]; + dopb_out[0] <= mem[parity_addrb_int + 0]; + dopb_out[1] <= mem[parity_addrb_int + 1]; + dopb_out[2] <= mem[parity_addrb_int + 2]; + dopb_out[3] <= mem[parity_addrb_int + 3]; + end + end + end + end + + always @(posedge clkb_int) begin + if (enb_int == 1'b1 && web_int == 1'b1) begin + mem[data_addrb_int + 0] <= dib_int[0]; + mem[data_addrb_int + 1] <= dib_int[1]; + mem[data_addrb_int + 2] <= dib_int[2]; + mem[data_addrb_int + 3] <= dib_int[3]; + mem[data_addrb_int + 4] <= dib_int[4]; + mem[data_addrb_int + 5] <= dib_int[5]; + mem[data_addrb_int + 6] <= dib_int[6]; + mem[data_addrb_int + 7] <= dib_int[7]; + mem[data_addrb_int + 8] <= dib_int[8]; + mem[data_addrb_int + 9] <= dib_int[9]; + mem[data_addrb_int + 10] <= dib_int[10]; + mem[data_addrb_int + 11] <= dib_int[11]; + mem[data_addrb_int + 12] <= dib_int[12]; + mem[data_addrb_int + 13] <= dib_int[13]; + mem[data_addrb_int + 14] <= dib_int[14]; + mem[data_addrb_int + 15] <= dib_int[15]; + mem[data_addrb_int + 16] <= dib_int[16]; + mem[data_addrb_int + 17] <= dib_int[17]; + mem[data_addrb_int + 18] <= dib_int[18]; + mem[data_addrb_int + 19] <= dib_int[19]; + mem[data_addrb_int + 20] <= dib_int[20]; + mem[data_addrb_int + 21] <= dib_int[21]; + mem[data_addrb_int + 22] <= dib_int[22]; + mem[data_addrb_int + 23] <= dib_int[23]; + mem[data_addrb_int + 24] <= dib_int[24]; + mem[data_addrb_int + 25] <= dib_int[25]; + mem[data_addrb_int + 26] <= dib_int[26]; + mem[data_addrb_int + 27] <= dib_int[27]; + mem[data_addrb_int + 28] <= dib_int[28]; + mem[data_addrb_int + 29] <= dib_int[29]; + mem[data_addrb_int + 30] <= dib_int[30]; + mem[data_addrb_int + 31] <= dib_int[31]; + mem[parity_addrb_int + 0] <= dipb_int[0]; + mem[parity_addrb_int + 1] <= dipb_int[1]; + mem[parity_addrb_int + 2] <= dipb_int[2]; + mem[parity_addrb_int + 3] <= dipb_int[3]; + end + end + + specify + (CLKA *> DOA) = (100, 100); + (CLKA *> DOPA) = (100, 100); + (CLKB *> DOB) = (100, 100); + (CLKB *> DOPB) = (100, 100); + endspecify + +endmodule + +`else + +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/RAMB16_S36_S36.v,v 1.10 2007/02/22 01:58:06 wloo Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2005 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 10.1 +// \ \ Description : Xilinx Timing Simulation Library Component +// / / 16K-Bit Data and 2K-Bit Parity Dual Port Block RAM +// /___/ /\ Filename : RAMB16_S36_S36.v +// \ \ / \ Timestamp : Thu Mar 10 16:44:01 PST 2005 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// 03/10/05 - Initialized outputs. +// 02/21/07 - Fixed parameter SIM_COLLISION_CHECK (CR 433281). +// End Revision + +`timescale 1 ps/1 ps + +module RAMB16_S36_S36 (DOA, DOB, DOPA, DOPB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, DIPA, DIPB, ENA, ENB, SSRA, SSRB, WEA, WEB); + + parameter INIT_A = 36'h0; + parameter INIT_B = 36'h0; + parameter SRVAL_A = 36'h0; + parameter SRVAL_B = 36'h0; + parameter WRITE_MODE_A = "WRITE_FIRST"; + parameter WRITE_MODE_B = "WRITE_FIRST"; + parameter SIM_COLLISION_CHECK = "ALL"; + localparam SETUP_ALL = 1000; + localparam SETUP_READ_FIRST = 3000; + + parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + output [31:0] DOA; + output [3:0] DOPA; + output [31:0] DOB; + output [3:0] DOPB; + + input [8:0] ADDRA; + input [31:0] DIA; + input [3:0] DIPA; + input ENA, CLKA, WEA, SSRA; + input [8:0] ADDRB; + input [31:0] DIB; + input [3:0] DIPB; + input ENB, CLKB, WEB, SSRB; + + reg [31:0] doa_out = INIT_A[31:0]; + reg [3:0] dopa_out = INIT_A[35:32]; + reg [31:0] dob_out = INIT_B[31:0]; + reg [3:0] dopb_out = INIT_B[35:32]; + + reg [31:0] mem [511:0]; + reg [3:0] memp [511:0]; + + reg [8:0] count, countp; + reg [1:0] wr_mode_a, wr_mode_b; + + reg [5:0] dmi, dbi; + reg [5:0] pmi, pbi; + + wire [8:0] addra_int; + reg [8:0] addra_reg; + wire [31:0] dia_int; + wire [3:0] dipa_int; + wire ena_int, clka_int, wea_int, ssra_int; + reg ena_reg, wea_reg, ssra_reg; + wire [8:0] addrb_int; + reg [8:0] addrb_reg; + wire [31:0] dib_int; + wire [3:0] dipb_int; + wire enb_int, clkb_int, web_int, ssrb_int; + reg display_flag, output_flag; + reg enb_reg, web_reg, ssrb_reg; + + time time_clka, time_clkb; + time time_clka_clkb; + time time_clkb_clka; + + reg setup_all_a_b; + reg setup_all_b_a; + reg setup_zero; + reg setup_rf_a_b; + reg setup_rf_b_a; + reg [1:0] data_collision, data_collision_a_b, data_collision_b_a; + reg memory_collision, memory_collision_a_b, memory_collision_b_a; + reg change_clka; + reg change_clkb; + + wire [14:0] data_addra_int; + wire [14:0] data_addra_reg; + wire [14:0] data_addrb_int; + wire [14:0] data_addrb_reg; + + wire dia_enable = ena_int && wea_int; + wire dib_enable = enb_int && web_int; + + tri0 GSR = glbl.GSR; + wire gsr_int; + + buf b_gsr (gsr_int, GSR); + + buf b_doa [31:0] (DOA, doa_out); + buf b_dopa [3:0] (DOPA, dopa_out); + buf b_addra [8:0] (addra_int, ADDRA); + buf b_dia [31:0] (dia_int, DIA); + buf b_dipa [3:0] (dipa_int, DIPA); + buf b_ena (ena_int, ENA); + buf b_clka (clka_int, CLKA); + buf b_ssra (ssra_int, SSRA); + buf b_wea (wea_int, WEA); + + buf b_dob [31:0] (DOB, dob_out); + buf b_dopb [3:0] (DOPB, dopb_out); + buf b_addrb [8:0] (addrb_int, ADDRB); + buf b_dib [31:0] (dib_int, DIB); + buf b_dipb [3:0] (dipb_int, DIPB); + buf b_enb (enb_int, ENB); + buf b_clkb (clkb_int, CLKB); + buf b_ssrb (ssrb_int, SSRB); + buf b_web (web_int, WEB); + + + always @(gsr_int) + if (gsr_int) begin + assign {dopa_out, doa_out} = INIT_A; + assign {dopb_out, dob_out} = INIT_B; + end + else begin + deassign doa_out; + deassign dopa_out; + deassign dob_out; + deassign dopb_out; + end + + + initial begin + + for (count = 0; count < 8; count = count + 1) begin + mem[count] = INIT_00[(count * 32) +: 32]; + mem[8 * 1 + count] = INIT_01[(count * 32) +: 32]; + mem[8 * 2 + count] = INIT_02[(count * 32) +: 32]; + mem[8 * 3 + count] = INIT_03[(count * 32) +: 32]; + mem[8 * 4 + count] = INIT_04[(count * 32) +: 32]; + mem[8 * 5 + count] = INIT_05[(count * 32) +: 32]; + mem[8 * 6 + count] = INIT_06[(count * 32) +: 32]; + mem[8 * 7 + count] = INIT_07[(count * 32) +: 32]; + mem[8 * 8 + count] = INIT_08[(count * 32) +: 32]; + mem[8 * 9 + count] = INIT_09[(count * 32) +: 32]; + mem[8 * 10 + count] = INIT_0A[(count * 32) +: 32]; + mem[8 * 11 + count] = INIT_0B[(count * 32) +: 32]; + mem[8 * 12 + count] = INIT_0C[(count * 32) +: 32]; + mem[8 * 13 + count] = INIT_0D[(count * 32) +: 32]; + mem[8 * 14 + count] = INIT_0E[(count * 32) +: 32]; + mem[8 * 15 + count] = INIT_0F[(count * 32) +: 32]; + mem[8 * 16 + count] = INIT_10[(count * 32) +: 32]; + mem[8 * 17 + count] = INIT_11[(count * 32) +: 32]; + mem[8 * 18 + count] = INIT_12[(count * 32) +: 32]; + mem[8 * 19 + count] = INIT_13[(count * 32) +: 32]; + mem[8 * 20 + count] = INIT_14[(count * 32) +: 32]; + mem[8 * 21 + count] = INIT_15[(count * 32) +: 32]; + mem[8 * 22 + count] = INIT_16[(count * 32) +: 32]; + mem[8 * 23 + count] = INIT_17[(count * 32) +: 32]; + mem[8 * 24 + count] = INIT_18[(count * 32) +: 32]; + mem[8 * 25 + count] = INIT_19[(count * 32) +: 32]; + mem[8 * 26 + count] = INIT_1A[(count * 32) +: 32]; + mem[8 * 27 + count] = INIT_1B[(count * 32) +: 32]; + mem[8 * 28 + count] = INIT_1C[(count * 32) +: 32]; + mem[8 * 29 + count] = INIT_1D[(count * 32) +: 32]; + mem[8 * 30 + count] = INIT_1E[(count * 32) +: 32]; + mem[8 * 31 + count] = INIT_1F[(count * 32) +: 32]; + mem[8 * 32 + count] = INIT_20[(count * 32) +: 32]; + mem[8 * 33 + count] = INIT_21[(count * 32) +: 32]; + mem[8 * 34 + count] = INIT_22[(count * 32) +: 32]; + mem[8 * 35 + count] = INIT_23[(count * 32) +: 32]; + mem[8 * 36 + count] = INIT_24[(count * 32) +: 32]; + mem[8 * 37 + count] = INIT_25[(count * 32) +: 32]; + mem[8 * 38 + count] = INIT_26[(count * 32) +: 32]; + mem[8 * 39 + count] = INIT_27[(count * 32) +: 32]; + mem[8 * 40 + count] = INIT_28[(count * 32) +: 32]; + mem[8 * 41 + count] = INIT_29[(count * 32) +: 32]; + mem[8 * 42 + count] = INIT_2A[(count * 32) +: 32]; + mem[8 * 43 + count] = INIT_2B[(count * 32) +: 32]; + mem[8 * 44 + count] = INIT_2C[(count * 32) +: 32]; + mem[8 * 45 + count] = INIT_2D[(count * 32) +: 32]; + mem[8 * 46 + count] = INIT_2E[(count * 32) +: 32]; + mem[8 * 47 + count] = INIT_2F[(count * 32) +: 32]; + mem[8 * 48 + count] = INIT_30[(count * 32) +: 32]; + mem[8 * 49 + count] = INIT_31[(count * 32) +: 32]; + mem[8 * 50 + count] = INIT_32[(count * 32) +: 32]; + mem[8 * 51 + count] = INIT_33[(count * 32) +: 32]; + mem[8 * 52 + count] = INIT_34[(count * 32) +: 32]; + mem[8 * 53 + count] = INIT_35[(count * 32) +: 32]; + mem[8 * 54 + count] = INIT_36[(count * 32) +: 32]; + mem[8 * 55 + count] = INIT_37[(count * 32) +: 32]; + mem[8 * 56 + count] = INIT_38[(count * 32) +: 32]; + mem[8 * 57 + count] = INIT_39[(count * 32) +: 32]; + mem[8 * 58 + count] = INIT_3A[(count * 32) +: 32]; + mem[8 * 59 + count] = INIT_3B[(count * 32) +: 32]; + mem[8 * 60 + count] = INIT_3C[(count * 32) +: 32]; + mem[8 * 61 + count] = INIT_3D[(count * 32) +: 32]; + mem[8 * 62 + count] = INIT_3E[(count * 32) +: 32]; + mem[8 * 63 + count] = INIT_3F[(count * 32) +: 32]; + end + +// initiate parity start + for (countp = 0; countp < 64; countp = countp + 1) begin + memp[countp] = INITP_00[(countp * 4) +: 4]; + memp[64 * 1 + countp] = INITP_01[(countp * 4) +: 4]; + memp[64 * 2 + countp] = INITP_02[(countp * 4) +: 4]; + memp[64 * 3 + countp] = INITP_03[(countp * 4) +: 4]; + memp[64 * 4 + countp] = INITP_04[(countp * 4) +: 4]; + memp[64 * 5 + countp] = INITP_05[(countp * 4) +: 4]; + memp[64 * 6 + countp] = INITP_06[(countp * 4) +: 4]; + memp[64 * 7 + countp] = INITP_07[(countp * 4) +: 4]; + end +// initiate parity end + + change_clka <= 0; + change_clkb <= 0; + data_collision <= 0; + data_collision_a_b <= 0; + data_collision_b_a <= 0; + memory_collision <= 0; + memory_collision_a_b <= 0; + memory_collision_b_a <= 0; + setup_all_a_b <= 0; + setup_all_b_a <= 0; + setup_zero <= 0; + setup_rf_a_b <= 0; + setup_rf_b_a <= 0; + end + + assign data_addra_int = addra_int * 32; + assign data_addra_reg = addra_reg * 32; + assign data_addrb_int = addrb_int * 32; + assign data_addrb_reg = addrb_reg * 32; + + + initial begin + + display_flag = 1; + output_flag = 1; + + case (SIM_COLLISION_CHECK) + + "NONE" : begin + output_flag = 0; + display_flag = 0; + end + "WARNING_ONLY" : output_flag = 0; + "GENERATE_X_ONLY" : display_flag = 0; + "ALL" : ; + + default : begin + $display("Attribute Syntax Error : The Attribute SIM_COLLISION_CHECK on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are ALL, NONE, WARNING_ONLY or GENERATE_X_ONLY.", SIM_COLLISION_CHECK); + $finish; + end + + endcase // case(SIM_COLLISION_CHECK) + + end // initial begin + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + time_clka = $time; + #0 time_clkb_clka = time_clka - time_clkb; + change_clka = ~change_clka; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + time_clkb = $time; + #0 time_clka_clkb = time_clkb - time_clka; + change_clkb = ~change_clkb; + end + end + + always @(change_clkb) begin + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL)) + setup_all_a_b = 1; + if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_READ_FIRST)) + setup_rf_a_b = 1; + end + + always @(change_clka) begin + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL)) + setup_all_b_a = 1; + if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_READ_FIRST)) + setup_rf_b_a = 1; + end + + always @(change_clkb or change_clka) begin + if ((time_clkb_clka == 0) && (time_clka_clkb == 0)) + setup_zero = 1; + end + + always @(posedge setup_zero) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_int[14:5] == data_addrb_int[14:5])) + memory_collision <= 1; + end + + always @(posedge setup_all_a_b or posedge setup_rf_a_b) begin + if ((ena_reg == 1) && (wea_reg == 1) && + (enb_int == 1) && (web_int == 1) && + (data_addra_reg[14:5] == data_addrb_int[14:5])) + memory_collision_a_b <= 1; + end + + always @(posedge setup_all_b_a or posedge setup_rf_b_a) begin + if ((ena_int == 1) && (wea_int == 1) && + (enb_reg == 1) && (web_reg == 1) && + (data_addra_int[14:5] == data_addrb_reg[14:5])) + memory_collision_b_a <= 1; + end + + always @(posedge setup_all_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) + 6'b000011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b010011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b010111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b011011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b100011 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_a_b <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision_a_b <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_a_b <= 0; + end + + + always @(posedge setup_all_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) + 6'b000011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b000111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b001011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b010111 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b011011 : begin data_collision_b_a <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b001001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b011001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b101001 : begin data_collision_b_a <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision_b_a <= 2'b01; display_wa_rb; end + endcase + end + end + setup_all_b_a <= 0; + end + + + always @(posedge setup_zero) begin + if (data_addra_int[14:5] == data_addrb_int[14:5]) begin + if ((ena_int == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_int}) + 6'b000011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b000111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b001011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b010011 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision <= 2'b10; display_wa_wb; end + 6'b100011 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b100111 : begin data_collision <= 2'b01; display_wa_wb; end + 6'b101011 : begin display_wa_wb; end + 6'b000001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b000101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b001001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b010001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b010101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b011001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b100001 : begin data_collision <= 2'b10; display_ra_wb; end +// 6'b100101 : begin data_collision <= 2'b00; display_ra_wb; end + 6'b101001 : begin data_collision <= 2'b10; display_ra_wb; end + 6'b000010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b000110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b001010 : begin data_collision <= 2'b01; display_wa_rb; end +// 6'b010010 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision <= 2'b00; display_wa_rb; end + 6'b100010 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b100110 : begin data_collision <= 2'b01; display_wa_rb; end + 6'b101010 : begin data_collision <= 2'b01; display_wa_rb; end + endcase + end + end + setup_zero <= 0; + end + + task display_ra_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port A while a write was requested to the same address on Port B. The write will be successful however the read value on Port A is unknown until the next CLKA cycle.", $time/1000.0, addra_int); + end + endtask + + task display_wa_rb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA read was performed on address %h (hex) of Port B while a write was requested to the same address on Port A. The write will be successful however the read value on Port B is unknown until the next CLKB cycle.", $time/1000.0, addrb_int); + end + endtask + + task display_wa_wb; + begin + if (display_flag) + $display("Memory Collision Error on RAMB16_S36_S36:%m at simulation time %.3f ns\nA write was requested to the same address simultaneously at both Port A and Port B of the RAM. The contents written to the RAM at address location %h (hex) of Port A and address location %h (hex) of Port B are unknown.", $time/1000.0, addra_int, addrb_int); + end + endtask + + + always @(posedge setup_rf_a_b) begin + if (data_addra_reg[14:5] == data_addrb_int[14:5]) begin + if ((ena_reg == 1) && (enb_int == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_reg, web_int}) +// 6'b000011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b001011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end + 6'b010011 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b010111 : begin data_collision_a_b <= 2'b11; display_wa_wb; end + 6'b011011 : begin data_collision_a_b <= 2'b10; display_wa_wb; end +// 6'b100011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b100111 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b101011 : begin data_collision_a_b <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b001001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b010101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b011001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b100101 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b101001 : begin data_collision_a_b <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + 6'b010010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b010110 : begin data_collision_a_b <= 2'b01; display_wa_rb; end + 6'b011010 : begin data_collision_a_b <= 2'b01; display_wa_rb; end +// 6'b100010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_a_b <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_a_b <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_a_b <= 0; + end + + + always @(posedge setup_rf_b_a) begin + if (data_addra_int[14:5] == data_addrb_reg[14:5]) begin + if ((ena_int == 1) && (enb_reg == 1)) begin + case ({wr_mode_a, wr_mode_b, wea_int, web_reg}) +// 6'b000011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b000111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b001011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b010011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b010111 : begin data_collision_b_a <= 2'b11; display_wa_wb; end +// 6'b011011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b100011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end + 6'b100111 : begin data_collision_b_a <= 2'b01; display_wa_wb; end +// 6'b101011 : begin data_collision_b_a <= 2'b00; display_wa_wb; end +// 6'b000001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b000101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b001001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b010001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b010101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b011001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b100001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end + 6'b100101 : begin data_collision_b_a <= 2'b10; display_ra_wb; end +// 6'b101001 : begin data_collision_b_a <= 2'b00; display_ra_wb; end +// 6'b000010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b000110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b001010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b010110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b011010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b100110 : begin data_collision_b_a <= 2'b00; display_wa_rb; end +// 6'b101010 : begin data_collision_b_a <= 2'b00; display_wa_rb; end + endcase + end + end + setup_rf_b_a <= 0; + end + + + always @(posedge clka_int) begin + if ((output_flag || display_flag)) begin + addra_reg <= addra_int; + ena_reg <= ena_int; + ssra_reg <= ssra_int; + wea_reg <= wea_int; + end + end + + always @(posedge clkb_int) begin + if ((output_flag || display_flag)) begin + addrb_reg <= addrb_int; + enb_reg <= enb_int; + ssrb_reg <= ssrb_int; + web_reg <= web_int; + end + end + + + // Data + always @(posedge memory_collision) begin + if ((output_flag || display_flag)) begin + mem[addra_int] <= 32'bx; + memory_collision <= 0; + end + + end + + always @(posedge memory_collision_a_b) begin + if ((output_flag || display_flag)) begin + mem[addra_reg] <= 32'bx; + memory_collision_a_b <= 0; + end + end + + always @(posedge memory_collision_b_a) begin + if ((output_flag || display_flag)) begin + mem[addra_int] <= 32'bx; + memory_collision_b_a <= 0; + end + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision[1] <= 0; + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision[0] <= 0; + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision_a_b[1] <= 0; + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision_a_b[0] <= 0; + end + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0 && output_flag) begin + doa_out <= #100 32'bX; + end + data_collision_b_a[1] <= 0; + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0 && output_flag) begin + dob_out <= #100 32'bX; + end + data_collision_b_a[0] <= 0; + end + +// x parity start + always @(posedge memory_collision) begin + if ((output_flag || display_flag)) + memp[addra_int] <= 4'bx; + end + + always @(posedge memory_collision_a_b) begin + if ((output_flag || display_flag)) + memp[addra_reg] <= 4'bx; + end + + always @(posedge memory_collision_b_a) begin + if ((output_flag || display_flag)) + memp[addra_int] <= 4'bx; + end + + always @(posedge data_collision[1]) begin + if (ssra_int == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + always @(posedge data_collision_a_b[1]) begin + if (ssra_reg == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + + always @(posedge data_collision_b_a[1]) begin + if (ssra_int == 0 && output_flag) begin + dopa_out <= #100 4'bX; + end + end + + always @(posedge data_collision[0]) begin + if (ssrb_int == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end + + always @(posedge data_collision_a_b[0]) begin + if (ssrb_int == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end + + always @(posedge data_collision_b_a[0]) begin + if (ssrb_reg == 0 && output_flag) begin + dopb_out <= #100 4'bx; + end + end +// x parity end + + initial begin + case (WRITE_MODE_A) + "WRITE_FIRST" : wr_mode_a <= 2'b00; + "READ_FIRST" : wr_mode_a <= 2'b01; + "NO_CHANGE" : wr_mode_a <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_A on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_A); + $finish; + end + endcase + end + + initial begin + case (WRITE_MODE_B) + "WRITE_FIRST" : wr_mode_b <= 2'b00; + "READ_FIRST" : wr_mode_b <= 2'b01; + "NO_CHANGE" : wr_mode_b <= 2'b10; + default : begin + $display("Attribute Syntax Error : The Attribute WRITE_MODE_B on RAMB16_S36_S36 instance %m is set to %s. Legal values for this attribute are WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE_B); + $finish; + end + endcase + end + + + // Port A + always @(posedge clka_int) begin + + if (ena_int == 1'b1) begin + + if (ssra_int == 1'b1) begin + {dopa_out, doa_out} <= #100 SRVAL_A; + end + else begin + if (wea_int == 1'b1) begin + if (wr_mode_a == 2'b00) begin + doa_out <= #100 dia_int; + dopa_out <= #100 dipa_int; + end + else if (wr_mode_a == 2'b01) begin + + doa_out <= #100 mem[addra_int]; + dopa_out <= #100 memp[addra_int]; + + end + end + else begin + + doa_out <= #100 mem[addra_int]; + dopa_out <= #100 memp[addra_int]; + + end + end + + // memory + if (wea_int == 1'b1) begin + mem[addra_int] <= dia_int; + memp[addra_int] <= dipa_int; + end + + end + end + + + // Port B + always @(posedge clkb_int) begin + + if (enb_int == 1'b1) begin + + if (ssrb_int == 1'b1) begin + {dopb_out, dob_out} <= #100 SRVAL_B; + end + else begin + if (web_int == 1'b1) begin + if (wr_mode_b == 2'b00) begin + dob_out <= #100 dib_int; + dopb_out <= #100 dipb_int; + end + else if (wr_mode_b == 2'b01) begin + dob_out <= #100 mem[addrb_int]; + dopb_out <= #100 memp[addrb_int]; + end + end + else begin + dob_out <= #100 mem[addrb_int]; + dopb_out <= #100 memp[addrb_int]; + end + end + + // memory + if (web_int == 1'b1) begin + mem[addrb_int] <= dib_int; + memp[addrb_int] <= dipb_int; + end + + end + end + + +endmodule + +`endif diff --git a/usrp2/fpga/models/SRL16E.v b/usrp2/fpga/models/SRL16E.v new file mode 100644 index 00000000..e71a419a --- /dev/null +++ b/usrp2/fpga/models/SRL16E.v @@ -0,0 +1,53 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/SRL16E.v,v 1.7 2005/03/14 22:32:58 yanx Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2004 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / 16-Bit Shift Register Look-Up-Table with Clock Enable +// /___/ /\ Filename : SRL16E.v +// \ \ / \ Timestamp : Thu Mar 25 16:43:40 PST 2004 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`timescale 1 ps / 1 ps + + +module SRL16E (Q, A0, A1, A2, A3, CE, CLK, D); + + parameter INIT = 16'h0000; + + output Q; + + input A0, A1, A2, A3, CE, CLK, D; + + reg [15:0] data; + + + assign Q = data[{A3, A2, A1, A0}]; + + initial + begin + assign data = INIT; + while (CLK === 1'b1 || CLK===1'bX) + #10; + deassign data; + end + + always @(posedge CLK) + begin + if (CE == 1'b1) begin + {data[15:0]} <= #100 {data[14:0], D}; + end + end + + +endmodule + diff --git a/usrp2/fpga/models/SRLC16E.v b/usrp2/fpga/models/SRLC16E.v new file mode 100644 index 00000000..a68bbe9e --- /dev/null +++ b/usrp2/fpga/models/SRLC16E.v @@ -0,0 +1,61 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/SRLC16E.v,v 1.6.158.1 2007/03/09 18:13:20 patrickp Exp $ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995/2004 Xilinx, Inc. +// All Right Reserved. +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 8.1i (I.13) +// \ \ Description : Xilinx Functional Simulation Library Component +// / / 16-Bit Shift Register Look-Up-Table with Carry and Clock Enable +// /___/ /\ Filename : SRLC16E.v +// \ \ / \ Timestamp : Thu Mar 25 16:43:40 PST 2004 +// \___\/\___\ +// +// Revision: +// 03/23/04 - Initial version. +// End Revision + +`timescale 1 ps / 1 ps + + +module SRLC16E (Q, Q15, A0, A1, A2, A3, CE, CLK, D); + + parameter INIT = 16'h0000; + + output Q, Q15; + + input A0, A1, A2, A3, CE, CLK, D; + + reg [15:0] data; + wire [3:0] addr; + wire q_int; + + buf b_a3 (addr[3], A3); + buf b_a2 (addr[2], A2); + buf b_a1 (addr[1], A1); + buf b_a0 (addr[0], A0); + + buf b_q_int (q_int, data[addr]); + buf b_q (Q, q_int); + buf b_q15_int (q15_int, data[15]); + buf b_q15 (Q15, q15_int); + + initial + begin + assign data = INIT; + while (CLK === 1'b1 || CLK===1'bX) + #10; + deassign data; + end + + always @(posedge CLK) begin + if (CE == 1'b1) begin + {data[15:0]} <= #100 {data[14:0], D}; + end + end + + +endmodule + diff --git a/usrp2/fpga/models/adc_model.v b/usrp2/fpga/models/adc_model.v new file mode 100644 index 00000000..247472c3 --- /dev/null +++ b/usrp2/fpga/models/adc_model.v @@ -0,0 +1,49 @@ + +module adc_model + (input clk, input rst, + output [13:0] adc_a, + output adc_ovf_a, + input adc_on_a, + input adc_oe_a, + output [13:0] adc_b, + output adc_ovf_b, + input adc_on_b, + input adc_oe_b + ); + + math_real math ( ) ; + + reg [13:0] adc_a_int = 0; + reg [13:0] adc_b_int = 0; + + assign adc_a = adc_oe_a ? adc_a_int : 14'bz; + assign adc_ovf_a = adc_oe_a ? 1'b0 : 1'bz; + assign adc_b = adc_oe_b ? adc_b_int : 14'bz; + assign adc_ovf_b = adc_oe_b ? 1'b0 : 1'bz; + + real phase = 0; + real sample_rate = 100000000; + real freq = 330000/sample_rate; // 330 kHz + + real scale = math.pow(2,13)-2; + always @(posedge clk) + if(rst) + begin + adc_a_int <= 0; + adc_b_int <= 0; + end + else + begin + if(adc_on_a) + //adc_a_int <= $rtoi(math.round(math.sin(phase*math.MATH_2_PI)*scale)) ; + adc_a_int <= adc_a_int + 3; + if(adc_on_b) + adc_b_int <= adc_b_int - 7; + //adc_b_int <= $rtoi(math.round(math.cos(phase*math.MATH_2_PI)*scale)) ; + if(phase > 1) + phase <= phase + freq - 1; + else + phase <= phase + freq; + end + +endmodule // adc_model diff --git a/usrp2/fpga/models/cpld_model.v b/usrp2/fpga/models/cpld_model.v new file mode 100644 index 00000000..c886433a --- /dev/null +++ b/usrp2/fpga/models/cpld_model.v @@ -0,0 +1,96 @@ + +module cpld_model + (input aux_clk, input start, input mode, input done, + output dout, output reg sclk, output detached); + + reg [7:0] rom[0:65535]; + + reg [15:0] addr; + reg [7:0] data; + assign dout = data[7]; + + reg [2:0] state, bitcnt; + + localparam IDLE = 3'd0; + localparam READ = 3'd1; + localparam BIT1 = 3'd2; + localparam BIT2 = 3'd3; + localparam DONE = 3'd4; + localparam DETACHED = 3'd5; + localparam ERROR = 3'd7; + + integer i; + reg [1023:0] ROMFile; + + initial begin + for (i=0;i<65536;i=i+1) begin + rom[i] <= 32'h0; + end + if ( !$value$plusargs( "rom=%s", ROMFile ) ) + begin + $display( "Using default ROM file, 'flash.rom'" ); + ROMFile = "flash.rom"; + end + else + $display( "Using %s as ROM file.", ROMFile); + + #1 $readmemh( ROMFile,rom ); + end + + initial addr = 16'd0; + initial data = 8'd0; + initial state = IDLE; + initial bitcnt = 3'd0; + initial sclk = 1'b0; + + always @(posedge aux_clk) + case(state) + IDLE : + if(start) + if(~mode) + state <= READ; + else + state <= ERROR; + READ : + if(done) + state <= DONE; + else + begin + data <= rom[addr]; + addr <= addr + 1; + bitcnt <= 3'd0; + if(addr==16'hFFFF) + state <= ERROR; + else + state <= BIT1; + end // else: !if(start) + BIT1 : + begin + sclk <= 1'b1; + state <= BIT2; + end + BIT2 : + begin + sclk <= 1'b0; + data <= {data[6:0],1'b0}; + bitcnt <= bitcnt + 1; + if(bitcnt==7) + state <= READ; + else + state <=BIT1; + end + DONE : + begin + if(start) + state <= ERROR; + else + state <= DETACHED; + end + DETACHED : + if(start) + state <= ERROR; + endcase // case(state) + + assign detached = (state == DETACHED) || (state == IDLE); + +endmodule // cpld_model diff --git a/usrp2/fpga/models/math_real.v b/usrp2/fpga/models/math_real.v new file mode 100644 index 00000000..e30f68ee --- /dev/null +++ b/usrp2/fpga/models/math_real.v @@ -0,0 +1,495 @@ +/* + * This is a general recreation of the VHDL ieee.math_real package. + */ + +module math_real ; + // Constants for use below and for general reference + // TODO: Bring it out to 12 (or more???) places beyond the decimal? + localparam MATH_E = 2.7182818284; + localparam MATH_1_OVER_E = 0.3678794411; + localparam MATH_PI = 3.1415926536; + localparam MATH_2_PI = 6.2831853071; + localparam MATH_1_OVER_PI = 0.3183098861; + localparam MATH_PI_OVER_2 = 1.5707963267; + localparam MATH_PI_OVER_3 = 1.0471975511; + localparam MATH_PI_OVER_4 = 0.7853981633; + localparam MATH_3_PI_OVER_2 = 4.7123889803; + localparam MATH_LOG_OF_2 = 0.6931471805; + localparam MATH_LOG_OF_10 = 2.3025850929; + localparam MATH_LOG2_OF_E = 1.4426950408; + localparam MATH_LOG10_OF_E = 0.4342944819; + localparam MATH_SQRT_2 = 1.4142135623; + localparam MATH_1_OVER_SQRT_2= 0.7071067811; + localparam MATH_SQRT_PI = 1.7724538509; + localparam MATH_DEG_TO_RAD = 0.0174532925; + localparam MATH_RAD_TO_DEG = 57.2957795130; + + // The number of iterations to do for the Taylor series approximations + localparam EXPLOG_ITERATIONS = 19; + localparam COS_ITERATIONS = 8; + + /* Conversion Routines */ + + // Return the sign of a particular number. + function real sign ; + input real x ; + begin + sign = x < 0.0 ? 1.0 : 0.0 ; + end + endfunction + + // Return the trunc function of a number + function real trunc ; + input real x ; + begin + trunc = x - mod(x,1.0) ; + end + endfunction + + // Return the ceiling function of a number. + function real ceil ; + input real x ; + real retval ; + begin + retval = mod(x,1.0) ; + if( retval != 0.0 && x > 0.0 ) retval = x+1.0 ; + else retval = x ; + ceil = trunc(retval) ; + end + endfunction + + // Return the floor function of a number + function real floor ; + input real x ; + real retval ; + begin + retval = mod(x,1.0) ; + if( retval != 0.0 && x < 0.0 ) retval = x - 1.0 ; + else retval = x ; + floor = trunc(retval) ; + end + endfunction + + // Return the round function of a number + function real round ; + input real x ; + real retval ; + begin + retval = x > 0.0 ? x + 0.5 : x - 0.5 ; + round = trunc(retval) ; + end + endfunction + + // Return the fractional remainder of (x mod m) + function real mod ; + input real x ; + input real m ; + real retval ; + begin + retval = x ; + if( retval > m ) begin + while( retval > m ) begin + retval = retval - m ; + end + end + else begin + while( retval < -m ) begin + retval = retval + m ; + end + end + mod = retval ; + end + endfunction + + // Return the max between two real numbers + function real realmax ; + input real x ; + input real y ; + begin + realmax = x > y ? x : y ; + end + endfunction + + // Return the min between two real numbers + function real realmin ; + input real x ; + input real y ; + begin + realmin = x > y ? y : x ; + end + endfunction + + /* Random Numbers */ + + // Generate Gaussian distributed variables + function real gaussian ; + input real mean ; + input real var ; + real u1, u2, v1, v2, s ; + begin + s = 1.0 ; + while( s >= 1.0 ) begin + // Two random numbers between 0 and 1 + u1 = $random/4294967296.0 + 0.5 ; + u2 = $random/4294967296.0 + 0.5 ; + // Adjust to be between -1,1 + v1 = 2*u1-1.0 ; + v2 = 2*u2-1.0 ; + // Polar mag squared + s = (v1*v1 + v2*v2) ; + end + gaussian = mean + sqrt((-2.0*log(s))/s) * v1 * sqrt(var) ; + // gaussian2 = mean + sqrt(-2*log(s)/s)*v2 * sqrt(var) ; + end + endfunction + + /* Roots and Log Functions */ + + // Return the square root of a number + function real sqrt ; + input real x ; + real retval ; + begin + sqrt = (x == 0.0) ? 0.0 : powr(x,0.5) ; + end + endfunction + + // Return the cube root of a number + function real cbrt ; + input real x ; + real retval ; + begin + cbrt = (x == 0.0) ? 0.0 : powr(x,1.0/3.0) ; + end + endfunction + + // Return the absolute value of a real value + function real abs ; + input real x ; + begin + abs = (x > 0.0) ? x : -x ; + end + endfunction + + // Return a real value raised to an integer power + function real pow ; + input real b ; + input integer x ; + integer absx ; + real retval ; + begin + retval = 1.0 ; + absx = abs(x) ; + repeat(absx) begin + retval = b*retval ; + end + pow = x < 0 ? (1.0/retval) : retval ; + end + endfunction + + // Return a real value raised to a real power + function real powr ; + input real b ; + input real x ; + begin + powr = exp(x*log(b)) ; + end + endfunction + + // Return the evaluation of e^x where e is the natural logarithm base + // NOTE: This is the Taylor series expansion of e^x + function real exp ; + input real x ; + real retval ; + integer i ; + real nm1_fact ; + real powm1 ; + begin + nm1_fact = 1.0 ; + powm1 = 1.0 ; + retval = 1.0 ; + for( i = 1 ; i < EXPLOG_ITERATIONS ; i = i + 1 ) begin + powm1 = x*powm1 ; + nm1_fact = nm1_fact * i ; + retval = retval + powm1/nm1_fact ; + end + exp = retval ; + end + endfunction + + // Return the evaluation log(x) + function real log ; + input real x ; + integer i ; + real whole ; + real xm1oxp1 ; + real retval ; + real newx ; + begin + retval = 0.0 ; + whole = 0.0 ; + newx = x ; + while( newx > MATH_E ) begin + whole = whole + 1.0 ; + newx = newx / MATH_E ; + end + xm1oxp1 = (newx-1.0)/(newx+1.0) ; + for( i = 0 ; i < EXPLOG_ITERATIONS ; i = i + 1 ) begin + retval = retval + pow(xm1oxp1,2*i+1)/(2.0*i+1.0) ; + end + log = whole+2.0*retval ; + end + endfunction + + // Return the evaluation ln(x) (same as log(x)) + function real ln ; + input real x ; + begin + ln = log(x) ; + end + endfunction + + // Return the evaluation log_2(x) + function real log2 ; + input real x ; + begin + log2 = log(x)/MATH_LOG_OF_2 ; + end + endfunction + + function real log10 ; + input real x ; + begin + log10 = log(x)/MATH_LOG_OF_10 ; + end + endfunction + + function real log_base ; + input real x ; + input real b ; + begin + log_base = log(x)/log(b) ; + end + endfunction + + /* Trigonometric Functions */ + + // Internal function to reduce a value to be between [-pi:pi] + function real reduce ; + input real x ; + real retval ; + begin + retval = x ; + while( abs(retval) > MATH_PI ) begin + retval = retval > MATH_PI ? + (retval - MATH_2_PI) : + (retval + MATH_2_PI) ; + end + reduce = retval ; + end + endfunction + + // Return the cos of a number in radians + function real cos ; + input real x ; + integer i ; + integer sign ; + real newx ; + real retval ; + real xsqnm1 ; + real twonm1fact ; + begin + newx = reduce(x) ; + xsqnm1 = 1.0 ; + twonm1fact = 1.0 ; + retval = 1.0 ; + for( i = 1 ; i < COS_ITERATIONS ; i = i + 1 ) begin + sign = -2*(i % 2)+1 ; + xsqnm1 = xsqnm1*newx*newx ; + twonm1fact = twonm1fact * (2.0*i) * (2.0*i-1.0) ; + retval = retval + sign*(xsqnm1/twonm1fact) ; + end + cos = retval ; + end + endfunction + + // Return the sin of a number in radians + function real sin ; + input real x ; + begin + sin = cos(x - MATH_PI_OVER_2) ; + end + endfunction + + // Return the tan of a number in radians + function real tan ; + input real x ; + begin + tan = sin(x) / cos(x) ; + end + endfunction + + // Return the arcsin in radians of a number + function real arcsin ; + input real x ; + begin + arcsin = 2.0*arctan(x/(1.0+sqrt(1.0-x*x))) ; + end + endfunction + + // Return the arccos in radians of a number + function real arccos ; + input real x ; + begin + arccos = MATH_PI_OVER_2-arcsin(x) ; + end + endfunction + + // Return the arctan in radians of a number + // TODO: Make sure this REALLY does work as it is supposed to! + function real arctan ; + input real x ; + real retval ; + real y ; + real newx ; + real twoiotwoip1 ; + integer i ; + integer mult ; + begin + retval = 1.0 ; + twoiotwoip1 = 1.0 ; + mult = 1 ; + newx = abs(x) ; + while( newx > 1.0 ) begin + mult = mult*2 ; + newx = newx/(1.0+sqrt(1.0+newx*newx)) ; + end + y = 1.0 ; + for( i = 1 ; i < 2*COS_ITERATIONS ; i = i + 1 ) begin + y = y*((newx*newx)/(1+newx*newx)) ; + twoiotwoip1 = twoiotwoip1 * (2.0*i)/(2.0*i+1.0) ; + retval = retval + twoiotwoip1*y ; + end + retval = retval * (newx/(1+newx*newx)) ; + retval = retval * mult ; + + arctan = (x > 0.0) ? retval : -retval ; + end + endfunction + + // Return the arctan in radians of a ratio x/y + // TODO: Test to make sure this works as it is supposed to! + function real arctan_xy ; + input real x ; + input real y ; + real retval ; + begin + retval = 0.0 ; + if( x < 0.0 ) retval = MATH_PI - arctan(-abs(y)/x) ; + else if( x > 0.0 ) retval = arctan(abs(y)/x) ; + else if( x == 0.0 ) retval = MATH_PI_OVER_2 ; + arctan_xy = (y < 0.0) ? -retval : retval ; + end + endfunction + + /* Hyperbolic Functions */ + + // Return the sinh of a number + function real sinh ; + input real x ; + begin + sinh = (exp(x) - exp(-x))/2.0 ; + end + endfunction + + // Return the cosh of a number + function real cosh ; + input real x ; + begin + cosh = (exp(x) + exp(-x))/2.0 ; + end + endfunction + + // Return the tanh of a number + function real tanh ; + input real x ; + real e2x ; + begin + e2x = exp(2.0*x) ; + tanh = (e2x+1.0)/(e2x-1.0) ; + end + endfunction + + // Return the arcsinh of a number + function real arcsinh ; + input real x ; + begin + arcsinh = log(x+sqrt(x*x+1.0)) ; + end + endfunction + + // Return the arccosh of a number + function real arccosh ; + input real x ; + begin + arccosh = ln(x+sqrt(x*x-1.0)) ; + end + endfunction + + // Return the arctanh of a number + function real arctanh ; + input real x ; + begin + arctanh = 0.5*ln((1.0+x)/(1.0-x)) ; + end + endfunction + /* + initial begin + $display( "cos(MATH_PI_OVER_3): %f", cos(MATH_PI_OVER_3) ) ; + $display( "sin(MATH_PI_OVER_3): %f", sin(MATH_PI_OVER_3) ) ; + $display( "sign(-10): %f", sign(-10) ) ; + $display( "realmax(MATH_PI,MATH_E): %f", realmax(MATH_PI,MATH_E) ) ; + $display( "realmin(MATH_PI,MATH_E): %f", realmin(MATH_PI,MATH_E) ) ; + $display( "mod(MATH_PI,MATH_E): %f", mod(MATH_PI,MATH_E) ) ; + $display( "ceil(-MATH_PI): %f", ceil(-MATH_PI) ) ; + $display( "ceil(4.0): %f", ceil(4.0) ) ; + $display( "ceil(3.99999999999999): %f", ceil(3.99999999999999) ) ; + $display( "pow(MATH_PI,2): %f", pow(MATH_PI,2) ) ; + $display( "gaussian(1.0,1.0): %f", gaussian(1.0,1.0) ) ; + $display( "round(MATH_PI): %f", round(MATH_PI) ) ; + $display( "trunc(-MATH_PI): %f", trunc(-MATH_PI) ) ; + $display( "ceil(-MATH_PI): %f", ceil(-MATH_PI) ) ; + $display( "floor(MATH_PI): %f", floor(MATH_PI) ) ; + $display( "round(e): %f", round(MATH_E)) ; + $display( "ceil(-e): %f", ceil(-MATH_E)) ; + $display( "exp(MATH_PI): %f", exp(MATH_PI) ) ; + $display( "log2(MATH_PI): %f", log2(MATH_PI) ) ; + $display( "log_base(pow(2,32),2): %f", log_base(pow(2,32),2) ) ; + $display( "ln(0.1): %f", log(0.1) ) ; + $display( "cbrt(7): %f", cbrt(7) ) ; + $display( "cos(MATH_2_PI): %f", cos(20*MATH_2_PI) ) ; + $display( "sin(-MATH_2_PI): %f", sin(-50*MATH_2_PI) ) ; + $display( "sinh(MATH_E): %f", sinh(MATH_E) ) ; + $display( "cosh(MATH_2_PI): %f", cosh(MATH_2_PI) ) ; + $display( "arctan_xy(-4,3): %f", arctan_xy(-4,3) ) ; + $display( "arctan(MATH_PI): %f", arctan(MATH_PI) ) ; + $display( "arctan(-MATH_E/2): %f", arctan(-MATH_E/2) ) ; + $display( "arctan(MATH_PI_OVER_2): %f", arctan(MATH_PI_OVER_2) ) ; + $display( "arctan(1/7) = %f", arctan(1.0/7.0) ) ; + $display( "arctan(3/79) = %f", arctan(3.0/79.0) ) ; + $display( "pi/4 ?= %f", 5*arctan(1.0/7.0)+2*arctan(3.0/79.0) ) ; + $display( "arcsin(1.0): %f", arcsin(1.0) ) ; + $display( "cos(pi/2): %f", cos(MATH_PI_OVER_2)) ; + $display( "arccos(cos(pi/2)): %f", arccos(cos(MATH_PI_OVER_2)) ) ; + $display( "cos(0): %f", cos(0) ) ; + $display( "cos(MATH_PI_OVER_4): %f", cos(MATH_PI_OVER_4) ) ; + $display( "cos(MATH_PI_OVER_2): %f", cos(MATH_PI_OVER_2) ) ; + $display( "cos(3*MATH_PI_OVER_4): %f", cos(3*MATH_PI_OVER_4) ) ; + $display( "cos(MATH_PI): %f", cos(MATH_PI) ) ; + $display( "cos(5*MATH_PI_OVER_4): %f", cos(5*MATH_PI_OVER_4) ) ; + $display( "cos(6*MATH_PI_OVER_4): %f", cos(6*MATH_PI_OVER_4) ) ; + $display( "cos(7*MATH_PI_OVER_4): %f", cos(7*MATH_PI_OVER_4) ) ; + $display( "cos(8*MATH_PI_OVER_4): %f", cos(8*MATH_PI_OVER_4) ) ; + end*/ + +endmodule diff --git a/usrp2/fpga/models/serdes_model.v b/usrp2/fpga/models/serdes_model.v new file mode 100644 index 00000000..f10e5555 --- /dev/null +++ b/usrp2/fpga/models/serdes_model.v @@ -0,0 +1,34 @@ + +module serdes_model + (input ser_tx_clk, + input ser_tkmsb, + input ser_tklsb, + input [15:0] ser_t, + + output ser_rx_clk, + output ser_rkmsb, + output ser_rklsb, + output [15:0] ser_r, + + input even, + input error); + + wire [15:0] ser_r_odd; + wire ser_rklsb_odd, ser_rkmsb_odd; + + reg [7:0] hold_dat; + reg hold_k; + + always @(posedge ser_tx_clk) hold_k <= ser_tklsb; + always @(posedge ser_tx_clk) hold_dat <= ser_t[15:8]; + assign ser_rklsb_odd = hold_k; + assign ser_rkmsb_odd = ser_tklsb; + assign ser_r_odd = {ser_t[7:0], hold_dat}; + + // Set outputs + assign ser_rx_clk = ser_tx_clk; + assign ser_rkmsb = even ? ser_tkmsb : ser_rkmsb_odd; + assign ser_rklsb = even ? ser_tklsb : ser_rklsb_odd; + assign ser_r = error ^ (even ? ser_t : ser_r_odd); + +endmodule // serdes_model diff --git a/usrp2/fpga/models/uart_rx.v b/usrp2/fpga/models/uart_rx.v new file mode 100644 index 00000000..f698a50f --- /dev/null +++ b/usrp2/fpga/models/uart_rx.v @@ -0,0 +1,48 @@ + + +// Simple printout of characters from the UART +// Only does 8N1, requires the baud clock + +module uart_rx (input baudclk, input rxd); + reg [8:0] sr = 9'b0; + reg [3:0] baud_ctr = 4'b0; + + /* + wire byteclk = baud_ctr[3]; + reg rxd_d1 = 0; + always @(posedge baudclk) + rxd_d1 <= rxd; + + always @(posedge baudclk) + if(rxd_d1 != rxd) + baud_ctr <= 0; + else + baud_ctr <= baud_ctr + 1; +*/ + + wire byteclk = baudclk; + + always @(posedge byteclk) + sr <= { rxd, sr[8:1] }; + + reg [3:0] state = 0; + always @(posedge byteclk) + case(state) + 0 : + if(~sr[8] & sr[7]) // found start bit + state <= 1; + 1, 2, 3, 4, 5, 6, 7, 8 : + state <= state + 1; + 9 : + begin + state <= 0; + $write("%c",sr[7:0]); + if(~sr[8]) + $display("Error, no stop bit\n"); + end + default : + state <= 0; + endcase // case(state) + +endmodule // uart_rx + diff --git a/usrp2/fpga/opencores/8b10b/8b10b_a.mem b/usrp2/fpga/opencores/8b10b/8b10b_a.mem new file mode 100644 index 00000000..1761d74f --- /dev/null +++ b/usrp2/fpga/opencores/8b10b/8b10b_a.mem @@ -0,0 +1,268 @@ +000000000100111010001100010110 +000000001011101010010001010110 +000000010101101010001001010110 +000000011110001101111000101001 +000000100110101010000101010110 +000000101101001101110100101001 +000000110011001101101100101001 +000000111111000101100011101001 +000001000111001010000011010110 +000001001100101101110010101001 +000001010010101101101010101001 +000001011110100101111010001001 +000001100001101101100110101001 +000001101101100101110110001001 +000001110011100101101110001001 +000001111010111010010100010110 +000010000011011010010010010110 +000010001100011101110001101001 +000010010010011101101001101001 +000010011110010101111001001001 +000010100001011101100101101001 +000010101101010101110101001001 +000010110011010101101101001001 +000010111111010010000010110110 +000011000110011010000110010110 +000011001100110101110011001001 +000011010010110101101011001001 +000011011110110010000100110110 +000011100001110101100111001001 +000011101101110010001000110110 +000011110011110010010000110110 +000011111101011010001010010110 +000100000100111100101100010011 +000100001011101100110001010011 +000100010101101100101001010011 +000100011110001100111000110010 +000100100110101100100101010011 +000100101101001100110100110010 +000100110011001100101100110010 +000100111111000100100011110010 +000101000111001100100011010011 +000101001100101100110010110010 +000101010010101100101010110010 +000101011110100100111010010010 +000101100001101100100110110010 +000101101101100100110110010010 +000101110011100100101110010010 +000101111010111100110100010011 +000110000011011100110010010011 +000110001100011100110001110010 +000110010010011100101001110010 +000110011110010100111001010010 +000110100001011100100101110010 +000110101101010100110101010010 +000110110011010100101101010010 +000110111111010100100010110011 +000111000110011100100110010011 +000111001100110100110011010010 +000111010010110100101011010010 +000111011110110100100100110011 +000111100001110100100111010010 +000111101101110100101000110011 +000111110011110100110000110011 +000111111101011100101010010011 +001000000100111010101100001011 +001000001011101010110001001011 +001000010101101010101001001011 +001000011110001010111000101010 +001000100110101010100101001011 +001000101101001010110100101010 +001000110011001010101100101010 +001000111111000010100011101010 +001001000111001010100011001011 +001001001100101010110010101010 +001001010010101010101010101010 +001001011110100010111010001010 +001001100001101010100110101010 +001001101101100010110110001010 +001001110011100010101110001010 +001001111010111010110100001011 +001010000011011010110010001011 +001010001100011010110001101010 +001010010010011010101001101010 +001010011110010010111001001010 +001010100001011010100101101010 +001010101101010010110101001010 +001010110011010010101101001010 +001010111111010010100010101011 +001011000110011010100110001011 +001011001100110010110011001010 +001011010010110010101011001010 +001011011110110010100100101011 +001011100001110010100111001010 +001011101101110010101000101011 +001011110011110010110000101011 +001011111101011010101010001011 +001100000100111001101100011001 +001100001011101001110001011001 +001100010101101001101001011001 +001100011110001110011000100110 +001100100110101001100101011001 +001100101101001110010100100110 +001100110011001110001100100110 +001100111111000110000011100110 +001101000111001001100011011001 +001101001100101110010010100110 +001101010010101110001010100110 +001101011110100110011010000110 +001101100001101110000110100110 +001101101101100110010110000110 +001101110011100110001110000110 +001101111010111001110100011001 +001110000011011001110010011001 +001110001100011110010001100110 +001110010010011110001001100110 +001110011110010110011001000110 +001110100001011110000101100110 +001110101101010110010101000110 +001110110011010110001101000110 +001110111111010001100010111001 +001111000110011001100110011001 +001111001100110110010011000110 +001111010010110110001011000110 +001111011110110001100100111001 +001111100001110110000111000110 +001111101101110001101000111001 +001111110011110001110000111001 +001111111101011001101010011001 +010000000100111001001100011010 +010000001011101001010001011010 +010000010101101001001001011010 +010000011110001110111000100101 +010000100110101001000101011010 +010000101101001110110100100101 +010000110011001110101100100101 +010000111111000110100011100101 +010001000111001001000011011010 +010001001100101110110010100101 +010001010010101110101010100101 +010001011110100110111010000101 +010001100001101110100110100101 +010001101101100110110110000101 +010001110011100110101110000101 +010001111010111001010100011010 +010010000011011001010010011010 +010010001100011110110001100101 +010010010010011110101001100101 +010010011110010110111001000101 +010010100001011110100101100101 +010010101101010110110101000101 +010010110011010110101101000101 +010010111111010001000010111010 +010011000110011001000110011010 +010011001100110110110011000101 +010011010010110110101011000101 +010011011110110001000100111010 +010011100001110110100111000101 +010011101101110001001000111010 +010011110011110001010000111010 +010011111101011001001010011010 +010100000100111101001100010101 +010100001011101101010001010101 +010100010101101101001001010101 +010100011110001101011000110100 +010100100110101101000101010101 +010100101101001101010100110100 +010100110011001101001100110100 +010100111111000101000011110100 +010101000111001101000011010101 +010101001100101101010010110100 +010101010010101101001010110100 +010101011110100101011010010100 +010101100001101101000110110100 +010101101101100101010110010100 +010101110011100101001110010100 +010101111010111101010100010101 +010110000011011101010010010101 +010110001100011101010001110100 +010110010010011101001001110100 +010110011110010101011001010100 +010110100001011101000101110100 +010110101101010101010101010100 +010110110011010101001101010100 +010110111111010101000010110101 +010111000110011101000110010101 +010111001100110101010011010100 +010111010010110101001011010100 +010111011110110101000100110101 +010111100001110101000111010100 +010111101101110101001000110101 +010111110011110101010000110101 +010111111101011101001010010101 +011000000100111011001100001101 +011000001011101011010001001101 +011000010101101011001001001101 +011000011110001011011000101100 +011000100110101011000101001101 +011000101101001011010100101100 +011000110011001011001100101100 +011000111111000011000011101100 +011001000111001011000011001101 +011001001100101011010010101100 +011001010010101011001010101100 +011001011110100011011010001100 +011001100001101011000110101100 +011001101101100011010110001100 +011001110011100011001110001100 +011001111010111011010100001101 +011010000011011011010010001101 +011010001100011011010001101100 +011010010010011011001001101100 +011010011110010011011001001100 +011010100001011011000101101100 +011010101101010011010101001100 +011010110011010011001101001100 +011010111111010011000010101101 +011011000110011011000110001101 +011011001100110011010011001100 +011011010010110011001011001100 +011011011110110011000100101101 +011011100001110011000111001100 +011011101101110011001000101101 +011011110011110011010000101101 +011011111101011011001010001101 +011100000100111000101100011100 +011100001011101000110001011100 +011100010101101000101001011100 +011100011110001111011000100011 +011100100110101000100101011100 +011100101101001111010100100011 +011100110011001111001100100011 +011100111111000111000011100011 +011101000111001000100011011100 +011101001100101111010010100011 +011101010010101111001010100011 +011101011110100111011010010001 +011101100001101111000110100011 +011101101101100111010110010001 +011101110011100111001110010001 +011101111010111000110100011100 +011110000011011000110010011100 +011110001100011011110001100011 +011110010010011011101001100011 +011110011110010111011001000011 +011110100001011011100101100011 +011110101101010111010101000011 +011110110011010111001101000011 +011110111111010000100010111100 +011111000110011000100110011100 +011111001100110111010011000011 +011111010010110111001011000011 +011111011110110000100100111100 +011111100001110111000111000011 +011111101101110000101000111100 +011111110011110000110000111100 +011111111101011000101010011100 +100011100001111010011000010110 +100111100001111100111000001101 +101011100001111010111000010101 +101111100001111001111000011001 +110011100001111001011000011010 +110111100001111101011000001011 +111011100001111011011000010011 +111111100001111100011000001110 +111110111111010100000010101110 +111111011110110100000100101110 +111111101101110100001000101110 +111111110011110100010000101110 diff --git a/usrp2/fpga/opencores/8b10b/README b/usrp2/fpga/opencores/8b10b/README new file mode 100644 index 00000000..7bce294a --- /dev/null +++ b/usrp2/fpga/opencores/8b10b/README @@ -0,0 +1,4 @@ +These files are not actually from OpenCores. They are from +Chuck Benz. See http://asics.chuckbenz.com + + diff --git a/usrp2/fpga/opencores/8b10b/decode_8b10b.v b/usrp2/fpga/opencores/8b10b/decode_8b10b.v new file mode 100644 index 00000000..0b2a8ac5 --- /dev/null +++ b/usrp2/fpga/opencores/8b10b/decode_8b10b.v @@ -0,0 +1,165 @@ +// Chuck Benz, Hollis, NH Copyright (c)2002 +// +// The information and description contained herein is the +// property of Chuck Benz. +// +// Permission is granted for any reuse of this information +// and description as long as this copyright notice is +// preserved. Modifications may be made as long as this +// notice is preserved. + +// per Widmer and Franaszek + +module decode_8b10b (datain, dispin, dataout, dispout, code_err, disp_err) ; + input [9:0] datain ; + input dispin ; + output [8:0] dataout ; + output dispout ; + output code_err ; + output disp_err ; + + wire ai = datain[0] ; + wire bi = datain[1] ; + wire ci = datain[2] ; + wire di = datain[3] ; + wire ei = datain[4] ; + wire ii = datain[5] ; + wire fi = datain[6] ; + wire gi = datain[7] ; + wire hi = datain[8] ; + wire ji = datain[9] ; + + wire aeqb = (ai & bi) | (!ai & !bi) ; + wire ceqd = (ci & di) | (!ci & !di) ; + wire p22 = (ai & bi & !ci & !di) | + (ci & di & !ai & !bi) | + ( !aeqb & !ceqd) ; + wire p13 = ( !aeqb & !ci & !di) | + ( !ceqd & !ai & !bi) ; + wire p31 = ( !aeqb & ci & di) | + ( !ceqd & ai & bi) ; + + wire p40 = ai & bi & ci & di ; + wire p04 = !ai & !bi & !ci & !di ; + + wire disp6a = p31 | (p22 & dispin) ; // pos disp if p22 and was pos, or p31. + wire disp6a2 = p31 & dispin ; // disp is ++ after 4 bits + wire disp6a0 = p13 & ! dispin ; // -- disp after 4 bits + + wire disp6b = (((ei & ii & ! disp6a0) | (disp6a & (ei | ii)) | disp6a2 | + (ei & ii & di)) & (ei | ii | di)) ; + + // The 5B/6B decoding special cases where ABCDE != abcde + + wire p22bceeqi = p22 & bi & ci & (ei == ii) ; + wire p22bncneeqi = p22 & !bi & !ci & (ei == ii) ; + wire p13in = p13 & !ii ; + wire p31i = p31 & ii ; + wire p13dei = p13 & di & ei & ii ; + wire p22aceeqi = p22 & ai & ci & (ei == ii) ; + wire p22ancneeqi = p22 & !ai & !ci & (ei == ii) ; + wire p13en = p13 & !ei ; + wire anbnenin = !ai & !bi & !ei & !ii ; + wire abei = ai & bi & ei & ii ; + wire cdei = ci & di & ei & ii ; + wire cndnenin = !ci & !di & !ei & !ii ; + + // non-zero disparity cases: + wire p22enin = p22 & !ei & !ii ; + wire p22ei = p22 & ei & ii ; + //wire p13in = p12 & !ii ; + //wire p31i = p31 & ii ; + wire p31dnenin = p31 & !di & !ei & !ii ; + //wire p13dei = p13 & di & ei & ii ; + wire p31e = p31 & ei ; + + wire compa = p22bncneeqi | p31i | p13dei | p22ancneeqi | + p13en | abei | cndnenin ; + wire compb = p22bceeqi | p31i | p13dei | p22aceeqi | + p13en | abei | cndnenin ; + wire compc = p22bceeqi | p31i | p13dei | p22ancneeqi | + p13en | anbnenin | cndnenin ; + wire compd = p22bncneeqi | p31i | p13dei | p22aceeqi | + p13en | abei | cndnenin ; + wire compe = p22bncneeqi | p13in | p13dei | p22ancneeqi | + p13en | anbnenin | cndnenin ; + + wire ao = ai ^ compa ; + wire bo = bi ^ compb ; + wire co = ci ^ compc ; + wire do = di ^ compd ; + wire eo = ei ^ compe ; + + wire feqg = (fi & gi) | (!fi & !gi) ; + wire heqj = (hi & ji) | (!hi & !ji) ; + wire fghj22 = (fi & gi & !hi & !ji) | + (!fi & !gi & hi & ji) | + ( !feqg & !heqj) ; + wire fghjp13 = ( !feqg & !hi & !ji) | + ( !heqj & !fi & !gi) ; + wire fghjp31 = ( (!feqg) & hi & ji) | + ( !heqj & fi & gi) ; + + wire dispout = (fghjp31 | (disp6b & fghj22) | (hi & ji)) & (hi | ji) ; + + wire ko = ( (ci & di & ei & ii) | ( !ci & !di & !ei & !ii) | + (p13 & !ei & ii & gi & hi & ji) | + (p31 & ei & !ii & !gi & !hi & !ji)) ; + + wire alt7 = (fi & !gi & !hi & // 1000 cases, where disp6b is 1 + ((dispin & ci & di & !ei & !ii) | ko | + (dispin & !ci & di & !ei & !ii))) | + (!fi & gi & hi & // 0111 cases, where disp6b is 0 + (( !dispin & !ci & !di & ei & ii) | ko | + ( !dispin & ci & !di & ei & ii))) ; + + wire k28 = (ci & di & ei & ii) | ! (ci | di | ei | ii) ; + // k28 with positive disp into fghi - .1, .2, .5, and .6 special cases + wire k28p = ! (ci | di | ei | ii) ; + wire fo = (ji & !fi & (hi | !gi | k28p)) | + (fi & !ji & (!hi | gi | !k28p)) | + (k28p & gi & hi) | + (!k28p & !gi & !hi) ; + wire go = (ji & !fi & (hi | !gi | !k28p)) | + (fi & !ji & (!hi | gi |k28p)) | + (!k28p & gi & hi) | + (k28p & !gi & !hi) ; + wire ho = ((ji ^ hi) & ! ((!fi & gi & !hi & ji & !k28p) | (!fi & gi & hi & !ji & k28p) | + (fi & !gi & !hi & ji & !k28p) | (fi & !gi & hi & !ji & k28p))) | + (!fi & gi & hi & ji) | (fi & !gi & !hi & !ji) ; + + wire disp6p = (p31 & (ei | ii)) | (p22 & ei & ii) ; + wire disp6n = (p13 & ! (ei & ii)) | (p22 & !ei & !ii) ; + wire disp4p = fghjp31 ; + wire disp4n = fghjp13 ; + + assign code_err = p40 | p04 | (fi & gi & hi & ji) | (!fi & !gi & !hi & !ji) | + (p13 & !ei & !ii) | (p31 & ei & ii) | + (ei & ii & fi & gi & hi) | (!ei & !ii & !fi & !gi & !hi) | + (ei & !ii & gi & hi & ji) | (!ei & ii & !gi & !hi & !ji) | + (!p31 & ei & !ii & !gi & !hi & !ji) | + (!p13 & !ei & ii & gi & hi & ji) | + (((ei & ii & !gi & !hi & !ji) | + (!ei & !ii & gi & hi & ji)) & + ! ((ci & di & ei) | (!ci & !di & !ei))) | + (disp6p & disp4p) | (disp6n & disp4n) | + (ai & bi & ci & !ei & !ii & ((!fi & !gi) | fghjp13)) | + (!ai & !bi & !ci & ei & ii & ((fi & gi) | fghjp31)) | + (fi & gi & !hi & !ji & disp6p) | + (!fi & !gi & hi & ji & disp6n) | + (ci & di & ei & ii & !fi & !gi & !hi) | + (!ci & !di & !ei & !ii & fi & gi & hi) ; + + assign dataout = {ko, ho, go, fo, eo, do, co, bo, ao} ; + + // my disp err fires for any legal codes that violate disparity, may fire for illegal codes + assign disp_err = ((dispin & disp6p) | (disp6n & !dispin) | + (dispin & !disp6n & fi & gi) | + (dispin & ai & bi & ci) | + (dispin & !disp6n & disp4p) | + (!dispin & !disp6p & !fi & !gi) | + (!dispin & !ai & !bi & !ci) | + (!dispin & !disp6p & disp4n) | + (disp6p & disp4p) | (disp6n & disp4n)) ; + +endmodule diff --git a/usrp2/fpga/opencores/8b10b/encode_8b10b.v b/usrp2/fpga/opencores/8b10b/encode_8b10b.v new file mode 100644 index 00000000..c1f09b9c --- /dev/null +++ b/usrp2/fpga/opencores/8b10b/encode_8b10b.v @@ -0,0 +1,120 @@ +// Chuck Benz, Hollis, NH Copyright (c)2002 +// +// The information and description contained herein is the +// property of Chuck Benz. +// +// Permission is granted for any reuse of this information +// and description as long as this copyright notice is +// preserved. Modifications may be made as long as this +// notice is preserved. + +// per Widmer and Franaszek + +module encode_8b10b (datain, dispin, dataout, dispout) ; + input [8:0] datain ; + input dispin ; // 0 = neg disp; 1 = pos disp + output [9:0] dataout ; + output dispout ; + + + wire ai = datain[0] ; + wire bi = datain[1] ; + wire ci = datain[2] ; + wire di = datain[3] ; + wire ei = datain[4] ; + wire fi = datain[5] ; + wire gi = datain[6] ; + wire hi = datain[7] ; + wire ki = datain[8] ; + + wire aeqb = (ai & bi) | (!ai & !bi) ; + wire ceqd = (ci & di) | (!ci & !di) ; + wire l22 = (ai & bi & !ci & !di) | + (ci & di & !ai & !bi) | + ( !aeqb & !ceqd) ; + wire l40 = ai & bi & ci & di ; + wire l04 = !ai & !bi & !ci & !di ; + wire l13 = ( !aeqb & !ci & !di) | + ( !ceqd & !ai & !bi) ; + wire l31 = ( !aeqb & ci & di) | + ( !ceqd & ai & bi) ; + + // The 5B/6B encoding + + wire ao = ai ; + wire bo = (bi & !l40) | l04 ; + wire co = l04 | ci | (ei & di & !ci & !bi & !ai) ; + wire do = di & ! (ai & bi & ci) ; + wire eo = (ei | l13) & ! (ei & di & !ci & !bi & !ai) ; + wire io = (l22 & !ei) | + (ei & !di & !ci & !(ai&bi)) | // D16, D17, D18 + (ei & l40) | + (ki & ei & di & ci & !bi & !ai) | // K.28 + (ei & !di & ci & !bi & !ai) ; + + // pds16 indicates cases where d-1 is assumed + to get our encoded value + wire pd1s6 = (ei & di & !ci & !bi & !ai) | (!ei & !l22 & !l31) ; + // nds16 indicates cases where d-1 is assumed - to get our encoded value + wire nd1s6 = ki | (ei & !l22 & !l13) | (!ei & !di & ci & bi & ai) ; + + // ndos6 is pds16 cases where d-1 is + yields - disp out - all of them + wire ndos6 = pd1s6 ; + // pdos6 is nds16 cases where d-1 is - yields + disp out - all but one + wire pdos6 = ki | (ei & !l22 & !l13) ; + + + // some Dx.7 and all Kx.7 cases result in run length of 5 case unless + // an alternate coding is used (referred to as Dx.A7, normal is Dx.P7) + // specifically, D11, D13, D14, D17, D18, D19. + wire alt7 = fi & gi & hi & (ki | + (dispin ? (!ei & di & l31) : (ei & !di & l13))) ; + + + wire fo = fi & ! alt7 ; + wire go = gi | (!fi & !gi & !hi) ; + wire ho = hi ; + wire jo = (!hi & (gi ^ fi)) | alt7 ; + + // nd1s4 is cases where d-1 is assumed - to get our encoded value + wire nd1s4 = fi & gi ; + // pd1s4 is cases where d-1 is assumed + to get our encoded value + wire pd1s4 = (!fi & !gi) | (ki & ((fi & !gi) | (!fi & gi))) ; + + // ndos4 is pd1s4 cases where d-1 is + yields - disp out - just some + wire ndos4 = (!fi & !gi) ; + // pdos4 is nd1s4 cases where d-1 is - yields + disp out + wire pdos4 = fi & gi & hi ; + + // only legal K codes are K28.0->.7, K23/27/29/30.7 + // K28.0->7 is ei=di=ci=1,bi=ai=0 + // K23 is 10111 + // K27 is 11011 + // K29 is 11101 + // K30 is 11110 - so K23/27/29/30 are ei & l31 + wire illegalk = ki & + (ai | bi | !ci | !di | !ei) & // not K28.0->7 + (!fi | !gi | !hi | !ei | !l31) ; // not K23/27/29/30.7 + + // now determine whether to do the complementing + // complement if prev disp is - and pd1s6 is set, or + and nd1s6 is set + wire compls6 = (pd1s6 & !dispin) | (nd1s6 & dispin) ; + + // disparity out of 5b6b is disp in with pdso6 and ndso6 + // pds16 indicates cases where d-1 is assumed + to get our encoded value + // ndos6 is cases where d-1 is + yields - disp out + // nds16 indicates cases where d-1 is assumed - to get our encoded value + // pdos6 is cases where d-1 is - yields + disp out + // disp toggles in all ndis16 cases, and all but that 1 nds16 case + + wire disp6 = dispin ^ (ndos6 | pdos6) ; + + wire compls4 = (pd1s4 & !disp6) | (nd1s4 & disp6) ; + assign dispout = disp6 ^ (ndos4 | pdos4) ; + + assign dataout = {(jo ^ compls4), (ho ^ compls4), + (go ^ compls4), (fo ^ compls4), + (io ^ compls6), (eo ^ compls6), + (do ^ compls6), (co ^ compls6), + (bo ^ compls6), (ao ^ compls6)} ; + +endmodule diff --git a/usrp2/fpga/opencores/8b10b/validate_8b10b.v b/usrp2/fpga/opencores/8b10b/validate_8b10b.v new file mode 100644 index 00000000..926b1081 --- /dev/null +++ b/usrp2/fpga/opencores/8b10b/validate_8b10b.v @@ -0,0 +1,168 @@ +// Chuck Benz, Hollis, NH Copyright (c)2002 +// +// The information and description contained herein is the +// property of Chuck Benz. +// +// Permission is granted for any reuse of this information +// and description as long as this copyright notice is +// preserved. Modifications may be made as long as this +// notice is preserved. + +// 11-OCT-2002: updated with clearer messages, and checking decodeout + +`timescale 1ns / 1ns +module test_8b10b ; + reg [29:0] code8b10b [0:267] ; + reg [8:0] testin ; + reg dispin ; + reg [10:0] i ; + wire [9:0] testout ; + wire dispout, decodedisp, decodeerr, disperr ; + wire [8:0] decodeout ; + // My data file is 30 columns. Column 1 becomes [29], 2 becomes [28], etc.. + // code[0] is last Column (30) + // First column, [29] is K indication + // columns 2:9, [28:21], are data byte, aka 'm' and 'n' of Dm.n + // columns 10:19, [20:11] are 10b symbol if starting disparity was negative, 0 + // columns 20:29, [10:1] are 10b symbol if starting disparity was positive, 1 + // column 30, [0], is a 1 if symbol results in a disparity flip + // 0 for a balanced symbol (5 '1's, 5 '0's). + + wire [29:0] code = code8b10b[i] ; + wire [9:0] expect_0_disp = {code[11], code[12], code[13], code[14], code[15], + code[16], code[17], code[18], code[19], code[20]} ; + wire [9:0] expect_1_disp = {code[1], code[2], code[3], code[4], code[5], + code[6], code[7], code[8], code[9], code[10]} ; + + reg [1023:0] legal ; // mark every used 10b symbol as legal, leave rest marked as not + reg [2047:0] okdisp ; // now mark every used combination of symbol and starting disparity + reg [8:0] mapcode [1023:0] ; + reg [10:0] codedisp0, codedisp1 ; + reg [9:0] decodein ; + reg decdispin ; + integer errors ; + + encode_8b10b DUTE (testin, dispin, testout, dispout) ; + decode_8b10b DUTD (decodein, decdispin, decodeout, decodedisp, decodeerr, disperr) ; + + always @ (code) testin = code[29:21] ; + + initial begin + errors = 0 ; + $readmemb ("8b10b_a.mem", code8b10b) ; + //$vcdpluson ; + $dumpvars (0); + $display ("\n\nFirst, test by trying all 268 (256 Dx.y and 12 Kx.y)") ; + $display ("valid inputs, with both + and - starting disparity."); + $display ("We check that the encoder output and ending disparity is correct."); + $display ("We also check that the decoder matches."); + for (i = 0 ; i < 268 ; i = i + 1) begin + // testin = code[29:21] ; + dispin = 0 ; + #1 + decodein = testout ; + decdispin = dispin ; + #1 +// $display ("%b %b %b %b *%b*", dispin, testin, testout, {dispout, DUTD.disp6a, DUTD.disp6a2, DUTD.disp6a0, DUTD.disp6a2}, decodeout,, decodedisp,, DUTD.k28,, DUTD.disp6b) ; + if (testout != expect_0_disp) + $display ("bad code0 %b %b %b %b %b", dispin, testin, dispout, testout, expect_0_disp) ; + if (dispout != (dispin ^ code[0])) + $display ("bad disp0 %b %b %b %b %b", dispin, testin, dispout, testout, (dispin ^ code[0])) ; + if (0 != (9'b1_1111_1111 & (testin ^ decodeout))) + $display ("diff in abcdefghk decode, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if (decodedisp != dispout) + $display ("diff in decoder disp out, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if (decodeerr) $display ("decode error asserted improperly, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if ((testout != expect_0_disp) | decodeerr | + (dispout != (dispin ^ code[0])) | (decodedisp != dispout)) + errors = errors + 1 ; + + dispin = 1 ; + #1 + decodein = testout ; + decdispin = dispin ; + #1 +// $display ("%b %b %b %b *%b*", dispin, testin, testout, {dispout, DUTD.disp6a, DUTD.disp6a2, DUTD.disp6a0, DUTD.disp6a2, DUTD.fghjp31, DUTD.feqg, DUTD.heqj, DUTD.fghj22, DUTD.fi, DUTD.gi, DUTD.hi, DUTD.ji, DUTD.dispout}, decodeout,, decodedisp,, DUTD.k28,, DUTD.disp6b) ; + if (testout != expect_1_disp) + $display ("bad code1 %b %b %b %b %b", dispin, testin, dispout, testout, expect_1_disp) ; + if (dispout != (dispin ^ code[0])) + $display ("bad disp1 %b %b %b %b %b", dispin, testin, dispout, testout, (dispin ^ code[0])) ; + if (0 != (9'b1_1111_1111 & (testin ^ decodeout))) + $display ("diff in abcdefghk decode, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if (decodedisp != dispout) + $display ("diff in decoder disp out, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if (decodeerr) $display ("decode error asserted improperly, %b %b %b %b %b", dispin, testin, dispout, testout, decodeout) ; + if ((testout != expect_1_disp) | decodeerr | + (dispout != (dispin ^ code[0])) | (decodedisp != dispout)) + errors = errors + 1 ; + end + $display ("%d errors in that testing.\n", errors) ; + + // Now, having verified all legal codes, lets run some illegal codes + // at the decoder... how to figure illegal codes ? 2048 possible cases, + // lets mark the OK ones... + legal = 0 ; + okdisp = 0 ; + for (i = 0 ; i < 268 ; i = i + 1) begin + #1 +// $display ("i=%d: %b %b %d %d %x %x", i, expect_0_disp, expect_1_disp, expect_0_disp, expect_1_disp, expect_0_disp, expect_1_disp) ; + legal[expect_0_disp] = 1 ; + legal[expect_1_disp] = 1 ; + codedisp0 = expect_0_disp ; + codedisp1 = {1'b1, expect_1_disp} ; + okdisp[codedisp0] = 1 ; + okdisp[codedisp1] = 1 ; + mapcode[expect_0_disp] = code[29:21] ; + mapcode[expect_1_disp] = code[29:21] ; + end + + $display ("Now lets test all (legal and illegal) codes into the decoder."); + $display ("checking all possible decode inputs") ; + for (i = 0 ; i < 1024 ; i = i + 1) begin + decodein = i ; + decdispin = 0 ; + codedisp1 = 1024 | i ; + #1 + if (((legal[i] == 0) & (decodeerr != 1)) | + (legal[i] & (mapcode[i] != decodeout)) | + (legal[i] & (disperr != !okdisp[i]))) + $display ("10b:%b start disp:%b 8b:%b end disp:%b codevio:%b dispvio:%b known code:%b used disp:", + decodein, decdispin, decodeout, decodedisp, decodeerr, disperr, legal[i], okdisp[i]) ; + if ((legal[i] == 0) & (decodeerr != 1)) $display ("ERR: decoderr should be 1") ; + if (legal[i] & (mapcode[i] != decodeout)) $display ("ERR: decode output incorrect") ; + if (legal[i] & (disperr != 1) & !okdisp[i]) $display ("ERR: disp err should be asserted") ; + else if (legal[i] & (disperr != 0) & okdisp[i]) + $display ("ERR: disp err should not be asserted") ; + + if (((legal[i] == 0) & (decodeerr != 1)) | + (legal[i] & !disperr & !okdisp[i]) | + (legal[i] & (mapcode[i] != decodeout)) | + (legal[i] & disperr & okdisp[i])) + errors = errors + 1 ; + + decdispin = 1 ; + #1 + if (((legal[i] == 0) & (decodeerr != 1)) | + (legal[i] & (mapcode[i] != decodeout)) | + (legal[i] & (disperr != !okdisp[i|1024]))) + $display ("10b:%b start disp:%b 8b:%b end disp:%b codevio:%b dispvio:%b known code:%b used disp:", + decodein, decdispin, decodeout, decodedisp, decodeerr, disperr, legal[i], okdisp[i|1024]) ; + if ((legal[i] == 0) & (decodeerr != 1)) $display ("ERR: decoderr should be 1") ; + if (legal[i] & (mapcode[i] != decodeout)) $display ("ERR: decode output incorrect") ; + if (legal[i] & (disperr != 1) & !okdisp[i|1024]) $display ("ERR: disp err should be asserted") ; + else if (legal[i] & (disperr != 0) & okdisp[i|1024]) + $display ("ERR: disp err should not be asserted") ; + if (((legal[i] == 0) & (decodeerr != 1)) | + (legal[i] & !disperr & !okdisp[i|1024]) | + (legal[i] & (mapcode[i] != decodeout)) | + (legal[i] & disperr & okdisp[i|1024])) + errors = errors + 1 ; + end // for (i = 0 ; i < 1024 ; i = i + 1) + + $display ("\nDone testing decoder.\n") ; + $display ("Total error count: %d", errors); + if (errors == 0) $display ("Congratulations!\n"); + $finish ; + end // initial begin + +endmodule diff --git a/usrp2/fpga/opencores/README b/usrp2/fpga/opencores/README new file mode 100644 index 00000000..d63b7cbd --- /dev/null +++ b/usrp2/fpga/opencores/README @@ -0,0 +1,11 @@ +All the subdirectories of this directory contain code from the OpenCores +project, checked out directly from the OpenCores CVS server. You can update +any directory to the latest OpenCores code by doing "cvs up" in that. +This is not recommended unless you know what you are doing. + + +Each directory contains its own copyright and licensing information. We +believe that we have the right to distribute all of these files in the form +in which we provide them. If this is not the case, please notify Matt Ettus, +matt@ettus.com. + diff --git a/usrp2/fpga/opencores/aemb/CVS/Entries b/usrp2/fpga/opencores/aemb/CVS/Entries new file mode 100644 index 00000000..093a9a86 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/CVS/Entries @@ -0,0 +1,4 @@ +D/rtl//// +D/sim//// +D/sw//// +D/doc//// diff --git a/usrp2/fpga/opencores/aemb/CVS/Repository b/usrp2/fpga/opencores/aemb/CVS/Repository new file mode 100644 index 00000000..967f2ced --- /dev/null +++ b/usrp2/fpga/opencores/aemb/CVS/Repository @@ -0,0 +1 @@ +aemb diff --git a/usrp2/fpga/opencores/aemb/CVS/Root b/usrp2/fpga/opencores/aemb/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/CVS/Template b/usrp2/fpga/opencores/aemb/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/doc/CVS/Entries b/usrp2/fpga/opencores/aemb/doc/CVS/Entries new file mode 100644 index 00000000..16bb46ac --- /dev/null +++ b/usrp2/fpga/opencores/aemb/doc/CVS/Entries @@ -0,0 +1,2 @@ +/aeMB_datasheet.pdf/1.3/Tue Jan 15 18:38:57 2008/-kb/ +D diff --git a/usrp2/fpga/opencores/aemb/doc/CVS/Repository b/usrp2/fpga/opencores/aemb/doc/CVS/Repository new file mode 100644 index 00000000..41df302a --- /dev/null +++ b/usrp2/fpga/opencores/aemb/doc/CVS/Repository @@ -0,0 +1 @@ +aemb/doc diff --git a/usrp2/fpga/opencores/aemb/doc/CVS/Root b/usrp2/fpga/opencores/aemb/doc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/doc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/doc/CVS/Template b/usrp2/fpga/opencores/aemb/doc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf b/usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf new file mode 100644 index 0000000000000000000000000000000000000000..5b26ac319ed1424380ee576ae5a48c360d628ab6 GIT binary patch literal 119495 zcmb@u1z1*HyDcmwp>#;MbUbu-gLFuDgLJ1L-QC@dG)PD*Af&EJ=BII7t8cATdi?*}9lHkupo#8o8K>o0-^~njr}aB00M_nHjx7@>ob#*Rx;b zeeOG6dt8Xj5Mg#j-_GpZ;4(5%(it9^KX>$);EAPR$&6XFry5WLX+i#7v$5GL$TIwOj?(5`c ziWJUe`mxx!tUGTU0ox0=;L zDSw!h)L)PmJNXqENS?Z!o$}5@BD8S5{c~+?9UYwW6{Kf&SSV2@Z__-{OQU8(znmD~ z=!y`F&Xr?6<710#>gNx(XTFqh>-|#oHe5;5gh_Kvkydn3%8;&7(6nAXL0t{O6iwFx ztqu~!AROQ+I&o+go$3EQm!DVP)IN*l_LAE9KE~-pmkYn23IWPL?3#en82+D8N64xncyT}HPWY9yf7>(KG#e&#{{X!5eo|7}YM5@|*S&Yg#8uzWXq~gi0rc9BXJ?7?wCmgoWXA*Z~9|?^$V?jOGOy?kVZ#O2;*IU12tWW7GMR^NNf_sw1POywk`K^s~ zY5fdc^u6{+ax4nb*Mb~sN#)Rdb-hsGhQ>$;CJBX()(ZtS(qtjSWH>kV0Sbv9(px`x zzNz`rSZ(x4b1yLvQ@uxhDq-2JrHl=}JozV{DlRN^`3^7;Z>_?z$P+JuD5PjXT}&^ssmh$wU; zm#1i{-^E_y^uzOQ_PE6O@c!#=Zz__Lm@1kj6I}i;6*OG%g^3j;wZ>S%tdS_9F*BVb zZVML?NHiKUp`VssX?-5^*0LBXJO|#@ODH{S+@g8*V6yJRyrL|0L-zX~YNa66yS#@1 z%-0n06tYl?};&JbFl=7bkEzDc7Hq1qDg} zzTM-+{{SdSa0`G`0FGF=NZB7xd~9-)a{QyoLCX232_TAD$;sYC)y#!d2Rxv-Bq_6+ znTHFh9zd`E-SgvP{G;o?v5$k3?cc_}QS}kKMF?8QKD`HB**i_9RenwhXm*6S#u>)X zBJa~R$|(8jm(EVxv0i?HTbdCu2!K+~YvSW;XxJ2c8nl5LhTU)7mqb3o+1|Nf8oZbq z#CC-2Nho9;{xwS`j0{=J^r)eM)!P>;<*l&_p2+YG3#tbdA?@qUlrBB@Hdx-EM%&Z& zU#xu04WVL&8`zi{f&^NmtbT(6;-)=}s>BW7OBd#YP(8l~mmHz#Pvjfyz|~YPJC)VF z7j-D6Os=E(qAP7EI*di5vUW8~cBVINn3d?FyaP88%QI0EVLytKt3V*7{v|+rw=bd_ zVudAwOBI~)i(F1*(;qI0Xw9Kef|F(D zxb9e+H&q3Kw%+fHVf?O=A;N#SA$%EwL|{Y7@lnm==^VZ8cA8UXPn62n%Hx+v#K!c+ zq9zw)+uKh*irvR@LMJzdTexiG+fZU+mTI~iL#|8rDmn8c;v*#LAL@^U3h(kIT^AuJ@5w3SEi#l<9rS;VC7yp;f&Vg=SRU8te+VY-zYhGL1=Hij|5)+=GMHGo zNLl`b>tkU3&!Xuu9{4+8$l{VxZM0s!Lk&^iv0=YZC`Q39CT2XrIK@;hdF#hdb1wRbV(sw=(}q{G z4Vec&Rg=EFkV&X1IQ6PYD7zf>f!cAS@m`!dW#&4HRj`lgibfvdnrCI4dT24_kP~KD zp|q62e=%YUv+xUcEGxQgKJ$t^GI96(#{i;O^M>lFGH=|IDs!w+`N*8x5$rym#kZO|S3{GxR=oOTF8Q6lZ)rAA;O2#j&t~-4rogVlA>& zjd|Of($(gA4gVeQ_}%8j{B?|o&Jn%=oSd-r&XBEP9V5~^$kqAE?}f9GE92y%Nl#RC z?XCFW*KW^KVmEPMT|2Seme2JIK8qT&^MuOyQtap>?7HyxsK9C{PrKYDN$k?N3^f?2 z<*ZTDLGr}pzGX3vnjn$Y?@J;i2mBV9gPrc3jk5FoHaw7lx6-JPT9RPN>Ijbt#U?da z-LIoqix(X1LvdK&^DeMKk7iBowtMBGwm1J-HX9b~IaW|U+j0XcHR1W?)p>j3 z$f}}~&SN(I2W~Nh^!+Su@X>FQ>D{LzL~&B)Ej}ZQ zZm9MpZfj5zH74J$A@qUODT$vvgc^V6%R|K&XV_=4b(s{n-F4v|UPQTyEjmS>IE7oo zK%KsIEhjR8-;==R_U(cszdOs> zmsi$;qRiz?_8CRU*7@7NW689w zU@U=5kSQ98zO}-8Ww&4#SJ9&5q4o(O8RgxSHX7tZKjDH9tx;ZoQz(tfK$S2n($655Kv(7yVVNzCr^^+{W1x(~ADHxosPi+?OE+(P{LQ&zI!;uX_j(hAtuL$= zWRqO%L?_U- z*RhC}K5>9w)9|(@+~2)$gU5qerK#uUt<4i*(2r1YZH*ObsSQjOvJ67QpsuE`YU;k5 z$G3K5$9;=em49Q4%ywAqoLDK0ZSIhkzIGIzi~~cBDBtcK&35>b*&N3tH z1O^8R|9zyZu6XK1ap}y@a1OXs&GDW2E4=Fyl?%N+-A1U;F^RjHFM}}yV#fg5&G}o$*w06NTY)G=^Sfsuetg5~(L>apvghe>U68O$5Q^_zgtr-}?QaIvG0##KoLZKJ_~OV9 zGB9i}BapoP(Ctz~GMDJDg_=#7xTf3bJIeGxSZnNp=1Z&<8vOL<=2q1B%O@`~cC5Ag zH|XfN3F1F+=vviS<@)!N74En#aOX_6K1CzC%f>&qg+6v6(?JIwb;KKeo22V(B|xdH6K z%Wt0r$2;Mza1%%M^_}2xy4!!rJ>_5z8`(GeUHIjrl+C2?e`O6U|18@7HEsAqj{gG( zf28ezNc^Ai29|#&{r{3U{13^(Bg_9!WPyj1>))o{$?9^6i=5B9ZeH(dSeIx>bkdy9 zWFIPUe*0naGo3hr&@#N4Ctkc(+-$?2GW1|CmAafTE5!GyQc~u>P+DEz-E@Q(dt5$g9Wd}0EU1UQ`Ciny zeEzdZXU^(~3Zv>FT-^@#f#XAqqef(*KpkpXDo;-u)Nk!=87=mp{rOM(RaQaSKUQ;e zI%vbVxHhh*uPJ1noGpt9wr~q=(_6FbteZYcIRb=zNNa z3nmcWBFM=#BEhglm(&;bvXH{3!j^6zcz&QyF7>iOh=JSb4Alx=-D2CVKI<&TrDGlX zDUR~#P!a9OgwK=O=UJirR4S}H4dPDE?um91RK&+t&qt=YMiP)d9G4greNYnBSZ^2a zi|MlcNp*==mmbfVh8?5f-SW!RJ#EP;!(YNq6z;Yby)&+6thDW!+^c7qM*3)OZ(j?+QZaHammb%C9t)z7Y>_5 zoW&|n%Kc1t7HtuHoOpv*MO$`RO>Sv(O}5j^N6&pUzA&`bxdiy|g{$ zBsYYj=rtH)xyzwEJ)hcF_U6jo_<)v%k{n5$)Q1L_I97Gkl+i5EEP`0IHWXHn<2Iw1 z(W*KeQW49yg5t(cDlePXUb?cI?n~A1iR!sz%!Aa5Gl{BJ6HZ{4>W|DIW2LO?nLx$K zJsV$jGR~~Q}XV1Bn)3JPTn3#%Ws8#M13V`HIa&_!vSqT zvLiECfELhg&2F>+y?WLk(^;I-Ni5yF54)w4seJ1F+F;HB9$(1=&;1%} zEPBXcNHx(%|KHpGFf!;oC;tN29@YClVBuc_+h6v;Kbs4G#Q*;>usvS=k30T905;Zt z;VH1PKv@4>6;z!i=e)>?_V(x=n^zW|!UN_fBdYu0o5aRCbKW;p@bkv1@_r|oqyF~KFw5&;+RKFx< zPI)oj^}?KryVB#O`G}CYC_zb7FtH<5V=m)t!{sU0xPbwqAcKEvt2UJ`E!^|Sr}V`p zU)u@2o$tI6ZFCpKFlyAlc;-6S=5{g~c3N~)guPETUm}vYnw}mVai0}pu3hco8L%nT zDxP}iPNj-4TG?l_$V`4kBgVd1Wmf;}zLr@*in%J3__aJA94gd4Pol#49TN+Y%hGVt z)$MdUbVH!$OcP%!Esq6GU@~MC<(UM!Ryo$`sL5V<1O;n6M1%@^+mdzo6HEaHB6~&B ztNere_ud_Rw#3bma=R0^7mg%dlc&>OooJ_e$U+fU#C5h#<4A#)<54Z*fw)6e=q6YJ zDJv7W38MBXFKJ*6`byE^uw0x!QAkkcht;U1XxTZH2s4lcNXpd*6y(Gi7E;f@!e=*o z?e7Vzz9*T~$7Xrq(B7Ja;>Tt?y6J~gr5xh86;>6%h3zxdi*c+wVVS66z*v+kWZ=PJ zkaEUSRl$$kS&B{>@6bT4(VixkB&`F)&Hp%9Pj=iKwXC)ZUA zBwZmg$M1D0_Ff$tix7F#<2z~LyP8TrnZ|X^D`rcO%uc%I7eCmF-TD$mfbaDAOA;?R z#e!0QtAU#eRrAj{;X;miVHO+dvdN4r-n881V2k_N3o@T8FN0bkoT@0&gZPqKv_ueYWX$9e6pfo-)~mQHFZBGmaO_));XTBfl8BKpr zH1WlZKhb*7lsbtoNKRUmh+`;CQ$b4XfPY9T!c+9!f%p)~Q8~^2 z{c8jFOtEF(8&eIg&R95qehY0ma{mtVkl1s0d_Fs?TbUl1V%Rx;UU^Yu`s7)rQt}n0 zAZ{vGJWQEA%RJ>w+q^PP%DFOm&TNGyM2R3T+jB#&ain_95g!Q`*aD*4vDWx{?w%k= z_WGhVgVp3QVM8NJQ?fi+I~^?*I`U@{VcuFQR8-Fp*@qL5v66#f3CxB{zLhhK4*#-! zT|09iG_^Q=Ah12MIfm`Sf`%c#^^Bik^iJ9!uWn1ct7t8=GItmoh87l)gVG~^J6jpu zfJSubCV`b>9rSn&dOQa``abr^++q}RN03-|Gu?bs!?Rd^wBHW1KDHTyvlLKUL%wif zgRIq3F8QI5Omy&Dt24BplZlw{5f>+|Z|j~U#-5)^BI{%{GGY^b`xb@7upRrgaTI{_aMD&rpO#-!|)xoCqIK zD;-1>wvUZoOZP6dC$QF;#)RPO4M|3{iN1@jAsnYoAU{82!kIb=LA;IG^l(iI9kM+~ za21t%gB{L`=b9(FoKq67^gZ5d$BAzJxrC1iT`EhwZp_mK+C)?=^$^%aT4&bOF&ZVs zBTW%3c;_(7*}^O%g*28N;SpI&d_@)OI&Pwwcjh_DN|hDol*QyZXhAKrloG}nN|3^r z^AKe@nlj~tjc^xzBQK{}qzv3~Sn3b#dA(+Ke8ZTQHt5Q&_fhmac&mhX#K$Kg6C{N#*cab!DEU@M11#>V0M7QIH`s>unas=oNu zD^3i{Bt`-nDgs1~;S?2ryOf-}?e|xFKCfGPIYKy4h}|+{N%r-YtJN|OpgBcDy;{6) zJJ6grab3MqpFItCNYAyFgKdxuvyKuOy{)i(E?ds*e_fZg9{i2~!+K6%T}rK=6x``) z@vASS7?$ib1T^Moh#dGyDh9O|Yhsn3mhbx3G1#qVD`$%Dwl~~dW5Zb6EZ~%TvzZlM z%C^oO40=E#XTSVK8PL^E%fw#aRw4#n{mL0XNfcdvUdH(e| zn%lcoMy95j(@K9*r0)%+ce<7fwy$&dd|%`qd|0HJxoKbCcK$6_CcuaMbi&kO=izQ# zVr_b*cWhAJ)05yy?$akLpYSxD)^oS?I{hY5#D+!|NArn>_D7cwzdL(0FPEBht_uBJ z@b|uYzrT)!GIi-cbMQ_G#idnqGry%{D-AdH;mHYVqvXHfQU9&4{D-If2VWVKQT}fP z;{T7c{0|HHpUO1$3LqBoAf($AoPJdlI(yd@#>C zq2Re34FrlDWwK_!e>50!;`N>AV-*ljd3lw1Hgp(^JWlufqzYZDa9^doitkOAPh~yv z)KNo)?ILfxc%f>Ei@IzKlh}pl>CyJ6-RT~qJ!_3@xpn*jiq^Lzr%a9x#sSX7^Z6H4 zr-9D-^ZVr^FD;~A*uF>^+77m5_h$1zQcV%6~m{aw{ z!BufLSg@tXy%YAf%j#=%>A7OTixo(}q{4lLeT<`v3xz<-GIzz)96FP8+ZJteps(Bq~$Z{wnw%!S^>R~2mn}wG8f{c>Xem3}% zLY>5IO7>$gPzi~w6!v_T8TGpP$;+3RjA#V6oJjTiEP7hXyGlx}8e15TPH9E#4CSPm zBQm{r_IU#}?bD=cbLx%3BCmN(HD!}Ri-@(}4`O%$mmC+z9Z1iYiVo*M_bR5bT5v29&c~*h4zfVn$BUO{{ra!jRGcTAD zHW-&$J>&QsDlW#B*;L&rXO2lOC&V$eCE|*0bWO;C@Rb2SN(!n{4iB6!i^y!6XcEU4 zv#2XxoU*41i}L~l_0v|wF>mLXVSZI>eCA&$lnp%oJ-ezxsn-v!(Ng}7SSR>PN`xe~ zJikQJbE#j%LdFo>lSM-$=>Q**CX&!xO1ve%F%D@v$W2ee^^<`Z;%uR8c?WN<*157x zPA#?@^u-0y<+zx#&Q>y_#@Nx8%l9wE#T($};K<;(bH7XWoS>~8e$>!SScuJjNvnbE zt~w3tnCZe59zowDkpah-2b@W{$bq zz4sYi9;-!m@6)o*DZeEXFQ;Ci?C*MBM++v^9uSsb=hAsugynuRO~D9Yl!hH+Fa3S5 zBKU*3-+!J**7LvO8tZ>+eEq?V|A1?(|5wJ>pR500>cf9we6jNU4~(z)9=mst=OIV@ z!LdUD>^+EN?bSB))hejpTGa)V3}LW`kzRdU@e7DbIna=(|G9cXay2r5*?oYX2ZIpL zHb5b5WADEfr{()BD?fbArbGRmS~E`HE4bQ~5m#;L0a0vGG_7YG+PXEPWHHcAgwMnU z!XtOIyDRE6xY+!iPA%L<=5%a>pnKB4OA6mU?RCJBMMyH&4MDSbPo4Seu3@x1HVii1 zBd;qdg1^RHu9T=UL|Z38g+M`pez-$^L7CPvZ>S|P(Rn+efcVx98LvN zctULKzg-w7Q+$Nyj$6Rxq} z1+4$Pb>S~JLsQY%+RVfSiCNXv*yYdO37I#4Hcz~^G6g%Y*dT03%+h977M3ogY;0Ue z%%WB<&PrxZV)kzw?ClUI`|*u?zipmtilkY?EG{d6Fl~N z=;_n)K4=oWX_&F8H9G2?@qdt0#*+AV{@nRXNJ=)B(8!uV;R*Eoj`hDSR4$Y}gGgAB zcSD<=!57|Rl$Lo>b^a2?rHz27U>Q+HVM#nTF(f0%ADf6266lZ3AZ02#X6Ds;Gr3o< zUZpbWk&~123kVS0Dble-Mn-OIZ1nZ^l2cIh_4T3qZw)6SU`f5M)n9I~P|PwjGYc-v z&(HVs^TVW8=y3UwKpxy=GkdW=i|Y9E;2_?_)|TO(oa6QD*RbXm77g48Chyc06cp6d z)LdN7z=`70W@cOYVxb+Ko$7{PzI?Hrty%tkvVr}1snh#~5DYXlD=VwGw1^1Q7(T0M z*zfQ(;qk%2?WH#72p91za2*}BzKRO;AR>j4?fZ(dvL_*yYs3OxMNTvV0-Z96R1vx0 z0egCSV4gmIQZYPvj2R#zB9bR_3v1aIiuzhyT3%k_a}*w1lA>}rMWgHfOh*Sl4w8{= z+CrBvUn{rUPt7K&ow4l7-$E=b=q!l|2^ErJm7!vYh=}W@NS4LL#RzyD)=9r;r+cN* z2SXUn$OQQL)$rS`gX8s1w?`|Z!-bidnH%3v2f7`vi3Bm|cW4Sk9d+H$a%iP?Y z4wH6afRNP`ft*Iz+0}J`=4)?n!JEbAOwKoSG&D-#%3=a>#rNK-=0Yf%3Gh;E9RgP~^Yz7;W&F4-P6;wx7>3egrD{0MY{&T*; zA`*wu8lUY$Tbnu&+u=f!oR6*F!@ci0#OaGPZh31fw}a#D?-OkkDoRSy?W@zBN@7`A z+1~tU0xk`>H^WJ^zvo-*SAYF-I)|tP)dlRY4@BbbgF&utY;4?{6!5+%Dk-7!N`Qib z!sXQP9pm;mHf(&q&SN4W0VhnrX`5zjKDfF{LIxf}MX*>K7hTY4Q@W_zi7X0PH9S0= zlaq5dSij3h3q374nZstL3Y%VorVt)-cY9l+ke!j4NiTXlUL=$FR>#Zh1A>f<43wpS z*O^jQa#oftShU^C?e39rV7|9nT(IU8as_>tS{)hCd*|YkQ&Kp+FKpR;0s;a$z0UF3 zEhieSz9kvZs7q1_3U=Ad)}W)KgDLs`{rm574=!vWA|j#zxoR>pvWSM6YMoFt_8yZ@ zF?vnb>PIV`C*Qt)#c(Xhq2cD98yiCj&}p$Vb42?SbMXZTkKxxVtsL~&G%BL`(|nB6 z$L3!l`zKsg{)*bazy53R+Wdh5mv0s7m7t%bexYc54sxXh83t=E|9c-x&TU%Q?&9-1_eta#K6BiFEEww0okm1pGbaeFb@zK=OG&ME#@Zct|)osHF zh(G`XwqAu!A*rUJL6^IKa1iYvCntxV?|Hf{O~%WM|Iq&7gQSGScU^jV`dgo~-O0V_ zO7DxG;ScD6mj4VmD(V~4I@6urmnHI<5wG68dk3C0so~Vw$*CK^>{qI?M$Y$V(Odl=?yGfL z)*05Ad(pXlemk5zOjo{s^X3f{#XU0(O?N(-SV)-I=SG8%eqbR2j8Ik3z^qhJQHjG+ zG8>V`-THYO5rJeFHX}}&S9NxFMkSvS_AoL$JW=%=7b0BT*{2Bm6b>#qDTxMJIg~(X z(*ORHM1oXBn7aUK@YxF{8Yzu#glIfANzK3{b(G?g5^~eQD7;}SYvW{T>$&$vU}}cv zuNGVErIPvnfl~DMGOu2VHx?BYRX9$leOD3{4P-UQ^}px2cRO5aYiv}53bV9msIPZ` zFGCyzA!tn?k03*4I#s3|fi_yLBJ-FQ@OVjlg-}sZlT(T-AX)u1wF{OAXTN@lo>qK$ z0sSeOpj0JYT{5r9xWH+5t>+zrR)!2{>ashbov1?c=5ribm{Jp* zIQ-Y);R=aJ93CE?g`VBLy@>qW;9!Zx<~Lk#7SxoK^0L(*2VwQ<5#I1$Y;j7-d{=Vj5u|?{#c80}dJV#)%dzzis+1XhY zkByFm2x9m|wBIz+ZFx$s-R---?#SKEWmCiB&|L8eF)^X2qhKrgHUCcwrj6Hd*}KG@nav$wAufgMYtRXuL7U+s!$o8i?0iMH@I+y7);;(65v*ZmTY zr}YAEi4NsBri?Rem3XF`5s1;d84B(a5Kd)WERFw|eUP9?CH=Ffc9*a1hyN3IK5KD8$euH8`(B{{Yi}YW@vU z2o&@Le}NYOxj*OO(*At`=t1ItqnT+_zCS?AN0>VgNR}6S$m4BFQ4kaqw6n8w6{s{D zN#RzG>@f7Vc{j4SxHvR~*cPdn`G_sz@$vCr6D%z(La()66N3?FU|`tmry$?J%Chvp z9Y|3Jd6iMSv3p#-@=s{cV`F27A1q#<@4t49B;=4)P{6U6=8(!#`8I6trEX_;*dLBL zVCN#2!az$;PXR_%kdA>toFej?$6>A8`bE5IAqcCD{@RcCA8OM5&Zl3~c`M+lcwZi# zA0NMk%I0-dSz(I=FG@itBqYSoPdM$gJCX1DvaYD8e=B^?tAKlUeqO8H`K7XgLd;w{ zyxz>#NUD(EZQ7qpn3-!qLNlf|X5_WyZMM^Hslc$lJX}6JJcPiw7ZGsT0r0o1Dj{QM z$2xcTlEz}4!md^*^6u$(m*mcnecqLys~50f~;Zr8$awj zhV|Mr=8im~a6>$zj_z(@y)PcuKS~)H8377K9matu($Uf3-kg4IQ2h-H$Ee6<62LgG z+t08FE~~GU2hu@;SDTncZzofjQo`h`G-`Bd;ahY&JXlCcpImhF01EmNjMnN0=N$zl zCA5mANan7xj#YO(Y$BpJ=H^t*dlSV0lBat|N6eTzST9~E=J4Z<)wQ%doPARS^Ej0| zK?c|+5#1ul?$*oH3el`=Y@?&2?e0f4?J^XSQEvsTIR_ve&2JW|R*<{zWa~B_^Bl(B z(Z>fIK7oOOfghsejpn2HMW>TeBtPt?yL@lrrsSAADd`Q0i;4{S>CLsaAVn{u&SmiM z@IWq+Jjf96ZjPZ8wZxocUFq`GaqlA*^85MydqB!=&pY_~Uz*CwlV!?)W&p6lYd1~Hvh3sAph|iiaNKGxa^P;%n z2{9j>wjxSPsjY7S;d&{fWJ}ZGcA#C(@Je1Do8ZIHw}4$~K5p){gq<(xh3A`WMnz1z zt!vxcArWUQ-bXUY=70*t<;9$q(d{j_bA3%Zuqgl|4frJ^Lx0oG&JLzzMGc^NPHt|T zY-~5jeW=B%Mo}QlLf;UwjNVMa$M7=aR+1!rM z+4L?CkJE~nI52^T?KG6|@~gIvwsr*0(&XgH_rB12SK8|`)sj~|TP@bpdtlL%M6JJDA)qQZdlj~0vr6#}7U=e$c z`JH44^Wm`lXo9BW{(9ewRgjA6=?{YsE;9FiD{aoD$ERRDAW*2QTOv)87MzG~l*OKX ziX}nSRLJHnroI7uH|7kGYAcMD`>j-i40fx)8K1ro zEc>+mbJ6WD>F;#AZhy(Cp49wD4F_>YHN}uiu2Z|qCYUf_& z2>NOtw}RN}or5%aoEXRL{`ld!HH_V9?s9kS`mXx*JHZMM^r^<-_06F~`3&~D#>U(2 zOnU?qu|yBq!-IqDgoJ{l4#DePip?g!J1-dB7x)G)ZF+io(M=JBGrV4BWu2X!O-<)3 zo!*EhFv>uu>FQ?9SyBL*`GluHUfj)+1lc)&&0ie z_3PIQeGd^IK8Kw#qCV5>({UjJKid1SFa$O>HoUIb>hON*T(C?40#ZbstKf=76Y^jb z6XN500on6zi=NmT;N5E6i<^0iT$v{J0A+SS0_I2n-n@@zHeyc$anX;o0+a>U@n!Y> z{e6gMS^ifpHy0NdXJ@uHE5dKVvG3{sa`c@(j#;5f(X~lB+tmXp#ay z0EKwuTPN~4{PSTpt^jS&IC1yQJ)aAtE;cS-iQ@KC`9~maj;>Np)7R#iT8w5$;BM7^`SJ?n$&^4m7KcHT6f+Tw5p`vgW!L?+&2NkAvmfVqt$>mOmJH}c(H&JV zWREE+EHyP%kn{b71fTn1QnCzi9{{S2jg3(?*0$gu%+f2wZ6k(MErx`u|Nq~y92at&#Zk zIY8V^X&*$d`$shZLImjFtKzWN)!M~_p~mg7E3E+V5-q?rq8X2B7bKD~$zG1$b+B?;H;nn#Kh#2@B>I7eDp)_YV%P+nbse zRaVkNx4ZmM`Ii6l=g&uFoeRb_G&D4_Q`V7&j*gC!vVV9ONhsO<70n+f@|lWo21wI6 zIXThy)P#?d94~k;MeQ(OZx+#z!lW007F38Z#gO_2f(s%E)x?2JMZFo=Kw-~X-oM`k zNu|YZd7=3Y0U;sbix=+OU+|I>_GW8yGu9{i$A{^dm||jMQJ*~%Bc~DRH)wMzHm=k{ zM*cQIhiHWLOe&YxwL-r+3V=x%r^~L=R(C=I29~O|wY7XGY}Cf5*w+j=L21B1eDPq= z>4l9(Yxreo2&(9b#)%C6H37iZUl$h|Y8XrV6?*F1AX7-RUT60pKi#OT?Lso~d$@B) zJi1-)g$HWt_)D=|s$>jdcsJl6`kuk2=nW<76BFa2>FMcU7{yL#J=(l4nf#u@!sgNI zQ}t(8s@+^r4tihL-@Y{!?q!OtRulhaHFqtGE5YHE32YlAwj zHu-vVAM2k!;BaJ!eO>sIu>AYi5Ir{-nen>N75Z- zD8JE1h)0cL)M<8ccTe?srFIb9*JAvAg8p@lr3zuj4G#-TT}i3yqi5phmX~j2U6nv6 z**M0%5AwM+H-zqDv%(93tJu0_ys}(;;`9u#B-2kc>3v}_TG=zFvv=k+`kktN1gi3m zmWLM4_aR?vIjNvKJ36o;aV;&=wv)x(ag~vbQSt-2!=T_kuuKyN(gTsX2U`eaWJt|7 zI7whL`7$%k6-YeO47|??IT_dtXMzAiP7r#3HSRxyrP{{Le%bKPo@}{7h?Tw!W%?Vt znX2^KT6=+z$?0j1>u}(cgVnsyXr+3Nh7ZRgr$LQ7nNb#XIGuJfrK))KU_d5L1p)SH z4~B(>#k-y`KS310DC!pyRA^B~@2Uj1rUV|j(_xn5iJ6F|#;nx7CD``ud9tWW@%6ef!ztf9{n|o>qGD;s# z3Ay+=uZlUxV_t<1Ud-Fw{n86!o&`dhp$(V=J%(7cJ{R*A8Bur~muz%wAC@?x@g||l zT)&hVr;&Y%n&=LIaa;#lj5||%R(ySZ-2q`RjW=pNq{@TA?g?(GLiXb;vx{Y5g>L&P zNJ|TUc?4~Nq@<)Euy*XK5{*K#L!19+yuftW4?<{r9!H7(ppmhv6)Dg@%??G5}m-Cx5RiHWF$+=g~`H61&V z*XvJoGk$BiP=MM1#J1`>%MmatOQUIiiz@5K$*03L@Z7@A@&K0CHT=dSJNcH-0fY#5 z5PIkE(l209Il8N$ypoX-`I1p`hlz>#XqnX0T%Od|*H7T-*BJ+wVUP!dM3L+qZ(hBM zivMJ=zMl2jvuA3qLq=Hk!nFD>S4W8g@gQoZS{*llDL7ls&3@8=)_rz*Dh8AK$9G1( z{tnn5(rcSP>yZw3)#p_Hz-=&zCkmLxxYd#FFT_MelTfR*n`Ap2jIFG~#grAX2nlV0 zr_c?sk&YS&Y0Wp}NFc|L<_HjQZFYBegZa%5W4ZkZFmxJ=*LAOm-<}HEk)52pHw*+O zO!8`IJnSH_E+bu2)=XPpQc;zFJSTh)AUfnzBMr*ApT_r>f`Y{JJ$2GZ5^r-O6k0?6 zEb1V~t6y9AOwEQ7CX%YDP=@@#?9|I1s^vV}%uS|$jWHPVywD@KN}=5iLsH<%0^l#G z67;ni_CRx=0>dh3au>3m^dSY#s7etML&S$O2F8{wiZwtvF_b%~PQMqIm>3|G{(&mY zbuP$#V!c2|%!AnL11Y&o-+5>3WfU^bAW%KLzWfrn=X<$;9OF?_QBz|MK1C?hBy;Eu zLJU$yfkYGw-QVBX_s%^r;&<6a<8K2`&`Sf4YhrBdU|g;93w_WFv2gC8tmc^(CU{V4 zV7RZW=-ZtKLGYhSp4?C82zVnD5*h+9w4JYi32HnLg-lN5oZCONlhClSsj2!0wq>v8 zkr5%gB^tjcPzb%2F1RH89&a1GE)V5#38+4q)Ks7#pmVSK3fnWC^??NPS^JmWWS|#szco-Rxe}Ec| zcKdJ%>citQC$R&MRU9BE{|p!C$M6Qfn**+IfhV8}Q%w-nXxYX-RcG=^>3Vo%!-huS zYIS}d@1nJ}RXWo)kxD+UD!?-+X;yC5hgCkZ_Vq2&4vr<> zK5vswWq%|xzMX)Txa;o3_wv%51TyBJ$tT(k=E&ARYV_Kp?1q5dIq3v72$Gvz&hK~d zs7gaRjh6Z50$hca9UV(!V@&MqNf6Fg;mbV0PO;Ku1Lr+|$=fjSD4&}d z?r%>OHMu>1nF8r}etSAz2(A0kZ@JaHO0Qjm!K{2PTf>P+zza(&3ZFxETkI)^w`&U8hfA06qi+nORwco+)r4jWm%IQZZm&uuLM%p`3~{lr$a zTCXN5WwW$5aPN?F&%wCf-rcdN-2$KCdAhxfOn5_sbA$QlUL#X66ubQjf6~BYp%kJ? z-xPR{Fr@dULl}J)j4ARlk&$dZGqs%;`1Oy@t(iIwC_@3g^Wl{ZrexwwX|A94EF&l7 zB&dYM*;`tcDQA=d7kBf~BpEIxU4uWH1JaUCM<1cEtI2o(NlgyM9jgbLE~l`taJa@H zL=B{5V1Oa>XsFk&`akGh#xoePTa9LLJac`*(PPN7y|Z(3eQjLU>V0WPq0h|=qg|y? zF7yaums~-Jg)%mPw3fky*yi`E4)8c{<6ia-cy9m#7fT|PA|?Wm7oMvOxN8`LPb=r< zH1m|z)J)TU3jSV$F`e!7cIWwG3Op3-K_5W%BwIFt6$I=EP`Y*62I@zr>PpR>rNYk$UM3?pg;*_0>ogn-4O84jD|WqPl5Z8f|IFeRSK4iy}kWeKagqESGs;6 zWqlbP1tLG%W)Mt%{_L7r239t0kxzj-)U~Th0O0o-o=4H28}rS(KN$ zwRMHte($slP&_S-jerM@4-Y5gV^S&RJg5G-*fOs9H0+Y3@jfpNGJ_h97X=L7edb7D z>4HS1rKx%QYlQiiORxRMyQkF8@!3CvvumX(J@4K^GHOr=x$|aUs7_vr9X-?PI3442 zx)1}DbHdH0j;H)^czpcRd%eq0{GiFHCbJQoxO!l{2o$3}jTiJtT2xY&An`pz|Eb$- zoBq84CvruaK*iNB$VGk;Y=8 z1PhcEsN~vw?+q?P1b0o~->Rx&aCdh<-P$UkN@tj)v2nt{Xb99CmlJY{;o zAcp9LhK+Iq`d)q%^@t@#Mw4yM7MSZ`y{cpQ)z^VAIrH%K^*ud3m7gU`PEOus%*(9a zcyZZe4N`8N-ydJ_`Y5q>M480ve6QGB1>8O+CI;6*=02(a7^7W%?>zr`+^5e|0C%~# zQXwi2zQ1(!6R2%)=|Vz6$jjw3Ij3P-Of4)5&1@H&tM7A02zi`f5Ai`6#p(nMKq;Wy zyY%DOLozH)i*Ssd{7IOn7@oG z=jv)F_j@`bCe<#5ql^5chy<592_rDLl*5DX01d5hMf&mM2dM7h(&YeeM9{OOpa8n5 z#&Kg1NTvkpF0XTIBKH=usB=XFzpG-VRYmabpzbeEq8jKF=MgN(!lLZ-1=#MOO!zLI z)~E>U`u9ex@@li~e8KV$V-dDQzlt(Rpz5S9`B+(17EJvC>AiD(i&*$WmVa*nC~P-9 z-6?ev5|YOg^#KMRkAtlwl&@4fp8H&%S@lZO?t@h&HSoBBLr<{-q>;+X%BH5Kd%9%8 zGw^DCLV&NP()A=IVJ-Fa_V%7xuz`2${JkDoX8ZVh-?Ts}yI@GO-R;fYg(B!5big50~ZvbvXU) zez;_{(!pcMo^1BU<%PCC@T{U@Tsy7+Jwq$Bm6DS3&XOBHv zUk~(d2lp3@;_<$y;=ha9=U`*&)00jW=jZ2-3j@XRn8B&*vS5g{A7j~<`t`|ApGp-@ zT&ohND<&~z<`!i4cYuNA>FvFrgHrXCg-BPengLDHt<7~3*vv0O>-4X+68FJ&p}*^D zpo(Jp^3Q&PKida98UOx4=zp>I)=_!(%Az<@iWb-6?)styibH|o?oyoM?poZX6fYEt zYjJlgF2&ug6nFSNK)?O<+`Z2|``)w8TE9PTSKp8)ndl@llVmbM6V--)JXvEJ9rBN! z6nxSZH~~F{Jpu!1A2kgAaY(nV`fz~v1(wV1bY}uM9Ti@$C@+Tt&Z1wuI6Xd2=CsK? z@(lq*+J{Z9m8pN)Tfo@uQc+ZVKVMe~EN+1lN?`9`h01>%eVgZe&WFNGLV|{Wm?)$2W3jf$cg+@DMfCC8# z^9xWB0*iX!1wdL_W!TJ1$IW7H^fUo+ zEor@J(ev5@3k$2P+)zy|kx4^Sy=YKeQc}qO;ba!q^JGh|D(uJ~#mNYL_}6kf260|q z9!w(hyMQE4K@+&+qa*8+MB_9-1p{7HHn`McmfaA0A}cmIxu79FKPb=0Sm~6amr(TA zM7|R3dYWGd|B5#_WL$|8u!_p;&djjO7j?e5U~Xg66pv?mxvs8g=3r_{EhrlPGMgfz zyyZ+>VV(ZFxz@9Q3 zrTHcvICXaz#j{m_OQVznM+v7N;QN5a_lkh>l+0h{-1N~@on!-W8eN;pR`6jx3P1?Ed$i>jt(7S4fo=&* z)HiQ}axaUEi)-3dq%ct$(qV4PrlzLM#(Mkv75fFZV7`=#S3WF2s3%rJ0Kv(j2y}gZ zU^Q36jL@Zu+rINKJ`@#mJH&zWEqiemQKvNAII`srRS5vk*ra0C!!lq_BWLonAgH$=T%HCCJ@kePx)w9I$~V57Q2 zK9JD)qThRZuz6i8K4E>J1a?qh-a(q;$ejRZJ-^kmDy`)P< zIwj4}LP3@tAphj4iyFqR%}D_>rSJ$@W3TwN$?)f-l`otSkdP?Q9$~;yf7=v)T^=Cu zq3*s>NtKrc@k2tGnB;qC2Ph~?q`&I|R0T64XMhc;QcBSw-%jQ!iFz_|=TJPPvFXdz1 zz;al3)E5dKoftS(O6&W?%gc*zGi*NbYi7js01%BNbb)yZh`BId-s^SD%U?36D=MxX z97IL|S{~FxcN45i4;o%`)w7l6feRFaN=RQMv}weZJO)+Sx&czlpixz*9GgEF*z>Fl zN+t{SVoZjwm5hUfqmym`Sa<^4i8*a3D-EYVG?F;Q5Rg++Q~eg#!!dM%l8VyzFf96=7bK83Ar??q`e#3c1Z6 zmh-2LYwt|?R&H!-Yx|=_2jHZDLV)uLrnTwq)#){GDrQ4POf1l7hu)j^$^owZ1prWk zweHJ)2<$M9YGdiW_nsulxR`kU7d?N_k9kzTz?Xa?^#CInE&H2I&@%l6`J|-rmu^{) z#BbIBfF7y;*2eIp2piRgH`6lTxtDNnr=<@&9Uam)Woe@d22@akwWZ|MP(wV6mCeq6 z07BV>b%5Zb`rND3codQnO$wD$&qbRJlw*ZAZxt9`NOvReDM^Zo_W|W>&Y9>|4 zfA2s3={?voQ8)U((5n9Di$?!5D$ZiIdM^LaY6eth|Lu!F`>o)xU|?VnpYPI(2{Wny z@Bi?>mf-*40v@tweBMm~*xdVP+kF4Yb@u<{8rE-IJ(|=Lw_qlE)L54%`cH3YF+OUm z3;hQxdZ0f|gZ!m-&JGw6`#06ji&S)g8}A5SLpj3=kxd0$hmpdjR;DWpb8G`2otRb)f_h~wcdsk{EVIPZsKGg$S-Y68+mvzDMyYsqqnPHd zit!Nj>Tu27<}@UCbVQv4UmKAp1-=t4H5Rh-eQ~8haurwq_aye>W;?Cmh+orlpFS1I z(x*kK7eQ%Y30zpRC*Z(uHh#m+gv0tlq^y`~VIFjtlZcgWZ8%?%D@tY^o+%^&4QeUZ z*{eHfyR4fg9jxV##md(Y; zFM{busl-7Hu{RYGifQ3WneI5ZlHn$wD`T`(@FdnY9NF{~s0k=Hy0Mk0Rap2~>elQJ zzcMIZ!%Y6K`1GGjG@Db>0a9aoP+$SIELM z;Z9w&xUGZLtI;y&2`BPxRB7bf9g?Q;--K{mT3-cP`SSR4w6`el2l*U!JD77rV9m6- zOr8-m`YlyioC)vmH<=O!75fI4MFgsd_at6O;@#cB8)QKm^nl?s$AeSlmsiPr79z3l zClYDG;Z;nFNGv~QhUx+z(AD(C(Urr56u6A06hdk{z%PQrzhHq#_1({J^|kXL3Uvjk zrgH2*e=EJlPzj0DqF%5hBYJo7HG8`J%QvWGMI@4iP#sX~8i4ST&LxzD6v(#o_C}zY`0H0(+FyYE|<1%DfPb7G@ zpgm;JH^_J>j`7J~q`WgdG?Y&{c1I-TXl{sfe4Y5KLW1vAUyQ)k#K0wY1A4xvK!Byn zB*)NJV2b}ZLb@$oWeRWoopJ~U3BSo2;2`Vr@8l@(e5Cx8xWSscHJFH3(btedxU}M>De!Bg%#`qN1(Vh-V;A zDD2lMV2h}{s$w&nIuuiwqNFQRGm$G_F#BF1b6Frz-PET1%zqt4#X>}ktT#^QVfP`x z9SoN;D87p(tmpz`aN3*QO7fV1^do8nbk_WPgFmg;VW`SZ5j@t zYp@+BF;9o5qO=@4C=rIR!M#@T!WVnshOM4&4T)}XsJ5aZo-&|Y3Mjc5j3bRJF^A#7 zpeT)bC~zxg;4|#vu(mU;+%$`;^X`8zN*U0-tM6Xq?6JKiUXq_3K@sWrB;Ekw*g_k% zH)9KJG0~pJ+zrAhyP?JH`x-1O4M8BC^^z>Bw-$Wvf?z9J!kRRDGCr$y=V-n|mI1A| zb(QcPo49jQ3U=r8Pn2I9I0)+}W9T)M(L%~f_Ep-eONO~~(KwzZj#Rf?Ji#q+r}AcL zQBzlXTFYSjtzyQSWYact36x_XX;cCx^`Y zxBX)CP@ietXLY9CeMD;JPf$^je9be&r(LK@{LkDF^v@Tt{#R4Azb^;=ZL1da+u-KE zXD92Q?tDEa|M%_-GKvDgpobfc{~M0`r@dOxpI6xa;6Y?$eqD zAp-=S8;PP*OI%GEm`+-BRQb!u;)a*8V zuh~Q7%ZHO?6Gb6vf{%XMN$4fg`n-qlS#*yb8eUKyqCF}sxm*_9Yt0*18wWR=OZBfl zp~!{5V$qi^(%jj`Y+Z7 zV&LuOJL=Rw&w&ihtRkyDc01g+c5LB&KNL#P04Bc$C2J>;Q+BSxww$cvS$DU;!K*`z zDX;!@)~LOr`on%#T5xj_3r1aWp*kae@LRHeCr6XnQ)i5LG=iua?NMKTh_+~b$(;A5 zssyqyR_%*Bjny2U`fg&(xTxY1Cd4jR#&N>U2}-aGX0MsIH|hypVqmFBddpSbsSVJ@ zmP+*8JgX=RA;vyxLEbp(?oo1a6fPz)Y4+EMhk+yxaOD_(OCwi^MVk z>f2AkuWp(|CSyc+80xH|G3MvcBeG#oXhzT$d@A&Q)ukm!asSFHJdfvIfs+Dz50UA$ zk=*70NrWnl9>jV(91ay=A8Aa>dp}695=GgT9{qN&YEz`yyxXi@-)YULJG9IR*XN~X zlk(Bro26J)f#*SL2_>z_QO-N{r&UzWO$%3LcZx-BJ#TiUE}5cDJaj4@mj*ToKC!Db zTn1J-7E-Q5uuY>WYh($E+zu$iz6ix6AA;3qvt=iLkmqS}` zV~*~5=Qe*)`EcO4?9YNxhc}X3EH<{r^@B?NQwM$5dMho8Vkto>fl3e~2tUCcT3lvY zq?SYQzo*t)3M40z!q4)oidfbB;7+kvOL4lYIkzvwv%yT8RSa}nD6F@tpMm(SBkX?# zAviG)5OVO#))40Fk|jB z>{jUJ85=|~*1$JkR0_~%@KpC{LWb&2nrOX|Wv^Ur_#KMcp0E^ytJDG-!a*)qrc`ov zWwa%eBlI0I;%E7jS-gYL*EZ{D&l%{!Z$J#6-|QcDRjtUxxdi9TMtB?2gywkjgTIlQ zsA;A7=1mjARA@ihk3cmRZ%$)}f~2XS|xMT^D>3 zO5#;F1po9!mt~_eil4NS)97uLcsEnOF2W||b3G4xjSW3a=j&+?eZQBhZhd*S_!1j8Qkx@nqzTa_>W4v=q!#;g4S@<&87p>@DT{ zy5erQYT3RvOYXuX|HiuYE85$-yB9_yhEbXhq7A98DoN%2adR)k(BhrknR8c$4IL^7 zdH|A5(PUHgOkufg;DH&xkZ%iKM?9a1_VT-Po?On-2tiQ;9<74eR~Em1h|x@EN>9XP*YvLmmi z<2)b2_*9u@>z=t~9}?KP0O$9tEVI*6 z&_P*gT-(GOFjuAJx29imO2R3fx+wbOZ1LP9Cw{H|no_niNoUh|Cj)W+B@$B%u5cf(-7L3VDs-3PU5RWUTyk>ik!URHg-9YfV4~;X!R@8j(;KgE+%W) z+#L=LOd)vYGI3rgM|)~j0an6|`3!P{D8B2Y9m1O4zK!bpv>b!M964+H?W+tsI~M$Y znXi7G;1XL)FNZ07I9l~oq@&s*$H(|k4qc=+sn^-aq?!f?5=-pz7g;pl=elbK=c!#H z;T!f&{0r&f&$aZwG)DKxkpF|R0nl%|oBy}c!=F}9{`c1C0O^652=sVm9Wd4XwqoU z_uEqp_>d68z7X#r%A2}7o$vImN*NA+M_4+gwdZ-ARgBpmx|HsMbIuYSAikoszcRMj zQ{Zzu7}}Qkrm;jh?-Ui~19LlNc(wXXt#hsRjbj%}B)MKn)*PU>O$Wa^_gxj`Xij5t zfs#+_d6>;|dUc>^Rk=o2KVhH1w&kVEt>vL>W6dj(XiV{*Zx@?-Fp)m$icJ2kU+CV# zm40O5R1hp*_^!?1#~FSj?Ie(0@5z+CWANgw+yyVusny5+gO5DN(8J2S;$ao#C%emAIQa{Lx#Yr%ez>qmAf! zUCW7jSaXy#UiuDyJ}K3xP`s4{*bJQ)cF7*}#79U>;s}q%PS7E2!z*Mg+afUqy)HRb zN47&G^n9O`N<$TakD7v9_K-3^B@kxRERTZ}Hx8K94Qe$7pw(ZeSo7u+yc%%?%SA{s zkdFTpRhu*wn=NGed}RvRTVZr0&WQ3wu=14>r^<1)Ed3>IXV!DL7$%5SbW%-olUDo; zzgZQwJuUg5k!r&+PWgHLz`B0vjlPHzwkeFZdlhBBSNc=O)7;RUcr*C3X+o3oeGZES zQl#SkID#XNti#^|K3#zsDoqtql{bdH7nFG`Y_NEcs%$v^Ilr9vETdyM%1YO>t2q7p zTq%d^oF1I8bU>5|v*joKy2hFd>{lVSc{ZrO(#VEPK3NPDLcKh=c?Q1#Be{3vo#JZW zM0ACt>1`%EKR7WSL4_K=kVliEWlJ*HMs;U7*(Gv!FUeq(gBB2gy*JxqF|)WXr{t~J zQUxU(UYE^gj&nQJ=33+O8jJte#iCX+*iu_wi2-D|zgBR7OylbuQZDvM&G*%&Nzh>$ zXe9m48~vO$2i=Z-`2LKg6wbvjODFtrFoUU%aAfQ<^CDvN*Gs9;@XY#Uqj{6z_vEmI z)7Wb4gGQn4Y0KYU@T^9@T7N4H>qx^yc!eHW|8`4uUa#Eg&?fw6IkuGO<=73z8j{4I z?Va=Iijt_djP@}{k{U@n;Z)4aNZZrK;AU-2^%kx*%0V=9q6?H5>bqm4sw}1jWZ4+L zN_pJ*3ABh~B*qcZG)^?oWqEki=YDxXo=P4oZLJ#{q^N1I1yATU$v8s6>mZ=={_rmt$$( zCj|4?pR46B?0cJ6doE`gm{*>|5W^JGfu^ZgH=jFb*$>O-0UMQXs36_zQqml6c?#1k zzk$gcseeIC=V>%@56y_ZrTfn`n)Od}oBxtVKa#iqNTYw7$oRjd(SL4v|CcoSAGG*S zdi|g7ul@(R{3kE?i!K9n{@x$6K?jU6sIkg+7zsLDVM@ zD^}YYCIlJV-z0!DqNIEv>|Qu{%*30fFrV--;=_+c`@X(~Hokoh^DxS=e}Sz3(s~c; zZ}$23a-8-5$a>E|Eyo{||8JJ#{~jj(h0PvT5b!d`zsVsRaeu=VcA2x5a}0yEL@YQ9Z@29uCLbVFeV6o7ye##_9`Mz_=U@t}z>F-^07 z<-1GF@s7&r9bE)Pq|{|8SLmuZ^p*?zrA3l-$a6^9iSK*Wg1?%h6ojl=`UW-JIll#psx32TWjJq4lr+m(9r^b6M z1EkXGD&-Q>wg-265G`M)&rJf8~*a^>!^ zPa$D-gEu`C?fTl5b6>A{@g*+;DiY5NR7)d{BB4EP=Gqzv$6tK zdG!@_6-L*dNKJxy@}-ZwKnVx){^a$h93|2jjIhwbS{elff@ZNS!~Gl+GvvbY@>}Z6 z_JT(P4k?mwiyStu*zzy?q8NL!HUV{1IX9NzFHV~$mhaGao2Zkz6$q@vg|~A>IM>cb z<>0xM5SpUY&0gE=wujBv?v+6M2zVOD6#b_Re z;9hfrTl2E1g7#j}EFsU&qo2Idua#~qYnrt5;vPM0ODU^*)J7Y_=lFPf_BZAu zbwLogJJ?OMuTiWF`oWbD^q0iHlkwzfP8(^_K^ZPGS$)SC4evQ$@FjB$qR0up7Nw2d zDD()>vU3V z^IbwLG(sDEk~0*hFr=-#W%eAc zBR3V&2yBE6K8zu?oTbjx7Sx%(rA>ppfTS_4KFv^jDOa;w2V1d+(U(uKd~xRZHFw5) z>wPJvjaUkuc1iVIR0d=b_0QzXx;X;nABMCvGG`iTuFmd~DDr9sMfvKtxq~hku2|6M z%LEaJU=I1i&`rwl9AimY@{G0`Rul6SZMca#thhy5r$5e$+iQ0|C=GE;47ah|2TTvt zd9c9;604kNlZhZvuz>MQ;W4B z6TaCW>3rM6ULwSk)+*B8Whv?#K^}LTn@>P!)Dj#|l~Gaz#zM zmm=J%>5M#mK?`S=KIDrbP!v992=nYcdXR+Sm0~X|Dt<`Y*1MF#qWqlpi#5;odUxVk zrzVCq#QBcsTY_|wnT;|Nhl8aP5!ReIbgf`t`sUB=h1p~N4L`fdaA$!%j(02S);o01 zrKp{Fv^#7qP`27yotY0rxTl5$&?A=LPWj-zlw+1}ZGoncEyi#vfKu9?%oMehxjs{I z5|I~CwtBbyY)*8K^wp8}Xg*Wh&OPa?v$<`D(>@wIVQHO@nMZ%Y=hW^oIFq#mwd(S?fjE%939wtSp6ZA79fK{y*SJaS={gO-p zdpFUt+{eFUTLxlMqBV#zmm@-fl9_fK(3_6l$qE)hH~BKJI?%F{u3yUvyq>x5@@deV z*mohnQ$3SWyL$8Gtc7IbK|bSb3&1zVBW-?<_K)d)Xlj~g4PR6Wi z^31oa0(C7>TjF}DMchgo#tCM9S=EVlj(3xC`}(hw8)*3o=>0HZ+7Wx?yNL&LoL$%D z>&T@bWffFS2aL5Rpf&8-Y+=76b2Xf}Aonoq6NmOjB_KZml=DBeKWa6GVgOyx zo2ScD246b82*Ll3U($3SoScskUI$wv7`<%3FDqW1XrW(rp(|!Ak)@n=E-`LGb!IoX zB3;Qkyw3RLx^I4rJ=J^!QB6f+b$l&g@I*BS0&)(rOvnrZa%drRD@s$&;>YzFC=VwY z>e3NzduXSFN%>7oUJhE>x;;t4I$93ep#}rVlv|{~!H!BB8go|}9wWq+3rHufOh=Q~ zD~NmUlO#V(oEdO@`>m6JI41IEZ5|y-xP2^(|5!q=eUu1J-%>18^><^QUpcJHZ*1#y z46Z}_tc&}YaB13WOAtU!^JO&c7eOqVI}t1{?#nsAJp~30qxoxuMajMDLiAZ{u{%f< zuy15$;fKRhVYQcLAE6mh27+Zl1ZM?>M{9DNmt}aKpUp$GifAEyZKZN?-6%iDqtYZ} zJ2axJJAcqqFcp8V#GdQa_<_vRoXsO=;@zxadi;&f`^?;!c(gq$bE(B-QZ<>8w<9)I z7BpkoF~i5llzEnvQk2DraYm~SpT`TnXE7XX%jiSG$Ytg=4h(O{4}ls%cT3iC=uBEQ z>8PY|S`MsIY986{Dx+^91oljj48<~j$p(Q1AKjGgl;+JV?CBG0yb${w+RaUk@`lAW zLmyr8Jw!8+<>0EW?7RtIBKJq^5LW0ancVWti&M(z$2*O3)d% zpeyA&nCvOW@re04${5q3A8S(`=f}1pN~XeN61K)T{cZ=;r$0&{4H$DFYfk*?(DnA- zYlY_QrmeEU*Qy-bAE9M0T-GlU(mD=HQ0#wQS^+Of#d;csL%(FMT{+z^lxVqb8ZWrEWf!T`tFW1&RvITTJ!jz zNhU+mMX$hq{BQ@II_Op4*D-wW6j&5h6AzHo7%W_~V7vXoZw^N3;tHr|7gg?i>^Hk;fA$jqC= zqY72%pitCy;Tu7QiE8siyMa<>qkQDzVjL;ax&zoX@1ZcNOX8(22twBq97`^rv7^;y z&TlC#2L>OuyI3WK=B%BxlRk-s^WkoNvnD8&EeOgObsPM!h0%K-0>7M1c9(R|@9NkQ z_$Kme^PYKVPC846%bpU;XK)+BoGjE$6CzCsOY{L<4JG+PobR8M#P)b2J3sR9w8{K< zsjloFiR`+Eru^4b%rO>4ZP86X@K0y$anS>e<H-VSn$v>k?vt??r3Y~l_+l~3u z=r}Oz`&DGUSFDD!E@ZIhK1iecZiQEUj?~C#L7#P((?MPf-?C&iObI@@Ea?Bdied!qV84zAMZ>`7afr{1P;_UngK@plE;-&EE3za= zvypytD}O$juFkWkLdZuh7iIB%o&o7hs#MZf>-j7z?3u$a@;Sl@*H{XYn+k?dYuN@j z=5P&o6zv}*ubn2q){-I+X>KiLs% zv)amk4&O?b_g3g7$Jf)7YaKEd3QQ#Te;lX_XGwu`%8iOv?PSEQQ02yyoXrXX$s_q;?u z>!8s5w_jP%`zHGc+qt61DbEd+B5^oJ>8vlK$*cwO($si`5R=vK@jKf{Av3y;&DOIl zt%hP9UO?L%X{sOwCJPn3!o-bGNNkc9vZ^K}E1UW`>dWL3hcHPYnaQjM_QO@)Kpf9Z zvQ9;6Cao&wb!C?soik_ij?NJO%lYPoXTpstxMx4hAU6>!5iY=PY0F7&Dv9AEsTk5u z^`hG2W1>^~F?a_O+5Ph4zNY<1`9>PfD`1VR0`oq94_m!1nFNf$`_pb?>VE@Slhk_pD%Q$G|x!+bjKt(0$h;xpdC3=)x+agy2hZIW{A&) zeQIDMaU~+t+|YyfBO90~)8Kp$nB8&5s-A~4HZ^jbMX4BfhndsBIIEaArr; zytpdjA)u1R8$vq26agVfnJTaGIc|_@-o_p6!rRqxRb4(QjFng5dS%2SYN_eWB?#`& zC}`ywO>k?dx4sczo+tYt6K%U=i3|^P4EdbSObEfKWTDjE$kDD5k{p?vzI^=`u}?Q_ zFFj8!3ZA?(*|MZKmdnL647a;B*A+(W{+ka29XhNp^MY6dDk1s|qd%3$oOA1iURdkX7OPM>b^W@iIE zvB<*BKwZzMffsx~5p;(>x`!oW!B@3(eS@k11zrB6*Wh27hS*5M=(SzQh&#ZT5=U*} z_A&MBw$u_==uNX{wvHwa0)z;i})7=CD62Fvz*7YEm`Esy#0r8=BgnOaLm(O>RFrmoVN=dREn2_tX@Erv>;adh{7<4$VTiSRl^=V<(pYhj(VtZ&BB zwbqQQISOy#ZtW#A>`d3x&`3u03F6A=v%wm=?xuK+>s-m5TBo-addCH!+S)AZER<69 z)e#$<88YOBMi7c-gB(^C&fYtFQ@@0X^aAJ`{P0ksh$=(HuCeXX&m@`czdRjzq;mh^bcFT)=yZhbX?y-}o9BT)#^!pEp4R})?I0a{A01*MI=m6}0=5lhe12Al?5AG8TCkGP)D-jn6 zc%A-t2s0B02ap{z3lqmbVt$wKSk6;AW+uSW`XAn>9G>7M~W8f?HD1OM;$Khg((_x5ke z6rdaIk28(w!DVIQ1P0`j%l1ek{)`2&JxqDfBji);f5&~w=TG;ktUvR73gG~T66lep zaS?FZoP69)(AA@mUr#Q6a6Fn&1LA6moC!U0gNhq(mI&8M6m zTl|#%cL^+9z>OA!LQ@#G|m&g2A9;nb0u0WuNnzI2M00;q6v$H?c ziIpAnfX#>g_#5xP&u!r}I04g%K)snCTF%P)&__=$8wVTETYtxa9!b>`+(X>I`+G|9 z+XcApQ=V)<|1kr+<-vUl;becP!2^8-LO@Iptp+#)(BF^Di-Y9>vs_H9K>fG?X2SZ& z<=7tU$Hl}9q-5uM=m&t_KKywA;e2fN6RCdka{`D31`Ox{jhqh<022fV_+!D$%$$$G zPqlb-IhY>C)Kksb|L{Mw{Lfagu>qs&G1Dj9{PqLm4HyFe7X(HWkm4!yuYP!}F))L; ze&@u+_Be{4a`^`|`y&MF@1&ps^Zkk62@S97Rtq zC+K0!KDjK9%p1h?I8%TQVf$Zx900ypSs%v0LktJYI;CE;=JJ|uU!u2ScJm&LQ^QW}GbAKA1kKO(| z|A+E`AMoDo!(4t$27ErT)V~}0zxw|Ee!|{wY&>TC^j}V~8NdtPC?T={rGxn(gY>$vn?qkV+kG9`hKX4lV4{g84?Ei1j_75Zh+~7A! z06mJTY@C3g3gQ6h;R9O%M)RYe^O3YYe6v1&Ke1UL2R|o>3y6J~*c_ZJK&G4k?*w?zW5LYK>_9yp zy-zMX=R?mu)%u~F-@eD5{If+567PfP0kjC1a*uzXLHY!B_88B~_Ru4&Kt6!%{fMhasK>ac9(siP8~^{jXU9wgs4_GCb#okOAPXng zUthp-@-Hty=Q)2rF8N|Of zCR}F-I^)mI`H<>gh6f%C^J(Wdx1vX@wLj`MQ_hpji;Tmq4{S53H?(h)K=IF~9 zI9UcFfi$utEf&}pvN0VJaBqM7DOi0YeI&5N8daZtU9SGO@4LDe)vRs=J2xD zv~4(_pF2M1uJsw19;vMX+du|kc~eiJ>PHS9CQx#@!Bg=srR&+L1m3@`^+`?9`wAB3 zHERIT@-|6m-+~uxLl@p2k({dVCHBVpePGeOG{dTyd4Ylcxyn^D_o>&0)E-e4!TlHS z8LpZ-?^H0NT&}6!yXI`G*85M8f)@8OI9yn08bOKvjoI|rEtf;c9cs^y5Z@O=*f4*C zQ2jLZ%I}^4dN%pK8cKEbj=d%0^A{p|`k^B}x2yB45ZI0dk@oiG#R{*yd!yn-gxv_V zHGKX^v>)0GpODWkhhkg3Fk-7X{E~$B=)vdQZ@|z18;eNxQ`u$jV0T|tz!0~+#J$m4h>w$tHg}N1ka9y$nX>pe9O}HFTiDZCS@FkUzlW>^!&~w~ zsWOT1syeLp#%<9%)BvNk0=Ky)T`4imEf^yNX9`{??15h@y@J%k_r38cg_;5!jHx>x zrd`Kol&WpKvu4_M!F}ShCB6+kbDz7=hEmkER@*UC``<#uiTYyHN-mj>dQ1sFh30 zd7VwS=rzH8iR)tMV_};!=B*AGQfs||m|;n*O41`fJ>eNQtJ#h)TyD^#{aMo+{DyW_ zpy`KRE-Hfuo$O~lTU<(v&{}0mX39s>A-QkZR7u` z$Pq-k-*$s*MhS<013t^)V!}kh`OTBin@9VNDp%64EDD`<1NFe%naFu|lu4$1u1eV2 zg;hd>31auEvfK63o!z!&>Kt&ZS%zMUE#=T`nE`Q6eNLw5>t#Qo@ziSLjpOG>F{{7C zbYHvGUf#HONuE)O8q6Si1s~&QGuxatX`Yb2`DzXiiRWf1@p-=6Ts*A?*^8$}HLWMe znV+U|wnl`xewaE&t4-H_%B-koaFT7EVgdngZ&)0w!p_V|j`p0bCaTi)jb62J85F8G zCE}T3vkAD0q9@gr7GfAM8(r{rR=35}SY<|bc8Idm zY>8KWZUcfkk0^C^d)k15Oi|v2r^$@3G$6-DDE_c7}e{#ck!fg z7iOQr-HJq2yLUxU8@#ELLQV z&~4EI6y)U3@GsC-@n0-UO2M1O?0!Yn^bh93T=AT(RbqOiq7GeTdU$*mNFQ&cP72m` z@;*jmw%r`kgKD6qOSTed7Sx&Fmm1#b4e~u6RNID@l=)<>H81ZrRGYPdRIvt8Sn#%Q z%~jHb=A{rzR4l{rrKp9w%~8IfVs};UTU3F@A1p^TmkuF3=SXid_EI3L3~tqRx#OUg zP3Z95=28wE)?W~CoPRJQPKmpp{i#XbrI9t5Ajq`8l+Nb4tOC(-Bv8EIktMA81!$wGdeMnmWK`gVPN&gSjk z>JiPxjmI0vH%-*X4=N4oH@QlM27Y1n|2Uj1-2kCdU2l$jzI$;1pN&407*|iTP^^R~ z74`i_7V6Y9lctl}yh>-4M^YPaMv92ls;rTc{JBV*N3kD^4#Jz9S(xJi-qdcG06!ER z=J>Dit9dS?w3pWl#Q}WJkCXSavI=ymG;tUX$E68qCn}N`DI_hs=O*g**Ipsx4DMp} zlm|C9PEaoB!4PqYwItJ}ZNn}Y@BR2_fK_7XH5frrgF$)Y2l5J^A?V=yN^xc>f`5&= zJL?E?m#0ebzo`h~17V5n9@DN0HH`|95S^Ca(b!PG4iKc=dd>4IM$|}sofW<1s#e4Y~`RgM0u}| zP*(~lyMCZ9rU}DGmiB%H7p+s`B8v_uXuTMSwm44cXL;>}8cn7Y{Zy3zf2m)VG8C0BJCKl56c&S8L%{Y#(Ft5DBK($7Zs%%KRhxj zS=i_NTuuDCa!Bao&s+08;fM+pB+6$vlFQ!_Cn)sUc9h_+u`F)K_GgiaUs>z+H%6oKRhpm z$C*xv_xJRT6g1{_=3>~nzid5sK{!ZUWY?iE7^j(+-MNJvqLLTHPc65Es5iQ&-wqj^ z*c-w)V~kuH3^tH_W`%c%B<}Zue89|P9ZoX095Y`eiRu}TPPv_xl!E5&2f9IML6R&U zp6X!y-I~sgTQVQ^4Ty`MQ1o_Ffc6=ju`j0$K`g~0;S_ah2N-VOZ8=Qrq=L$;kP4#iq zY$3CRXB*$HOn5a&1iDV1PlXrK)0~kMW+;(%i~C7R96U6OebUDqAwD@?x5Zr?WhyG zC)$n3SXJ@R%=X16mq-?fG70m(R+?S#if}RyY0U(Sndo6M;Nru1k4`V&=Ovwu@IscX zi-7!>`L3b(RS*qj)1rs9a9c2v_Pj%TrPlT=Xumkgo} zxs}Umdd+uO1*|aI5(IS{{IEf#sW4KFJUVCiIB6M^$0A<}g1DVCI`VIek?3o;DsKEn znQ3eUe>jO=Xlgl%l9`;pTJG(YLmMKi^Siw%aHQ67IupZk6{QOz`LA{~J zGmj&VPyr;ml4DO3k)^=Jyjcn|)*0$^nIqc`6Z{qP+Al-79fywV3^|Duae}x+3#LQ) z?=C6g{ctBg2jOI>8mERWRWC|bv6bpn6eb_Hz%t*T70{(i`c~>8q}5dC7EGPWe$cFP zOv&;~_Ihr|8e+8c;-De)Yp(QZiBU=s|Gr{pCs>Dsm@*;O{AfmbTX#ypU`?Nv%ArF; zIjQobf^TWHtDJac)KO_bNaXENh^WG)#Hii$9cdRo1y|9UF{iRkohUuLd0R;9)GXrj z&L36{x7!fhyZX=ylV7$P%|C6=t{X38if!lMVSS9o%F0;Vm|=G5-uoH-+IlcmLI_p% zkouzzRo1E%l@o(Z`JKOXh@2e0K_Pa-$3hO+>5_^nSXF!zt(5;mlP;1 ztNgxx(V*JhceLvC2BDx2-8T9*mcvrC1~fw(IJ9yMci=WL?uNWJ-50gkj`Z>6Xw&P# zX=3YuSJH3S+?1b=iHu1# zvDDn|jySDU^^W%y9SU8#M|`j8rvsh1kMf__QrpK}H6X9~6F_CY~?s5rcrXLxwQDImXea9a3 zXD8^AOL^NbZ)$UY;ff@_!ZV5SZW%Hrx+7}+@OxDu=0{yTf|9yc^2_qVB|VfX7@_SA zLhq#vROM%gt-gjriI~x?9F-@`i-}05?bgw_4rk9hzvooO6y>f%I=oQNZ)9baViF^< zz?(Rh$Yo?NcMbT}LB8lgI2v171dZG9MJI&r-M3IYN^~ci&vU}ss)TZ3(s|$Ob{9<5 zSvt;du`yFLrY0T6Pugi~^#)zk&I5K4X-!Pxyl^tfe?kYP5Bq!SNUh6!AY z((W<1vaanHebRB#QOCBiV%xT@6?JTMY}>YN+v%7cr(@gLx%YFPcRz3KUHhD>^JP?x z8e`76)~xwq;dlM7#H%B+)xT z8&TL8KNjRx?^7nMb*CcyZDYK3`Z)ek?-QTpdO9K2l$ug~ap?Hc z7THSotdL_G%^B7FY;IFKuu`>_WVlAvns-rb4}14m0y^f7Bsq)_P{hDwhWD>mR>~UA6;P{LZhtt`^Ux%Z zy6gL9&@r`z*5_F}WVv*hI?<FCSS~*?5wo}n% zH%flkZ(=}U=$7()zBEppn?WUQ3+Y)NCHNut$qy=?lC1bS*tjtSB*nkl7+&5it3XLM zq5N=V7dW$)@Prqwv*jl|Q@exsW!J*)z(Rm2#_cTA8g_houJ4EhrJ2}vYk|AQW&WURdlx;JR>cU2>wy0nQgGXQCd+0}Mi+-_zi zFk4j0r}|L%-tXXy{73h!C}LIW$MH-q{S~DYYMVE@_~RU6 z^jlJ<;gY-Z!=fm9tA*Sq%BN7LyI9Q)uNE;NIleU}q5LT5L7U^bux{DgGeny0CcC<|* zEYM0qmkF;~+n8NAwjvd@HdFAF#yCK#Qx?Jfwk)l5Z&+!GPG#7~3BQ6yKNy7?f3kYf zhKGJv6di*3j#ap+4MS)rE60O_CI2R5+SxvOH(VXaJjR3F zrHLZe(fMI7n$CkvtdRW)H$0l@9w=z}DXPng$tfw59s>ycb`;!-Af2Zo=AxY{^`Kp&q+89{lwurTX?j*Jq%s1#-dK;+S=>$c0={puN*?va8{~Mnk$<0C-aq zi>}wT*_WY7EpT<~<0<^gUe*Jg#GH%Y6-#%>q;n&otJVO$#gOqy@Po~3_St3ec$I_c z6gcoGk;LcXip~W|r7p>&CSE3@bY`OEFz_f}ugKMXF_uM9R4FbX2V|8#SV5Lj)SWcg zvt%O2G*`MHzqin{c)8GBTJ^PdHyoYKxKlVzqzDqz@M+50AWzj}L0`=3pqXj(#J)zl z%&6}Kj}H5wb7*BDc4Q2y-p{e%R%I5_f-rSpelYB`&DJs+7i}sT_MkKqfp9b1OyH6x z#Tg_h!TlJe-E3pn40cv~#cSAI6)n-gRB+)&W(B)h?7}g5a&kh%oMbmTL0_^^8WvF% zXKPUs{urHlc0MR|+P5)o$AJYG^tRt4ww2^=Ec~Gp+D5!thvqdTdgQzl_o7V05Agc=Qm)FC%yp97EFY-4h$U4)$I58g^L6KwVTRVE12~>M-|XFp)H%N8b}%!Id6=e@pW$hiLM_J(q$L{)!)9nr^-js2zM8BWS`J-8Fxd-wb~7Y7aZ zt>a0v-{i|fwUzwpZfNI;T?Besf602gYKrCb@oFB87Wk(4N~71ZXk)-&WT&60BGoIS z={i(!x2q>op+QJ%gD{^WCb-)RNBf0)kUJ!v-bc+1Hs8CfwtYv&8T--v{l zgbOsj+{?s>ZfGf7m8*D|Lt-^BSUF|bZjG+d`Uc<3ElM$Y9hp?I6^*99IgCQTy%nfau{enH({+yDo(%OJ|G13Euxe1QlybE5 z=f@ezsbpRdZ=XQskbS<1S8sH~wr7gnm2^Ya5&Eijpmt52@a#(3*O6Kb4bfch`+d%k z=Ui|{LGsx#pxe*8!BMOaV0o!8#V%Llkb7ujxsodC=MdZYnE%)K`zc)=5UR#O z%+dr|^#9h7v(KFu*)w=8Xia>2%d`Vp4f zQ3q4vd0>%l@QK;b1P9Mq14;YNgL^4eTfso0>hkOpZqTj&M4a2CS3lt>iE>pwNQjWH z5;)nwX3bIlf;%x53_miEb;BXdZqFn^uu{6EaxPjzXFgs^3e0)vUveTM0L^{nR(QK1cAXIX|_Rg+3|t zTmWVBEp*28Mp4#0eh*r=voJItg4d_DKPfXwDk}-u(Db_nS*C@r3Pi@9w<(Qm9t|^) zli=r)hQ7lfCqZH~@%c$5 zXR$^DIFiXkX^q7=oV=AL^}S-|zp|`pbP3ONfRIRu99$?86Cfc)IptXs0sd1B&o5`W zld{@&Y4VJOHH74-9f$bk!_+5;j8SjldM*@M-gb#b4H;`@7oPbajAb#F&$c=R#}j)z z2vt2dlFp%p#)WyU1WAxvaW{j}HfNt#XS3wHwxu~Ad#?2wd?d(WMMQfKiyQ}Cn-o7t zb4~>Ne>bBl<A$m?R7D@dr!bW2VjY;J7kXYpv`jNXji^MWu_4W+doryxi_SYN(OgJWe%ZY$Vj53W0J}hj z!g5Y%T+DQKC7Go6c99p)HHn3Me($YesAd1DrOHD%nC)q9l1H_hk$HY-==Tlta&hM0J#ZJ_A);d% zLO}~Msd-hAq2|D1+`%KX?K&;(5x(CRQ{pJH+oescQ|oN~X3tFlFeXCWjw4Fe7g`<5 z{h?PHk%vmf8Yc|faJ+oP>T$P#6Xc5aon@YIO?*l$u?1K(vx#jwoey~<>kAp(cKRNU zWBpyiGl2yCYzbS$4pc6g+|zlA(?L_ycrjM%$Xda2!x58c9Uw9u?DM z5@FJnsFWs^)xND;HJ-3G7J(Efo9{G8jaOpHRjoG)D9~5AH#$45NP>~bnvd?N_Z-K; zvSBPUveArG-PAK~C$F-`RZ3EVNts0OR{GXuMx5U-m0J;LsXv(%J1dvDouZ0cjHZ6t z-A<y_!jn8pU30=aOC!G4oJR=angV5=bK=@;B)0 ztAScw)`B54hBr-yR-ZFt708ubQS?=F+U9T6g0AU9%oZ{#mi6FlLL(ItUY6-&5iVa& zQ+3V$q1NDir!Y`OFs5ZtOT#vvSb@alW4d$AUk*$dMc-`e&lKP zC0^jC@4LG!dU2ng#o>`YRr5ifO-mpjDYGI^iJDhIIC~Xch-|(E zaUJ`}u9p8=1P!+0_qHF6S7a8Q6Xc2VmT?-xx%xvr31G>zvHCg*qX~y}G0DZA+I7}s z-&x>&0k}lp`Li;j|s$G^Pc0V6x@T4S?=k3`$N!o;Y(97qu7?IDswvPROUHB_e(Z9A`2M0q~#U z;xKeM+aBL?f_{>S=`=*Rw)DUHt|l(L=)yHv)yJ{}38sBCc~ATOgk!wtAIJ0+Ev|$^ z*j}0a82zqPsO~IrUTc1u!P%sjOf3Aek(2H%%W^bv*OfZ_GtCk;*Nrv&1W7nRO0jm{ zw~9}1k_rBqC1%H-08(5*ymg&gLG7O*MLQm0~ui-4~Omi}O? zpU>}Z=H~oW*BT#Riph!7uJN*)kgOjCYX??=B|D30B>cV#nnN82`BTK3* zn@aeQRNaZIWBm&atz1PTN0gP=3qI^U&K=jFD$PW|79WNpNT`f!*r4Xf?5~B}J#9F} zrl0?xNDiH12R8d1?|YN!ro;_w{3T%;;73!(g zy&`xzY%m^R_2v#DzS{btdcYuAqVGAbwVrGj{ZkR=2j$( z6y-ubN|F`2n>IO6-@_f9EvCu!Ug*FNKtos+0Ap#XN+S{~Eilnhki`7*xag<82OQ5CpO9QrJ}RP>W7F2faYvWux6Y4lOm>Nq;tu+Fea9dTR$LFc z**OxnLIYbACO}Ls(b|e>gB(j$bIQB;Xm=(tw}NqJSdJ%sJjFFVUics(US&_`ukupt znQC!6lOQb5f;Yl#J4h5Y!d(3U3O2t~MA4 zzwN3pp`UpKn8JXT6L;Cug^H!f;XX3RH9Ie7jO3NIsUz#&^cWiWwP7x9Eo{TQ+`Xa5 zM0NkRq$=NMB3zI}MfQ#b(G2_2ebZDIhY|gq`kv%GRFydqL<2TeXyEf zIc?j|uviAgUvtW(7@7+W@$B2*Idev#09p>dE5=F(U%zUgC8rNH7{h6j^c5RLh+4PP zz>~#Zy24p~RKYt8-|sPuNDcrxN-gSx7HYzed#Qewr^Qzc`QXr+nYTjd{ z@*CY&1Zhk8j^9nv5YxU5bG(yw3}2^01F!GU%v+pX9|gpOu*JiU?`!#lQpRe~`QM9N zzSPqHL|*A5{eTQ@>&I%Wn&LOP~@6eA@)%4=z%60$Qtmo#<;t!phQ3f(r@BCeL-0ddheItvrIWKSX+@>FP=ZCJ zQtIRwdN;jvoVK~-)V~Di-%L)XKTlUSZL+(|Jt{@~4MH3IMhj&hZ12k<*bic%O67&b z4l+0vbpO`If@+1(5Wq2!I?&q>5*v#Iebv7K+4~y;^Yz3-&tq4U7zgVcK3D>z=T1|k!Tne zp819Edq00rK-j<4FoiQXigQn3OtD29fGqdOxY#(j=owU`xG+d-`!=-|;5^xKudJT% zll6`~w1DL1$LIOQqpy8l9Bxge9Jov|Slg!f%zdD}vj=!H|Kd{M2KXXlP+(v{<3oSG zy=IL0pe8ss2WqTx4j_UP@b}`vvOW&`=X~e52K^-n!fi%Vive;5q5gf(DY$oA8ssYO z39OPxp|YnrAqNrU*LBLV{vaZV)H^vSh{6jy%r{@A9!Y4B#P<;JRuF-HH5wnEr;&H`#TN+yh(x!-W^wPLSLOesF$ufm@$YsOtIkF$izI-#gs5 z^554juQRrt={|{&Fgj}&3824$^!42K(F{NxnP1$AgX?76^N&Ks)HdHfbp>7m*az`m zM!va){w9E4wtYps>3{59S{p(?dlLs=H+_Wa*LHdW+u7jw@Vj#PP=NApxfQ2=DuNX9 z#t0Y@%Ix!L-7(Vj?%3&o$jQRni*fhew){MT08cr1=veN7KD!Qa;d5)ndAs}21DS?- zJrADnTNUc_iG-`hIDmOJ2JO^-Yd-KWfmwcf>xq|iZ2!`OD^7(to z5z|fS{#!QN|>jDg71#mBKp49D93%d`edrvYp(YKxj`G)~P^z3A_+cyhGiq5f- zQQ2Q^K~}8AKV8axrS3*`*tntadq`@am?-^dy2!3bH$_r+H#5A;VnZ?6d!ivXPCrh> zGtE?6+^JV!&C)e+tBMw!(k3S;BlZ1>vG_+%pd>&6MgKP@$82NbvguFLQWrty^snzJ z6||o#NIN7BwOXHOfa)fcEBp}rL5b!N_1~F&{a2-f1-NXVE^IOn-SvD7QNwiIkhUXw z)wNvxFU)-nDc_khY&lRtMB`V98{w*axiZDV3Ro)Ib{}hYl~(ZDZaT=QDO{X z@pi=XEKuLS_D|KzGZYfUUOB2AARATLv)3_q?F0E%rb(^dkp!u17PMJgJo%%tl&TR+% zSJjVfC}lF|tpd_MS4F_!n!+qAERDom6+_%3oEt1DhGx_+fy3oI00)O3MbO1>9h!Z! zr0IOQ&v+!xEptXpow&PJ0NVqf|Ir#9BYiL}dODe73v4kykLNXYxiDjZJ|8qJkM#0- zU5M=EcY~TF)P7JFjv4#QYI&&OtzZ_2p94oRC1B)HEUnkjff3qmXY)(T82zn4` zc*TBV&J?BLddP;Of)PPiq81P6ZOboEQ0 z83{Ay5RLPv8VG6QS-p<)Pi;FHQ+oC{$-)Q&A$@c)-o|bkRI*cJ*7Z5Q+v-7ad zl|GTg{p_)8+nNThymhG;F*7}d?CWpXAp z08%Oc`l?n5kA9r<*V(2)l-}0f(LX z&W&SKA{ju-1Qr3GyGFqu^1~cGw=p?wu$H!kj;f7brDJIHt5?8iOZ3Am02f^kcPmyi zTB7GFCZI3BcQ#BeiH9iQFGzR1vC_|XhVnCKippMBg|2(E>CJHavKy{K_)^Qx#)yd# zCM%ug@8;CQc(5fFsdjpXNY$OR7t}WqJFWSpg9s530!N!2(bbC;Kl?79;xjpleVL;= z&3fe^TQLxYF{I{1d>J)3ce-z;1n^bs&9e?t`w0uN;VfWaHS1z4_e_;s40n4l-(Uj7vHMbVO`4u6*xxlElL0As2 zwPcI=WDpNI@qp#HbV7}>pk1(V?FS}K>NJvlUO;E00Va^ z#Ng2yNU)v|$rHPU@?u3)a&{68?Scm}J}{=?flXKSNwylxYy7M5!ENyZJ^Wak{O9*O z$69%}pRb~HV#cHPtb8JEeT@+VCdNpA7fTv&YPN@6*pJFnNR)LbmHr7?N>ICLVxeU6lqS(XRDIFiMQ$4#Le!uSsl$v0?OyZv5RsVr}#7H zjCSrE4)G)Wv&SlcwE4aMx%ibzQd8A2a1P^K#hS;msH?#o+3)s{BGlrdO7)^%F8B$r zl_(HOoyxSyj~~n2lJS?K#8uOn6^|0MIa5G4jmPQC4P;GnO0DFr&1{HDTs2H5R)0oF z+X7+tLDz{;*$}y!>ZrBN&-bL;KoAj962fJa*W9xCr_+RPA;`Z5LE?4MsO;T&1=Tt` z7MK?e`K2`)itqCW`s|qa9P;TTU}QzU$*aDtM*nGdw+5TH_L^ ztJ(+~Xcoq3*$eM^x6 z^h^T%<7KEWsd{Wz<@6<|4fug~15UqA^9sykYeCt)Z`}mnY=136Ybe}VVK~0#?J|X` z6m`x>-opzh4Kz|Z@D}(f%Y$I17!%GP6>m)*yoX^%T~7%X+Typ(AX&*EUi0blh_#2- zqO)voK6XC-WJ!KC&=>}kbpYnsIp%0 zID1f@hLj+av}9$38eZ{ zOD%jdrl^M+O{Q0osL`+=N)8rvat34L&zY+CA=)2R>}EqU*WiG9X-DD0-CbEQ?OQ^0miHNYq*AE`8?%i&BGr{42kH;Y1-_y7MD1An5Mub*g#L$4*hd zNlVev3S4EsxcS~w)2dC?`UCc#O`-wk0VEYU75aU+&YQ6`dKTG81jbGEuvBEVUczYi z+e@EWE72>IPxrX{(-FF%$^MoyTWP-Xc-1yTJV|9dngj9R>3nDDyVfO+gQ}odjQ3i+ zBIZYh0yF`iw-I8-Jmo>94HjLy%c28@v%HR;WNlqIVtGVZN0LVP#5(65x|yurZ8i#e z;kJBB6)Kga{IwS{jMrh(a5nO6Tw^JLTOup_)C~o4<1Ir@dc((3T|*yCx}uk(YqzIq zBQH})jz;Fqg5fpbo@ri_%J`qD)GOo$5m@ZG9qFt(iI|xs>+x+ zF_^%(<1x|YEH7QXyq!texdh0;S@|DO&ybIeMs-Dze+uu#ScR3;66p|K#&pfX9peaP z$1tt8M1cz(hQ&XPb^2xPT_#8BSwbecd{q9PgGs1j@Mw0TySwR-w5Phow)Q|1;BLO8 z(;|$zlXtmUH{XnG+jBI-_H8x7-M;O`;7V^6fDCEPfR|o#olz)ThwqST-|z)Tp#k% z7?j=EO*6AMS}1~jVe2%t)nrOZOR*m%#1gw+AHFU<$!CK6h08+x>S1ZZnHd4BNL4PQ za0S?@_WEvWq`2&t4hnU6x~WX-dsxjyeY{;SIu`Q_&ue6!Eie6*xUesOLy>76rmv>N ztES1qGc_qn@6O&E(}auP3*mckyJ8!ZHs$M7ywJdJ+@+pS#I!h8$emT|v@4}=Ah5I( zk2O77W&TLOax2UHNz@)E7w?SAnI8XXypoMZZ&R4#vm1;jv3RYdVWKi)T>5t)HwSi^ zjF;8I#FTe9EZtJ0$Nno7_~W&O+?HkL;TFf--tSLbFcc`15~%C<$O9!~UYh>m2F%}- zky{p04=!}hqWQ|%ekVD*vAYhjUAw5NU+Z%Tnd*MzBKjPbrse zjOs!0B{C&crS&RbeB>B4jmD`dP}#UYrMsR!!aMt%XrRAab&lN|=g-JR*hx6k8!~f#b?E z_F@twxll({=&Sf{7fVY1E&W}=jLQPF8=tSz&YDW;NcYpSGkTA`#)#-fffuWm-mUI; zmmajEMScUrL5P&w&&d_3SnMh|7CLs3+hqDG;JUX$A+QD=Q)KyxRzu>}#(LRf!VfQN zE!GGL)w>NFNlDAZ#R((IH0RY`QGlqOi_+$@`gGqo^`tCy&Ra3zlP#-5Z#unP&=C0+ zhXIvc*`$;DR@p1Qbwn+i;Lh}=tjSrd2njy_!n+b*kgm8FA-XBG#2+<0^{UtQvx|!<5B2@?=O(EGk}t1 z-el|uVX5f5G(4`E$dHSDk)K8m^|SBRnB1U6^(^eTc$((S!R9EAqXUpxO0<>QB-ruO zpjjlUq}q63sS7R~gB0JIt`}UVvB43j$lkjbeBE@HFV+|zGwIHel4K;f*qGR|dHFc{d05ktu`N!FP%phe1 zqlvRsEWr~+x~KHVFykWv#$xEDU?pFIkNm#MRlxqm?OL`Ic~tbEfyk+DJ300egEbf5e(<`4igfTo2v zr2@w4XoIy9qc|^{U#Db=`rb7A&hfpY=y$wubDXgVFFp$-Vl=^xEq8SZb_TN1Z5S79 zcA7)f?IWPsR>2LsDaJYc+%o!i+Bark3>j4@%DxWt{=`i{T*37?{ph6_|Df;i(wts= z9!^c()Qu_+Mv5=e(gg~{#cssON|b!C^~Dpyl9XDv<-9<6ZL#=s@Q=`IGHX1uv=`@O z3bYL*1ZGV}awhzC!p*{I7}It3U4QMJX%TCOWNN=Y>w^K@UtuPmKMEW2{hbq|>Zir` zaOxY0Wo}?YV-v>HMRgjRbnJub+Ka#o>PTxmL1}&%LlhD2U8+*i>f$8si!%ohQw^Ot zUtbeJM;l5|RzQz^XAGRA{vgGoc9+2-9p`c`SGf+f!1SfX{CWmuZagi3*;Kf^h{YL6 zg3snE8%%Z4H13nR1CabRdy;GM+BFCpjQf$(p+@}+(7Z}*0N#MNVB3{ZsW-c}amM{$ zm7O)_XZCkk^7zIxA_Gu#(wt{-208c2N^54tG5Q+Zg54a!G-%fqIexSJhnocczq?M; z$oUz|7&-bIk2ZiBkAo1%$E!BV8OlEj6ON|f*(V6_XCNP4^Kz{H?}Qj2Ps``lQNwA( zq8Y{M-;up*;p8Dh%}hQC9~^$s9X*Vf2VdY>cy4Vs27DjbagTe|O)ze%yy{x13LFq< zpB5?YYEi;oegBr{SW@S;`M zsGrF*ZSA{AT3I#zNW7?$E&~1uw@Dgee^?*mI`hc5)q{{Aj8aImZ8Gp4wuMwnZ$ypu z;3`hfhr_b;wV!Xq6y$TP-Gbdl<>FKEIvqI5q7LM&YM#fM#nR-FRZOh!%@y3;-H+3Y zvu{Lrme{2s->yjeIPo1MsP4a{VQ^&iuU#<+_lwLNd&^ zV?B!~@TNoZ6Yae)HM8UNO?D1&U1BxF{@k|+o^5K>({62)3c?IW< zDv*wS3H7X!zk`k2Y)j0O+suy-;!gJ;p}Kz~K{uax9bPcb-5G}sV;l-7NN9I@Y89X8 z5M^DEKJ@!p056+V8Now>#F5wv2Uva;RcO4DVjK^BBrNEQI0|4Nb}U|2jQ4T&hZhtx zvV}IYkse}sFkZ@Z+c5kd=yr-27f@?oCwP(pZ>mI}>42*`5?`|8pnW)&ZA0oTLM=bN zTGiM+ERM-hw}?XKMJZ18>7X3>au!@t+-z#1rK`nrO>iEVPgFP%X9Rp=G7m$rF?{QV_EHtM|<+eWz9}!f7;u~6PQTBg1navipk-4Mt0(DI1j7N^b~*2 zuLC>CfxgLT)kO$@ZdS(;AMbo{&E_CXiIIO2Na(UEW6`$?6ub*<5?%K2DT~|aIeoDd zSMd_@=*9zfc{wdraOJY@nzO(5cjwrv9b(`9JejpH!;d=|LJdg3PU`mf#Sp?*Bi*5o z&7V#m7Nr(imMBtPXG@IrV2@Zffq&hOP zDo`YRP>caiSf(A{__d=1iyAgddIoWe?9xBJDdPPx&^aXgcExc~8v9LP`(C&<&xD7I zLk1niJb`>u7`J}K=@_o;Ir1U<4AmHA#L?%lAnk;ZK>5Z4kP^>IO}ULJIp{pe?lgvH zS8WD6rmzPxC2f zKgMX;bjFRWJ)@w&mlrx(Mho(iUZ$*jvRL;#xm$o6Y-YenI9jzyeB=2QsJsFR2>=sm zbI3p7`8Du!F>OdvtF!7y>q*1F(%d+M4f~Ogm%7MnV|9auwj#Fvh2%!PxIF)`hZk;3 zgq_5(1n0Kjqey@+cj=z{-!>Vk&uippIT8nd_Qd@KxHd{7Md#lnG-!#EC)_7AR4WvH z^cP&*=QRPJ-I$p2PbZRH`@uuYT3y`~R#kLO-KUwp$);PigcCP681g4*1gi=O#5$KY zEzWT<%nBlU{D1zYri4Qg!uNlV6D3=9!N`YENR+<*`)y&z#w)Wh`C3g(Q52BFAD1_G!$TA=-(Mzt+0ecqNx3+4Au3X0p6D>$Yy} zZgnG&6wfq^!n<<7W?l`>IwdRUxqc&0LtR{hknTM-oR}#~)kIo!NFmKiV##w)mZ9yM z`DgxuK|RS-R%_q+DDg83YZ6J;6tYw<5DQ|XL!_t_MkPYPRN;k7#@4`k!|YPB&W^Gk(PaX~C_lQrH5 zMTRfsu%M-bpCTbT_Y47ZsQ{;UwQEe1vpUR3j6WUVYh;1Rl!uE^Z$v_b~d zMRrj1CTc=8_wmUCk?;PH?oJ@<7n_!yN|m0NMwG7hr4!feRtJ_f4IIg=A}4K!0I4$f z=-y)Jt<4B(uKN!YZlCh;v0##Wc<6S7;jR<&kCGLd+@!O|QQSs4y!;jfDnie$%x{@v zHy+k-R6TSu8;Ub-Rb;!sn1(zkx{OjVDr3#l)5LI5`=xK>Oo2rEZQNku*^)6Q==R9N zM-Ux6pu4)#9`x*Svl;Z|fJqDd<~HG=xU}01^QYSH3r1EmXcLwnr)=n|=ee2tqNqzz za!m+Q-y8#7%i;Q7xUc01ZmF8$El<-mJl;E)JFU_78^-r_qTaErkAj!SZZk4S^M&?^ z!?ym6<3$HDIYFX(xbyp#q_9cMdqwVP(sDc$lxfvn5s?mUK;61{^&jJmVri-OY^vN& zPFH@k3eY#XVe>8mshRZ+1QkC(N_k1`c^qa< z{=y>IX3FN3o|(ICC2A{nBnJoQi}>bIbbx=vf`3`Bq9ht(bLZ)RTNU`XkU(Nod9>Lb zgR=vsAjZQ6xXpwch8I+MP zx=G|mL3K4LAGctoap^&dMe859d8>}0RdoByoNle=?mcmt?y`LP5&r7pxmuE z`ZpMIGqy6c{@=uqxx1bDmkat|aOmG==!+X!*qZ!b>5$7mc<8^mp?{dre{)0s*(~?J z+zf0LkahNjA&W=OFj>G&NOJHz#>G$U3-~zG$mC(KX4=91r@zKpm zfoW{QibHctlY7kpf$1N)CdLM)dq-l3NcD~2lpo9kptRq3#UEfXt#rYkBT(J+_U0a zjO6ot{+wO2r~^W%Z>rhJiIxBkXHuZQZ;d~+rCBW@Z*@~wlv$nWqy0Q z8-z2kGDf_e4z6zi#SHv_dCyn@{od~r3f4<_6T$)dJ>Mr3u9xtEVAlYgA@q}o93)_n zzzC8-;)4hsBw&HyMJ44!IOl}P=SW%i0nw{`;yq8^`S}y+u%x}8;AKJiO*qFx$|n@9 zH}@@sZ&CGQrsSoC|E}!g{cCT<$JgGbPo(9N_7{Se%#z2EB6(ik5&nQ2;N9np8CJk2 z(%NCFU)26r$tgdw+L8~knwrlzBcrz^)<;l|7|wgyRhzF5!7)EfjvnS`-?Y=;p4fW= zme+w#(oaFQWS^O5d9PxhZ^=HxpOP*1^`Fw`%zb-+w@TX=Gu9l_jP})ZY|YQ4Yphr4 zoNGtW1D~CeB=oOAF!^inRP&)bpHJgYTIDOz%Fz5=eC7Yy@;pCzXp-@X{hyfwv;S3?6YnHeCbhq{bWkpSDsiJ-RvEGsZn|G8Je{E_*3a~*6_-F+5pB)kukk? z+~azdBl|p|R4gBY`$2o^7#|RqwCafL_pfIuvr^yV*dC@ERKjk7xol<*RkIjZuzc7GBuH)^B%28xCE6;QC=lc z2E9bswL!)_=;i^D0v*dKI~$%H<@B=xC(LC!;t}I0BwiqGxaWd-_{g_IG6*7x&?W*f zl12Yx5EL@cUgRh2#NAXC0dz|{=VI>Y)TuMpgG7ze%3Cz+pCQz3QbGQugtDJUNwl?84{)b&D~{1K0%FOw*Y{EclE*k!4m?TDY$9Dm5%_ch*O zvAB{4c6>*}C9=gd()JrfM5O3rp(CmxhQe&{Dl~I6ELXR6_tF^jBhm{>pq;D~`-XK4 zDk|d%>2Kbbp}S+w{&IpR>m2kfA!@R^%&7@Pnzms|lr_O&2%r-j+LbCI&*QP}0qYT2 z?xkWg)}eCpTc^c5G2lStO)}sX>n@-U{Q=I&2rdI{c3AKLdBQRmj_{Gri0GRA_~RL_ zIt+sb7}Xx_M{6BP5FERo7Dk;6x2#a8zBMoFm(JE&0cHph{6=-YTHqdt$4)f7Qepgk z(9>Qt_?$ul%PrKrD8;Yf%pn3e_XFVj16Dt>d+VHoNKyp=rZjcyr(G@+hpfyIkN9pw zI8)ed^OM7m@sP25pPj4Bkq!Sdm;RE>Z?sR+%WH7F@uy~ww30dZ?^cWZGyH6cmK4&d-I*AT%uuOqy@BkS+6m-tMqYNSqc(9s6g1 z1>ow!l$u5MCj+zxWs@n6ihVW}Ot}kH?51ALCbD3{?8Kj;`lg2@7c6dZNy*i+As(!; z{O#t!BdIuv;8_<%cJd3Rm#c%%=Q8!EUVB4UI)!BfTej-KZkWVMTgZ5SL{3X)BNWJ> zZ$ca?bf2GapnGKSzGcq+28u*Isv+SA2hdRyA++>q90n8Wzl%?!s~H_58e}rQR!|S~ zmiVSJ5$TLqrn`jg>h;K47!Z{#ltC8o?=&*o$!_7o0w`OSdV~ z5@#wZI7QEAFk5&%cX))mj(Wc(zm+By9`=Gh>9`#$V=wK~E4-CCe(2pGu}K2^L7e;u zJYV0&2Y9mey7R%=JAR6G8_%x~U=s5<<6pK+-mukuXsr)209Q1S;k)7E#zmZnztgRa zlYZ9z;8bJ5BT+h|lOrKE4w_i^)mQ^#mQKwrDkY+C@yZ!8@vHTxEhV$}#))3tDR2di z{f2W z#T{0Qce?CScG`JJtS_Ej#y(BM4D^abw9>N$6*F2pue{ejFMGS$CCcclET3twB zpQcbAArJJKlGUruBgzH;iW1ktVrv(n5U*d?5gC4C6nn?wZxhmGek0KH@5KI1xJVU2 zJ-NTAIm`YC3ALh!!kQ~@<<60&Qai%ASVV0~)=jlUHODo9@8HXs^cF<`9U!eFaU)PQ zP%su6g0NRidqDgZz#~FYkmPMdh~Dq-THhRk<)&>E$p7i5xaPJL!)zieb z^m4ecOI&yrI>nvJ6NRS&vhxtj4Fz5pVPoKjkv!g7Sa!n}O{3lO!)EeY!&Jn?F|swX zCn=^OgA@Mj0(E0KkTH;UT9P@rVXB`*TA0bU?9M*3!CN2B<{>*dU7KQ2A(Y`QOhH(G zbz=rI(Lq(R^J%dt;Vei)^{flq;uaTeN97&Vr9n}hkN{JayBYoppv8!}%xUu_F*iQ(?hXP&tc68P@8l6{#4e$bV$S}~s z@YS}Wrn8{Aq@7&6T8p6G#j0(~Mxr)Pw+uIU$bLIJe3pM?#^%45qwpSTOa7*hYq^MI zqZ)S0SivIag*wW&Jz%;0dN>z%m!p2Ngl)Pydh+&`D8gqLVXC)=A&T9q0cgiXz{H{unSV#|9QbPj^OC?oe@GS%rQ=E=3s+ z&|=hmhnV(fXn>NNnOD8d&M1KAo`r=(9ZYqqrK7R9ohzG{*w!b^AU zuXRb4))vvMNEo&6Y-L^k=Hs@i31OXXWJ_J@q`j1u=XNom9&F94>U4ptqahW^qe&4W zr7J;Tg*K4ztKWSxlj1%nj&1}}40Yye*ff0Wj`w$KR)h-Rlr|$64DQz}H;AD1<)D8c zRUWsaz48GmE_1fa{lfq;XJ%hp4a9@c=vb0kr(*yR1tFS64ABw+tYs?FUS&ZjgwCk^ zkpw6?Pwf~63crtK+^gtvj-B(~yOZjjRaU-D1mJ}zLh5LoWB+afY6H{wH`6AcWL4=C z;a$+C8RU#?;!}{an>+VsYzicMPnJ1H4&^WB%c{HyyG#Y6=C3TJ%Y+4ZseeLRigj{@ zd(GH$BVZ>0Yd&r=z`#hW-JR#l4hJ32H|0 zf)hlpn*K&O`BJpLD@XIF(;H=Es#|4%LAqNb2aPyM-uytE%u+W!X@^@zF3l*TZEb)F z73(E#%Dt;)p_AC}20X#-YL;}qB3w_f~{N`1j1 zLSu7f`{tQWTMmLH*{{1w0hxljlq9ifsvbRX)W@%3BQ|1M9O4U}++l4+z)#~)esd3Z zM~|kx(nPZq*#x$yzeD$YyvfuYs{<{!eaT+9o|Q|qLl!<=VY$@J3p%y3`(;p(kc^XT z7~H=KYo)T5MsZL%O^-K6-^0`Hs|vZYcrJ@z2A#_vYCXj6lx5=G4_XjDxyXm_!*b*U z`vPf2nD}U~uM>=nHucl8g+$h1$*GPGb}F-qwK})II!XNPgIkmNm!|S)UV_OsXAEq& zZaO1U!PzI_lnsKIFZhq-u#kJXdr<=W47Ic4EsxY<;(YF`06pD>pcWIMA~+uWgr*g# zJv;G)9KHZlD&44*(>nSB&yN(yp?glBBf81B5evTM{jgTa4oXMBx`qC~WZgGyrj*Ux z?qs#+8y&fLz_DB4xvVOXBzuMi1T}reKcf5u&z@aCE#$+|l#K9g>pS3-Ipw z20A1)hkjhs>VMM^O3#WBo#*V@cI&v}qxn78U2H^}bX16mV1}n86*ADCwjNM#n{Fs^ z3^?k7MnfQoW&7r3l3I{cT2y0+UfhQ_G{XaAV(ue$B3iZzPF;Nq*-nBOOAa&iK(ma9qxlWR5J}*_jQ0RuZ8XA2Bq%f{S0tQR#Whh~!;<_N zh{4vgN5@1I7k4VI>3^+~q7`_5ut;*RQ(vo-Dhb1tEb>HA75v{J#?=Qqh9D*e|c=RJxrfG$16#}qe)K%-IQZUoxkjZ zML@Tv9V>|-jO$a0F^SdFcHUIISGxy_kw_@4$ZY7Fm@pV>?lhAL*^Es84(2$h(#};W zav8T@H8LS0W6vfCJ5EwEA|S?h@vsWM4TfDBccZXw@H@t$|4B1(m)gT@d>H=9R)3{I z>k*IFNCPDu-D}q=OPfR@d3Z~fLD5mBgRzO4aqR_eQ;E+0>_@j&{>m=z88CZ5zEfq> z&WD&eSL&2L!|$DdiulO~yN%Ve-#}@if_oEHKT)JRPE7lNtjF2@+CUeWVJU_pQd2`r z8E3{+T$nn$DU6uUUiV|Ob@2hel!s$vv8fV1rX=W<)l9fDF$vytBwUFGOBPzIhN8$J zc+a(kuFLL(CtkjetL*VWvNKhS|7nkaHtuudiJQ(M)vWX(cns=wQqbHF{1PS3ODZ)$ z-^8y((7}*8c`hKGV6TxPwED~Gb8Pb*FEqr|+hsjD{?PKiZ;rrTOA8s+hPWbsH8_SM z@HsN8e3?(M-&{IOsqi7VntP|M?G`O7NGP*F(!^Jt1Uz7(?$iiHI+#(E@}0J6k%B%F zG&)%0+~76TLO-w&J%A*bZl;KYA0XEx0||5Qv*dc8DhH4G3Z`zOj54C8^QjW@em3=f z1F0l~dc3w5DCggRK}|Q89#vJyauM#AO#bJSlL+HF- z-*O5<_(iecVC8cRjf#y|b3D#^sfi+su=WQn%1(7-bY#&(QtZGB>Q=;%I=GoJ11A+5 z@%|52(CWvOI?$I2(tO=sPxi<(7B)%Z9%FF?D8k5{9oeYlpPJigQhJK~&1T9trwm?? zCP|NxH$AOn@WqVX0h#(T>VIqdbjp9-o#v~nkJLknuP9Dl``rB5LRxEso=xp;1?<3U z?Az@FN6Ig(!^Si^4`^rw(pJCoX?5$1$YfH-_<2v0XzFTXK}$AX_pWr@QcDTvT|5?@f|O_Bzdc?_z@^)F%s)M8Lsa)ak!{q3k6 zeRwQ-HfA2UX&Z{dF-@_~d4k&N603XK6q3R?__i}xw4C;1*Y4oVn)@$yQ*#WCx-$q( zR1o~&mg`>;s}w=u&VraoS0Bc?7T1|*bW@-ksEdiq?Zn6Uy`J(`)S91$pOiP{?vcqP`fz`q*x*o)7W6c1TOwSswSAAZfr9NaF%g&Xgu!teRh{8 zYailmj4*e6hc3N{ zJdl%s;EZx)^a_^%w-k?1UkScI=_ElFer>i|_*UHT&F(wD4&miMf>rrX(i=-8C~G@! zQ56!RkJ)XB2BaE<5Q?cLJ|D)b5k@jy;XGI1=h#ltGVRz;+-&8nIpB=yn`r+cM(oLvFI8Pu947i1B-pv)-(T0~QsfLl1&Y0$RZTm|9Pnv|1pI3F3c|wU^rx5n z&6yn75?5nMo`@JTiPgflUfL~4Fj`!RHUn`51-~)I1N&3>M}VlMm2vR721-(iA8BbI zon_buh3=7yX`3)!ng=*m#$FijuUAQRg zcNp_hWid*Wi!LT8H9W;T$t(@nA&+UsdO0Q^fW&X;#S$C{1F$)*3;*soA(DK8IH$y0{t&xqr1+p}7DP{1H=&+%*EH1TSS*Qu)77GyhCK=@@%Jf$AZ0$ngq0GxHGDCH2=-(%= zL!NQ5#W;`9jM{T&Y82j~kz}MJty=a;9shQrvch9B8*(*kILTEJDv(wVzWr>czM$DX zzA(#yAV7CTB5`E6{v1LJTwHs^gq>-kN|3tDl9z`P>)K)-cyBGN=Y-s#iEN>)KCu=| z4|k}gHKd<+_=X7=fdl-+co2(dF8mw+uUYdtF`zLG{2|*Y9Vbh#hyOegfMa}A%=z5bYZJhY7FM;sUv*1XnsI)elzFYA?iZKiT(uWBdM?G=xGqzM{OSPxS z(chUpAW^?`tcy)z-m#CnRo)&j#dxnj!}{&_^2#3HNpN++A*eL ziER8$=c!8TJ~kAt>~KK6%wAH;<+fNHr@#I-{S6d6B^|gPnlC!+2Jwtv&Zy zEJEUwT}C>GP#x>&+mF%)5HjjD?~>7vDGx#WYo=Es+06fPZKk|$+g#zu7r%e*;v18L zl?S3y2$Pa;oeGvU1dJfscbn?ofR-_DWEz(laV)Kp)RRZy+O0fLLqV_0FF3s(BgYg(ez*d3JUht@mFS-d|N5cG;zYn=!lDr+hErKe9!cp0xb`bj2-UeVDYA+fuTMYJ)^*efgmwMZjVXNKo|xvPq&9;7h*jQP zsExC-bL=VXLJaJ7qAv)6m-Vk?lrb{JTP2_7`&eCXM(a~*^eRQ=Co~of7{tFmT~T;y zoQon1Z<^_3S`l$`eNSO&>VL0>^#9{%K^2i}@g}kV9LYpgbOeGBlt^w@<()Z<%c(Et_=l!c)ia`U@@T{e5_)|TLM*Q46QmpGbwimKM}>}F zO}k&~#Qq6E@rHtlVp_J{-6=&qD)uOvxHe#}+`#YCY=ozUj_41YimD~;gS@KjA`j9`_BiDTq(*4hlS(iVB6%Rx1KYcyT1CGhKJ~41P*iI4Z4*yLfE`J zL;`3Ps|_R+vdr1qvnR;sSd$tpFYmgLSD0KVc&J7viC_c-NPl6%bJ-ulc}-XSzj(vL z-RGR;Y^iMni)$x@!X}_&-T#87<3RPgM18P&B(%IJT4-_kzxIVv!vDoKsMlu?#x^+g z1L6o+;n4Y715)PW_^vi@nlKf=;@kV)^a@2P!fp`DCpH<40$2>g+*o9ZVR&cA3MrM4 zD&P!gdPWUoBy(xUrW7U_xb2KgM&AOY=&Cmf&-+cp6i@Gp!HYJ}L3Kb4<1FmUa>Osnw*L>tV4O({$OvGUSE_@T3_%PDF-&8ca9KRmkycMRrd~;QY-)263Z;{oANA z{pPQ8mNU!9#j)E?tE~tGdmH|`gEA>Pm4(b5y5WE-%po{|$_ED;mLbimLl zwb<#cnT+nyigT!F@$wjg>nS=}KbR1XjAYARaz_wkP0_SCc`K4SeFw-P0bt^4XE&_H zlvMkdQLA)011NFlq;+z(yw9mDM!Q{CyA?3q$dvB0%F1zrXVA%_67kfSvsej6=8|I*r-_; ztMVPa&{-z~9@8ODw#@njIN4&o>Hd)FYD$PhN_d8yFO~{lOH6Nf$#(7BUw^O6lrv8Q zZKCHg&QG(K{!t8r=R6+wvtDOeBvx6iLc(8t)@G-dY00qE`wrr3erP&vrZ6`6zRf`{ z-_x~wJE3%`s;A;&4%vAMdkg!J%Lz=yuzl47&q$8Y*6}{B@ID#;d?4i)a||n*KRsG= zCBIHr#?V<&n3S?5!r`Ch^F|EQ7Eqx1fmHfUH|B~E&O{{P-hZ$Z!I$g{EWb4_f^!75 zF4%P-ShVo-6X*yN@2w+COyRV3`a;`nDZFVz&fHK@{t^%^Um-vb)wU|Ky-fp5kv2b* zBAvA;o~-GYvTc^6oR28+iQbg60>z)`z1(OnUMh|=cq0bur zd*K>qYa4Ym!5l~cL6_u+6=@cX!2nGOiCiGN4+B#cy&F3hFCY-K)f=oDW_oJHUck0| zq>mizsPu~%z`MKpo!}AFZkI9&W|15ICsy?xQ*0Oh4*Ozj6?>{;!Im@SO)EbA24Do3 zv#PEh4##Gm(a%h)!TN0#$iU7d`64C+A}TkFAtRK z=kq(SPImKq7H52*N}>;MRJ4(iHu5rBmXO#ga%E|eK8R@Vn%nYP#|R?|<1dh=6|N!! zwrO4PiOg5@bk8ShD$=6vmbhTZ+Sa7hL4*>HK_wxYl;j9ApTx$535*JI2NJka12IZ? zIiJwD>W0)*?J-$lLu}=!xG3io_{>!_-Gf4!ECbV>eDMdvwK9>>m@>(|7nW)e&@#GCs{L4-~VJ6W>w1%4(o8v;Q zMBa~)=A^vvqddZkVbIgo4)k+NA#(G*Po|IO0ZG~>Cw{L8ZBq#kek@F)#m~Q%ghpFo zN1+1Oo?TUkK}(L)Y9Xw?HS9M%NltNNcs)CRAJD!kf;{BrN1yUeh#_8tkatWQ%7o|^ zsgQS0_clR9E>iQq1l&wHkt(&A@@sB-wg!Z;O7 zoiv2`>>hhZ(&LR36eno1HDWHr=4bCzNcQJZ_~ zp9@`yLW05A17JN%d{Xyx$Df?J>YY9}K&`BaC-V7xJ%?O#MKQaE-lE*&`GoUihs4x7 z#XVqrAWyg9tt2_%D>u~A*sSz@xzrrZzEy=JTEa0M7Ndpr1~UB~_YwB&L@wM3y{|tf75TpFtxb5u5jH0Qq~d`0$Y$J+k%~uUy{ITZp)4dt!alv3lsZZB@r{ zslBO}b9&Ag4TK_tm&wEliZnooE9igZizAM;1N+W$kV?(^^pHm;TFk-U)DtBFQ zn9?oFo0in*mXGzDs@_3`{uyP9qYR846FH(dWf}g~aAzc(Rb?5$OV)qD1;HS;@4*mH zDpW6^{#O{Y;^TEs%T8#GgyNM*-Z;v{OLp^yiD+1AXt;})g8Vd@gn*#!m~cYX*)*w7XYj6xk|*BWXwnS$y`j!es^cVK@2lM5 zy_JW^KI~I}nAH&h@;EeHw1w?@;5^~Ps+X`B0tQ*OQJ7&LgC*yL9x+;!T{pFrwmpo) z=JT<$)ZfMCicA~O6d$mh12y}y=o4g6^W;|fp0bXOeL+$Ra>o-VRUr736}ZNfyT2@z?udtJ{s7yDp48Hqw;f^v$h-h#>W7wt+^~3=8lhtGzp9(KfhSW;-qoWIwL! zCt~hjMu6d)WU`Sygu=jIsAn{>*ZCzgSQtE|6%lOR)_tGTT)_EEnKgYPzD8;h{?x@PNEA^=U?5-t6R&RqxDNW#s-1X6J4Y2RvT01zj~c zWGICq|4UZk-)^B27l6G1!P56j?y1p&6KGDy2m{lB6+%6|`Plv0S}z96qrO+p3S%Nwr%$wMlncbw1PtPCQaKzKcFOc9QZ0q9m2<{H zfCRK$byc-=`EmV8@MKb@WaWfnOWGVq2zis80z9iBb`-9;-O4nvwT76!Vj@tp?4#>6 znu6HKL$*HGP!0#k-dhy3(lyh1JEV&sGu9LR?q3n$u(H>H38jqrIDOEb{Cu^BV<$tR z(z@#>J1&K^u_6XDd*$T{3bVWXvG_sT72`MDYXwpV?9eHH=BQ6G6X_cgE|MmmZis9= zb?+{Jr84!Sab;K;0Gh(H0ZD%*-+q@{P{za>Dh8CbI?AQ}c_-}h)Jj|utUr=czs$xl zq@;F^{`j>20&xo3rquS}*G*M>Yzr-fuJR7@+aoHv4mRuz+?Upx_{i zGo|*VhD@>KCIpH(N`@o;gz+*sSg@)4nham)^Z6J)K|fW6BkfE@#+Ndgu%Ni8) z=h@bcfzTSu%U-9%yU=@AhJ|s!!1?TcJ%_{A9Fp%~+kxJ}^qIQeV~k_K-&-biRl>a* zGW$W}@8lH01C=D}z?WSsf1oV3tv;3vzQeD&c3!j@{pcYzhc zi*Y3`9W+{^@*HEs_i!aQA$^!ya|Z#Yf&3QXd5>wIqByjAUW&{;0%|O4TIY|ouv`ak zTY-YU_IXDObeWxzAyZe+fM`2<_eHiss(hsRO6ADT^AV=qsMA3Bs zobVgTI^5>Dgv(N2I33=xXwxZ`+ES2&FbK#$z8)~9t)}Y1zCW2>Zg7n#QIx6rLlP{A(K!+Em=5!}>Y}hR7lX=aH~?1| zs;`{!%j*#50ZU>}KXZn1fS0aIc;~qA45?H4>#tN`$q70>3@#<(DnN`<&ldK}=%UH4 z@&aI?19yZCdUX-$NsvmTxbw!{-17Pds;QlV)`L?j=x7Xymz?Yl1oNB#=CA~j9BNd^ zU!qf-6VQrO-9h}O^ng_~bcw#hM{5@lKbcV$oJ0tPF!X9eD3yXLx{-jwrJmsBjZ;Bn zHe%3&1K*O4dqW$y?UHzVekODK-A&Iqm9inSvqvxz_0P?lK~H+W3nf~uxN()heSCB8 zW~Lw{nLw&Kr~q;+7tDb{`Ze_-M~(cS*-U)L*dbn={JRYb&VJIR}9h9I*Ix&|P~jEztSX zsfN-;y1?TA8TN}{!%`|hn5E2`_QjI-QynL#k|}i6!{dc7w>}3gWSs@nWNCvg%a$X? zV;61u{+YP@qXQ_d2HT7^ya=>1WaQdXIV*fXX8ID#q;;T#<8;wA5gt`3N(m*c{#P0A zb)ZaJiq4ucc2l#GgioV+-{O$b=c=vLn}B~Lch*3LsI94zl^J)T7~-(S&^L&N%qu&? zaPr2igrLwd{0y{KOSY`LK8dGg^~<>_U4=7ZC6Eq-$(*hqSMsuSL2-fN~{y5uCOdH%~967nK83 zKbK>El@}+HA>FfGIQi6MVKMot7RuH;R;=kyeCy~BNWSYKla~MwGoo+A!$ucEl-&lNTyd(|unvLIr zBETMZJ2yoKy}3KTd<$v|U<~vy$hMQU%y}hA6V-`QJ>zONh_1~}gcF>Uw4|9J%tVS$?*;ew9N~?+C+amINu{u90io|Hfgf#% z)A-ZIbjWtW#Zxq{tC+|3Q*m4X=}Q6csLT)bQk0YkemC^V%Gf}01StxBaA)F4GR9F6 zekA(-*JlEW>;iP4v9e5PTJgoJ!2IZXRb*)~f zmZ#k#o`xql`VF&kz*sy}?e4j5%V*F4=4C2w8`+SXhoc9o)0FHJ}q~LwTAj>SL!V zT@xQC@(ft!9E0Ak&^NDQ9o!|v)Nea}kCrTG$EZeA^K*NZEjO=Mh_*S&Ct!q?Dm^-N zp%FT5-j9P=`wuUuXqb2gp5X~qq3VW}${QB5oU$v4$*r9?I->UNl3?(A%qu$vnFywB z9HBlsf&-;A4^$e!2gR;)8nP=ge5Wk9GJ>0l=pVRKB-=Yj51nzbNxf>pN%Jqr)3yk% zImi~4N7mf@t#uKsQ@20CozT}MRd~2B$&Ovz8R;O4u5_EKogDcFQH_clo%?S zYhhnRecSZMUlt1%4>_C1^gLcs>Uxb2;8;wMdi;(^LgST1rTM*f$oP!-n_WS+nK)}ch|u5! zCN4X<@~;2zJ2v}`hDh9_T(Pg^yk)CaE*peWimG<4z9JB1FT!fu2ege3EwGD>WUzdW zfczSxqC?=6hhna`NmQku%NuA(wJif+d;0vr425Wt6N3F`H;VW>`jhh+#!<+Ul*!tL>PX=GE_(EWdC>g>c8o#`o!M?`&?ihL~ ztfB<^62i1BO4V#1IZmFv8hMRpiv2-?cZ)CPoq2X9njcaiQ9km=@~r0C6cfi)w_TrJ zd{UlIx*C{%DM`Znxq~pZQZIvmaqYBOgk&;cPqei!QSutW`Qe*5lM6MbU*&FzKJJh; zAw+WXIhDVe1VxLDgg0kpNW*(QXqKlAC2Nr{6(GV5D!HjqD7p3>?q3F!!jh9$F(u2` z66AaYG$2&of01mjs$eF4Q5N&+D&A1E_SyO#vAZXIj$5cA2M01k$3ER%QoenN9G6m( zF8u}_^gr0`i2z1Phgj+i$;|Dp5#L^tl8WOz0A5NN9I)lJ+0eB=^Fu^02^Ppl232<3 zAdZy2#G9Cy=s?tZ>MN!0s-D&R#@T;kQQ?v?z4LYiSjk8+fj;Kgtlm74Y=y|VNnH>H zI=L@M9(owF3;DIRj>D*_Kk%DAZB^g~v@fx`6gUzoDkb_nwXl=-75MY8xg8e~V}4#h z!q&r})53q?ENiJ~n={@+gmk^)kstJ(jji4vP9$KMElmFU$Mxt3Ye~@Lc@s!CA8N{k zPttCs`gu!NjTj-5e_rm?V5qt#g>x7-ee@-d(Zvdvr=f71)BAD#pm0-;3uD`EHsxVs ztelQldeylHVeox5Y!7WZD_~NBiLowm0I=c9EX$$ao|xw|8>1q{fRS+ECVZDh2bY~q z;X_Y#Oy>_J3%lXOeeGPgpH`wkUyZIL5XYMdQ9X)Aegk?5jRCe|OOGbCW8r&ml{q1D z9rl+C66(MIf~hcH?y*oWDiPo-iW1~-7?Wb>n!gWMd;qjU);EN7y@3p1?F45_KMy!~%L|Fe&elS=GYIQrd|*!Hs={cor9^lpPhxZppP|0i>JGi4 ztV`f9!%@8?b+kJ-2S&9Gx$y5wWZBi=U@uKCKVTM{+M+o(iYk#KL!lXCQ{FO%zufu~ zVeSFV6ib;rNMN0p?tPH?g2Gt7v8FU4Snz(HEWQ-(j} zHR7O<2;d6|Ha7D`Z(?)oa$316yIhEdEcTknF)mI14B;!uQOHKPp8@KfXGr%( znZoU;Jl9{cW%sBbIM9=v&hQ3hTYc6I3F%n|x?+fdq{nCWL@FV)UKQ~@nA@4$^`tzt0Y zXye&K7_#4yqJhiVKPb7<_;|!Wr}t42Z6)A!&spKaC3~~rn;vEIw1rJ6bI4ZiUBNyy zpmQLY?ig&N;(C9>6TZqjEs?;Ru>jE8Ua@V*kzjJmMKvpHI-@(%5^0X&qnUXmXGCP} zP+gFJI^W+v6Mt!gYzn-zCnnvY9|LC1*Pw9$oxcvuwBJ}ggKuoE0Zrab0o?Tue< zUrjalxpWXji)J2NE5nD06hkv)$IE&QBiOo||$Ih%$A#jAfl0 zf;VCD112~cmhwyI^K(_FoJ3wF7^Qp&*3THA6lFOW!gjZt(Wau0w!T;jtD|mmNGpZ_ zf?t-Nm2lgUZ6VV|REkgQMq~l^E$-!B8%Yb6-*0EY;T-hlv!3rG$WAdbmJo&%k!NNM zT;E?!$MonN{)zw$6$;tg`Qh=fUD}PgqBJti<$(TKfMh(Yn0k*QW+Qw4Fhb`t+&#y? z{`9h|8BEU@!DxB zuGgfQCrt8bYsT>GQZYcTSrw7b@JUPMSh~#)_uB5odv^Njbezz|W4QEk8xSw*7WY!F zBQi^RC=C-%Iqt+g1OO1_mD5mvl8wn%RjcLJXe4S{Fx(WkmQTCi9lrk%G*6yOQ(jou z)ia~jDc-kQu!G=c9YXJlQxn#l28M)yaat8ges>ySNF6K8%#~~eye~I9=L<4-d*US1 z2_RlldkhrO;9H?(Ef@nlgWkBaQP@l+2}i}@mMq~;D-uly1O^L&)9aw;4mw`A4o(-^ zu!^+?aHC!@xY5JQ2?nW4kSD6f|G`f7+c=W9$KCVXqC`?>6bq0BDk+0^`;t*jn}MM| zY$?p83v9n@`;HWkl!3>;PRj#;+zm;$^+i5OAlezO5_&_;B$Qs+XApSCKh76K1Scbt+P72hBr|dbWJxn))0n#T3-#;(qF^HbocJj?8IeEW{j6VTJ1(#*~3U zNJNcMRL9fGsbUADbg#nEBIprLA=U>6GYZuxk~MU&GIaVVL{dwL&rY~-SjA!KsJ}j? zIk9;0oMr6DFoQZY(m2Y)0Sp0v2rM*~Y~Ksk&D{Rr?hg|;NBOj>V#1S6+~0tPhys~U zm`+G!M~y;`Fql(yk`AZ#&SM2nvGw6+YdSkYJe1{Xu`Cfkj6{ojXq>7pNOBWmq{W6LEQuk%aY2S_>wn>8mQz{)MK;Z0xc3 zsA1z^qI=ZbhQ4k=g!c%PInJRi?wmH!7;o%J7DZEIlh zzvZ*g6qk`z*8In+EB?RfEdGP3|F_P9o}L4rgO%g|gH``0dHCPZS+M@ks5;X>6~zB) zv-m$ybul{ee^v{re_jh2I@$jTSy!P`rBkC*r_-R*{13kFME4(V-O$#`*5=>d+FJjA zm0tYwUYMBwb6@=b^%qwE!+`OB^6VD>&ngT%eFtM3D`OKUy8k+I_>Z!K?jP&^A6v(N z+W#=`_H_2nw*PqZ|2p^I?hI@5|Gt<1VbO53(swlbzqmC13y7z4r}Ox~8#Vp|!v8O1 zJlp^DJpTt7&-70Z^54CGWIO{q%fF<7|L*=TWIQ7~>;E-_VtvFRs)*9z?H1al4GD&1{<(HY;^-F1AM>K~k# z9vlkbhlOu;y>n_{ZnXoH4_EwE8iIgt0p--lKwk$u`gg5gOCBz%C@X-9Cz4cNBpERO z+Q^^0hgnEdKgcbPf}dKqkhlgTbLTb?0&ecE-vnRDcJH7 znZ%L(Ac+$&0TTWJ$)<-8^q?68^ptCXCV&ExKfmzLzL`>O8*Aj~>pzRQNAtglEDg;~ z_g}eP-PM7WP;(IYwziN?4WGTmn0>xdiC`I;9UDKpRZWrc(Z%6)+3hg!eNVS>#f?G5 zU~|gj3&TS|dL~AP&|hlUW~Lv$B7Rn%sUV^(|CXW<>^FR~PkI$4MN?d8EplLg%|GO> zd(+ zG4#w$A)f#Mc=$aqFs`n&`K18xrMv)5USz?4u}Q(W{1o1D=X%3|`^f(T#7F%X5KsOR z+6EYP@Jk>|3Q+i8K)mFCfcU~CJOfaA$zK9ifWj#}Aeq!pp}g}4UvOsaeK`LTs-Ms{ z{>9`Uc(a@dJfLZ%Z=pPQ3t#Z2*V+G!`2IJ-@{3@OyZRUYjKYNZLkQn^#1~xI`~lAZ z>{$BBn!h*UH@dF=8>zneyD=vnf_V3d4x}CI>yU{Yf|D1E=&zEeYiDl-e<$;2U>=K! z?>?WzBp;>vuRtrG%=+*6W+&(OGOV(}4_bh-=GQ#*!}!2rKhWE&m;BTN9Z0(AGg<(4 z!w-}I>)KZ^eyr8+0_?^??#xwQu}g|eU++WS^!hIXu%^|ouKAn^_D_+(GfsbVaQ5%j2Odzz_wm21?kx-_urD#HF*H*wBGbrTO~0dGj6T?n z!pLBxyIR9P?)wKezpFsD7Ps$c0a5oKM6gTaP-joK z3ct51tAecjtdq$=Y-?f5BYGiZoZC{r(z#a0xsngT~t90;ZfXo8w zI(2OOFfEeS&@`WfI_xU2GQhM3JIJN-yF8oz=uOCQHcE_ym*S20+K=Ugz`*W!9=T)R zpt~Fm+hXoo2ZVhLwAMe?yVG`jgO#AVkCZ|?jggo7uJ>SL~uss<=a%%crHV; zDjLv1OVzO`4i>IX-Wn03*@rSEkWR!}?!7P@dg(!Grh}tSJ`cM2FvH?xeA3sJuPrb! zp9sc@^pqab!V%ED{V;#uON4)dKzLSs=eJ+)jGv$ly&tJIX_ul8`#1y1sq!E7O*#(+ z)ZA+93rhEbU+y?f2S_Gk&_c6>x{}VkIU3PNkR@24Qke?C2U4%#T9tZ{lj)Q3M&()& zZdy@%FDVOjdy(I1V;|jdM)fEHKNAe#`*QOq1b~;6@u?$n-lW?!t$il%Z<};*8kIJ$ zscFQqI&G19usXWaVUu4VPkkKYkJtzhyby7#S*H%vzo?l=bPOJ z@;w;>Ma=nLUd$kIhy9l7rU4GMVqoX%+jkLI;G)>)B2vV&WNeeGWP91Xp@tfoTJN`j zx9YQZ&$}nX%@HU;-u%_ji4ug25|1tK@jVNs{whtaCL~=Od7!MaJ>>-{-0W#lT+r{Y z%$MZ6cOaB55iK?Jxy)kT!uHyZuPaAcIpm3F(*|26OEhX^AbK@_-^QIa3cCQ!Y2sWP zHb|?hDU!B-EVQVFA*fzu zIG|ROArPTQPCilC#GuC+H&Sn<5jm~-CU4>0T#|N$kx1Lr@f#`fd4byumazS<*XLs-d%r`^m65E-54XiHlWzM*b{^^+b(E>8HDj%dqIM z_rN%lubD5XQnesyFgG--V{b4SexDVv!co0R{8Uml(?aYF-y8WRky=E8HO4k;#AAe< zGp3Hg6fYL-LtdILiq7>Unf{_oA>Hr}Lzr`mm8~ev!E^E1++VRFVnd6!XQM36Jsz6A zfAQ0%p0#$Axf%mN-C<3(_!VbEvVf@k5%#7WI@Bnr$h$IQsZnEkecS+VufG}Dn66^1 z?-_49mk7C}ro`92#<4rr`>n2rv5;e=Gg*Iuj6K&IRo+{mAzv|u;uDZl^PDd`_TlI0 z^~r=VAv-YSFKq-Q-Lc8qHCQ+rMi#|6~)or(Tiv=@fjA^? z8JK13gYDqpu_xadElPa<`-L8yczH;hxFQhpHKaQEjqR{D(u(++Q=E_x&)-v%%mAE^ZuZly zo2Fz18*`w!(o07V@685^9N1;8c7xf9ch`k-DlXpFkBSKgQAQNe-4eLE*j2hIj;3P$ z6QfOob0)o9`+0DuOQwq09cN)gkdIkKA-uOuzjfCg3)TMQMXKkg#)y4gLKci%+JVoi zH5^(gcqDQb%p~9b>~!)Bpo`dJpmU?NY$e8ah34EYSps2AZ2<8aS!*UzT-iGhnn-Jx zle)BK0VmPju!}Q``B}$q7_X@mc{ew>Lf+x5wcZ(1IrA|=AqkX6DB-mAH?GI5#Vb?a z3xMBkK{5cd{~u@f6f9c+C20EGW81cE+qP}nwr%^|W81cE+qU{v%~VHEOi$FzWaL9W zWJW&h*pV4~uW$X^`5J`~=3i9>BOQrNq)lJbdWethKe9+nxE7px9?Fw2$D?ltxcw!P zZF*^boppMxA<$g61sviU2AfCW&criA=n)I$kZ+^sYDi z@RB$M4mRT~NBW*=M<6vl-|AshQY0`)@;D{7U*bpclVE;-pCf7MEQL5kYh^=O<&Q+Z zCBmR-&Jqpe$Uua+qk1}$@Hjdk+WkRT7w)3AgRDD}a|!jVs=0AK$aK1ujrCmJ5gDq; zhPqVHoQ11LPS^lhl90r6nL|0)tol2|KJ<`Pgd*Q1!Y-Z#h%3qD3UZKkxF6?{^yzO~ z&wPX(+}Rsv9V^^9z;|(a5f$i}4Vvg&v7=#jmZ7fNJm)jtv1=#67*plrr`q$gohJY< zPv1bQ&%7@{4Elw^_`E#+FhFF~0ZRtuP>pM+J!IDo>fd?PeZsQOZ&ugzjn9a4HPTck z4L(-(ww7bVmgHT@dGnlH^fcwzD*V$_t8N3^B8#m?|jm59!%-D zi`t7L{5hGsa!m)Omit?=Gj>J**W118C6qNieB1%QNkbh4V!oDfeX7*ja1%>LFQgv zM2nIrtj?LENiXIY|239lE=5oG2@SwA%YA1VhttXiZ#;x@GDJZVDVR1#Hw_XBBkBYjtV$Ta3m@QGjdDvmJQ2z{vu_3shPMO2nH3`~m(7CF#J;4v zILEA5?rpztN)2AwKuIuo8VnO#$sb)W`n>0{^DdF0!*L_FIxy+9KfMx@4h<_4^P4fJ zpZ^>*#)8c zAw2D&>dJOZF5NNvB55OzfmuQS9fV!ZElYwI*dAKTeqjys=67U8*vXkc(?Zm;c03zV z?@p!TF?fkKVcDv7*RBRI%H0rUx3wl zF);v3N86TI{bm<5E*<->AMZ80HL67xt!|eAp}w)KW4J(Wv0_RtGS@6wF!w{t+en=j z0mZ9aWlK?vs-&pI0$mN!b1*#Hg5pd5zC>Kb ze2*4Xve01GVc@ldPYs7lIfQvX#MHKt-Ss+b%btw2{9>L> za!818!HdtJn(l-@^vK~o_g(nC33O6{G#%1>PO{}fLr4-bl7yWOv8+#yFVR&;>7?P* zRVg1(a38nC+ZW2*Qiu@~yRR-Wdn?o8gw;fgg@d^pv;x_@@Swr+uFcgYa_)O31BB9K`OT*}t4Xw_Beht5kqnu*#@m)r@Anohd;x6s0o3*2klIwCLk zenk;VyUCqkyD~(;&SdyI95a*FQUU^$3V|^-Gv7TVeqmlTNi2JzV&KWh^gU(M6_6Q# zn@&$Avn|gJ&*Bk3&xSZ0H*|)E|2V9w^e6CZ?EGL;ohJ`~uml7@$12gR|u!RyNSxb$;35RJIFzBPwI6j#ZdyjOS;gi8)+% z6l6uaC$C>Xb0CUTaBr2^J~yzWK7cP+r81~M$v8i^NF&>^nwPr)Gc{+j+O+eaPb^dv zfBM#IA~gVv))w}^iY(d_Xi+$8Fh!z4Dyd9oKl zS0cT0J>KrF(J2Mv|m71#_)Ah0> z_mVSm)yBb&3Qzv81UGE^QoR(?9hB43ZrNJ%dG+!2l?9ACmD`~KOK!IW5*F5fS7Bo;GnJ#0!IRcI?tzyHrtqjO;X6Iwg*0%D_JnF^y< zwEwyXjv<~Lb4oq#v+qTa{G_j#pq>H@vjN5IcV3nBuJBG|idqdZa{`>_2qBegoK^_+ z#;mg!v<`=uP3rptqPJLKdE6{x;89M+2ux2$^?0nfQo&Ofwj`A+Qigt2MubWO&Pk<6 zLJBRIXB07Fn(6aTHit0I7vn~Y!#3;ZyTs?SJ1~&p&(0*GVJlx0hjxCf4onmxRD~e9 z;TIyweWljYkz(`rVHiRCR!P?+g5Ze+aJ6h`&?K*wKZ8{X0{lnO7zMd=W zYe@Hu;qM6$wt69dzFd4}xCHWA&WUufIN7TPlv4@^K`t5_TQ zdMEo62EK^G_F^eO4|i0#3VgOaxj5d}K72~oQY$?)YGn}J{p2w=LE;n9E2tDjqN|g_ zil&&k$~Y71(MO%a5iY6!?$cMw`7;L=P{eyU?%IZ;FUPCi6k*{W04jMyy@m5a z_EdhRF!H}HmW@^7@ZJMUYe~M0o4Eh=0rXbIhiB25kVQ<{eB&ZKEr>OY-p`6*-Ov4= zJ=VxY8YZ9*1Y z0BipW;(0bWN0N=u`Zi{gIi@jQRaj3sttaJK@M(f6K^lw~LqZdGA*3{VO#?mGIOnCE zU*~KK97xXnEF0urln9!AfS|p4VPLcnL0UiCGu;1Ph#!SC${@AcV^jtF7MUt(P};Ug zM#zhB_|Cc86zhbTjOCNe7__I1gfCt#ge|A8Wm~UZ%Aweiga)cw&2B@>1B~ zu67B>oVONh?_)p+O+6ZgWrfv|^$oyCnu*%6X+2@H!$y+up6TBJYrMz2&`=S07sVxX zq~LjRl<|hxL08*Vx!+8JAW@AyyM*qJWjG`&1PbSLT@`$_;iBW7xvo$6UcGm-8{vp) zE_G(OWjMhca7C!^KcX`Gw+XZ9j)6#v+v=-jLG}cAkw)v0*S$EEq0&TX(gkZ_V^W1^ zZDT|)x+nwvy8ykry z1}2r@^4jz7B)b{@;$B<(#+>HjmP!Tiszb>()Zfj~u8Du~gL;Apf0)dG+V-fUt6PQ23{f{eJ*q^wd*1&Lu# z;NQiW?L2(HfE0iP?QsvX!>A1XLKJ1J#M$SIOn>B*ZSuw~ru}l~#EphS4=r>=3u2Q@ z5%Mh`gn$(QN5jZ3p&!kX3UzP29fh zyFlwd{5>7Gd}r!pC$7bp>Cy1n;+`W|3z}mM@dDo&ebPeTgDp9Yy}y`aeFEDA?L>!p zC~J%`V9d{Oj~{1a1csF3`^~cILs42a*|p2Ktn0Z@H7DyUHgCT1JmE77R}EVc>BvN7 zUD~}=1HyEBY^~~^%9a!(gr2tHon@@zZ(a_TDxYODUrAV69#VN)bPB{ncNXQ0Iqn%d zvL#S%1oFq|hlaKFR4bZR)ri`Fvc+SQ%OZEF*X2<@2h8|A!Xhh*cT9%Wxp`t!(mbZs zhZI1spA?2RbUwTmxk>*<0ZT}V+he$W82JQOuD>`2PSe0hX;#=QIP8n^B$JVKb#`WK ztV6!o6L&mrGgfvF;_|cRpeGSl_K2@;3;lc&16M!HDY0HaQ_BiV=5zL?=XJ{q`Hr!2 zxgn8K0>N;S`^HMZxyb&ds}jF}@LF3v+qnf30yD(9J}8&^%kL=%b(TD+%~g#mj?!5J zKx4ZrC3^=O!dr;7DZbq|!4rluLY`w{Qv~C2j26b;zltsBh*xQC z9jej@uuM?A>lxJ&Wdzsr<;d{ofDgpi8E?Z5N7YnTOeAhedub4o@96|D7zu~ zLq72DgxheqQSp3kLWy=)OXNJCQR7}@G`5V&B#c)Upxns46E4XrYknNn6TqXOdB`Mk zzCdaur~ib!1jcJXSl-*(XRDeZb10f+n4UfWUWaF*HYV{6&*_qpBGK;gO3crjMX0ugAy^B)5j7`h;8zJK zV+-)Irp(%aTqjbey)=(2Y$TLu+<+5WTrH(|1VAxL3~TQPrf1?2w#st8ttKoa*)em; zYqLb`GvV;PqaAf}R5dI?5IAfJCaLTN4=`!do;7~A=Own_*6Cz2R{CDtj(!v`N;l`& zRm~)agx;&1V{w=g+)Nn9|53wCa$fJkVqQJGe;N0NLizu%KFw$`>&aL+4gc~71u3itBMZKp$<1SP9 zLbwU6eD99dJ-2E`w@$6a0c$w66%@=ocHwnNTqgjTW3M@3E_^?c}~V z0F9|x=kCeiKz=N?W>y1#;r*-F+GOk?HQMUjy2u$J{dt1E{T*F6x;ttL!wPd8b;{)a z`I#vrZ}jHFtL)vAV!DX5(Uj}Pb1)kn-kzO6crv9ya~GY}+1&s*l5h&``--NM0ci=e zlvkn~R+WB1YU*PLOJ8_pK>`#Bf%^lYdZGvbmj>TS6#1Gu$FfX-J%Y=G06SkP_|($x z3k|b07nb!fKLd%}ga4C$_L47QPDn4C1ZAJQH|0yi6?swKWoxmQOs%WA#Gi5VQ6bHdIOhY;FI1gano)vZ z9rNDvzI_rV3Re3Ku$I1TNp$}VU*P))b7C_4lG7b{^ie8kXbzL{ zV{-%-ZR`Zicm4^714C|8<_A0ouI^0$PCd6f0w=s^V6N_S^(|q-leNh~fp>YJAMnNn zj(MJVJyLo=P+)hZKJa_RD-~M;bl+ZB6g9P1(h*!`#Jjq|CU(GVY1fmvtu>ZUV{z<% zKsYuIi7_yoQ1{kp!?6=&Vt!ppGHE%UJ9%#`O$h+;qXfM$PDy&mAy&>*?vT3BbjXF2 zIs{hN?cv~!14m*Gk{=5=egNi8-*el`L}wqf7y`SW>PQ=Ac8RgxY5n+$ryPBd-OZE{ zos~5|<1G`?yf)6JRAmP~88i;@{?DzQcy|3$o+|a2)g8mdwjH#5PxoqA!~RxE zE+Ey+vIZ|46*HFTy zM5_>8_<0-DhSB2yOe_4QX>Y^TPLJZ@x8xnB#2M^Zrcwb*RSfh)sYFhcb1xBauadt| zq$3BnDaZ2}6s1_0uby(AjoUf%Q36-?a^&j`d2T21&Z&%ORmoQe*)ziZqSrH6$u1W4 zg|K}=Q%OX76aG+cuvpEr=Yu$wQZAjssSiRN)X%Ym%XCLZ^L57F1D#wU*+1#Ks(NFb z`a8`a6a?ZtFa`AjtHm*9a#*>j83Ven(&FUR1-Uh4vm9|03uK0cEWJ?9IoRd4g%?(lU&Teo5x{4_n#TWF&USZ3)lvw2Ml~I` zvhE0t&^_B^6vU0BsMecEdQaVh0&}O>y(48syUE$9QC6Z7l1aEo!9}}6NSe*GieaKO z8f1#PHd2%YH!!ZXxTn^5zU=~aM`yprvss`WC=~|LO+Z1Gv)n_01D;0X{nf0gzCCg6 zOOT7wTQy>Ik>TNmdE>K%-&@0ZslMUxto8P-xwL-eOY4%1P} z)TVOJ-0W8V)}IN!ULY{Nf1@wEE#w2p&YsEq=~_pY-Y$X=YkL}%-X$^)YcxU{GdK5S0j z0wWX1ter;Dj__U9bSwWy9;YOyfa+9IJ6>j%=+2=v7x_uNV04tvIW8Xf7E zsEe)bmBQQa3rVzRUdigdQyE+#c5cz^UfJ1r3KURbLo1u|o$j)(B|Bxm`@AZLTQ~8Z zts2zFag)#~Zha)L4g)Y%kn2-nFUGUu%5K%|tjiQ(uP3y3m~Yu+-bj~xl5mF_^6!{9 zBVckHPv@?h*inHVAQL${KyB^Awa}>}y#Alg);#8U`Yf!090|WHGh7@RZ{%2UmihSI zc0@W0C1e_Z*?e@%zje||*1xGKLX~L&5|zS_O6uHE2|;&kA0Niwx!hbEhqbks&!9({ zC}FH3Y|AR7Z&4EHNQ((w2I#?zth%D;rh_c?H7=Uh?w>uTLwd7MGc&eOt7N33+X$c< zW0#ZHok^)7Xqb6;Li*r}a{J}XZ6A4xV)`vIttXrVaY)Hp5ad@4N>16rl=>6G!(pYL zdNUtL*^~xXc|dVTiLGA)L=lweOoe%V4MwmYRcqH?#;CvS^J=V$Q!b zwfq3}P_CGqk-({2YDAQ6I53)uXw-@@f9TCxykt0>=Nr{9SvbQBLalXq`PH~>zYw!z zOy94Tn#Rfq8C4*dcS*$m>&ua-9QziCQoFzGZzeE~?j zYk(>i>ozWu4HndS(%Cr9SeRXTD+6ak*feNh5Sz^f=b%!0Q+1NlCwRwbM#C7w8SZdn z!!1N*Oq17n>0M&_`;LrhOdrs@n%b9rF6oz7GeD~1r20yEtB>G2uZOLomaVRvxd0W^ zf6!yAby7FqQ2i)`L9(%5H4NUMa+SaI#}8aHj%l>ZpP9#HJ9fJ+5SboJ5vH%7DH+t$j&9s7`;lLEl25a&U60pdnmC z+d?cx4uQF(S8c1M#yxl42GfS6h~|c<+hS~1bs#!>06&6n4)47WNg3kbplHJc;UHRtm<+w+bSJ zpCZ?%13~+jmBvgD#FSAX`dUCkCS|UwciONumY*8K<^djpv=s(V@*`N}u62UzbtqOu z^-o)#oV`7QXBCsPJRFZ3;s3VfC%VXxnfJf_;p0ESmYFJr+dB`vPB965ZSr#aw!jJk zbg2hQ5^to9B|OSme+@XUkySM4d_PD{hNR)pYL{uHX&vuR|#xJubOSR?-gW!YGZvSQHM%Sigtl1F9s4M-}Y`qSn z-6%U(wQzLBit%D*R}@OFG_CD7MP_~{s2k)k7NnUglq1_5qGBNBV7qV3aQU>y>=L_I zBIkS>H0%&jP!b*MIj~ypS=DHI zY4`I=wZ)g2O!S7$^%xCjv?hycvPN%k__6}%y+Q(X09&zMrD!*zIx?DAS`Uj(`4QgfTBeOc-SwzKran|Y zNpUh(U7$g%5KAif$V#?$j{ z5l@-4icKz)dWy&Urn8GIg~^GlAG+KH$h6OIMAhR_S)J|{*l*#p2g9#B(X(waX5~G= zxJ>yU<6d=SgQ0Wb7@w}jFl8oPk(jtVtI0M6_N27XF-*&ZnCg-Sl)`>fXu>b$-%D|9 znGfq#$GQpMj!4Hx971TD=esvtMmK)Hw!~yQ##q7^+*D@ z{2czOk|MVdI#Jr}3qWhR2dG^YbT82%Slo&}c&Ds%8ve)~zvc`5x^rP|=JxzR&w&zC zZ3LsipGxykIM1iWLq(olIDY+l=H2zNgrB(0aCt=p0Vn(SlAZ z=*@Phr~WX6HO5TwYfCcELM1ID+u$+SD5cF~3;zmQ6ASWP>89)p6)$KwMYInWY|2)c zCP;PTr{GZnQD=yvf>=?hGz&y!5b?!VgrAo;tBU68Hl<*(nv$PNg<;TgrGkxl0sMuVzQVNL*^&zb6%P!K#c8S=Gg5XnhswQSoK$O6mje zoQ!|F251`+FDLFi&2I*&_98)SB>%Lb)mE$Y=sR@4goY`5#47x|a>hWrVXt!uX?tU? z_%MIMl$fa|@tbdatJTm#ANU6uAa78lg4%AY4A;@P)R3iNb*yxBn#TFD-^rkSF>?G9 zojUiHvyw|&;eAup&WL@E^|qnG8tkUNWyY48Te(=Y9Jv;XCr{$;u(E`L5Y{7(UYDtg zh62L7;9X`Ce4b!@b&9Lvlf}l3zm`ct9`o1pa9lPF?YVFD<1xg>%7C}Y`|KyWAK>WLORPX)Tt=V^aQ8|J ze&&TewIf-a_l8*K9; zxNVbb5h_{rew+2Y$KPI)NqsN>sajk+T#p3y2r^9FB~vl%8LOXVwn_isbP0i_evT^t zwHWnkw2d(lo((m_1vRsb3a;IAI?YSv(*phC1Y#Wxd0Y`5&O?tEA2~aHI3FRPx3c{N zKWUm?d#-Bm3a>gcW6q;eY($7rGhR!}V~_<_MY5uqqlBNl3U{+dgk)n*5VN>Ys17Gi zKWSVKNdO6d`OeG1I7{VHKMS%Z$lgd>hMQ$K%oNMxne3BvCJ*ear_d`3GPz) zj)SkQ-sG6aa;6E9=~+A{Q5 znGA})XIam_TpmkFs>Vu1d&+<&061v%Le)X4=eGqFsu4`k)QiyW4#^dXY5u6I8T?`q z@9=O~o89u>Yj94+#5u<~V(|2o@4buJ=vtn|i8czhqbE9|bJknA;H&v|c`L>m@Ss+w zCMR__GGyOLC|WWhM|{HC|E7)Zpdg?RmvnK@c~F|Q*iVSO-8Jln9YViNN&D7Z?}gky zrFaN8XK%x|nqi+ievU!YlB{?DdJ526?UhGgvXH`Z9am_H0}O?prP8}`3w*$#boEte zcoqRo1yS@H96+OrRb3bw8CijwpY;U|U&F1NnYUDBg{(e_X6Hp)Dt_RGRDMrjDhMC# zKs-zqI;b)bGrY( z@aVt5k%^PDg`Mqxe!+k0BV$AB{{tZX-z<{rzh0-4g*)BLjVf{0E`Z-VxbNU zef@NT&_r2_c-we*iu1&HS&(Ajv~dI$EfxY^*iNKLLYB)$sZX0D0lyc>E)SxP5U` zP?3;#kq<;7032;maacn;fBxvF9ll8s1IIgnb_!Tm=>xb>1p}>NXbg@(Le7P3^7nz` z;rc@(L(aWl26OR)UBS9O0&hO-J>37XCSV2kXIaAq90d6}76&l}0|Wmiv%cJa*i9x| zo5rz#?2Ba{|HH4@6f&643!qhpLn{vydj%rO`TlEgu{(^ylP?cLb=o`e%Tw~3)an?@ z@AISf$IbPg-+vq6!d|Nm>uOgK=+1AdJjjLscjkAd0>3IB9t$I#2N`+~bsqu#OAh^@ z2K*j$(^3GQ6&8Sts*LOHmL1*n(~f9t|Jw$r%%Hf8rfA@~xAwzLaS{?x=p7 z0QeN@6%2qE5e|@tzCG{*H~2aHhes|O8S1ABiD^v4D-_$v19qmkgDLD%o_cbi&6qpg*$6`#*P z3(o`g{F;IveCYD`7u<$>YpaibXg816k?(iX75+#xsDDQvYwKO3Ek-@v$WuvJ!(VV- zn1eKo%f{4VZFJ@?RGJ}on}i|JqV>dqhyI(vfxVunIFQ`tRs&^j1-40WoA{9JtP%=3 zj|i6-|Ij_BB+5s>(aJKGHJNWt=qwwnnPs-_W?{;uuFt#WYCS@u`XxxNEZ&n2v*>E_ z>RQ5IX|FWJqYGN=B!*eL@r$)t`R?)hcxb*dPx6lN&3hca#9{^Ge4E>4_Mmu?El@fk z-P`3ULplNrTNl=@^ES`^y&(tv1V)Knv(y3CZ&2DaBkw1i*3U6RHx=xEkZ%E_q%Bf>t6za08^mW%J(1SsIL~u(WCt^S+kvv4fc|8@*v{Ub)aS}n zvn{l($bO*MC7;fNfY{J7KNuk0{yrqZ&e8!b|K;^XvsAKjLdo25pqrg_ZN1Vv-L*n> zk+GsRQ`Ju~!PMX(M^$OFzBxB5ykr)?Cxk}J>mA%{{xD7D6R=mM`vOi!uReAhK-dTk zgx6dgn9*h(&2b!75fb1SieP$Am|fi1UL^C&s$jaW#xJ_cSW7s?xLrc;VT)b zxMwFxX3{%t49iN^VRx+#AxIz)xR{mc(&Q zf&)#D(#zi*^TxR?JcV>4ov1<*6^vJJmd<7~0VdN|q!aT)X=8#ty;0`L0cU+%yT2%u ztS>6ng;VR8!MwHC5R)FAy*HNKrFlFy-ShI*cngGu=s$ogtXYQ=5snh}GMRi;@QwWCS<58^2kB%1 zZ6I7@?%=8?@#B1RE!f8uG^in3J zk?8;Jm98=+!LTQ#FpN75=duo1>TySvwxoL+-9Znwe(7dFB;#g;_a>8qWPWb#uqmqVHv`0biJ>6+A_(&fRKoY`~~1Ng#D=sag-HL8rccelslBiMN7yjvA-n z7;42~htmxl@Ol=)G;~vFPvSbDas5XfH(6qYZid%^h6fx9DrkBZcxb=&+3lFASAmXd zz&FFGcTakBUB<<3EANLI_e?KHd?{NY6g?T^LO4xU^M58@*%#9`$K|^&m|KiLB3DoD zJUIJ0o)}H^P|#;6W(AZUU54rapZvdStl>7po*4`dvcTCyDF#1~db$@JP!*$uwyubI z4mNJ^{sy@&^feoJPxCt3dIxMhEaR5CdEQ#$zPEmFN%p1nYBOV{%@kI2UDKQKDcx#%Mk+jw z#HA|7fnkzj*M5ta4b)R(TKS0{EET zeRPIySvx3nbJ7wln_BGlMloDfT^6>Lp|e+ZMaRH9Sx$@?vxPpzC4H&2xnxi_v3OfJ18(49xpz=?c^2-Zc)ok@C%5+v z(IK-@4DHM>%wnZLO*H0=ME5tG!lX*3DY^$O{ZqR)YA+BpNXsynJ+vBYIP;ZQp(^vj zl-Y}O>hAq7-y`Hi$LZJ4K~I=&DYxD}x&t|iCv5B5pgdVFLMV0VUZ2P38Tvw`TtZYO zO2aOqz43J#^0#9|JIJM+~0?>t+&V5{%#tXe7hV#v`Pmra*s)f zSVNOtUS$@#J0Hy_>95swxRXKU7g_X(IeD9*d;j;^ma-@*i@@d8fBmao%0dg0+&wPg zK;b)iJyg%)o92;|Qo0E;%}cp<{vAS8&`}+~xfhw(4UPkSeYDKmYu&i4dX6#T!ZnK8 zm}JMDhp==^sUFj6=(`gMu*^O|cT*LJznS-qkvn~kdI)w2=?4<52Hpg69sk0-UUD9F z+=ZIw^a?~n!v&bbELg%$d160;4vv*x8wtKAYa}Ll^t~W z(o6eU`{+}I^TxIo*BC>wsd{2X>@9@m56x~O)T2MLNqi+}a+y{_fBP8(ma7?%}Jh!)VLA8fj8M=U;W<1 zxyax5RpY96)5Dlng$KPf-MAOv6YHwLCri<@Vn&czbF58b$RcHx*q!MCo_;o>4JD7D zAbVyucR(`rA=^ulTz<)b;JZm~+K(i$lQGMp2s$q@Bu6UriF=rH=P|`|TB)!`pyj_}J zysN1o-6QK`k>X)kL^5Ib2(_H7cp(rk4d$O}@U!(y^Db|rFTPL#hO4a0uF>fHgj?&U zJ8fsP!J{U*`@*r{1rP&`sdgc|=cI-TxFUrj@yIh8Q44IOBCccYB$3aaPwt2m;#R!= zAh;~6nw>glYIIN-qkPTa&_~+g?|L+PYJg9Zr}74!qv+px1YXNJj6I2AKezod(xr%K*fw1ioK(^w1xe*Dvh z-8$p7poXerC(-EqNvM3U;hCpEMjlteVPc_!eyUv(E;A9vuOY^|jwKP>?`1Wb=wzP< zj!vJg>lM`)`5Rsg&oXy&k|mWX2AX>`lts5^3pz8t*=TVu7wtlp^s|gm7*2@|k z-VqwZaG4Aj86+g2B#FpA8%7?3J3`l+yj%*Ud)Fq=78a70JBSf^Qo~tXzUJI!ic_J< zs1g1|zU5zxDh2-n*3AP(RS6h%e_;v*;vtv#nt6X8Ou=30K$L2(a;KaGa0LJ}e)h-mYD&{x zZp_O|8FCMA5)>^**?eowXTwPLTMKrq5?5%Q!)_nmDIT_=RBO0lE<_NZ6Sq_R?3$z~ z=!?|Hx+SiA4e@GtX!#ZK!}C1@3`!1YN;L7iVbMUP4x#m|;^}}jK%~Mf14oEOHqVuweNlCtmiDq3Yte(qq(Ppn zFmJl|xk{vCf1&;T3t8Yn^@#G$O!&0}PcdPS)uH_2>-gd8$k6$cm^EN0G^Of%^l`!> za$LIJ@GM`egO3KKcsIS>nj=OFI0xmAC+UWTW0h3&DZEv!-x_=wx?6G1s&#kMsEDdk z7x&}!pVfJY#&z#u&vf&<54pl`qU*H8APu`ZW|y}NKawJJKWot5{5Pi=kTSL66^b9(Ww|p*fFM`udt)Gk%oq%=Q zu2+?7eHO4cJYUC3%|xUOLr*hH6+!3sB#|S}hWpB3Vf=c%EAX9j3Hrv7E;6#Dqo!L? zR=trHea8`oON&;`pdJ;W_>?#RK6xc_z$;+iPEWLhX`6AheyCS=c%I(DV!-R};N}T5 zxm&WiY_G4LrRYvnpgsMp>b0z>m3eCdKFm(`(Le7RE=eI*q`=Q@QzAP|#--M-E zYND6Olt-UL{N{7o7&x7BQiqHWSaMz(XFWz1-W@pwz@zi-2ifiUxdI2?e4XV1b(@=< zzhN0!ZQDa3jJUKW!+bRwu5_5#5Y}o$4MoUAFe8y7IER5fV{MWr3&-;ot-&n%Z zgd~@L975Q!w>Z!2mhJ2TT$YM<8epqEb|c_B@lZ~V8jbKI&lfch(>bPy3y#r-#JrJZ zKvqwV4jOI+9(RoZ*45IRP4_dQ=lg^lyRH%|KhC3$)ZZ`gTR3@ND>ilFzR0sSM#I6R;q#fO)$;>;*&pflNO|Pl!d0<~ z7bnS;l;}!|VE={ueg@^W6H{VL5u}c*DgOCF6?gt_Y^?m0o>>7B4$Iq|FGXaW&i5Q? z9ShP_{?@!Sl1wR*_oB8;x~-s4OKOtVraTdSJlaN7at7%M)oD(Al`YBJ2KmlJFnTUKMFt8)j-a23m}t49&iH z5Cz4P-4Vrqnzb?-$y=!Ad}|#57gK&;RbbzUd-tPzWDiqd+YC$S0_xIou7_e7aABOf za}=_TI6k{hX|#o1=Q1S!NwwTEWw-yTxkd;0%ldZUd|g_a%4y{)h|BiJV4R38SG-`h|5>dZ3D&oC|+ zmVAMq{r!)&LhG81^+GivqY-EwR6%kMbM`m7^rimJ)*vZs=0Y4jG=lZOTVkqjv%jUaNBYBQ(DmD6ShV) zON}b_^5Yz2%yMcw-U7xI^ua{LYNmy(mAon4dVgyyR`Wh@#+-aH6@6;DO;Zw-43PH- zYjbF}1@6x&dk~-77`rIFO<0siO)J{vMLC2g3XUTPca-pCV(FGfr*tqA`dh*(}LO;e4PbP<* zQ`nTrDYF+}I%p&nWyMqRA8>C(px0NWYtJ`o%i;OWg{NPmx#UrM*0%Op@W+Q&Q0p*L zV3`Huo?E6hHB(WK)U^wvyqHK*ud~tvNS7qd%o6j6l9v^m*UPaW=lkW~4kO>+Y3oc7 zG61r?3nnH%8C`*1z-lN9!q;c$E({dqFLI6?6t5%kGRR;CL(ZyF>b8M`?t{FGE#l7t zNX+OiR7MB2C@G?QPOy%oHdD49x(OU>x{`hfo{`rX3qt1!lyidO9;uC^#XK13vDuUr zQ1B@8@hCce@R|oP?RuRGDqXL83e&oZ6^R8~wyXS1sfQ}qdMp$t4I&ZYys0Grj23jW zMQ^DyM{r5+_$aS0*%|=#&GI#Nio_|t%Qv1OdqZsCw1$VV()ms|D3Pge$5{Hp`&JWs zIcQ1X-6UUzvFa#CT;9d+9JE)rxFnv^Wkzu-DM|zgRV^IZUnusX9?%pbJ(zMUCWGw# zy9)7cy?jwT>|kAg*%pZQ8|Cr~%*>3pWSOfNPy5-9tx)~-W%!t;k@E)dQLpzB6j_L8 zC`Qbq6_VLiVfgu?+Q=}89t%x7qI!Qkz&AG8*pAS^-HPVmC!;`yY?d>s%iE_Kel338 zv}kNj_*}+NAQO?%YS;BYNISd8?92D)r-eDs^A)b!XavI_d9w%_IKyrCINETx#7Xbaw4S?b_Z9Y;X7M zeYl(=U>BgOqB+Ps9Wesvwxq>|c5##vcFvBG1F3i4MKX?SlKI7exm7Ll%ngQrHdf~F zDTiduIH1+ZmS0$ZPcuojfx;!@U7u((%IN^w3N)NS|l z9JBDCX{`hY%I9E!mZf&h#qM@7))esNm0y3?#V~KI`;{`p@x>9AOej zz{tgWb8q^7Zg?i8mmdY$c1R^=Z(eoE4j~D|DbTG1>+mjg;X!<};M>E$?#GJ(dQeE}AQ;s*S`c_ll;za(7$ zQ(h^nA*vuC@ts#HN&hElA{)~`5=*B4uf&q}J7QCEGPYJFVE;b-kCEkHqC_Qn<^R$m z8vlFXXhUyHZ}$&(;a|E!CweC{2V-M;Cl_0K7s|hfuHP}8F#+3Ok>9^&fb5L_@(ukX z17v6Y4r>1y0WyEbw|{@~Zwf+2R@VP*0;r?{1F_^RJt`;ZJU&f5%ewF_qOyC0jbdSu zXINm~QB-lJla!$uotSo%ppj@)WN2t$QMRLzQDb0HVPI%xVya0->HIVl59;dEyjaVhbs=PAiq@nt!dW_hOiR#34cG{43x#AP5yXe6fO z#ib@FWkJJ>j|y|RK`}h_gHO1@#N1k1%!Kw)X)t3_Qq_C#As6YfUsL>{wmOBpK6DB&GK#7%@0|)Z*1|;e9p@43sQOjUaneG%7sZkWE zed(5#$H(abEffI2WGV$Uy%EtVG$SKX@BP|t#|aU^688J@B(jLYd<^Pv)negIS)tD9bHli3~v&De0>=3(je(D zv0U~yMnuMvemdKPK&!U8OdEQW)A~p}-u>2{IcnldXkE~|^OP%T93P<{z9RG7De7{F z&~80_Y_Zx9R^~`(N-7?)tJQ29qaaszyuH`G7vnz6N3WB0b9;;rGymvVsg(LKOv81V zOCGS5YcZT3qtji0^E`6B9t#&cM56QodG~k}Q|pMmkhf6#a3yio7+kL6I{kB247D;y z@7!^z^V~R;9dFrPF+bam4%dw1Q?R|g(4c%-ePluEm6{n4Yu)wVM0sR=XoD*2pm!ppk3B)8@x zF@5TT|Jd<0)8LHhmUi>idMzrVYx#9gZaXcb^;fUg=zfqk7~W_rt6%MUtNRD?=4b5# zo~L{Do0(th4y~+7uFfNP3r7?P{ytApm*G`JI{iXcxOPi#e{&ga(d!G8QnpIrR(yGL`cm=MA6_)Kb47{QKJ0EocpcX{kL%sa7EHLW-+Gg-_^gbC3VgdO zlSNFi>#A2#^`0d@B_?iTdrGQLlq%!iL!{8$LQ$nA%nkG@+iuJnr@hIkR#$GwifM1; zyj~}mNes-a1gOO?yq zRqS%w)7F)IZ)<zca2Dr*@motEPnW{@aFC$&P$T%ssI6`(=o~YH=BX!U*_Kbv>61HG{uF5 z|Jn>1|7kNYaT3sSemhwi85!9K*x6bC%cjfD`fYR-GO!aj{>vsy!1foU@}F(Gw2a@Q z_wTELgY`eI1_K8NTbIAVA^$qu|2E72ZMc6A?0+hQ|1sPdnb`kDG0VaB*T?&R$2%v> zH=Xmp1w4|B)zjCPs%G~tQ6%hxcP`~OHi04GdOHWh(J0{tH*?MGi_Pp^g7-u;?`xJm zyB3`vUp%S~hCQM=kC?MuCKw$9A0yMq%0VzJ;9>*0+G>GTef`% zrKk^q09#jo;1&wlAP4}+|L{d7fM)b@bA5rjy@mpEQ!ocqlqa`E$ELPq2M9pf*OTxB zfCLW-j|3e-%z<&J58~)BQ};awOp}Mk4oPRn{?WIEmvgfWr{)VbfV#H_2w0yBW5aY9H6vCAeGKg!<1Goo4O8FP+ zi4^Ndc=8v1)2Ej9(&m;f=)raJ`*!B5&yoNf+vC<(JfO3kgG)dQKtis5Ncg@_@gKp@ zpR$-hP4+I&S1NAoF`bctzw;A$L3*GLO!jv^aV;F!2xN*0im^y-R_E-gS zJ)Pk8C0zi0sCGO&1gw#IM}39A0a_vbLcsUc`2&9gyi)iT1K_K3guk~BP&58XC=1ZO zf$u)6{3=ABHs*68qI3w~X;$_r^iEHOPfDu7y1mO=d1XB5UuzMq?fh&KJ`6*^`RRF z^FK2oo=1K4B@52@#Jm++((_s8?D!aWpg6vuccD0b)bAdO=v)X?eK`Po&f2}9ceUI+ z|Ml=5kU@Xg`8D+Al0r(FtJ_uaLzfPKnjC&uPt+9^$1B%;d5e2_37H}}C@(29TQx1FhWT9>5& z1)aU1y_NM?ZM+-`^=fYt4>n08l6OE?+IcQCM*zliMC)mqxG5Tcg!E3AG5ozgr;+G6 zz2YSYD`PgTf?l-Z#~~M z>%*J6?Me{O(ZU>>GQ)FNc&10~sCBUw;?1++HqM>{q3spy!hjYgZpxMUFi~VmDyifgC-vDpvYdSRRp}GTojCss=o%X0Zn;`Cyi+$w1 z{29BIhGDN4|65d#72&4P2J~|Jx`WxD1!*!NQSk3lU3=fs-K1Zh`i<0cjpsW_If;TJn1(if@Rz}Nzx9k% z|CCG5mQFDI+|;3?wG_$Yit=vBfe78>sh1uLUn)f`~Y2Jd9x5zahw$5gBfg=Sg z9*yZ9GORh?_e`*VtgMiKx6h6i>7ZtC7V%hAOU&@C7QE4^EV{!j<9{}_K>+ANCP!^9%{s%oj+J;7ch(xxM zyRxv1cLH;|#)ZUMiSZN`k5z2MZz`I>%;Zk6udP|rTAV+KYg4cL=TX9_^gcN2!y=8S z6w|I##I~Rc9z(Dkv9|Dq97}U0Uz>wQO_r8gCVyx9GAe>56#@m-iOi?*kOr2oLxaTr z+vN{&v*BGPB9@2Mfi4P2ar(i8cU|Wot3@jtaCk@wUfl9^M@qX9n?*WS3oLQ(g(cIX7_ZgLn7)#n_zxmzZS_m%>yK&F5mwU z^XzIxbUZzw1@_|D^?|nHn2?F ztiwVe54k7iiB&Fy$w`rLS$z0QuQ${))+Pc%88MFcY z_=_PAsnjrK-KCzGIy;CsB;M%mo|?VwNZ!6RWujA8frrjNF%3Hi6e5 z6DAF~riP2;3lP&G>rUsf!AEpzJnokKRi2g0_ktBmGmSSWNu@K(c-TBLlizonW$Bki z?F*c!8R~7eL$=1`+iR`_focH)WUi46wdA(uvb-{eBoS&U$yuHBP$#GsU^+FVJk=O-ERl7kyUzOKh6D&);Q8q#zI8u4m}ibvPm zJt0{mz9~CZ6Qb=UY(_8Zf^y|_Juy*&uw0nX`F(-=m4OWZAqPtE`02jNYcin#i9u$~ z?T7T^fddwFT%)@EU46Q5Fk6v%9eJz8Ha1V{8joN*cIGn){-`~Qez~$xm_rbCT?`Xi z?;s_FAD(&L^Q3}XKQ885YG7ZG%e5h43yw`CO(g>?z>>p0Nv0H7yGCl&OSi_Uk`)ox z+n~ps4LgQH0>)EB<)qo7dsQ;Ecz}X3&8FQ79IR47%)Iax1*S-H=3z9%%oGdEOCA#{ z2|Z!pW8wrFwCj?Ym;qftuCSq;jW3cZ6TJvZR*|e?fhoVD)}6g}6$xH-^ zO4rrwPS>ry^NzbJ`dCH$2||%_VRQ{k#uwY zsS!ZNvZ6+Wd0%Q74^1!Ps{^$9TqeopC4(`wcO9iq^504_KkkXgm8o@OnIHm!eY}d9 zGz0;PkR+ic*=VA?&3Db~_g;5xi+{%HY#K)~y?PTlU&(q$bzznZtXq93qejwMtn36t zPg)7HQMom2dC1b;&fv`X^v)8gC2D^JSc)>(udE^70My!X8J^A>Z`Ih+lYb;np7S*o z&R2bY&?PE5fy>OEqJ0g7C=N3-%VTzWqML-|815MH-#HwM?9v$;R<4KMa=}~`r__M~ zz}v$>6IVL=*bAhyVb4<-l(>e95>)iC-Mfw9_vh-=UpR)Kl=hA8%O{a@yLKvqvS`R}RY;-Wu?x9bhdd1lalnd@Ts6SobR?g%m z_g$=Ddn`msxj_9>{#OY&%vP6rnM4n|1&fss31RZvTgrmp22j&+2)9|5o1X$;VjZ=4qY_^i#+S-!USk9!Hv0flR*uvRM3tANu(W3eG9 zkY}+G^lc~@svv#9A*viO=o1w<jIsq;j$#6VyOI%~q)3jUIf3_T~Ufh@Ni7)Nej@xAmrvzS6R6fvg{Hp^y$XT=KustnJ!s|_b~jK z#6o;ZsL~0p=6mhuK7FMgIw3t+tC|-Z?+po0#B=#XtiuL7s7`XHmaSIfjMXBV*sGDh z_BCW7WsWa-6A+qK@x0j1pe7yXg)7@PRf8v&8XjtJtwDbCKWFL{vhx*q2sW{Iqkt5wkFf_xMhom1zxD<*=xFOG_oYW7HfYLBFj1PUBQaf z>0+u%-jFO>;8`>QqUdB<%42(*FuE2Eth!WPBHsjB{T&xi`bHH-!dKX&7~gTa0Kcfc z!zEKwnI?T66rp1=x zpF$5P@`vLQLZpV|dC$L!*1i@%m&ah$8XOkY-M%Y?D!e4Rs@(j1X1g?~XW0HZELS}c zFhH&*h1~Xrlt?k%rF;t_`ZKsQk{GiJxD5OmhsB9Q#kqF_++iF)s^F3R`GSKvdjqm0 zd-NlUtDa9|J2-)NiV^H~_sfAiMk`KV)2Cvun2q&U^IT+)swr8DTNZT=(Djc-@|9dp zMmhYK?F<}P%a_37SAQd_VCyHu-Agm~`JNUCmvG{cHw9tUd~$sos%6cw%Z;b;%M)&~ z$t(fkPw}(24`T&>5|6&$gvHWOgUnaTS7=!I0&}X(WlBryJ6Rs-YQZXsL?Xi+6r(h8 z)B{vQ;>@a5MV}j5_U0j3+sfGHZ<~P5e44!&gS5c=p+}!n$rm&>^@6NjcDAT9Tf~f| zR2d_}%7fBjRt+MZouewbzu8|KRMU;vH!H6BB2DTphk4!oBsdCRRk5n}c%Kb0ur`I0 z*A`Mi$WA24hD3u5Y7N~ibPl=L_s4fr$fvGWRtCw1||=&9pbqe7_AZ{3fSUtrOT#)eQDSjUz8xIvg0SZ^R0p9Hr^Q<-v6_*jnhtKmBWm&{T$&D@ zk%hG;aj~X3#caisAi4f$_~9*P&u5Q<)`4K4-n$*g4X+qsSiR{h$HcR$ zF_*&~=IkEyTiD)mCl(V&qUUjKYn5SMx;+=q#OS7CM4>X-v;!N-S({1|*X+<%si);$ z%UCwoSalhb1v6<);K3sc0E$*$aH1m3c+#CE4K%sln6=o4)zKC7&@?QsJQLk3av*h? z`z*W6;0)j4D-VPh+$%(e;QqywBLtgcfX6l`K3`_Km6A}~BG5*PlZK;Yv8-tzPU_Yf zeh^aLmQ&hG$Yt70>+(1u0>A7##9$=lA2v|$co~egPk|x1R3o$!bZLlqaYdE^&D#(C z&NYkUm1`K6%^$xdw4G9h{S$({rb39Cwnx;qB#2N3hbf--P2JBeGRPh2OW@^~+@bJs zt3&x)U17?Wx&b`Ifx)AjD^6T&F@853xuq27=@+A^F>HJY8?;T@ru>RwY!hac2Mz-_ zD842t491z4$ztglwdT#))Q^K`eA2p*;}#o`(xGQxn!TY88V_27>%I&;#!x~&map%G zlrKe@t)&})bVzql(?{YW8}s2U`?wRZaYu&~oWBTDj%Sh~HPzs+Nz~AXYxKb*Syrf# zzoWpq=qpp+dEMX?`fbT*h5V+We{ZIfYXYXRJi!o`2_0k02&SJ6C!!XJ%~nz~xx0!T z6dU7dj8tPSXo$H+O4WZO++e(bUE%z>4o52ImQNI21lqwM$(}mBrm>|9~UssN+?a z`d?ElPOx#Lio#cS0;{7KQUVsw4hYsaJZa^=cN~ z_Lx7PZOi7fsYiY8@4cOnCW$_*DGbvh8#-J#^cw15Ng(~ULHNQqNB1j486U$(Q-Z=C zEF7w$hdk5#_0ZAT7(Jl?&oi*b?N+xoh#E$uP=q05&&$Z1U=Rk1aLI-=i5+h@oEoK; zoyyB)Ei%OLI8$~L7-g{;NBTztNJRR)md_hEoee`P@QPDr{a5ax;w=VE5r$*0{@89u zG}DkG5WJ8&8)lVNi!XN~1yhMUSAmDN6s_{o$*|nI$2n@EGER$A$dzM0j+|2O>r31^ zmv>u4$GZ*=AH{WLf$*QF#GiQ)?80)sxE>04$gA9f;XeKN<7G)d32eT)YByzh9`a6e zsk5@tB`znXW5TkI*%m@Y4^xqah2_@@u4BMEN!Fs(GIPythVVN6TPrwr>Sv3_IBZw} zAsqEFR7bNB?X2vPq)5o`7ahUu{Cfbp-}Xp@kmJ%=oKew*CF!`}EE&c+s^StPkF>bR z+xs+OqLJSGH?%~#)1(b0j2QRHHXT)&jXC=puxBe|a@tupzsC0&b_WFzMROKlF!<@g zeYCBPTD`iuVT)O$gh(>0vnXkQU>XqTY<7po_W!C_YIm2a!L`S}ui(-b8nuqGI8Y}o zoRyNXlv-g33*H-zH?91dT$YuWFjvxu*XGro2hjZtKJpHRD+QK2qJ}QvZ4)(FT7LiN zS3H-Nqny9-qQy;qW-W6`2@-T=eDUh0w>b)X5vE2-xd7m;-09Ne*nhCOv= z%JA%oPWjyV5lK2>8IH_oubQHK?_JuMwaZ$mBlGD%_%|nAZM2yM({^FaAhYFXD~+!5 zHS>7!NH4B981)gddWEfwD_etV)Gl6RO&R5mrT+6`+ALq{I7jg^(WOAOLkah9UY-Ck zemb)gzgBG${QV~sZ~pw*D}xDCt(QE%&3;$M^q$He4pukMpf8N;Yy{j8hS(9m&y5F}Yx!ilK z)>O6QqcS{1=LF^^`SVK*rO-f+sNu$2ITgSFdW4K(W9wbDN2I?XzKE* zZ~vSCIhpX4>m+vt1G|ipUd%t^-d0zq?O!8$pofkyBTDMhN`snRtb{U=K?-&=Fx#UT z^Jb`moV4kFH<`spbr6Y*m2y-dkUZbYYy1=us@)Co2qVY1b0d_j*wAwgqVF!y?QV;I z2=hGOTR@MT{4C{<66jg$2nGq1eoJdH%S@~X+eKOMvPBDpmA$$0e#aRXtculXou9Zt zE!{f4-bi<<>j8FOC^BC7EokvnE)fMxV>bxE3)_*?G%0ru*B~e?K1htlf>)zFPP0)? zEF`mhNpI(5AEERjn0zHKKiCz3iBcnn%B^jdtkNp;8f3iVo$jnQgD`e3Di^<~xjwnO z_+-heKRm)am0dK}C<{F?G;XW(>bk@Wj@5H{%W7@j-#Bw2(5KqQ!Esx2QJ9nu-3>bU zR8s#~J-b-uu@k5TQZ){)*J1r)CjHDkzjRqF*Ne}6--B}91@9hY1$(->ZSWQ6mjX>W z^9@z1JkCm_L&tN^TkW%yxSyGR8ZVmk@i6`DLSFc~c&8I7)+8J#5HYB8ds`cCE$THC zT=zJkh&M&=O5G?$R+#NPjP%PXw6idrt)aQF;wn6HYz0VCh$+wTjLlK;qRRm9Zx&>S ztMUv311OaFXi$+|*pAJK<${O!IsJDv-6JEkEwoykC zdljdb;bhiu`8qxBYv^Fk8n=rj)(?lbIS9m&*7{=I!y3HJ=>P#SyMpw{*OE(RnbUiTEky@4y4-mg{bRqQ+G1dmf_G-&fZ;y%6g> z32@V#V-`(3B(|2w_|~Gz4nk{X3t8M) zQzA90CpEE+v+8qH7uyJ-&v@YFONP+H3z3v5=nqx3E)u&GHf<~tpO87b{D-Lwl$ z@3iGL2Q|3~K+R*$3zY`0%)tdQW#Ibt9G8$%h2l>Ze(DF3LkX-@0WCh6Fe*h1 z&Vr7W2dFGA!XmUaJ9T(8+Fo&=@l&}X&F?n@Pthb4D#L?IIx(uDbPktH!p1eXOwsU+ zdJW3m+>gyql%!n>(C9*g?ee80U-)XYZXY2t5Bn`i%c*c0odns;6|so+AgPJ-Wn?P3 z>D7ER(fRr`4Q5_11`v}%p2KT*zt8g)t0rkXMB8g>#<#u7{{80UTc!U z*8y$bLU-2pl{?0WNHbLe{&^LGqJ~RqB%0ou51uZ2Cm8{j5fMzB`a3iM3#|;H=jN3Msd?I#k3sks{H0*fM;BB;JSD}R*=uDp$PQu z64Z%P;n~_EixIIMHm~tS(YTEOp{j3^VSDqNdyq3E9=dQqf=L*E8|n{l#y)?aQiEPX zCJs|(5W|TqO%vl))w4_eBsotPo1%5pZ(GvJ7=Z^-SuLsTEg5W*88i~ADHaTp_D?SP zgjngX`BFWR*d+b6OW2Z^d!?*seEL-Jj449ArqnX6iCx|sT7cqB*7iAv!ZI`n;V1n- zauUoVt(PUbS2Fg788eGVgOsxcF_RDb8EHNTnkd8c$nEG^Bd7kAWU_Hw#N{fPjraD- z^#rFzN=8Hz%BI`;^Vs%Q_h?H>Hq+-#wE*&WkaTF>O`XHhYbCv`Vb3(}IYheblNS6W ztv$z;ruL@561^Y0L3`V(5jgzzzy?6IZcN46UeEW(EK0UI&haJ}>msWo#JCEtc2b9m z4xHFXM#?b$;`hSa2bc$%g^?X>)zHIqPHJx0<5&dpkO?hWDnI1={L+A0!U>Y0tt-9F zvv>?jG+Vg>Gd;|(to&RmfjN#bXOTp)t$`%J@)4Vz)3lA*T>wefc+vu49h0zx;!erS-Y87r|7dA+LGvkexg|EsXGm3uLO3 zypOY=9JL&Vq`|{-m)`$efGfNU?i#&Nj|MLE62^4l66)DrlAsZ1n&}rCy|S4UppGm{ ziBaZLE))u&N6txE8{A0m8?FkQYrWL4PsK6ZB>OUBhc6xxPA~>$!h*2Pxc6Q6Y8xtQ z4|I#52@$(qYhA0I__st=I7x_5)4mW2#C!jG$UYD|y?tX#4-Agb2H=G#DzaUiq6DOu1tthRg^v#JWOZ+EJjPcu> z^Y_|yMi#dJpW5|*0maxj{v#+RKrcxDkK%Rle+rKj|3(w5e3z|%7p+@=cb+>M*ckmy zdh~a&*qq*q-kSbzRPo>Y(EnC}{(tMv|Ef55`#0?DFUsuSu(Q9a&i~O=|4;0Ujs2e{ zq3>pM1{N0f|BgFjWMt<2J6HU_5DT@bsB3T5HAS{_gP0SvaQFJRdk}C$TJB%U+PSzu z3jp;Js(&AXcV3^`m$s-^&$vITF9W*77E6vx(|%4_;<2E%)?vkmly)-8&uvYmhDN4F z!V?tbR80**>Hjn_Mit4+Ljnej%%J^lIFHQm^8{#u$6o;?f+GOnX7`OujE={B7s&$( zS><;1rJ1D70BkUH`Xadj5VrCokU}Qr_Vtgg2c1FF?jINd%Q3Mvgmik;2MbwQ0Z{&0 z-35^c;W*{oh+k+^T~by1;Xhk18DYT z91jP;X8u>fIpALf=d~1T0gJx+^j|(JuUC6Y{dX9jZU>6S0xeke-)gE{H@^p^@>!ATtdnvr?jrHJ`}VE z^8o05nQ3O~zMI#V{D~f%hN&zpn?wcU^EmI5y2Q%J{J7$B_d2`m%jhe7^^?Jshd|23 zmyX)C%W6mX`q;v5QaBcQtQr^YM0J+Qj)7XrMm$oV&bratf`#8Ux)Hp)K$ zrXTw%bPj-a%0B>@)sGK!Hu^zWllA_6pnU&6?BTxx=qr3fYyPOpUkK^~7x4B#>I>f| zxqW^RK7SffABYP5_uw8VvM)k9yNQ7ha{HWDZGAZ%72;r*j2#Yxqz`p*K&9KthpUu#c5`YL{W(v&m z#tbOi^rZp%k=<+O+lb>!fSj|bSGw_~S>M3^AqB((H{6ZD6V)9w2zI%78vW%1aq_xs z*V1z;yR-g>A4*?^9GjRtyj#*&7Zb zWOM-U{>iMz(d8rHe241NhfsZJpB`j-_AXlDT2+qVWIOKb%28B#VQVuy6uD<$su#3t zaRs2GqJr;>d~yI3B&+$ZJ8O5xbn&Y$mGrq645STMCI;>JT;@5TQZZd{+=~>7oJ#+c zP-HTFAEnz_rkNO=c0gz?0cMB93Tj!<8WYOeqjYj_r1>RV_zC2o`EPn9&QQ7(kyKERQsnYlB?0@_Altaaxqc^hD(_+O zpZ+YGqm22!IZu&TQ~@}D7b$E*6ViZ~PYJ?roY&7(vkG%9rJo;MYoS7PBiW&K10pl5 z)fCONn}ty)qkM*1p0wX~M}fBs>&SyDW^g%>n^Wy^%x?Y3xCn>7h)k)QOn(8P_DGvr z(ilAwiz<0t1{ta8!00qc*mp#L{JmRwU;K>Q#GMQJR7jsg&harlqbR{HI_uU zHw=*w=8*Y^7DN}5FFk!X0LAeQz1uhVHajC@hW#8B5CBDJyqELUPIpu1_R&q~N^QhA zXVJKdeJOJS5)AqVu#Vh7USJwcmzK`^nm&1}L1+i7^PzM5^XuSiS$^q+-+R+uZeG@m z)70_F4L&+H=E4ncNXA&!_RBT?zz^TzVXJVZy{sJzE7_}}qFN)6;!S=0CIat($6*RC z=3|0J^iWVZTZV8>h5X;bwPow1q_Q}MHdC1E>IDPaG~1CQr$^>Md~tt;9i|+wnzL*K ztEv_kb)|uZg)}DH2A`h>tiE6~K)T z8iS&y`^EJu%N^eHvI)WJB-%X)(L3Nd>IhZYj{KQ2U+AGn?kP6>yec;=kTeoZiu*eqo?O5BYn!W0X@e-#@ zNg?t{7Kgldo_w&O8SfFn7c-Up#7S&Y)EyJUyJmzN%w1UNB1%=8 z$BU}XcPKBx!^{73$EDYpnG_r&=Y?}6l2osqR(5&4Eu)Z8!qaSTp_wN7Q<-h;*W>!( z7EM+}dQNAbXq!ljhL^eyxw97J5$DUVxaUadUpiFU25t_6Z9*K@xJ3l}kFmB|8wjYS ziWgc0f8HgKg?C*b3==hn=K3OJ%yI7(csrnTvS$h9$-lVUc>y0S;}0KNXT>K29oBqv z9;)7d3vSRm#nz zU>YsGsU!=&3*WKrm8w`%q~q|KJSGRd>EAG{z7Q3k#Ea2>L2a2Z)dt&pGMK^2?q!i# zzVD83xD936JrH?A+0t(YS?7-c+aHqxw_sj}sk|&?T7Q0X{iSPgL;01)42(7et(BIx z)|5A|-x5UWjSI7(phZ;{=ev3|wfm+n#6>{*+0%^Ij!25%m}DWv;A-in>rIYR@t)#1 zH+p*IHKSU*rx!BX6wj&WXmmFzLU->RZjj?iYi{SwSMZUPWtiYg!dL|GBLzL;rpXxN}5M_2b`&fT2dHy zwc?|$9r-|TAbG6`EYhrDxJ$^4iBYahVdORVKyU&_*i_}b37hr&8C8BIU$5h&x$SPx zxXDB{+F7TVfhK7E8xVE5?6=3aO>2Cfh!v}~>jb%q*0Aur*xr&(cShRxEjAn4-dy~B zuNtnw%5s{dlfBTx>Uo}~QEeCfUBy=)kgRf8+-SO6wPKSq49oYCG^=J@S@n<`LLj`n zHd#&Yao;dJgn(mHrqr15TyM1alAF$i(vHaPG}cyJI2LVh0~e_b)2%_md1=$FnF%mLdz@lG|x^p+)9Tn=+P2Sfwz;gVPi5Etm|ZNOM>t z%&gf&GK29}2V^$t%)SHo9Vl!3q0Oy}LvXuDHm>jM4rjN)f1q)F(mr|4%8??mR53`S z_pTjdaS-hgltDFswVFmI%o(rBRAc7jctSDk;;D z%&u1kY-YRJtW8{j9G6{GSE($-WfLV-ruo09+;47#nZ@VYigK+@vx1k!vx`d2YUjy! zn@#g=5izl_gZ=7xcyMRMM&8Wh=!7c=8;{SnSry~~NR5>9pJI8G7Ej0@WQV~PXAV-d z;2{gfEm@S)30CHW>iw$iyQC_n`RZZu3W;qfVzi6~si*sF1~=}R=7&7;tjC!{cx?o! z@nB}e#$Uo)A2%7%@at`?Vl`2o+bl%lO_?FJE9G7a@ocKZG$-J&h`WM?@gh3e>;|?* z=aUpXe(x;kYZ*^?{Z<{Zmg{I|5B5Y~C@fILZ51Ko?Zd{aa@%q|HY2X-h^O z$k2?WUNwkx1c7C%3syE=|Cf-~|?%Hp*ww;6!QQFYs;%44;RECLL_2 zF%k~J_8+mPXz!B%uV`dT7BSuw-l9pP&YwU_6sYr~23d4^Xt;GT;?_1oUChPr~aQ(SKQ`oU6Ozv=clggL> zIp^pRNnh5z0S`>VKBQ*&qifpx!We62g7E9KNa9G@Bp*Sl&Y)(9XG>w_EM-DlOJ(Ks znd5B)@$A{&`+62AL0f~q$`1P6lvT;SRhSRvCwt1VGI9Fp!+zhVJ%Es;r|1YGkTtD! z7euVKCsJ3gmVgpZ6EKmam|^7pP!v8}rh1vV$rx2-s`oHoHPLc)ld^ln4A>1dj(1i16|U zAM6iK*WA&|ni^52tFxAyYgvLC=w^Padb15X9JyMQ+AI6IG+HcclDnh12}nJ3%`jbA zx|O=QEJI1LZN8S8$MGJajxIgc27WN5zof~5u_0qO0NVrHhbfC80@bb4XOjm-sD|Hv zjw@*ZQSb?bDT8AzdqXyKs5_1){{B4-H9R|Kw3bTUu@3@*T!E!)l-K___sp%^>}A)#&au3jGTT4fh-NaZn6Z@&#_&_%~YC_Yjj-1OQR zDja5%m`7;QBz~&4yh`LtOa4kVm7Wot>vJ3bh73_ohy@$p>P$}Kz=`L2s{2`vZm z#s(2&DO%iL8TAD(+5nX4U;eCdy5|mY?EZ1P_!HAeKl_FlA(`H9U_35aJGoxta(cY2 zHOgH`pWaB>%90&QwKi}Ox~HvN6e$M1bHHvgHF-^*=wd-huli+#II`Z;%?yW$s7Zpg zm^%&x0iKX^h93h3lr;*>f`ak$@_Bc%Onh}8k5#H>;yYmZ zOV;nyojroIa!^3WHBFtPJ-{l{oRhl?j>9kYPq4eBDhd&*b8{2E1{MV&p7Z6#bxJGo&KA z49PG{s2>RaNbo(vt1_Ych_&xAQqb#Ku<=9HBEmHYtrjh9+%Q-bMX3%BHqM2YR+%xc zC2CV_@^|{_VPJ@M$dbacT{(m22wDOyGZfd}=Up^)BLYZ2 zSnI5pI;<3o6|&w#n;%OuXW(#=E0Q+vy>LQ~K5KBbq@}hr?dzqJtNESFQbrf6r%Y1IwIBL6e#@>xe z#qLtrG0PN01QB-ZT5Bcl$GYX{CjJFx-`rFXt8HgjXI$eS_)z)nDCGaeI-E2?O zXJphp!&W{WQ_7~*1u)ImW$!Z?LJgU4P93x3m2ECv{Crhlm|v=uqM@F_eyw*|MEwW< zr?T&W$NKI5FD;arka?R)aqlHFBYW??ZhPLhm02Pqn~aQ%O329G3MDdr9xFA%d(*^xvPWMz&JHBODlo0rz$LxAY7AwvE-=qr*DShNiFsAwa&l;VcVW1J zfR9os7oElR=_APWiZ_0_nv%nLgR}3)+k1nr#~Ypqv@ueC`7wzH>tM??LNa_E?yoVu znaFQ+-eyrE+QR-sWuU z$Ihg5S`FGUEOb_Uyg#3WIgJ6V+7p1{T68@-WqBv~IoXDQ-zqxFduf^AQ6b**VuSVq z;)2A4c|&cA5N#lL-=ok40zUuJO4IAb!WG9S%zZt_Io3^kn(oa^<;Zfv4Vf)9Sf7@& zr(EiFI9rj!9JJb_+Ua}K&E)K`ar|n~Ys0VA5=u^AU7`89sa4M#RmH zzvs)F;!RVvCKB`UNwyBQ04YGaMZY z*V!%&6XqrvdI}M# zDna^Kg79fDful;qCtyrO4}W2Aa(AUJQOB?RH2)GpUBRYz@Da=FP@cketIiMi`Zoxv{- ztDnrOYby4S?XWYhxGS3H*dDNjFGJ>ROiyNN@X}u4es@R4LzHz;Lwv2zc=(%sJ1z=l z$Zaqp_*2K!gq14b-Y1G~*&QCsB1X3njnvUc;we5%eaqYF3mt^@(x(qLcHqPwp+2W7c4~rDcX9`@vU|KTr z*%r^R8Akz`7`Yb-cz=GT7Yx+7?;E42G-`t03;NkF(RF-yK4C&mzM@b>U7BCtRxLk&umNH9~yu&Zi@S!2l3YHHkIhQyRwrN<6&JaB9 zC4DYnuAfbM-N43pRE)`JvQ9|yhWQ8m_^n%L`jsiaBmpXhRD6Iu%eYKZulGw-+X}rT zro^x!bYP$<$SB$42tAB*VvN#YVE{LHL?CWJ`^1JxgB8!QGbQJd_UA_XD~Fmisqkwn z^$lDk_HgcoI-La1K(C2c9&ELXOpB_SEt33RGd#V6)yJ!qwRigx!g$8c76td4gF1Me zCpfoMcD~&Tot2O07yW|t3*T*7zOQhWL=+Pe;FFx+2ev4ON|UIjhBoA-Ts(`G)1U$TmDTUT3D;+G!Os z*i%`2n!sv=W;1^AlB~J@IJGb%_4dM`QL0GRUH?-z)>xWfXwlsa7LnmJQK{I0xzoYg zrZr2P`$tnIwJaxLOKn%CH%mXswjNUo4|(7-?8!Z+D$whs2{T=f_oRuP^s{A zqkKi((j#(5*qUz|M+izUv*@GL%&Hfiee*6t`)rb{*}LZ}XQx+;$LAW)gL$=zVR93Vms8p6ZcQILyegC zW-lSOqzsm*@I-iY?tFST#BbZjBP)i)=85FFM~VDxI*iW-K80*(0Tgl zvSei5roBV=4tNI>7^4klWSm6J2ub=x)5xZrOSy#AYkGia47h^MrwdE3Sd5J;O`+s% z+_Q|6_z169qxoblYetbW?5ZIhZaWhq-`&Gb@g}H|ucTSbaiuldqg+?IocXQE*j*uM zzB_9)`d{A}9CtjY;`pTHWn-zUG8tj}QsKvpwudJ7MC{_V&!D9)`rWJUR9UxIf|7D{ z$d2rVv2KUjIb8Lj*nFt`mG)Zb$5$s-lw!)#(v#NE5i$hOB;C>5HuU~C%^Nu;S+`SZ z+!vpTYsy!c2(eM++|Yi@RqPin+aaQ#QI@Eo;*qvT+OO{wKua%q6yVNX*HCrn_o=eV z-^hqT$`|~A<@(WmB>&oW&Z@s*89#CZ6!w?}mFSylF~7jFUSbbNsLZ$*H$krf2aPKxFu(e6qk;jf#wEH zE_|9$1mA1!i)rW46w-=ijclKnG7=p6x)wFf+V~dTdUCNHQW?&8!61FbvM!Y4#a2K5 zS^lSGoQ5AkoPG)I~>;0VBqg$uVXYX!dglRD=AjejluojCJxli0x&Szb^H)_fh##hUD zpKrbT@YMXHbV*{*Le3*iVF@j-fa;W`Q~c)Nz4hC^R$d|>M6fEq*h+oh!XgJ_H6O|+NKc|(mPgprDR@x zC}QJ^y%{7m@G4ojs-8CU`Nmxcd9+5A)Mq*=q1bEAQROeBtqL6A?a?(%oC*o4TBmHK zQiosie&+1lY1+h`+&o#bI3wdeeKZR?_;CD$w{#PWd2~K+4!veyeN%jbzH-+48{&aB zY|r2(pQAU;r#?{Da?j6k?Zw_ew6-R5-5l~PS67i7UavEL#v^~yoXv{nCcUmvpstG~ zOT1Qppjr!5d^=Svn}=Pe@#oWp{z3fbR_s=bbPn0cj0+T*kxUuXr*NAR@Z_GTo#j)0 zOGf;A`cLcGdoETT!M$2K5=^?e+i#xQ4jXO^<)*z0chxR1b9h=jWhruOFN39aDOZ=( z%P@uXl;{^bWIqX`p#bT#yc%;c&b;<{PIZRxn!+fum13A!LS}D%9WJn{iQ_w)QiD?Z zGXY4ldS6-P(l_K2i6>+OPa~N8dfWtx9gytKo8yDl$$T5hm(nMq-@Gc)jQV`C!Qky^ z%}OPq@gaLH&lQ78V>=DMO=yg@s^?OSn!R#*%D7!XWJGetEypHkoS<7ly*obg@!QI6 z9>VQ2-Ltp!Tfdr;-_av;8UM*YEp7j-4n(CrzC9QKH@fWbctwbE_rv--dO@D`B@(G` zhM954idr~-UdiZzMCFG_%N>1>&&(S*(=}C2=1k$9>QPMY+x+(9XWm=Kus)$##YM3_6^SgVzAcm`e`)Z-DyMdP z{ZTSf#p9%ggFWdut?6(Jmj#n4haeT-Hc2!a`z6F!ofbP~5dC zk$ed|@hCbg%+!^%olER1ERn3s;2UC7kH zFFv|vaqXeg4qX%jelfZ&8G}F*oX0&;+`4jF;+whg+aoovSj+b+2_fks(MlFi#OU*MISlK zeT=ME7I{yy6X<4Zx!rJKR9rv7nRC**s|R^DJ*~O2*m#zQrOJR|+0Q|B>3%|r%BW-x zzooLP*vYC^E#A8mUrLpd3H^4l1}&LY4y<3!J5GNI4c2>LVQ6BZ_ogjCI8)1KW!A@V zzDXrYOzW5uN{XE;osfGfhr3|Oa3y-0`loWeu9lQk8S|a~$J?WwEss%KXReLNZ^~bS zRfYMMWF*vf88o=CukpO1nHRY;OvM>`Z`^J})oc90{b6DD@P@IJX4DgaQ^7p(ZyJL# zVv}<;dGD(uPDhmaUeLTQ;anKud+Q71xpPNE-q~A`zglHAPrPmE|EAUyoFo2R>q2*; z_0?C~tpeGedr~wLNH_hu;3j)1L;KfLbb7fk``s5$rqqXOmTr%oFHqx=DSemc9-o+m`E+3KT8)+J&)#tatR&H+j!)*q%wvJ$yTaFKNJeqy976SReQ{XhO(q2 zolttmQka3_mceHcx!ap2Rt9<8r_S9fwy70%>!c~N@~XjWL@!rcmj%4F?`uskGRPfX z)GFI6<%U!~FYj7*{VLJGw(zu6JE|=tG|G=dKVZMQK+|Y+4A$b?c!F%gRMd(LYH6PgM6o(gLuF}C@j(} zUf}=Coq>?8FA4C+_re~lq!;qZoMzJ)J+pq7%65g6M489Cv0cS0F`t))&Dx=qMWT*h zqV`V2k~0{)CE#X}5&kg~$#r!x%IW(F-a0)(h9zt_ljDI{*i9vfN2nV4?dxl@YJnos zPlm*@T>V#Ejl9|V);8FFx;CoI6y1vt^u!&lo2^48^>_VngAT-=Sn9T)mZ%!~fnS&I zlX-tm!&2m&WYigy=3oW&>j3nWR)gAoO5P(B-AQ|JR>j?1`O8Owr;m8rU~alFrq{jI zK54?-RoIMo49O^_n?7}9qQS}KHynsN*XwlginoHohNqMq<)yG^ zbw}hc9?v-e)9oL$)95M3Fu5C&Y;#*OX^MFSeIW429XHO#iwfORtJNuYd0FyGJd%OS z#L|r{qOba#_5INz-j*_u-022U($_9_T_g`u+nrt(JnoUoI^iU^);@^1_F&Qbg`o-d zGcQe#sq$`BOs6;L?Sx87&tkPRkf|?kg|yUN zo73Gk((bAHizV}u4?(BNPa!j^2qpIh=~16+N!@&;E1f@2^CcBm59??f-%tB2qPMC0 z!}z#j8=a0)#e`GA&lPSJRX}PeZc%t=_w9TlW@wcUH(Y~ryaJ9a}Hr|)Qy?}kTb#XxB{?2Z5 z6U?Xc#*|C3zNXMcdtEi9q*|FHx{3yEitO$SKZ=qv?>-=1q-B{zy=CT?G3Z~9TNk$V zqM(iE5b8>3kD(hVO4q7skP1rN9Sf}gg3;ypdX02-xm+%TlH^K=k%528h?3rNYu&)( zrIu0al4SO)*|;%7NW)0jR9*pn+oSIvD3W_UXB;%@5a_+7VQdpsDEZm_I0km=)* z#G%VWn!QVrvUPEZOrN$a%+Lo-vo3d{de>$Ombiu(%)6DeZjWm^DH(3zK{aI;B zytA*0T-C?U&E;LSRDTq6IwZQ6di(^-%B)`8n2{>o$odZ~R4(@&U71Je%XuA2R#%Uw zF>2%$`kBZ5L*Hd)K~??Fts30knPMB4{Mh9bF794X5k1=(DSPD7dk#%SwZ#%9Ta2n_ zQo!xvXClvBVix%sgB4=M6Q5g#IvsfrI=yY_{5FFw#~HvH}g)ucaEQ4@4@`k91w-tkZKu zG*6#7-9MM7Q~T=ebZWViX77~^;TIzldF{G&wy-ACs-7?Guavt!;0M&6sG}ae&iE8Y z*_n#-q>!VvC(ri&Alsfh_+|)ar;x!aObRV7>n?hmB=QE&bBaYmLchNxe`hJDhacn< z@l+rtpJp#pdd2^_O)3K~wC>g|rql4mh-v@5ib0N1t%&B^#_MXq!DRYFA{+u+kdMqb z>u;woXOcz|F6y2cG4LssdNtP@oi8A8*4hbgCeJCf5j=`j?i27G-p##ZkSf*$td$W_0Slai_7Hx`Gwt3OQ^&XlYT$( zA_O~Y$Reqq%F<@3ROibrni3UB$^>f5IfLzxi%IYGHMp(yr>u1~MA~J>>fIa5OcpwH zPj?20L{-bH2X@hjlyk;yr@Vh|wUisYHXQU^m`05uiKlvsbSup=+YTx-q285TZ(@|S zd5;I~%|H5Cp~~!j%jVWlL`OPj1z#^7%aLElGftf|G324!)Bf^wYN=ctWkS7^&Ld)X=;sEeqH@sZTvcq19wT zOV?mpP9}Fr;>A&~$n5v&jvVTZ>fxEQd_1N>1;%Y>n6tKZTgb@Gv0h>W*Te&Q;x|r} zQi{p6G4_kZOD{GcUr6JrDGN)g>;(*z;nS?iD8=v5s?RTDm2I0N2)>*a6)rQB7oU#k%gQ;$ZslIFL~ho zX&lcgaID)4(A+cS`-gOK)E}wO|5v)WocccH^nSXy=6^~TM*zvGJHs037 z%^42@NWu4K0P5i432u@$W_S=BNXS<-`_}^$2B=@h*3!*}074R%;Qi;@1^*3;oV>oC z{QeyS01IH|eSm*r*&pyA7JzQ{AF%*rwFedR&#wF5u;|MwDQoHjSk(VXE&JmgfU@tT ze?S4y|D~4wveJLr2=UK#rvDq3gVm;qwDNoWKP>d0p%7nY`13Bq|K=^kJn{#z{Gy~k zpcVwc^ZU12puiUWOD)7??ePD9wGdMm6M;GGx_`P09C-K(%WuaL6$Rmd64mB*%MRQ87l&X@fkh+pvlg!nx*a5;%+GUQ>#>;sbl=K05U zA%BhgC+uJAK4`6jBLQyrTPMF11p2j1b|0$1F&gI>k7)aJ2;q|IXUf5uW4gT z00CdWVSwBTwocX{2U~L&XBQU-9R4y$8#v~G`9W|&C<2Pa!v2L+044|n@#)~qVUi%U zAW{%@5oGUT2AniFGmyu>yX?#V@ER{X&WaoYyibrr{`~<$A(4O|Sb_c}0}d^~Z2KP| zr+>>J7(jUkWe^Ay@SZWO7AC>+=X2m2!7#B)F(NErH1I|K|MgeU5YMxze3 zL!OviS-PFA~8fZ0(=OKA;tnjA`kf_3<)RpH3$rjz!2#G z7!M0OJO^q&)*aLf>af4SP?$rugdtJ;vH4(MG#q_sJTweRY&U>@fB*I7Kwmf%ivVIB zkqiSTS_cG%Mj(h}z}ny_qP0Qba5(y~42C4OIUELo6UhMk!Z5`C2ZtjL`7Rs?M29dV zpojY+kca#aj)d$V3kTPWMD7RrgEAEKa61(8kpIEakVF20K*2D?;{mIH5$O+tL?ZW( zz60|jkr*to{?Ld06oLj~6Y(4vB;?RKFi6CqxPgVi(ZpD=FaRJC7AzbKC&q$B?B63k zfEfw_lt9!MxMd5060Hr0iO7G5yKp4Y9DpAnU_|!am;D)s-0)^VQ6W6JurLU$Ve5_C zKU2W!&d$KA4WO0%mw!1YD`%j_(Z3#~KnB7nl!P>3gsT!)r7&=e3=Ay|lS0T~;HaxI mXov*nswn9HZej!y6(uLQnc>}jon-(lVDFLh@JOr3kpDkW%CvO= literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/aemb/rtl/CVS/Entries b/usrp2/fpga/opencores/aemb/rtl/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/aemb/rtl/CVS/Repository b/usrp2/fpga/opencores/aemb/rtl/CVS/Repository new file mode 100644 index 00000000..e2c1eab7 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/CVS/Repository @@ -0,0 +1 @@ +aemb/rtl diff --git a/usrp2/fpga/opencores/aemb/rtl/CVS/Root b/usrp2/fpga/opencores/aemb/rtl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/rtl/CVS/Template b/usrp2/fpga/opencores/aemb/rtl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries new file mode 100644 index 00000000..ad3a7dea --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries @@ -0,0 +1,38 @@ +/aeMB_bpcu.v/1.4/Mon Feb 4 17:16:00 2008// +/aeMB_core.v/1.9/Mon Feb 4 17:16:00 2008// +/aeMB_ctrl.v/1.10/Mon Feb 4 17:16:00 2008// +/aeMB_edk32.v/1.14/Mon Feb 4 17:16:00 2008// +/aeMB_ibuf.v/1.10/Tue May 20 17:45:01 2008// +/aeMB_regf.v/1.3/Mon Feb 4 17:16:00 2008// +/aeMB_sim.v/1.1/Mon Feb 4 17:16:00 2008// +/aeMB_xecu.v/1.12/Tue May 20 17:48:57 2008// +/aeMB2_aslu.v/1.10/Tue May 20 18:13:50 2008// +/aeMB2_bpcu.v/1.5/Tue May 20 18:13:50 2008// +/aeMB2_brcc.v/1.3/Tue May 20 18:13:50 2008// +/aeMB2_bsft.v/1.3/Tue May 20 18:13:50 2008// +/aeMB2_ctrl.v/1.7/Tue May 20 18:13:51 2008// +/aeMB2_dparam.v/1.1/Tue May 20 18:13:51 2008// +/aeMB2_dwbif.v/1.7/Tue May 20 18:13:51 2008// +/aeMB2_edk32.v/1.8/Tue May 20 18:13:51 2008// +/aeMB2_edk62.v/1.8/Tue May 20 18:13:51 2008// +/aeMB2_exec.v/1.4/Tue May 20 18:13:51 2008// +/aeMB2_gprf.v/1.4/Tue May 20 18:13:51 2008// +/aeMB2_iche.v/1.5/Tue May 20 18:13:51 2008// +/aeMB2_idmx.v/1.5/Tue May 20 18:13:51 2008// +/aeMB2_intu.v/1.7/Tue May 20 18:13:51 2008// +/aeMB2_iwbif.v/1.5/Tue May 20 18:13:51 2008// +/aeMB2_memif.v/1.3/Tue May 20 18:13:51 2008// +/aeMB2_mult.v/1.5/Tue May 20 18:13:51 2008// +/aeMB2_ofid.v/1.2/Tue May 20 18:13:51 2008// +/aeMB2_opmx.v/1.3/Tue May 20 18:13:51 2008// +/aeMB2_pipe.v/1.4/Tue May 20 18:13:51 2008// +/aeMB2_regf.v/1.3/Tue May 20 18:13:51 2008// +/aeMB2_regs.v/1.4/Tue May 20 18:13:51 2008// +/aeMB2_sfrf.v/1.2/Tue May 20 18:13:51 2008// +/aeMB2_sim.v/1.2/Tue May 20 18:13:51 2008// +/aeMB2_sparam.v/1.2/Tue May 20 18:13:51 2008// +/aeMB2_spsram.v/1.1/Tue May 20 18:13:51 2008// +/aeMB2_sysc.v/1.5/Tue May 20 18:13:51 2008// +/aeMB2_tpsram.v/1.3/Tue May 20 18:13:51 2008// +/aeMB2_xslif.v/1.7/Tue May 20 18:13:52 2008// +D diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository new file mode 100644 index 00000000..a9de1955 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository @@ -0,0 +1 @@ +aemb/rtl/verilog diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Template b/usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v new file mode 100644 index 00000000..a7c686e7 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v @@ -0,0 +1,184 @@ +// $Id: aeMB_bpcu.v,v 1.4 2007/11/14 22:14:34 sybreon Exp $ +// +// AEMB BRANCH PROGRAMME COUNTER UNIT +// +// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +// +// This file is part of AEMB. +// +// AEMB is free software: you can redistribute it and/or modify it +// under the terms of the GNU Lesser General Public License as +// published by the Free Software Foundation, either version 3 of the +// License, or (at your option) any later version. +// +// AEMB is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +// or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +// Public License for more details. +// +// You should have received a copy of the GNU Lesser General Public +// License along with AEMB. If not, see . +// +// $Log: aeMB_bpcu.v,v $ +// Revision 1.4 2007/11/14 22:14:34 sybreon +// Changed interrupt handling system (reported by M. Ettus). +// +// Revision 1.3 2007/11/10 16:39:38 sybreon +// Upgraded license to LGPLv3. +// Significant performance optimisations. +// +// Revision 1.2 2007/11/02 19:20:58 sybreon +// Added better (beta) interrupt support. +// Changed MSR_IE to disabled at reset as per MB docs. +// +// Revision 1.1 2007/11/02 03:25:39 sybreon +// New EDK 3.2 compatible design with optional barrel-shifter and multiplier. +// Fixed various minor data hazard bugs. +// Code compatible with -O0/1/2/3/s generated code. +// + +module aeMB_bpcu (/*AUTOARG*/ + // Outputs + iwb_adr_o, rPC, rPCLNK, rBRA, rDLY, + // Inputs + rMXALT, rOPC, rRD, rRA, rRESULT, rDWBDI, rREGA, gclk, grst, gena + ); + parameter IW = 24; + + // INST WISHBONE + output [IW-1:2] iwb_adr_o; + + // INTERNAL + output [31:2] rPC, rPCLNK; + output rBRA; + output rDLY; + //output [1:0] rATOM; + //output [1:0] xATOM; + + input [1:0] rMXALT; + input [5:0] rOPC; + input [4:0] rRD, rRA; + input [31:0] rRESULT; // ALU + input [31:0] rDWBDI; // RAM + input [31:0] rREGA; + //input [1:0] rXCE; + + // SYSTEM + input gclk, grst, gena; + + // --- BRANCH CONTROL -------------------------------------------- + // Controls the branch and delay flags + + wire fRTD = (rOPC == 6'o55); + wire fBCC = (rOPC == 6'o47) | (rOPC == 6'o57); + wire fBRU = (rOPC == 6'o46) | (rOPC == 6'o56); + + wire [31:0] wREGA; + assign wREGA = (rMXALT == 2'o2) ? rDWBDI : + (rMXALT == 2'o1) ? rRESULT : + rREGA; + + wire wBEQ = (wREGA == 32'd0); + wire wBNE = ~wBEQ; + wire wBLT = wREGA[31]; + wire wBLE = wBLT | wBEQ; + wire wBGE = ~wBLT; + wire wBGT = ~wBLE; + + reg xXCC; + always @(/*AUTOSENSE*/rRD or wBEQ or wBGE or wBGT or wBLE or wBLT + or wBNE) + case (rRD[2:0]) + 3'o0: xXCC <= wBEQ; + 3'o1: xXCC <= wBNE; + 3'o2: xXCC <= wBLT; + 3'o3: xXCC <= wBLE; + 3'o4: xXCC <= wBGT; + 3'o5: xXCC <= wBGE; + default: xXCC <= 1'bX; + endcase // case (rRD[2:0]) + + reg rBRA, xBRA; + reg rDLY, xDLY; + wire fSKIP = rBRA & !rDLY; + + always @(/*AUTOSENSE*/fBCC or fBRU or fRTD or rBRA or rRA or rRD + or xXCC) + //if (rBRA | |rXCE) begin + if (rBRA) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xBRA <= 1'h0; + xDLY <= 1'h0; + // End of automatics + end else begin + xDLY <= (fBRU & rRA[4]) | (fBCC & rRD[4]) | fRTD; + xBRA <= (fRTD | fBRU) ? 1'b1 : + (fBCC) ? xXCC : + 1'b0; + end + + // --- PC PIPELINE ------------------------------------------------ + // PC and related changes + + reg [31:2] rIPC, xIPC; + reg [31:2] rPC, xPC; + reg [31:2] rPCLNK, xPCLNK; + + assign iwb_adr_o = rIPC[IW-1:2]; + + always @(/*AUTOSENSE*/rBRA or rIPC or rPC or rRESULT) begin + //xPCLNK <= (^rATOM) ? rPC : rPC; + xPCLNK <= rPC; + //xPC <= (^rATOM) ? rIPC : rRESULT[31:2]; + xPC <= rIPC; + //xIPC <= (rBRA) ? rRESULT[31:2] : (rIPC + 1); + /* + case (rXCE) + 2'o1: xIPC <= 30'h2; + 2'o2: xIPC <= 30'h4; + 2'o3: xIPC <= 30'h6; + default: xIPC <= (rBRA) ? rRESULT[31:2] : (rIPC + 1); + endcase // case (rXCE) + */ + xIPC <= (rBRA) ? rRESULT[31:2] : (rIPC + 1); + end + + // --- ATOMIC CONTROL --------------------------------------------- + // This is used to indicate 'safe' instruction borders. + + wire wIMM = (rOPC == 6'o54) & !fSKIP; + wire wRTD = (rOPC == 6'o55) & !fSKIP; + wire wBCC = xXCC & ((rOPC == 6'o47) | (rOPC == 6'o57)) & !fSKIP; + wire wBRU = ((rOPC == 6'o46) | (rOPC == 6'o56)) & !fSKIP; + + wire fATOM = ~(wIMM | wRTD | wBCC | wBRU | rBRA); + reg [1:0] rATOM, xATOM; + + always @(/*AUTOSENSE*/fATOM or rATOM) + xATOM <= {rATOM[0], (rATOM[0] ^ fATOM)}; + + + // --- SYNC PIPELINE ---------------------------------------------- + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rATOM <= 2'h0; + rBRA <= 1'h0; + rDLY <= 1'h0; + rIPC <= 30'h0; + rPC <= 30'h0; + rPCLNK <= 30'h0; + // End of automatics + end else if (gena) begin + rIPC <= #1 xIPC; + rBRA <= #1 xBRA; + rPC <= #1 xPC; + rPCLNK <= #1 xPCLNK; + rDLY <= #1 xDLY; + rATOM <= #1 xATOM; + end + +endmodule // aeMB_bpcu diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v new file mode 100644 index 00000000..20ce9852 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v @@ -0,0 +1,137 @@ +// $Id: aeMB_core.v,v 1.9 2007/11/23 14:06:41 sybreon Exp $ +// +// AEMB 32'bit RISC MICROPROCESSOR CORE +// +// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +// +// This file is part of AEMB. +// +// AEMB is free software: you can redistribute it and/or modify it +// under the terms of the GNU Lesser General Public License as +// published by the Free Software Foundation, either version 3 of the +// License, or (at your option) any later version. +// +// AEMB is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +// or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +// Public License for more details. +// +// You should have received a copy of the GNU Lesser General Public +// License along with AEMB. If not, see . +// +// HISTORY +// $Log: aeMB_core.v,v $ +// Revision 1.9 2007/11/23 14:06:41 sybreon +// Old version deprecated. +// +// Revision 1.8 2007/10/22 19:12:59 sybreon +// Made some changes to the interrupt control. In some cases, the interrupt logic waits forever and doesn't execute. Bug was discovered by M. Ettus. +// +// Revision 1.7 2007/05/30 18:44:30 sybreon +// Added interrupt support. +// +// Revision 1.6 2007/05/17 09:08:21 sybreon +// Removed asynchronous reset signal. +// +// Revision 1.5 2007/04/27 00:23:55 sybreon +// Added code documentation. +// Improved size & speed of rtl/verilog/aeMB_aslu.v +// +// Revision 1.4 2007/04/25 22:15:04 sybreon +// Added support for 8-bit and 16-bit data types. +// +// Revision 1.3 2007/04/11 04:30:43 sybreon +// Added pipeline stalling from incomplete bus cycles. +// Separated sync and async portions of code. +// +// Revision 1.2 2007/04/04 06:13:23 sybreon +// Removed unused signals +// +// Revision 1.1 2007/03/09 17:52:17 sybreon +// initial import +// + + +module aeMB_core (/*AUTOARG*/ + // Outputs + iwb_stb_o, iwb_adr_o, fsl_wre_o, fsl_tag_o, fsl_stb_o, fsl_dat_o, + fsl_adr_o, dwb_wre_o, dwb_stb_o, dwb_sel_o, dwb_dat_o, dwb_adr_o, + // Inputs + sys_rst_i, sys_int_i, sys_clk_i, iwb_dat_i, iwb_ack_i, fsl_dat_i, + fsl_ack_i, dwb_dat_i, dwb_ack_i + ); + // Instruction WB address space + parameter ISIZ = 32; + // Data WB address space + parameter DSIZ = 32; + // Multiplier + parameter MUL = 1; + // Barrel Shifter + parameter BSF = 1; + + /*AUTOOUTPUT*/ + // Beginning of automatic outputs (from unused autoinst outputs) + output [DSIZ-1:2] dwb_adr_o; // From edk32 of aeMB_edk32.v + output [31:0] dwb_dat_o; // From edk32 of aeMB_edk32.v + output [3:0] dwb_sel_o; // From edk32 of aeMB_edk32.v + output dwb_stb_o; // From edk32 of aeMB_edk32.v + output dwb_wre_o; // From edk32 of aeMB_edk32.v + output [6:2] fsl_adr_o; // From edk32 of aeMB_edk32.v + output [31:0] fsl_dat_o; // From edk32 of aeMB_edk32.v + output fsl_stb_o; // From edk32 of aeMB_edk32.v + output [1:0] fsl_tag_o; // From edk32 of aeMB_edk32.v + output fsl_wre_o; // From edk32 of aeMB_edk32.v + output [ISIZ-1:2] iwb_adr_o; // From edk32 of aeMB_edk32.v + output iwb_stb_o; // From edk32 of aeMB_edk32.v + // End of automatics + /*AUTOINPUT*/ + // Beginning of automatic inputs (from unused autoinst inputs) + input dwb_ack_i; // To edk32 of aeMB_edk32.v + input [31:0] dwb_dat_i; // To edk32 of aeMB_edk32.v + input fsl_ack_i; // To edk32 of aeMB_edk32.v + input [31:0] fsl_dat_i; // To edk32 of aeMB_edk32.v + input iwb_ack_i; // To edk32 of aeMB_edk32.v + input [31:0] iwb_dat_i; // To edk32 of aeMB_edk32.v + input sys_clk_i; // To edk32 of aeMB_edk32.v + input sys_int_i; // To edk32 of aeMB_edk32.v + input sys_rst_i; // To edk32 of aeMB_edk32.v + // End of automatics + /*AUTOWIRE*/ + + // INSTANTIATIONS ///////////////////////////////////////////////////////////////// + + /* + aeMB_edk32 AUTO_TEMPLATE ( + .dwb_adr_o(dwb_adr_o[DSIZ-1:2]), + .iwb_adr_o(iwb_adr_o[ISIZ-1:2]), + ); + */ + + aeMB_edk32 #(ISIZ, DSIZ, MUL, BSF) + edk32 (/*AUTOINST*/ + // Outputs + .dwb_adr_o (dwb_adr_o[DSIZ-1:2]), // Templated + .dwb_dat_o (dwb_dat_o[31:0]), + .dwb_sel_o (dwb_sel_o[3:0]), + .dwb_stb_o (dwb_stb_o), + .dwb_wre_o (dwb_wre_o), + .fsl_adr_o (fsl_adr_o[6:2]), + .fsl_dat_o (fsl_dat_o[31:0]), + .fsl_stb_o (fsl_stb_o), + .fsl_tag_o (fsl_tag_o[1:0]), + .fsl_wre_o (fsl_wre_o), + .iwb_adr_o (iwb_adr_o[ISIZ-1:2]), // Templated + .iwb_stb_o (iwb_stb_o), + // Inputs + .dwb_ack_i (dwb_ack_i), + .dwb_dat_i (dwb_dat_i[31:0]), + .fsl_ack_i (fsl_ack_i), + .fsl_dat_i (fsl_dat_i[31:0]), + .iwb_ack_i (iwb_ack_i), + .iwb_dat_i (iwb_dat_i[31:0]), + .sys_int_i (sys_int_i), + .sys_clk_i (sys_clk_i), + .sys_rst_i (sys_rst_i)); + + +endmodule // aeMB_core diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v new file mode 100644 index 00000000..9ffa20ff --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v @@ -0,0 +1,62 @@ + +// Wrapper for aeMB core: +// Drive wb_cyc_o (just tied to wb_stb_o for now) +// Make input reset active high (like the signal name makes it sound....) +// No longer needed +// Make it big-endian like the standard MicroBlaze + +module aeMB_core_BE + #(parameter ISIZ=32, parameter DSIZ=32, + parameter MUL=0, parameter BSF=0) + (input sys_clk_i, + input sys_rst_i, + + output iwb_stb_o, + output [ISIZ-1:0] iwb_adr_o, + input [31:0] iwb_dat_i, + input iwb_ack_i, + + output dwb_we_o, + output dwb_stb_o, + output [DSIZ-1:0] dwb_adr_o, + output [31:0] dwb_dat_o, + input [31:0] dwb_dat_i, + input dwb_ack_i, + output [3:0] dwb_sel_o, + output dwb_cyc_o, + + input sys_int_i, + input sys_exc_i); + + assign dwb_cyc_o = dwb_stb_o; + + aeMB_edk32 #(.IW(ISIZ),.DW(DSIZ),.MUL(MUL),.BSF(BSF)) + aeMB_edk32 (.sys_clk_i(sys_clk_i), + .sys_rst_i(sys_rst_i), + + .iwb_stb_o(iwb_stb_o), + .iwb_adr_o(iwb_adr_o[ISIZ-1:2]), + .iwb_ack_i(iwb_ack_i), + .iwb_dat_i(iwb_dat_i), + + .dwb_wre_o(dwb_we_o), + .dwb_stb_o(dwb_stb_o), + .dwb_adr_o(dwb_adr_o[DSIZ-1:2]), + .dwb_ack_i(dwb_ack_i), + .dwb_sel_o(dwb_sel_o), + .dwb_dat_i(dwb_dat_i), + .dwb_dat_o(dwb_dat_o), + + .fsl_wre_o(), + .fsl_tag_o(), + .fsl_stb_o(), + .fsl_dat_o(), + .fsl_adr_o(), + .fsl_dat_i(32'b0), + .fsl_ack_i(1'b0), + .sys_int_i(sys_int_i) ); + + assign iwb_adr_o[1:0] = 2'b0; + assign dwb_adr_o[1:0] = 2'b0; + +endmodule // aeMB_core_BE diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v new file mode 100644 index 00000000..88d4e51c --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v @@ -0,0 +1,336 @@ +// $Id: aeMB_ctrl.v,v 1.10 2007/11/30 16:44:40 sybreon Exp $ +// +// AEMB CONTROL UNIT +// +// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +// +// This file is part of AEMB. +// +// AEMB is free software: you can redistribute it and/or modify it +// under the terms of the GNU Lesser General Public License as +// published by the Free Software Foundation, either version 3 of the +// License, or (at your option) any later version. +// +// AEMB is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +// or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +// Public License for more details. +// +// You should have received a copy of the GNU Lesser General Public +// License along with AEMB. If not, see . +// +// $Log: aeMB_ctrl.v,v $ +// Revision 1.10 2007/11/30 16:44:40 sybreon +// Minor code cleanup. +// +// Revision 1.9 2007/11/15 09:26:43 sybreon +// Fixed minor typo causing synthesis failure. +// +// Revision 1.8 2007/11/14 23:19:24 sybreon +// Fixed minor typo. +// +// Revision 1.7 2007/11/14 22:14:34 sybreon +// Changed interrupt handling system (reported by M. Ettus). +// +// Revision 1.6 2007/11/10 16:39:38 sybreon +// Upgraded license to LGPLv3. +// Significant performance optimisations. +// +// Revision 1.5 2007/11/09 20:51:52 sybreon +// Added GET/PUT support through a FSL bus. +// +// Revision 1.4 2007/11/08 17:48:14 sybreon +// Fixed data WISHBONE arbitration problem (reported by J Lee). +// +// Revision 1.3 2007/11/08 14:17:47 sybreon +// Parameterised optional components. +// +// Revision 1.2 2007/11/02 19:20:58 sybreon +// Added better (beta) interrupt support. +// Changed MSR_IE to disabled at reset as per MB docs. +// +// Revision 1.1 2007/11/02 03:25:40 sybreon +// New EDK 3.2 compatible design with optional barrel-shifter and multiplier. +// Fixed various minor data hazard bugs. +// Code compatible with -O0/1/2/3/s generated code. +// + +module aeMB_ctrl (/*AUTOARG*/ + // Outputs + rMXDST, rMXSRC, rMXTGT, rMXALT, rMXALU, rRW, dwb_stb_o, dwb_wre_o, + fsl_stb_o, fsl_wre_o, + // Inputs + rDLY, rIMM, rALT, rOPC, rRD, rRA, rRB, rPC, rBRA, rMSR_IE, xIREG, + dwb_ack_i, iwb_ack_i, fsl_ack_i, gclk, grst, gena + ); + // INTERNAL + //output [31:2] rPCLNK; + output [1:0] rMXDST; + output [1:0] rMXSRC, rMXTGT, rMXALT; + output [2:0] rMXALU; + output [4:0] rRW; + + input rDLY; + input [15:0] rIMM; + input [10:0] rALT; + input [5:0] rOPC; + input [4:0] rRD, rRA, rRB; + input [31:2] rPC; + input rBRA; + input rMSR_IE; + input [31:0] xIREG; + + // DATA WISHBONE + output dwb_stb_o; + output dwb_wre_o; + input dwb_ack_i; + + // INST WISHBONE + input iwb_ack_i; + + // FSL WISHBONE + output fsl_stb_o; + output fsl_wre_o; + input fsl_ack_i; + + // SYSTEM + input gclk, grst, gena; + + // --- DECODE INSTRUCTIONS + // TODO: Simplify + + wire [5:0] wOPC; + wire [4:0] wRD, wRA, wRB; + wire [10:0] wALT; + + assign {wOPC, wRD, wRA, wRB, wALT} = xIREG; // FIXME: Endian + + wire fSFT = (rOPC == 6'o44); + wire fLOG = ({rOPC[5:4],rOPC[2]} == 3'o4); + + wire fMUL = (rOPC == 6'o20) | (rOPC == 6'o30); + wire fBSF = (rOPC == 6'o21) | (rOPC == 6'o31); + wire fDIV = (rOPC == 6'o22); + + wire fRTD = (rOPC == 6'o55); + wire fBCC = (rOPC == 6'o47) | (rOPC == 6'o57); + wire fBRU = (rOPC == 6'o46) | (rOPC == 6'o56); + wire fBRA = fBRU & rRA[3]; + + wire fIMM = (rOPC == 6'o54); + wire fMOV = (rOPC == 6'o45); + + wire fLOD = ({rOPC[5:4],rOPC[2]} == 3'o6); + wire fSTR = ({rOPC[5:4],rOPC[2]} == 3'o7); + wire fLDST = (&rOPC[5:4]); + + wire fPUT = (rOPC == 6'o33) & rRB[4]; + wire fGET = (rOPC == 6'o33) & !rRB[4]; + + + wire wSFT = (wOPC == 6'o44); + wire wLOG = ({wOPC[5:4],wOPC[2]} == 3'o4); + + wire wMUL = (wOPC == 6'o20) | (wOPC == 6'o30); + wire wBSF = (wOPC == 6'o21) | (wOPC == 6'o31); + wire wDIV = (wOPC == 6'o22); + + wire wRTD = (wOPC == 6'o55); + wire wBCC = (wOPC == 6'o47) | (wOPC == 6'o57); + wire wBRU = (wOPC == 6'o46) | (wOPC == 6'o56); + wire wBRA = wBRU & wRA[3]; + + wire wIMM = (wOPC == 6'o54); + wire wMOV = (wOPC == 6'o45); + + wire wLOD = ({wOPC[5:4],wOPC[2]} == 3'o6); + wire wSTR = ({wOPC[5:4],wOPC[2]} == 3'o7); + wire wLDST = (&wOPC[5:4]); + + wire wPUT = (wOPC == 6'o33) & wRB[4]; + wire wGET = (wOPC == 6'o33) & !wRB[4]; + + + // --- BRANCH SLOT REGISTERS --------------------------- + + reg [31:2] rPCLNK, xPCLNK; + reg [1:0] rMXDST, xMXDST; + reg [4:0] rRW, xRW; + + reg [1:0] rMXSRC, xMXSRC; + reg [1:0] rMXTGT, xMXTGT; + reg [1:0] rMXALT, xMXALT; + + + // --- OPERAND SELECTOR --------------------------------- + + wire wRDWE = |xRW; + wire wAFWD_M = (xRW == wRA) & (xMXDST == 2'o2) & wRDWE; + wire wBFWD_M = (xRW == wRB) & (xMXDST == 2'o2) & wRDWE; + wire wAFWD_R = (xRW == wRA) & (xMXDST == 2'o0) & wRDWE; + wire wBFWD_R = (xRW == wRB) & (xMXDST == 2'o0) & wRDWE; + + always @(/*AUTOSENSE*/rBRA or wAFWD_M or wAFWD_R or wBCC or wBFWD_M + or wBFWD_R or wBRU or wOPC) + //if (rBRA | |rXCE) begin + if (rBRA) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xMXALT <= 2'h0; + xMXSRC <= 2'h0; + xMXTGT <= 2'h0; + // End of automatics + end else begin + xMXSRC <= (wBRU | wBCC) ? 2'o3 : // PC + (wAFWD_M) ? 2'o2 : // RAM + (wAFWD_R) ? 2'o1 : // FWD + 2'o0; // REG + xMXTGT <= (wOPC[3]) ? 2'o3 : // IMM + (wBFWD_M) ? 2'o2 : // RAM + (wBFWD_R) ? 2'o1 : // FWD + 2'o0; // REG + xMXALT <= (wAFWD_M) ? 2'o2 : // RAM + (wAFWD_R) ? 2'o1 : // FWD + 2'o0; // REG + end // else: !if(rBRA) + + // --- ALU CONTROL --------------------------------------- + + reg [2:0] rMXALU, xMXALU; + + always @(/*AUTOSENSE*/rBRA or wBRA or wBSF or wDIV or wLOG or wMOV + or wMUL or wSFT) + //if (rBRA | |rXCE) begin + if (rBRA) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xMXALU <= 3'h0; + // End of automatics + end else begin + xMXALU <= (wBRA | wMOV) ? 3'o3 : + (wSFT) ? 3'o2 : + (wLOG) ? 3'o1 : + (wMUL) ? 3'o4 : + (wBSF) ? 3'o5 : + (wDIV) ? 3'o6 : + 3'o0; + end // else: !if(rBRA) + + // --- DELAY SLOT REGISTERS ------------------------------ + + wire fSKIP = (rBRA & !rDLY); + + always @(/*AUTOSENSE*/fBCC or fBRU or fGET or fLOD or fRTD or fSKIP + or fSTR or rRD) + if (fSKIP) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xMXDST <= 2'h0; + xRW <= 5'h0; + // End of automatics + end else begin + xMXDST <= (fSTR | fRTD | fBCC) ? 2'o3 : + (fLOD | fGET) ? 2'o2 : + (fBRU) ? 2'o1 : + 2'o0; + xRW <= rRD; + end // else: !if(fSKIP) + + + // --- DATA WISHBONE ---------------------------------- + + wire fDACK = !(dwb_stb_o ^ dwb_ack_i); + + reg rDWBSTB, xDWBSTB; + reg rDWBWRE, xDWBWRE; + + assign dwb_stb_o = rDWBSTB; + assign dwb_wre_o = rDWBWRE; + + + always @(/*AUTOSENSE*/fLOD or fSKIP or fSTR or iwb_ack_i) + //if (fSKIP | |rXCE) begin + if (fSKIP) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xDWBSTB <= 1'h0; + xDWBWRE <= 1'h0; + // End of automatics + end else begin + xDWBSTB <= (fLOD | fSTR) & iwb_ack_i; + xDWBWRE <= fSTR & iwb_ack_i; + end + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rDWBSTB <= 1'h0; + rDWBWRE <= 1'h0; + // End of automatics + end else if (fDACK) begin + rDWBSTB <= #1 xDWBSTB; + rDWBWRE <= #1 xDWBWRE; + end + + + // --- FSL WISHBONE ----------------------------------- + + wire fFACK = !(fsl_stb_o ^ fsl_ack_i); + + reg rFSLSTB, xFSLSTB; + reg rFSLWRE, xFSLWRE; + + assign fsl_stb_o = rFSLSTB; + assign fsl_wre_o = rFSLWRE; + + always @(/*AUTOSENSE*/fGET or fPUT or fSKIP or iwb_ack_i) + //if (fSKIP | |rXCE) begin + if (fSKIP) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xFSLSTB <= 1'h0; + xFSLWRE <= 1'h0; + // End of automatics + end else begin + xFSLSTB <= (fPUT | fGET) & iwb_ack_i; + xFSLWRE <= fPUT & iwb_ack_i; + end + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rFSLSTB <= 1'h0; + rFSLWRE <= 1'h0; + // End of automatics + end else if (fFACK) begin + rFSLSTB <= #1 xFSLSTB; + rFSLWRE <= #1 xFSLWRE; + end + + // --- PIPELINE CONTROL DELAY ---------------------------- + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rMXALT <= 2'h0; + rMXALU <= 3'h0; + rMXDST <= 2'h0; + rMXSRC <= 2'h0; + rMXTGT <= 2'h0; + rRW <= 5'h0; + // End of automatics + end else if (gena) begin // if (grst) + //rPCLNK <= #1 xPCLNK; + rMXDST <= #1 xMXDST; + rRW <= #1 xRW; + rMXSRC <= #1 xMXSRC; + rMXTGT <= #1 xMXTGT; + rMXALT <= #1 xMXALT; + rMXALU <= #1 xMXALU; + end + + +endmodule // aeMB_ctrl diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v new file mode 100644 index 00000000..8bf4f7ca --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v @@ -0,0 +1,289 @@ +/* $Id: aeMB_edk32.v,v 1.14 2008/01/19 16:01:22 sybreon Exp $ +** +** AEMB EDK 3.2 Compatible Core +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +module aeMB_edk32 (/*AUTOARG*/ + // Outputs + iwb_stb_o, iwb_adr_o, fsl_wre_o, fsl_tag_o, fsl_stb_o, fsl_dat_o, + fsl_adr_o, dwb_wre_o, dwb_stb_o, dwb_sel_o, dwb_dat_o, dwb_adr_o, + // Inputs + sys_int_i, iwb_dat_i, iwb_ack_i, fsl_dat_i, fsl_ack_i, dwb_dat_i, + dwb_ack_i, sys_clk_i, sys_rst_i + ); + // Bus widths + parameter IW = 32; /// Instruction bus address width + parameter DW = 32; /// Data bus address width + + // Optional functions + parameter MUL = 0; // Multiplier + parameter BSF = 1; // Barrel Shifter + + /*AUTOOUTPUT*/ + // Beginning of automatic outputs (from unused autoinst outputs) + output [DW-1:2] dwb_adr_o; // From xecu of aeMB_xecu.v + output [31:0] dwb_dat_o; // From regf of aeMB_regf.v + output [3:0] dwb_sel_o; // From xecu of aeMB_xecu.v + output dwb_stb_o; // From ctrl of aeMB_ctrl.v + output dwb_wre_o; // From ctrl of aeMB_ctrl.v + output [6:2] fsl_adr_o; // From xecu of aeMB_xecu.v + output [31:0] fsl_dat_o; // From regf of aeMB_regf.v + output fsl_stb_o; // From ctrl of aeMB_ctrl.v + output [1:0] fsl_tag_o; // From xecu of aeMB_xecu.v + output fsl_wre_o; // From ctrl of aeMB_ctrl.v + output [IW-1:2] iwb_adr_o; // From bpcu of aeMB_bpcu.v + output iwb_stb_o; // From ibuf of aeMB_ibuf.v + // End of automatics + /*AUTOINPUT*/ + // Beginning of automatic inputs (from unused autoinst inputs) + input dwb_ack_i; // To ctrl of aeMB_ctrl.v + input [31:0] dwb_dat_i; // To regf of aeMB_regf.v + input fsl_ack_i; // To ctrl of aeMB_ctrl.v + input [31:0] fsl_dat_i; // To regf of aeMB_regf.v + input iwb_ack_i; // To ibuf of aeMB_ibuf.v, ... + input [31:0] iwb_dat_i; // To ibuf of aeMB_ibuf.v + input sys_int_i; // To ibuf of aeMB_ibuf.v + // End of automatics + /*AUTOWIRE*/ + // Beginning of automatic wires (for undeclared instantiated-module outputs) + wire [10:0] rALT; // From ibuf of aeMB_ibuf.v + wire rBRA; // From bpcu of aeMB_bpcu.v + wire rDLY; // From bpcu of aeMB_bpcu.v + wire [31:0] rDWBDI; // From regf of aeMB_regf.v + wire [3:0] rDWBSEL; // From xecu of aeMB_xecu.v + wire [15:0] rIMM; // From ibuf of aeMB_ibuf.v + wire rMSR_BIP; // From xecu of aeMB_xecu.v + wire rMSR_IE; // From xecu of aeMB_xecu.v + wire [1:0] rMXALT; // From ctrl of aeMB_ctrl.v + wire [2:0] rMXALU; // From ctrl of aeMB_ctrl.v + wire [1:0] rMXDST; // From ctrl of aeMB_ctrl.v + wire [1:0] rMXSRC; // From ctrl of aeMB_ctrl.v + wire [1:0] rMXTGT; // From ctrl of aeMB_ctrl.v + wire [5:0] rOPC; // From ibuf of aeMB_ibuf.v + wire [31:2] rPC; // From bpcu of aeMB_bpcu.v + wire [31:2] rPCLNK; // From bpcu of aeMB_bpcu.v + wire [4:0] rRA; // From ibuf of aeMB_ibuf.v + wire [4:0] rRB; // From ibuf of aeMB_ibuf.v + wire [4:0] rRD; // From ibuf of aeMB_ibuf.v + wire [31:0] rREGA; // From regf of aeMB_regf.v + wire [31:0] rREGB; // From regf of aeMB_regf.v + wire [31:0] rRESULT; // From xecu of aeMB_xecu.v + wire [4:0] rRW; // From ctrl of aeMB_ctrl.v + wire [31:0] rSIMM; // From ibuf of aeMB_ibuf.v + wire rSTALL; // From ibuf of aeMB_ibuf.v + wire [31:0] xIREG; // From ibuf of aeMB_ibuf.v + // End of automatics + + input sys_clk_i; + input sys_rst_i; + + wire grst = sys_rst_i; + wire gclk = sys_clk_i; + wire gena = !((dwb_stb_o ^ dwb_ack_i) | (fsl_stb_o ^ fsl_ack_i) | !iwb_ack_i) & !rSTALL; + wire oena = ((dwb_stb_o ^ dwb_ack_i) | (fsl_stb_o ^ fsl_ack_i) | !iwb_ack_i); + + // --- INSTANTIATIONS ------------------------------------- + + aeMB_ibuf + ibuf (/*AUTOINST*/ + // Outputs + .rIMM (rIMM[15:0]), + .rRA (rRA[4:0]), + .rRD (rRD[4:0]), + .rRB (rRB[4:0]), + .rALT (rALT[10:0]), + .rOPC (rOPC[5:0]), + .rSIMM (rSIMM[31:0]), + .xIREG (xIREG[31:0]), + .rSTALL (rSTALL), + .iwb_stb_o (iwb_stb_o), + // Inputs + .rBRA (rBRA), + .rMSR_IE (rMSR_IE), + .rMSR_BIP (rMSR_BIP), + .iwb_dat_i (iwb_dat_i[31:0]), + .iwb_ack_i (iwb_ack_i), + .sys_int_i (sys_int_i), + .gclk (gclk), + .grst (grst), + .gena (gena), + .oena (oena)); + + aeMB_ctrl + ctrl (/*AUTOINST*/ + // Outputs + .rMXDST (rMXDST[1:0]), + .rMXSRC (rMXSRC[1:0]), + .rMXTGT (rMXTGT[1:0]), + .rMXALT (rMXALT[1:0]), + .rMXALU (rMXALU[2:0]), + .rRW (rRW[4:0]), + .dwb_stb_o (dwb_stb_o), + .dwb_wre_o (dwb_wre_o), + .fsl_stb_o (fsl_stb_o), + .fsl_wre_o (fsl_wre_o), + // Inputs + .rDLY (rDLY), + .rIMM (rIMM[15:0]), + .rALT (rALT[10:0]), + .rOPC (rOPC[5:0]), + .rRD (rRD[4:0]), + .rRA (rRA[4:0]), + .rRB (rRB[4:0]), + .rPC (rPC[31:2]), + .rBRA (rBRA), + .rMSR_IE (rMSR_IE), + .xIREG (xIREG[31:0]), + .dwb_ack_i (dwb_ack_i), + .iwb_ack_i (iwb_ack_i), + .fsl_ack_i (fsl_ack_i), + .gclk (gclk), + .grst (grst), + .gena (gena)); + + aeMB_bpcu #(IW) + bpcu (/*AUTOINST*/ + // Outputs + .iwb_adr_o (iwb_adr_o[IW-1:2]), + .rPC (rPC[31:2]), + .rPCLNK (rPCLNK[31:2]), + .rBRA (rBRA), + .rDLY (rDLY), + // Inputs + .rMXALT (rMXALT[1:0]), + .rOPC (rOPC[5:0]), + .rRD (rRD[4:0]), + .rRA (rRA[4:0]), + .rRESULT (rRESULT[31:0]), + .rDWBDI (rDWBDI[31:0]), + .rREGA (rREGA[31:0]), + .gclk (gclk), + .grst (grst), + .gena (gena)); + + aeMB_regf + regf (/*AUTOINST*/ + // Outputs + .rREGA (rREGA[31:0]), + .rREGB (rREGB[31:0]), + .rDWBDI (rDWBDI[31:0]), + .dwb_dat_o (dwb_dat_o[31:0]), + .fsl_dat_o (fsl_dat_o[31:0]), + // Inputs + .rOPC (rOPC[5:0]), + .rRA (rRA[4:0]), + .rRB (rRB[4:0]), + .rRW (rRW[4:0]), + .rRD (rRD[4:0]), + .rMXDST (rMXDST[1:0]), + .rPCLNK (rPCLNK[31:2]), + .rRESULT (rRESULT[31:0]), + .rDWBSEL (rDWBSEL[3:0]), + .rBRA (rBRA), + .rDLY (rDLY), + .dwb_dat_i (dwb_dat_i[31:0]), + .fsl_dat_i (fsl_dat_i[31:0]), + .gclk (gclk), + .grst (grst), + .gena (gena)); + + aeMB_xecu #(DW, MUL, BSF) + xecu (/*AUTOINST*/ + // Outputs + .dwb_adr_o (dwb_adr_o[DW-1:2]), + .dwb_sel_o (dwb_sel_o[3:0]), + .fsl_adr_o (fsl_adr_o[6:2]), + .fsl_tag_o (fsl_tag_o[1:0]), + .rRESULT (rRESULT[31:0]), + .rDWBSEL (rDWBSEL[3:0]), + .rMSR_IE (rMSR_IE), + .rMSR_BIP (rMSR_BIP), + // Inputs + .rREGA (rREGA[31:0]), + .rREGB (rREGB[31:0]), + .rMXSRC (rMXSRC[1:0]), + .rMXTGT (rMXTGT[1:0]), + .rRA (rRA[4:0]), + .rRB (rRB[4:0]), + .rMXALU (rMXALU[2:0]), + .rBRA (rBRA), + .rDLY (rDLY), + .rALT (rALT[10:0]), + .rSTALL (rSTALL), + .rSIMM (rSIMM[31:0]), + .rIMM (rIMM[15:0]), + .rOPC (rOPC[5:0]), + .rRD (rRD[4:0]), + .rDWBDI (rDWBDI[31:0]), + .rPC (rPC[31:2]), + .gclk (gclk), + .grst (grst), + .gena (gena)); + + +endmodule // aeMB_edk32 + +/* + $Log: aeMB_edk32.v,v $ + Revision 1.14 2008/01/19 16:01:22 sybreon + Patched problem where memory access followed by dual cycle instructions were not stalling correctly (submitted by M. Ettus) + + Revision 1.13 2007/12/25 22:15:09 sybreon + Stalls pipeline on MUL/BSF instructions results in minor speed improvements. + + Revision 1.12 2007/12/23 20:40:44 sybreon + Abstracted simulation kernel (aeMB_sim) to split simulation models from synthesis models. + + Revision 1.11 2007/11/30 17:08:29 sybreon + Moved simulation kernel into code. + + Revision 1.10 2007/11/16 21:52:03 sybreon + Added fsl_tag_o to FSL bus (tag either address or data). + + Revision 1.9 2007/11/14 23:19:24 sybreon + Fixed minor typo. + + Revision 1.8 2007/11/14 22:14:34 sybreon + Changed interrupt handling system (reported by M. Ettus). + + Revision 1.7 2007/11/10 16:39:38 sybreon + Upgraded license to LGPLv3. + Significant performance optimisations. + + Revision 1.6 2007/11/09 20:51:52 sybreon + Added GET/PUT support through a FSL bus. + + Revision 1.5 2007/11/08 17:48:14 sybreon + Fixed data WISHBONE arbitration problem (reported by J Lee). + + Revision 1.4 2007/11/08 14:17:47 sybreon + Parameterised optional components. + + Revision 1.3 2007/11/03 08:34:55 sybreon + Minor code cleanup. + + Revision 1.2 2007/11/02 19:20:58 sybreon + Added better (beta) interrupt support. + Changed MSR_IE to disabled at reset as per MB docs. + + Revision 1.1 2007/11/02 03:25:40 sybreon + New EDK 3.2 compatible design with optional barrel-shifter and multiplier. + Fixed various minor data hazard bugs. + Code compatible with -O0/1/2/3/s generated code. +*/ \ No newline at end of file diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v new file mode 100644 index 00000000..b3e37c44 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v @@ -0,0 +1,192 @@ +/* $Id: aeMB_ibuf.v,v 1.10 2008/01/21 01:02:26 sybreon Exp $ +** +** AEMB INSTRUCTION BUFFER +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +module aeMB_ibuf (/*AUTOARG*/ + // Outputs + rIMM, rRA, rRD, rRB, rALT, rOPC, rSIMM, xIREG, rSTALL, iwb_stb_o, + // Inputs + rBRA, rMSR_IE, rMSR_BIP, iwb_dat_i, iwb_ack_i, sys_int_i, gclk, + grst, gena, oena + ); + // INTERNAL + output [15:0] rIMM; + output [4:0] rRA, rRD, rRB; + output [10:0] rALT; + output [5:0] rOPC; + output [31:0] rSIMM; + output [31:0] xIREG; + output rSTALL; + + input rBRA; + //input [1:0] rXCE; + input rMSR_IE; + input rMSR_BIP; + + // INST WISHBONE + output iwb_stb_o; + input [31:0] iwb_dat_i; + input iwb_ack_i; + + // SYSTEM + input sys_int_i; + + // SYSTEM + input gclk, grst, gena, oena; + + reg [15:0] rIMM; + reg [4:0] rRA, rRD; + reg [5:0] rOPC; + + // FIXME: Endian + wire [31:0] wIDAT = iwb_dat_i; + assign {rRB, rALT} = rIMM; + + // TODO: Assign to FIFO not full. + assign iwb_stb_o = 1'b1; + + reg [31:0] rSIMM, xSIMM; + reg rSTALL; + + wire [31:0] wXCEOP = 32'hBA2D0008; // Vector 0x08 + wire [31:0] wINTOP = 32'hB9CE0010; // Vector 0x10 + wire [31:0] wBRKOP = 32'hBA0C0018; // Vector 0x18 + wire [31:0] wBRAOP = 32'h88000000; // NOP for branches + + wire [31:0] wIREG = {rOPC, rRD, rRA, rRB, rALT}; + reg [31:0] xIREG; + + + // --- INTERRUPT LATCH -------------------------------------- + // Debounce and latch onto the positive level. This is independent + // of the pipeline so that stalls do not affect it. + + reg rFINT; + reg [1:0] rDINT; + wire wSHOT = rDINT[0]; + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rDINT <= 2'h0; + rFINT <= 1'h0; + // End of automatics + end else begin + if (rMSR_IE) + rDINT <= #1 + {rDINT[0], sys_int_i}; + + rFINT <= #1 + //(wIREG == wINTOP) ? 1'b0 : + (rFINT | wSHOT) & rMSR_IE; + end + + wire fIMM = (rOPC == 6'o54); + wire fRTD = (rOPC == 6'o55); + wire fBRU = ((rOPC == 6'o46) | (rOPC == 6'o56)); + wire fBCC = ((rOPC == 6'o47) | (rOPC == 6'o57)); + + // --- DELAY SLOT ------------------------------------------- + + always @(/*AUTOSENSE*/fBCC or fBRU or fIMM or fRTD or rBRA or rFINT + or wBRAOP or wIDAT or wINTOP) begin + xIREG <= (rBRA) ? wBRAOP : + (!fIMM & rFINT & !fRTD & !fBRU & !fBCC) ? wINTOP : + wIDAT; + end + + always @(/*AUTOSENSE*/fIMM or rBRA or rIMM or wIDAT or xIREG) begin + xSIMM <= (!fIMM | rBRA) ? { {(16){xIREG[15]}}, xIREG[15:0]} : + {rIMM, wIDAT[15:0]}; + end + + // --- PIPELINE -------------------------------------------- + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rIMM <= 16'h0; + rOPC <= 6'h0; + rRA <= 5'h0; + rRD <= 5'h0; + rSIMM <= 32'h0; + // End of automatics + end else if (gena) begin + {rOPC, rRD, rRA, rIMM} <= #1 xIREG; + rSIMM <= #1 xSIMM; + end + + // --- STALL FOR MUL/BSF ----------------------------------- + + wire [5:0] wOPC = xIREG[31:26]; + + wire fMUL = (wOPC == 6'o20) | (wOPC == 6'o30); + wire fBSF = (wOPC == 6'o21) | (wOPC == 6'o31); + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rSTALL <= 1'h0; + // End of automatics + end else begin + rSTALL <= #1 (!rSTALL & (fMUL | fBSF)) | (oena & rSTALL); + end + +endmodule // aeMB_ibuf + +/* + $Log: aeMB_ibuf.v,v $ + Revision 1.10 2008/01/21 01:02:26 sybreon + Patch interrupt bug. + + Revision 1.9 2008/01/19 16:01:22 sybreon + Patched problem where memory access followed by dual cycle instructions were not stalling correctly (submitted by M. Ettus) + + Revision 1.8 2007/12/25 22:15:09 sybreon + Stalls pipeline on MUL/BSF instructions results in minor speed improvements. + + Revision 1.7 2007/11/22 15:11:15 sybreon + Change interrupt to positive level triggered interrupts. + + Revision 1.6 2007/11/14 23:39:51 sybreon + Fixed interrupt signal synchronisation. + + Revision 1.5 2007/11/14 22:14:34 sybreon + Changed interrupt handling system (reported by M. Ettus). + + Revision 1.4 2007/11/10 16:39:38 sybreon + Upgraded license to LGPLv3. + Significant performance optimisations. + + Revision 1.3 2007/11/03 08:34:55 sybreon + Minor code cleanup. + + Revision 1.2 2007/11/02 19:20:58 sybreon + Added better (beta) interrupt support. + Changed MSR_IE to disabled at reset as per MB docs. + + Revision 1.1 2007/11/02 03:25:40 sybreon + New EDK 3.2 compatible design with optional barrel-shifter and multiplier. + Fixed various minor data hazard bugs. + Code compatible with -O0/1/2/3/s generated code. +*/ \ No newline at end of file diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v new file mode 100644 index 00000000..9ac45299 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v @@ -0,0 +1,241 @@ +// $Id: aeMB_regf.v,v 1.3 2007/11/10 16:39:38 sybreon Exp $ +// +// AEMB REGISTER FILE +// +// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +// +// This file is part of AEMB. +// +// AEMB is free software: you can redistribute it and/or modify it +// under the terms of the GNU Lesser General Public License as +// published by the Free Software Foundation, either version 3 of the +// License, or (at your option) any later version. +// +// AEMB is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +// or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +// Public License for more details. +// +// You should have received a copy of the GNU Lesser General Public +// License along with AEMB. If not, see . +// +// $Log: aeMB_regf.v,v $ +// Revision 1.3 2007/11/10 16:39:38 sybreon +// Upgraded license to LGPLv3. +// Significant performance optimisations. +// +// Revision 1.2 2007/11/09 20:51:52 sybreon +// Added GET/PUT support through a FSL bus. +// +// Revision 1.1 2007/11/02 03:25:41 sybreon +// New EDK 3.2 compatible design with optional barrel-shifter and multiplier. +// Fixed various minor data hazard bugs. +// Code compatible with -O0/1/2/3/s generated code. +// + +module aeMB_regf (/*AUTOARG*/ + // Outputs + rREGA, rREGB, rDWBDI, dwb_dat_o, fsl_dat_o, + // Inputs + rOPC, rRA, rRB, rRW, rRD, rMXDST, rPCLNK, rRESULT, rDWBSEL, rBRA, + rDLY, dwb_dat_i, fsl_dat_i, gclk, grst, gena + ); + // INTERNAL + output [31:0] rREGA, rREGB; + output [31:0] rDWBDI; + input [5:0] rOPC; + input [4:0] rRA, rRB, rRW, rRD; + input [1:0] rMXDST; + input [31:2] rPCLNK; + input [31:0] rRESULT; + input [3:0] rDWBSEL; + input rBRA, rDLY; + + // DATA WISHBONE + output [31:0] dwb_dat_o; + input [31:0] dwb_dat_i; + + // FSL WISHBONE + output [31:0] fsl_dat_o; + input [31:0] fsl_dat_i; + + // SYSTEM + input gclk, grst, gena; + + // --- LOAD SIZER ---------------------------------------------- + // Moves the data bytes around depending on the size of the + // operation. + + wire [31:0] wDWBDI = dwb_dat_i; // FIXME: Endian + wire [31:0] wFSLDI = fsl_dat_i; // FIXME: Endian + + reg [31:0] rDWBDI; + reg [1:0] rSIZ; + + always @(/*AUTOSENSE*/rDWBSEL or wDWBDI or wFSLDI) begin + /* 51.2 + case (rSIZ) + // FSL + 2'o3: rDWBDI <= wFSLDI; + // 32'bit + 2'o2: rDWBDI <= wDWBDI; + // 16'bit + 2'o1: case (rRESULT[1]) + 1'b0: rDWBDI <= {16'd0, wDWBDI[31:16]}; + 1'b1: rDWBDI <= {16'd0, wDWBDI[15:0]}; + endcase // case (rRESULT[1]) + // 8'bit + 2'o0: case (rRESULT[1:0]) + 2'o0: rDWBDI <= {24'd0, wDWBDI[31:24]}; + 2'o1: rDWBDI <= {24'd0, wDWBDI[23:16]}; + 2'o2: rDWBDI <= {24'd0, wDWBDI[15:8]}; + 2'o3: rDWBDI <= {24'd0, wDWBDI[7:0]}; + endcase // case (rRESULT[1:0]) + endcase // case (rSIZ) + */ + + /* 50.6 + case ({rSIZ, rRESULT[1:0]}) + // FSL + 4'hC, 4'hD, 4'hE, 4'hF: rDWBDI <= wFSLDI; + // 32'bit + 4'h8: rDWBDI <= wDWBDI; + // 16'bit + 4'h4: rDWBDI <= {16'd0, wDWBDI[31:16]}; + 4'h6: rDWBDI <= {16'd0, wDWBDI[15:0]}; + // 8'bit + 4'h0: rDWBDI <= {24'd0, wDWBDI[31:24]}; + 4'h1: rDWBDI <= {24'd0, wDWBDI[23:16]}; + 4'h2: rDWBDI <= {24'd0, wDWBDI[15:8]}; + 4'h3: rDWBDI <= {24'd0, wDWBDI[7:0]}; + default: rDWBDI <= 32'hX; + endcase // case (rSIZ) + */ + + // 52.0 + case (rDWBSEL) + // 8'bit + 4'h8: rDWBDI <= {24'd0, wDWBDI[31:24]}; + 4'h4: rDWBDI <= {24'd0, wDWBDI[23:16]}; + 4'h2: rDWBDI <= {24'd0, wDWBDI[15:8]}; + 4'h1: rDWBDI <= {24'd0, wDWBDI[7:0]}; + // 16'bit + 4'hC: rDWBDI <= {16'd0, wDWBDI[31:16]}; + 4'h3: rDWBDI <= {16'd0, wDWBDI[15:0]}; + // 32'bit + 4'hF: rDWBDI <= wDWBDI; + // FSL + 4'h0: rDWBDI <= wFSLDI; + // Undefined + default: rDWBDI <= 32'hX; + endcase + + end + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rSIZ <= 2'h0; + // End of automatics + end else if (gena) begin + rSIZ <= rOPC[1:0]; + end + + // --- GENERAL PURPOSE REGISTERS (R0-R31) ----------------------- + // LUT RAM implementation is smaller and faster. R0 gets written + // during reset with 0x00 and doesn't change after. + + reg [31:0] mARAM[0:31], + mBRAM[0:31], + mDRAM[0:31]; + + wire [31:0] rREGW = mDRAM[rRW]; + wire [31:0] rREGD = mDRAM[rRD]; + assign rREGA = mARAM[rRA]; + assign rREGB = mBRAM[rRB]; + + wire fRDWE = |rRW; + + reg [31:0] xWDAT; + + always @(/*AUTOSENSE*/rDWBDI or rMXDST or rPCLNK or rREGW + or rRESULT) + case (rMXDST) + 2'o2: xWDAT <= rDWBDI; + 2'o1: xWDAT <= {rPCLNK, 2'o0}; + 2'o0: xWDAT <= rRESULT; + 2'o3: xWDAT <= rREGW; // No change + endcase // case (rMXDST) + + always @(posedge gclk) + if (grst | fRDWE) begin + mARAM[rRW] <= xWDAT; + mBRAM[rRW] <= xWDAT; + mDRAM[rRW] <= xWDAT; + end + + // --- STORE SIZER --------------------------------------------- + // Replicates the data bytes across depending on the size of the + // operation. + + reg [31:0] rDWBDO, xDWBDO; + + wire [31:0] xFSL; + wire fFFWD_M = (rRA == rRW) & (rMXDST == 2'o2) & fRDWE; + wire fFFWD_R = (rRA == rRW) & (rMXDST == 2'o0) & fRDWE; + + assign fsl_dat_o = rDWBDO; + assign xFSL = (fFFWD_M) ? rDWBDI : + (fFFWD_R) ? rRESULT : + rREGA; + + wire [31:0] xDST; + wire fDFWD_M = (rRW == rRD) & (rMXDST == 2'o2) & fRDWE; + wire fDFWD_R = (rRW == rRD) & (rMXDST == 2'o0) & fRDWE; + + assign dwb_dat_o = rDWBDO; + assign xDST = (fDFWD_M) ? rDWBDI : + (fDFWD_R) ? rRESULT : + rREGD; + + always @(/*AUTOSENSE*/rOPC or xDST or xFSL) + case (rOPC[1:0]) + // 8'bit + 2'h0: xDWBDO <= {(4){xDST[7:0]}}; + // 16'bit + 2'h1: xDWBDO <= {(2){xDST[15:0]}}; + // 32'bit + 2'h2: xDWBDO <= xDST; + // FSL + 2'h3: xDWBDO <= xFSL; + //default: xDWBDO <= 32'hX; + endcase // case (rOPC[1:0]) + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rDWBDO <= 32'h0; + // End of automatics + end else if (gena) begin + rDWBDO <= #1 xDWBDO; + end + + // --- SIMULATION ONLY ------------------------------------------ + // Randomise memory to simulate real-world memory + // synopsys translate_off + + integer i; + initial begin + for (i=0; i<32; i=i+1) begin + mARAM[i] <= $random; + mBRAM[i] <= $random; + mDRAM[i] <= $random; + end + end + + // synopsys translate_on + + +endmodule // aeMB_regf diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v new file mode 100644 index 00000000..1e70a631 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v @@ -0,0 +1,306 @@ +/* $Id: aeMB_sim.v,v 1.1 2007/12/23 20:40:45 sybreon Exp $ +** +** AEMB EDK 3.2 Compatible Core +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +module aeMB_sim (/*AUTOARG*/ + // Outputs + iwb_stb_o, iwb_adr_o, fsl_wre_o, fsl_tag_o, fsl_stb_o, fsl_dat_o, + fsl_adr_o, dwb_wre_o, dwb_stb_o, dwb_sel_o, dwb_dat_o, dwb_adr_o, + // Inputs + sys_rst_i, sys_int_i, sys_clk_i, iwb_dat_i, iwb_ack_i, fsl_dat_i, + fsl_ack_i, dwb_dat_i, dwb_ack_i + ); + // Bus widths + parameter IW = 32; /// Instruction bus address width + parameter DW = 32; /// Data bus address width + + // Optional functions + parameter MUL = 1; // Multiplier + parameter BSF = 1; // Barrel Shifter + + /*AUTOOUTPUT*/ + // Beginning of automatic outputs (from unused autoinst outputs) + output [DW-1:2] dwb_adr_o; // From cpu of aeMB_edk32.v + output [31:0] dwb_dat_o; // From cpu of aeMB_edk32.v + output [3:0] dwb_sel_o; // From cpu of aeMB_edk32.v + output dwb_stb_o; // From cpu of aeMB_edk32.v + output dwb_wre_o; // From cpu of aeMB_edk32.v + output [6:2] fsl_adr_o; // From cpu of aeMB_edk32.v + output [31:0] fsl_dat_o; // From cpu of aeMB_edk32.v + output fsl_stb_o; // From cpu of aeMB_edk32.v + output [1:0] fsl_tag_o; // From cpu of aeMB_edk32.v + output fsl_wre_o; // From cpu of aeMB_edk32.v + output [IW-1:2] iwb_adr_o; // From cpu of aeMB_edk32.v + output iwb_stb_o; // From cpu of aeMB_edk32.v + // End of automatics + /*AUTOINPUT*/ + // Beginning of automatic inputs (from unused autoinst inputs) + input dwb_ack_i; // To cpu of aeMB_edk32.v + input [31:0] dwb_dat_i; // To cpu of aeMB_edk32.v + input fsl_ack_i; // To cpu of aeMB_edk32.v + input [31:0] fsl_dat_i; // To cpu of aeMB_edk32.v + input iwb_ack_i; // To cpu of aeMB_edk32.v + input [31:0] iwb_dat_i; // To cpu of aeMB_edk32.v + input sys_clk_i; // To cpu of aeMB_edk32.v + input sys_int_i; // To cpu of aeMB_edk32.v + input sys_rst_i; // To cpu of aeMB_edk32.v + // End of automatics + /*AUTOWIRE*/ + + aeMB_edk32 + #(/*AUTOINSTPARAM*/ + // Parameters + .IW (IW), + .DW (DW), + .MUL (MUL), + .BSF (BSF)) + cpu + (/*AUTOINST*/ + // Outputs + .dwb_adr_o (dwb_adr_o[DW-1:2]), + .dwb_dat_o (dwb_dat_o[31:0]), + .dwb_sel_o (dwb_sel_o[3:0]), + .dwb_stb_o (dwb_stb_o), + .dwb_wre_o (dwb_wre_o), + .fsl_adr_o (fsl_adr_o[6:2]), + .fsl_dat_o (fsl_dat_o[31:0]), + .fsl_stb_o (fsl_stb_o), + .fsl_tag_o (fsl_tag_o[1:0]), + .fsl_wre_o (fsl_wre_o), + .iwb_adr_o (iwb_adr_o[IW-1:2]), + .iwb_stb_o (iwb_stb_o), + // Inputs + .dwb_ack_i (dwb_ack_i), + .dwb_dat_i (dwb_dat_i[31:0]), + .fsl_ack_i (fsl_ack_i), + .fsl_dat_i (fsl_dat_i[31:0]), + .iwb_ack_i (iwb_ack_i), + .iwb_dat_i (iwb_dat_i[31:0]), + .sys_int_i (sys_int_i), + .sys_clk_i (sys_clk_i), + .sys_rst_i (sys_rst_i)); + + // --- SIMULATION KERNEL ---------------------------------- + // synopsys translate_off + + wire [IW-1:0] iwb_adr = {iwb_adr_o, 2'd0}; + wire [DW-1:0] dwb_adr = {dwb_adr_o,2'd0}; + wire [1:0] wBRA = {cpu.rBRA, cpu.rDLY}; + wire [3:0] wMSR = {cpu.xecu.rMSR_BIP, cpu.xecu.rMSR_C, cpu.xecu.rMSR_IE, cpu.xecu.rMSR_BE}; + + always @(posedge cpu.gclk) begin + if (cpu.gena) begin + + $write ("\n", ($stime/10)); + $writeh (" PC=", iwb_adr ); + $writeh ("\t"); + + case (wBRA) + 2'b00: $write(" "); + 2'b01: $write("."); + 2'b10: $write("-"); + 2'b11: $write("+"); + endcase // case (cpu.wBRA) + + case (cpu.rOPC) + 6'o00: if (cpu.rRD == 0) $write(" "); else $write("ADD"); + 6'o01: $write("RSUB"); + 6'o02: $write("ADDC"); + 6'o03: $write("RSUBC"); + 6'o04: $write("ADDK"); + 6'o05: case (cpu.rIMM[1:0]) + 2'o0: $write("RSUBK"); + 2'o1: $write("CMP"); + 2'o3: $write("CMPU"); + default: $write("XXX"); + endcase // case (cpu.rIMM[1:0]) + 6'o06: $write("ADDKC"); + 6'o07: $write("RSUBKC"); + + 6'o10: $write("ADDI"); + 6'o11: $write("RSUBI"); + 6'o12: $write("ADDIC"); + 6'o13: $write("RSUBIC"); + 6'o14: $write("ADDIK"); + 6'o15: $write("RSUBIK"); + 6'o16: $write("ADDIKC"); + 6'o17: $write("RSUBIKC"); + + 6'o20: $write("MUL"); + 6'o21: case (cpu.rALT[10:9]) + 2'o0: $write("BSRL"); + 2'o1: $write("BSRA"); + 2'o2: $write("BSLL"); + default: $write("XXX"); + endcase // case (cpu.rALT[10:9]) + 6'o22: $write("IDIV"); + + 6'o30: $write("MULI"); + 6'o31: case (cpu.rALT[10:9]) + 2'o0: $write("BSRLI"); + 2'o1: $write("BSRAI"); + 2'o2: $write("BSLLI"); + default: $write("XXX"); + endcase // case (cpu.rALT[10:9]) + 6'o33: case (cpu.rRB[4:2]) + 3'o0: $write("GET"); + 3'o4: $write("PUT"); + 3'o2: $write("NGET"); + 3'o6: $write("NPUT"); + 3'o1: $write("CGET"); + 3'o5: $write("CPUT"); + 3'o3: $write("NCGET"); + 3'o7: $write("NCPUT"); + endcase // case (cpu.rRB[4:2]) + + 6'o40: $write("OR"); + 6'o41: $write("AND"); + 6'o42: if (cpu.rRD == 0) $write(" "); else $write("XOR"); + 6'o43: $write("ANDN"); + 6'o44: case (cpu.rIMM[6:5]) + 2'o0: $write("SRA"); + 2'o1: $write("SRC"); + 2'o2: $write("SRL"); + 2'o3: if (cpu.rIMM[0]) $write("SEXT16"); else $write("SEXT8"); + endcase // case (cpu.rIMM[6:5]) + + 6'o45: $write("MOV"); + 6'o46: case (cpu.rRA[3:2]) + 3'o0: $write("BR"); + 3'o1: $write("BRL"); + 3'o2: $write("BRA"); + 3'o3: $write("BRAL"); + endcase // case (cpu.rRA[3:2]) + + 6'o47: case (cpu.rRD[2:0]) + 3'o0: $write("BEQ"); + 3'o1: $write("BNE"); + 3'o2: $write("BLT"); + 3'o3: $write("BLE"); + 3'o4: $write("BGT"); + 3'o5: $write("BGE"); + default: $write("XXX"); + endcase // case (cpu.rRD[2:0]) + + 6'o50: $write("ORI"); + 6'o51: $write("ANDI"); + 6'o52: $write("XORI"); + 6'o53: $write("ANDNI"); + 6'o54: $write("IMMI"); + 6'o55: case (cpu.rRD[1:0]) + 2'o0: $write("RTSD"); + 2'o1: $write("RTID"); + 2'o2: $write("RTBD"); + default: $write("XXX"); + endcase // case (cpu.rRD[1:0]) + 6'o56: case (cpu.rRA[3:2]) + 3'o0: $write("BRI"); + 3'o1: $write("BRLI"); + 3'o2: $write("BRAI"); + 3'o3: $write("BRALI"); + endcase // case (cpu.rRA[3:2]) + 6'o57: case (cpu.rRD[2:0]) + 3'o0: $write("BEQI"); + 3'o1: $write("BNEI"); + 3'o2: $write("BLTI"); + 3'o3: $write("BLEI"); + 3'o4: $write("BGTI"); + 3'o5: $write("BGEI"); + default: $write("XXX"); + endcase // case (cpu.rRD[2:0]) + + 6'o60: $write("LBU"); + 6'o61: $write("LHU"); + 6'o62: $write("LW"); + 6'o64: $write("SB"); + 6'o65: $write("SH"); + 6'o66: $write("SW"); + + 6'o70: $write("LBUI"); + 6'o71: $write("LHUI"); + 6'o72: $write("LWI"); + 6'o74: $write("SBI"); + 6'o75: $write("SHI"); + 6'o76: $write("SWI"); + + default: $write("XXX"); + endcase // case (cpu.rOPC) + + case (cpu.rOPC[3]) + 1'b1: $writeh("\tr",cpu.rRD,", r",cpu.rRA,", h",cpu.rIMM); + 1'b0: $writeh("\tr",cpu.rRD,", r",cpu.rRA,", r",cpu.rRB," "); + endcase // case (cpu.rOPC[3]) + + + // ALU + $write("\t"); + $writeh(" A=",cpu.xecu.rOPA); + $writeh(" B=",cpu.xecu.rOPB); + + case (cpu.rMXALU) + 3'o0: $write(" ADD"); + 3'o1: $write(" LOG"); + 3'o2: $write(" SFT"); + 3'o3: $write(" MOV"); + 3'o4: $write(" MUL"); + 3'o5: $write(" BSF"); + default: $write(" XXX"); + endcase // case (cpu.rMXALU) + $writeh("=h",cpu.xecu.xRESULT); + + // WRITEBACK + $writeh("\tSR=", wMSR," "); + + if (cpu.regf.fRDWE) begin + case (cpu.rMXDST) + 2'o2: begin + if (dwb_stb_o) $writeh("R",cpu.rRW,"=RAM(h",cpu.regf.xWDAT,")"); + if (fsl_stb_o) $writeh("R",cpu.rRW,"=FSL(h",cpu.regf.xWDAT,")"); + end + 2'o1: $writeh("R",cpu.rRW,"=LNK(h",cpu.regf.xWDAT,")"); + 2'o0: $writeh("R",cpu.rRW,"=ALU(h",cpu.regf.xWDAT,")"); + endcase // case (cpu.rMXDST) + end + + // STORE + if (dwb_stb_o & dwb_wre_o) begin + $writeh("RAM(", dwb_adr ,")=", dwb_dat_o); + case (dwb_sel_o) + 4'hF: $write(":L"); + 4'h3,4'hC: $write(":W"); + 4'h1,4'h2,4'h4,4'h8: $write(":B"); + endcase // case (dwb_sel_o) + + end + + end // if (cpu.gena) + + end // always @ (posedge cpu.gclk) + + // synopsys translate_on + +endmodule // aeMB_sim + +/* + $Log: aeMB_sim.v,v $ + Revision 1.1 2007/12/23 20:40:45 sybreon + Abstracted simulation kernel (aeMB_sim) to split simulation models from synthesis models. + + */ \ No newline at end of file diff --git a/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v new file mode 100644 index 00000000..5de2ea61 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v @@ -0,0 +1,412 @@ +/* $Id: aeMB_xecu.v,v 1.12 2008/05/11 13:48:46 sybreon Exp $ +** +** AEMB MAIN EXECUTION ALU +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +module aeMB_xecu (/*AUTOARG*/ + // Outputs + dwb_adr_o, dwb_sel_o, fsl_adr_o, fsl_tag_o, rRESULT, rDWBSEL, + rMSR_IE, rMSR_BIP, + // Inputs + rREGA, rREGB, rMXSRC, rMXTGT, rRA, rRB, rMXALU, rBRA, rDLY, rALT, + rSTALL, rSIMM, rIMM, rOPC, rRD, rDWBDI, rPC, gclk, grst, gena + ); + parameter DW=32; + + parameter MUL=0; + parameter BSF=0; + + // DATA WISHBONE + output [DW-1:2] dwb_adr_o; + output [3:0] dwb_sel_o; + + // FSL WISHBONE + output [6:2] fsl_adr_o; + output [1:0] fsl_tag_o; + + // INTERNAL + output [31:0] rRESULT; + output [3:0] rDWBSEL; + output rMSR_IE; + output rMSR_BIP; + input [31:0] rREGA, rREGB; + input [1:0] rMXSRC, rMXTGT; + input [4:0] rRA, rRB; + input [2:0] rMXALU; + input rBRA, rDLY; + input [10:0] rALT; + + input rSTALL; + input [31:0] rSIMM; + input [15:0] rIMM; + input [5:0] rOPC; + input [4:0] rRD; + input [31:0] rDWBDI; + input [31:2] rPC; + + // SYSTEM + input gclk, grst, gena; + + reg rMSR_C, xMSR_C; + reg rMSR_IE, xMSR_IE; + reg rMSR_BE, xMSR_BE; + reg rMSR_BIP, xMSR_BIP; + + wire fSKIP = rBRA & !rDLY; + + // --- OPERAND SELECT + + reg [31:0] rOPA, rOPB; + always @(/*AUTOSENSE*/rDWBDI or rMXSRC or rPC or rREGA or rRESULT) + case (rMXSRC) + 2'o0: rOPA <= rREGA; + 2'o1: rOPA <= rRESULT; + 2'o2: rOPA <= rDWBDI; + 2'o3: rOPA <= {rPC, 2'o0}; + endcase // case (rMXSRC) + + always @(/*AUTOSENSE*/rDWBDI or rMXTGT or rREGB or rRESULT or rSIMM) + case (rMXTGT) + 2'o0: rOPB <= rREGB; + 2'o1: rOPB <= rRESULT; + 2'o2: rOPB <= rDWBDI; + 2'o3: rOPB <= rSIMM; + endcase // case (rMXTGT) + + // --- ADD/SUB SELECTOR ---- + + reg rRES_ADDC; + reg [31:0] rRES_ADD; + + wire [31:0] wADD; + wire wADC; + + wire fCCC = !rOPC[5] & rOPC[1]; // & !rOPC[4] + wire fSUB = !rOPC[5] & rOPC[0]; // & !rOPC[4] + wire fCMP = !rOPC[3] & rIMM[1]; // unsigned only + wire wCMP = (fCMP) ? !wADC : wADD[31]; // cmpu adjust + + wire [31:0] wOPA = (fSUB) ? ~rOPA : rOPA; + wire wOPC = (fCCC) ? rMSR_C : fSUB; + + assign {wADC, wADD} = (rOPB + wOPA) + wOPC; // add carry + + always @(/*AUTOSENSE*/wADC or wADD or wCMP) begin + {rRES_ADDC, rRES_ADD} <= #1 {wADC, wCMP, wADD[30:0]}; // add with carry + end + + // --- LOGIC SELECTOR -------------------------------------- + + reg [31:0] rRES_LOG; + always @(/*AUTOSENSE*/rOPA or rOPB or rOPC) + case (rOPC[1:0]) + 2'o0: rRES_LOG <= #1 rOPA | rOPB; + 2'o1: rRES_LOG <= #1 rOPA & rOPB; + 2'o2: rRES_LOG <= #1 rOPA ^ rOPB; + 2'o3: rRES_LOG <= #1 rOPA & ~rOPB; + endcase // case (rOPC[1:0]) + + // --- SHIFTER SELECTOR ------------------------------------ + + reg [31:0] rRES_SFT; + reg rRES_SFTC; + + always @(/*AUTOSENSE*/rIMM or rMSR_C or rOPA) + case (rIMM[6:5]) + 2'o0: {rRES_SFT, rRES_SFTC} <= #1 {rOPA[31],rOPA[31:0]}; + 2'o1: {rRES_SFT, rRES_SFTC} <= #1 {rMSR_C,rOPA[31:0]}; + 2'o2: {rRES_SFT, rRES_SFTC} <= #1 {1'b0,rOPA[31:0]}; + 2'o3: {rRES_SFT, rRES_SFTC} <= #1 (rIMM[0]) ? { {(16){rOPA[15]}}, rOPA[15:0], rMSR_C} : + { {(24){rOPA[7]}}, rOPA[7:0], rMSR_C}; + endcase // case (rIMM[6:5]) + + // --- MOVE SELECTOR --------------------------------------- + + wire [31:0] wMSR = {rMSR_C, 3'o0, + 20'h0ED32, + 4'h0, rMSR_BIP, rMSR_C, rMSR_IE, rMSR_BE}; + wire fMFSR = (rOPC == 6'o45) & !rIMM[14] & rIMM[0]; + wire fMFPC = (rOPC == 6'o45) & !rIMM[14] & !rIMM[0]; + reg [31:0] rRES_MOV; + always @(/*AUTOSENSE*/fMFPC or fMFSR or rOPA or rOPB or rPC or rRA + or wMSR) + rRES_MOV <= (fMFSR) ? wMSR : + (fMFPC) ? rPC : + (rRA[3]) ? rOPB : + rOPA; + + // --- MULTIPLIER ------------------------------------------ + // TODO: 2 stage multiplier + + reg [31:0] rRES_MUL, rRES_MUL0, xRES_MUL; + always @(/*AUTOSENSE*/rOPA or rOPB) begin + xRES_MUL <= (rOPA * rOPB); + end + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rRES_MUL <= 32'h0; + // End of automatics + end else if (rSTALL) begin + rRES_MUL <= #1 xRES_MUL; + end + + + // --- BARREL SHIFTER -------------------------------------- + + reg [31:0] rRES_BSF; + reg [31:0] xBSRL, xBSRA, xBSLL; + + // Infer a logical left barrel shifter. + always @(/*AUTOSENSE*/rOPA or rOPB) + xBSLL <= rOPA << rOPB[4:0]; + + // Infer a logical right barrel shifter. + always @(/*AUTOSENSE*/rOPA or rOPB) + xBSRL <= rOPA >> rOPB[4:0]; + + // Infer a arithmetic right barrel shifter. + always @(/*AUTOSENSE*/rOPA or rOPB) + case (rOPB[4:0]) + 5'd00: xBSRA <= rOPA; + 5'd01: xBSRA <= {{(1){rOPA[31]}}, rOPA[31:1]}; + 5'd02: xBSRA <= {{(2){rOPA[31]}}, rOPA[31:2]}; + 5'd03: xBSRA <= {{(3){rOPA[31]}}, rOPA[31:3]}; + 5'd04: xBSRA <= {{(4){rOPA[31]}}, rOPA[31:4]}; + 5'd05: xBSRA <= {{(5){rOPA[31]}}, rOPA[31:5]}; + 5'd06: xBSRA <= {{(6){rOPA[31]}}, rOPA[31:6]}; + 5'd07: xBSRA <= {{(7){rOPA[31]}}, rOPA[31:7]}; + 5'd08: xBSRA <= {{(8){rOPA[31]}}, rOPA[31:8]}; + 5'd09: xBSRA <= {{(9){rOPA[31]}}, rOPA[31:9]}; + 5'd10: xBSRA <= {{(10){rOPA[31]}}, rOPA[31:10]}; + 5'd11: xBSRA <= {{(11){rOPA[31]}}, rOPA[31:11]}; + 5'd12: xBSRA <= {{(12){rOPA[31]}}, rOPA[31:12]}; + 5'd13: xBSRA <= {{(13){rOPA[31]}}, rOPA[31:13]}; + 5'd14: xBSRA <= {{(14){rOPA[31]}}, rOPA[31:14]}; + 5'd15: xBSRA <= {{(15){rOPA[31]}}, rOPA[31:15]}; + 5'd16: xBSRA <= {{(16){rOPA[31]}}, rOPA[31:16]}; + 5'd17: xBSRA <= {{(17){rOPA[31]}}, rOPA[31:17]}; + 5'd18: xBSRA <= {{(18){rOPA[31]}}, rOPA[31:18]}; + 5'd19: xBSRA <= {{(19){rOPA[31]}}, rOPA[31:19]}; + 5'd20: xBSRA <= {{(20){rOPA[31]}}, rOPA[31:20]}; + 5'd21: xBSRA <= {{(21){rOPA[31]}}, rOPA[31:21]}; + 5'd22: xBSRA <= {{(22){rOPA[31]}}, rOPA[31:22]}; + 5'd23: xBSRA <= {{(23){rOPA[31]}}, rOPA[31:23]}; + 5'd24: xBSRA <= {{(24){rOPA[31]}}, rOPA[31:24]}; + 5'd25: xBSRA <= {{(25){rOPA[31]}}, rOPA[31:25]}; + 5'd26: xBSRA <= {{(26){rOPA[31]}}, rOPA[31:26]}; + 5'd27: xBSRA <= {{(27){rOPA[31]}}, rOPA[31:27]}; + 5'd28: xBSRA <= {{(28){rOPA[31]}}, rOPA[31:28]}; + 5'd29: xBSRA <= {{(29){rOPA[31]}}, rOPA[31:29]}; + 5'd30: xBSRA <= {{(30){rOPA[31]}}, rOPA[31:30]}; + 5'd31: xBSRA <= {{(31){rOPA[31]}}, rOPA[31]}; + endcase // case (rOPB[4:0]) + + reg [31:0] rBSRL, rBSRA, rBSLL; + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rBSLL <= 32'h0; + rBSRA <= 32'h0; + rBSRL <= 32'h0; + // End of automatics + end else if (rSTALL) begin + rBSRL <= #1 xBSRL; + rBSRA <= #1 xBSRA; + rBSLL <= #1 xBSLL; + end + + always @(/*AUTOSENSE*/rALT or rBSLL or rBSRA or rBSRL) + case (rALT[10:9]) + 2'd0: rRES_BSF <= rBSRL; + 2'd1: rRES_BSF <= rBSRA; + 2'd2: rRES_BSF <= rBSLL; + default: rRES_BSF <= 32'hX; + endcase // case (rALT[10:9]) + + + // --- MSR REGISTER ----------------- + + // C + wire fMTS = (rOPC == 6'o45) & rIMM[14] & !fSKIP; + wire fADDC = ({rOPC[5:4], rOPC[2]} == 3'o0); + + always @(/*AUTOSENSE*/fADDC or fMTS or fSKIP or rMSR_C or rMXALU + or rOPA or rRES_ADDC or rRES_SFTC) + //if (fSKIP | |rXCE) begin + if (fSKIP) begin + xMSR_C <= rMSR_C; + end else + case (rMXALU) + 3'o0: xMSR_C <= (fADDC) ? rRES_ADDC : rMSR_C; + 3'o1: xMSR_C <= rMSR_C; // LOGIC + 3'o2: xMSR_C <= rRES_SFTC; // SHIFT + 3'o3: xMSR_C <= (fMTS) ? rOPA[2] : rMSR_C; + 3'o4: xMSR_C <= rMSR_C; + 3'o5: xMSR_C <= rMSR_C; + default: xMSR_C <= 1'hX; + endcase // case (rMXALU) + + // IE/BIP/BE + wire fRTID = (rOPC == 6'o55) & rRD[0] & !fSKIP; + wire fRTBD = (rOPC == 6'o55) & rRD[1] & !fSKIP; + wire fBRK = ((rOPC == 6'o56) | (rOPC == 6'o66)) & (rRA == 5'hC); + wire fINT = ((rOPC == 6'o56) | (rOPC == 6'o66)) & (rRA == 5'hE); + + always @(/*AUTOSENSE*/fINT or fMTS or fRTID or rMSR_IE or rOPA) + xMSR_IE <= (fINT) ? 1'b0 : + (fRTID) ? 1'b1 : + (fMTS) ? rOPA[1] : + rMSR_IE; + + always @(/*AUTOSENSE*/fBRK or fMTS or fRTBD or rMSR_BIP or rOPA) + xMSR_BIP <= (fBRK) ? 1'b1 : + (fRTBD) ? 1'b0 : + (fMTS) ? rOPA[3] : + rMSR_BIP; + + always @(/*AUTOSENSE*/fMTS or rMSR_BE or rOPA) + xMSR_BE <= (fMTS) ? rOPA[0] : rMSR_BE; + + // --- RESULT SELECTOR ------------------------------------------- + // Selects results from functional units. + reg [31:0] rRESULT, xRESULT; + + // RESULT + always @(/*AUTOSENSE*/fSKIP or rMXALU or rRES_ADD or rRES_BSF + or rRES_LOG or rRES_MOV or rRES_MUL or rRES_SFT) + if (fSKIP) + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + xRESULT <= 32'h0; + // End of automatics + else + case (rMXALU) + 3'o0: xRESULT <= rRES_ADD; + 3'o1: xRESULT <= rRES_LOG; + 3'o2: xRESULT <= rRES_SFT; + 3'o3: xRESULT <= rRES_MOV; + 3'o4: xRESULT <= (MUL) ? rRES_MUL : 32'hX; + 3'o5: xRESULT <= (BSF) ? rRES_BSF : 32'hX; + default: xRESULT <= 32'hX; + endcase // case (rMXALU) + + // --- DATA WISHBONE ----- + + reg [3:0] rDWBSEL, xDWBSEL; + assign dwb_adr_o = rRESULT[DW-1:2]; + assign dwb_sel_o = rDWBSEL; + + always @(/*AUTOSENSE*/rOPC or wADD) + case (rOPC[1:0]) + 2'o0: case (wADD[1:0]) // 8'bit + 2'o0: xDWBSEL <= 4'h8; + 2'o1: xDWBSEL <= 4'h4; + 2'o2: xDWBSEL <= 4'h2; + 2'o3: xDWBSEL <= 4'h1; + endcase // case (wADD[1:0]) + 2'o1: xDWBSEL <= (wADD[1]) ? 4'h3 : 4'hC; // 16'bit + 2'o2: xDWBSEL <= 4'hF; // 32'bit + 2'o3: xDWBSEL <= 4'h0; // FSL + endcase // case (rOPC[1:0]) + + // --- FSL WISHBONE -------------------- + + reg [14:2] rFSLADR, xFSLADR; + + assign {fsl_adr_o, fsl_tag_o} = rFSLADR[8:2]; + + always @(/*AUTOSENSE*/rALT or rRB) begin + xFSLADR <= {rALT, rRB[3:2]}; + end + + // --- SYNC --- + + always @(posedge gclk) + if (grst) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + rDWBSEL <= 4'h0; + rFSLADR <= 13'h0; + rMSR_BE <= 1'h0; + rMSR_BIP <= 1'h0; + rMSR_C <= 1'h0; + rMSR_IE <= 1'h0; + rRESULT <= 32'h0; + // End of automatics + end else if (gena) begin // if (grst) + rRESULT <= #1 xRESULT; + rDWBSEL <= #1 xDWBSEL; + rMSR_C <= #1 xMSR_C; + rMSR_IE <= #1 xMSR_IE; + rMSR_BE <= #1 xMSR_BE; + rMSR_BIP <= #1 xMSR_BIP; + rFSLADR <= #1 xFSLADR; + end + +endmodule // aeMB_xecu + +/* + $Log: aeMB_xecu.v,v $ + Revision 1.12 2008/05/11 13:48:46 sybreon + Backported Adder from AEMB2_EDK62. + Fixes 64-bit math problem reported by M. Ettus. + + Revision 1.11 2008/01/19 15:57:36 sybreon + Fix MTS during interrupt vectoring bug (reported by M. Ettus). + + Revision 1.10 2007/12/25 22:15:09 sybreon + Stalls pipeline on MUL/BSF instructions results in minor speed improvements. + + Revision 1.9 2007/11/30 16:42:51 sybreon + Minor code cleanup. + + Revision 1.8 2007/11/16 21:52:03 sybreon + Added fsl_tag_o to FSL bus (tag either address or data). + + Revision 1.7 2007/11/14 22:14:34 sybreon + Changed interrupt handling system (reported by M. Ettus). + + Revision 1.6 2007/11/10 16:39:38 sybreon + Upgraded license to LGPLv3. + Significant performance optimisations. + + Revision 1.5 2007/11/09 20:51:52 sybreon + Added GET/PUT support through a FSL bus. + + Revision 1.4 2007/11/08 14:17:47 sybreon + Parameterised optional components. + + Revision 1.3 2007/11/03 08:34:55 sybreon + Minor code cleanup. + + Revision 1.2 2007/11/02 19:20:58 sybreon + Added better (beta) interrupt support. + Changed MSR_IE to disabled at reset as per MB docs. + + Revision 1.1 2007/11/02 03:25:41 sybreon + New EDK 3.2 compatible design with optional barrel-shifter and multiplier. + Fixed various minor data hazard bugs. + Code compatible with -O0/1/2/3/s generated code. + +*/ \ No newline at end of file diff --git a/usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav b/usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav new file mode 100644 index 00000000..f777173c --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav @@ -0,0 +1,16 @@ +[size] 1400 971 +[pos] -1 -1 +*-5.188574 2727 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +testbench.dut.dwb_we_o +@22 +testbench.dut.dwb_sel_o[3:0] +testbench.dut.dwb_adr_o[15:0] +@28 +testbench.dut.sys_clk_i +@22 +testbench.dut.dwb_dat_o[31:0] +@821 +testbench.dut.dwb_dat_i[31:0] +@22 +testbench.dut.dwb_dat_i[31:0] diff --git a/usrp2/fpga/opencores/aemb/sim/CVS/Entries b/usrp2/fpga/opencores/aemb/sim/CVS/Entries new file mode 100644 index 00000000..bf457ae6 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/CVS/Entries @@ -0,0 +1,3 @@ +D/verilog//// +/cversim/1.5/Tue Jan 15 18:38:57 2008// +/iversim/1.5/Tue Jan 15 18:38:57 2008// diff --git a/usrp2/fpga/opencores/aemb/sim/CVS/Repository b/usrp2/fpga/opencores/aemb/sim/CVS/Repository new file mode 100644 index 00000000..c6bd1aa8 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/CVS/Repository @@ -0,0 +1 @@ +aemb/sim diff --git a/usrp2/fpga/opencores/aemb/sim/CVS/Root b/usrp2/fpga/opencores/aemb/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/sim/CVS/Template b/usrp2/fpga/opencores/aemb/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/sim/cversim b/usrp2/fpga/opencores/aemb/sim/cversim new file mode 100755 index 00000000..0dbb7aea --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/cversim @@ -0,0 +1,22 @@ +#!/bin/sh +# $Id: cversim,v 1.5 2007/12/11 00:44:30 sybreon Exp $ +# $Log: cversim,v $ +# Revision 1.5 2007/12/11 00:44:30 sybreon +# Modified for AEMB2 +# +# Revision 1.4 2007/11/30 17:08:30 sybreon +# Moved simulation kernel into code. +# +# Revision 1.3 2007/11/05 10:59:31 sybreon +# Added random seed for simulation. +# +# Revision 1.2 2007/04/12 20:21:33 sybreon +# Moved testbench into /sim/verilog. +# Simulation cleanups. +# +# Revision 1.1 2007/03/09 17:41:55 sybreon +# initial import +# +RANDOM=$(date +%s) +echo "parameter randseed = $RANDOM;" > random.v +cver -q -w +define+AEMBX_SIMULATION_KERNEL $@ ../rtl/verilog/*.v diff --git a/usrp2/fpga/opencores/aemb/sim/iversim b/usrp2/fpga/opencores/aemb/sim/iversim new file mode 100755 index 00000000..9d2384b5 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/iversim @@ -0,0 +1,21 @@ +#!/bin/sh +# $Id: iversim,v 1.5 2007/12/11 00:44:30 sybreon Exp $ +# $Log: iversim,v $ +# Revision 1.5 2007/12/11 00:44:30 sybreon +# Modified for AEMB2 +# +# Revision 1.4 2007/11/30 17:08:30 sybreon +# Moved simulation kernel into code. +# +# Revision 1.3 2007/11/09 20:50:51 sybreon +# Added log output to iverilog.log +# +# Revision 1.2 2007/11/05 10:59:31 sybreon +# Added random seed for simulation. +# +# Revision 1.1 2007/03/09 17:41:55 sybreon +# initial import +# +RANDOM=$(date +%s) +echo "parameter randseed = $RANDOM;" > random.v +iverilog $@ -DAEMBX_SIMULATION_KERNEL ../rtl/verilog/*.v && vvp -l iverilog.log a.out && rm a.out diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries new file mode 100644 index 00000000..34e896e8 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries @@ -0,0 +1,3 @@ +/aemb2.v/1.3/Tue Jan 15 18:38:57 2008// +/edk32.v/1.12/Tue Jan 15 18:38:57 2008// +D diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository new file mode 100644 index 00000000..ff3eabf2 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository @@ -0,0 +1 @@ +aemb/sim/verilog diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Template b/usrp2/fpga/opencores/aemb/sim/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v b/usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v new file mode 100644 index 00000000..bda1704e --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v @@ -0,0 +1,242 @@ +/* $Id: aemb2.v,v 1.3 2007/12/28 21:44:50 sybreon Exp $ +** +** AEMB2 TEST BENCH +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +module aemb2 (); + parameter IWB=16; + parameter DWB=16; + + parameter TXE = 0; ///< thread execution enable + + parameter MUL = 1; ///< enable hardware multiplier + parameter BSF = 1; ///< enable barrel shifter + parameter FSL = 1; ///< enable FSL bus + parameter DIV = 0; ///< enable hardware divider + +`include "random.v" + + /*AUTOWIRE*/ + // Beginning of automatic wires (for undeclared instantiated-module outputs) + wire [6:2] cwb_adr_o; // From dut of aeMB2_sim.v + wire [31:0] cwb_dat_o; // From dut of aeMB2_sim.v + wire [3:0] cwb_sel_o; // From dut of aeMB2_sim.v + wire cwb_stb_o; // From dut of aeMB2_sim.v + wire [1:0] cwb_tga_o; // From dut of aeMB2_sim.v + wire cwb_wre_o; // From dut of aeMB2_sim.v + wire [DWB-1:2] dwb_adr_o; // From dut of aeMB2_sim.v + wire dwb_cyc_o; // From dut of aeMB2_sim.v + wire [31:0] dwb_dat_o; // From dut of aeMB2_sim.v + wire [3:0] dwb_sel_o; // From dut of aeMB2_sim.v + wire dwb_stb_o; // From dut of aeMB2_sim.v + wire dwb_tga_o; // From dut of aeMB2_sim.v + wire dwb_wre_o; // From dut of aeMB2_sim.v + wire [IWB-1:2] iwb_adr_o; // From dut of aeMB2_sim.v + wire iwb_stb_o; // From dut of aeMB2_sim.v + wire iwb_tga_o; // From dut of aeMB2_sim.v + wire iwb_wre_o; // From dut of aeMB2_sim.v + // End of automatics + /*AUTOREGINPUT*/ + // Beginning of automatic reg inputs (for undeclared instantiated-module inputs) + reg cwb_ack_i; // To dut of aeMB2_sim.v + reg dwb_ack_i; // To dut of aeMB2_sim.v + reg iwb_ack_i; // To dut of aeMB2_sim.v + reg sys_clk_i; // To dut of aeMB2_sim.v + reg sys_int_i; // To dut of aeMB2_sim.v + reg sys_rst_i; // To dut of aeMB2_sim.v + // End of automatics + + // INITIAL SETUP ////////////////////////////////////////////////////// + + //reg sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i; + reg svc; + integer inttime; + integer seed; + integer theend; + + always #5 sys_clk_i = ~sys_clk_i; + + initial begin + //$dumpfile("dump.vcd"); + //$dumpvars(1,dut, dut.bpcu); + end + + initial begin + seed = randseed; + theend = 0; + svc = 0; + sys_clk_i = $random(seed); + sys_rst_i = 1; + sys_int_i = 0; + #50 sys_rst_i = 0; + #3500000 $finish; + end + + // FAKE MEMORY //////////////////////////////////////////////////////// + + reg [31:0] rom [0:65535]; + reg [31:0] ram[0:65535]; + reg [31:0] dwblat; + reg [15:2] dadr, iadr; + + wire [31:0] dwb_dat_t = ram[dwb_adr_o]; + wire [31:0] iwb_dat_i = rom[iadr]; + wire [31:0] dwb_dat_i = ram[dadr]; + wire [31:0] cwb_dat_i = cwb_adr_o; + +`ifdef POSEDGE +`else // !`ifdef POSEDGE + + always @(negedge sys_clk_i) + if (sys_rst_i) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + cwb_ack_i <= 1'h0; + dwb_ack_i <= 1'h0; + iwb_ack_i <= 1'h0; + // End of automatics + end else begin + iwb_ack_i <= #1 iwb_stb_o; + dwb_ack_i <= #1 dwb_stb_o; + cwb_ack_i <= #1 cwb_stb_o; + end // else: !if(sys_rst_i) + + always @(negedge sys_clk_i) begin + iadr <= #1 iwb_adr_o; + dadr <= #1 dwb_adr_o; + + if (dwb_wre_o & dwb_stb_o) begin + case (dwb_sel_o) + 4'h1: ram[dwb_adr_o] <= {dwb_dat_t[31:8], dwb_dat_o[7:0]}; + 4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:8], dwb_dat_t[7:0]}; + 4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:24], dwb_dat_o[23:16], dwb_dat_t[15:0]}; + 4'h8: ram[dwb_adr_o] <= {dwb_dat_o[31:24], dwb_dat_t[23:0]}; + 4'h3: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:0]}; + 4'hC: ram[dwb_adr_o] <= {dwb_dat_o[31:16], dwb_dat_t[15:0]}; + 4'hF: ram[dwb_adr_o] <= {dwb_dat_o}; + endcase // case (dwb_sel_o) + end // if (dwb_we_o & dwb_stb_o) + end // always @ (negedge sys_clk_i) + +`endif // !`ifdef POSEDGE + + + integer i; + initial begin + for (i=0;i<65535;i=i+1) begin + ram[i] <= $random; + end + #1 $readmemh("dump.vmem",rom); + #1 $readmemh("dump.vmem",ram); + end + + // DISPLAY OUTPUTS /////////////////////////////////////////////////// + + integer rnd; + + always @(posedge sys_clk_i) begin + + // Interrupt Monitors + if (!dut.sim.rMSR_IE) begin + rnd = $random % 30; + inttime = $stime + 1000 + (rnd*rnd * 10); + end + if ($stime > inttime) begin + sys_int_i = 1; + svc = 0; + end + if (($stime > inttime + 500) && !svc) begin + $display("\n\t*** INTERRUPT TIMEOUT ***", inttime); + $finish; + end + if (dwb_wre_o & (dwb_dat_o == "RTNI")) sys_int_i = 0; + /* + if (dut.regf.fRDWE && (dut.rRD == 5'h0e) && !svc && dut.gena) begin + svc = 1; + //$display("\nLATENCY: ", ($stime - inttime)/10); + end + */ + + // Pass/Fail Monitors + if (dwb_wre_o & (dwb_dat_o == "FAIL")) begin + $display("\n\tFAIL"); + $finish; + end + + if (iwb_dat_i == 32'hb8000000) begin + theend = theend + 1; + end + + if (theend == 5) begin + $display("\n\t*** PASSED ALL TESTS ***"); + $finish; + end + + end // always @ (posedge sys_clk_i) + + // INTERNAL WIRING //////////////////////////////////////////////////// + + aeMB2_sim + #(/*AUTOINSTPARAM*/ + // Parameters + .IWB (IWB), + .DWB (DWB), + .TXE (TXE), + .MUL (MUL), + .BSF (BSF), + .FSL (FSL), + .DIV (DIV)) + dut (/*AUTOINST*/ + // Outputs + .cwb_adr_o (cwb_adr_o[6:2]), + .cwb_dat_o (cwb_dat_o[31:0]), + .cwb_sel_o (cwb_sel_o[3:0]), + .cwb_stb_o (cwb_stb_o), + .cwb_tga_o (cwb_tga_o[1:0]), + .cwb_wre_o (cwb_wre_o), + .dwb_adr_o (dwb_adr_o[DWB-1:2]), + .dwb_cyc_o (dwb_cyc_o), + .dwb_dat_o (dwb_dat_o[31:0]), + .dwb_sel_o (dwb_sel_o[3:0]), + .dwb_stb_o (dwb_stb_o), + .dwb_tga_o (dwb_tga_o), + .dwb_wre_o (dwb_wre_o), + .iwb_adr_o (iwb_adr_o[IWB-1:2]), + .iwb_stb_o (iwb_stb_o), + .iwb_tga_o (iwb_tga_o), + .iwb_wre_o (iwb_wre_o), + // Inputs + .cwb_ack_i (cwb_ack_i), + .cwb_dat_i (cwb_dat_i[31:0]), + .dwb_ack_i (dwb_ack_i), + .dwb_dat_i (dwb_dat_i[31:0]), + .iwb_ack_i (iwb_ack_i), + .iwb_dat_i (iwb_dat_i[31:0]), + .sys_clk_i (sys_clk_i), + .sys_int_i (sys_int_i), + .sys_rst_i (sys_rst_i)); + +endmodule // edk32 + +/* $Log $ */ + +// Local Variables: +// verilog-library-directories:("." "../../rtl/verilog/") +// verilog-library-files:("") +// End: diff --git a/usrp2/fpga/opencores/aemb/sim/verilog/edk32.v b/usrp2/fpga/opencores/aemb/sim/verilog/edk32.v new file mode 100644 index 00000000..68465e9e --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sim/verilog/edk32.v @@ -0,0 +1,288 @@ +/* $Id: edk32.v,v 1.12 2007/12/23 20:40:51 sybreon Exp $ +** +** AEMB EDK 3.2 Compatible Core TEST +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +`define AEMB_SIMULATION_KERNEL + +module edk32 (); + +`include "random.v" + + // INITIAL SETUP ////////////////////////////////////////////////////// + + reg sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i; + reg svc; + integer inttime; + integer seed; + integer theend; + + always #5 sys_clk_i = ~sys_clk_i; + + initial begin + //$dumpfile("dump.vcd"); + //$dumpvars(1,dut); + end + + initial begin + seed = randseed; + theend = 0; + svc = 0; + sys_clk_i = $random(seed); + sys_rst_i = 1; + sys_int_i = 0; + sys_exc_i = 0; + #50 sys_rst_i = 0; + end + + initial fork + //inttime $display("FSADFASDFSDAF"); + //#10000 sys_int_i = 1; + //#1100 sys_int_i = 0; + //#100000 $displayh("\nTest Completed."); + //#4000 $finish; + join + + + // FAKE MEMORY //////////////////////////////////////////////////////// + + wire fsl_stb_o; + wire fsl_wre_o; + wire [31:0] fsl_dat_o; + wire [31:0] fsl_dat_i; + wire [6:2] fsl_adr_o; + + wire [15:2] iwb_adr_o; + wire iwb_stb_o; + wire dwb_stb_o; + reg [31:0] rom [0:65535]; + wire [31:0] iwb_dat_i; + reg iwb_ack_i, dwb_ack_i, fsl_ack_i; + + reg [31:0] ram[0:65535]; + wire [31:0] dwb_dat_i; + reg [31:0] dwblat; + wire dwb_we_o; + reg [15:2] dadr,iadr; + wire [3:0] dwb_sel_o; + wire [31:0] dwb_dat_o; + wire [15:2] dwb_adr_o; + wire [31:0] dwb_dat_t; + + initial begin + dwb_ack_i = 0; + iwb_ack_i = 0; + fsl_ack_i = 0; + end + + assign dwb_dat_t = ram[dwb_adr_o]; + assign iwb_dat_i = ram[iadr]; + assign dwb_dat_i = ram[dadr]; + assign fsl_dat_i = fsl_adr_o; + +`ifdef POSEDGE + + always @(posedge sys_clk_i) + if (sys_rst_i) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + dwb_ack_i <= 1'h0; + fsl_ack_i <= 1'h0; + iwb_ack_i <= 1'h0; + // End of automatics + end else begin + iwb_ack_i <= #1 iwb_stb_o ^ iwb_ack_i; + dwb_ack_i <= #1 dwb_stb_o ^ dwb_ack_i; + fsl_ack_i <= #1 fsl_stb_o ^ fsl_ack_i; + end // else: !if(sys_rst_i) + + always @(posedge sys_clk_i) begin + iadr <= #1 iwb_adr_o; + dadr <= #1 dwb_adr_o; + + if (dwb_we_o & dwb_stb_o) begin + case (dwb_sel_o) + 4'h1: ram[dwb_adr_o] <= {dwb_dat_t[31:8], dwb_dat_o[7:0]}; + 4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:8], dwb_dat_t[7:0]}; + 4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:24], dwb_dat_o[23:16], dwb_dat_t[15:0]}; + 4'h8: ram[dwb_adr_o] <= {dwb_dat_o[31:24], dwb_dat_t[23:0]}; + 4'h3: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:0]}; + 4'hC: ram[dwb_adr_o] <= {dwb_dat_o[31:16], dwb_dat_t[15:0]}; + 4'hF: ram[dwb_adr_o] <= {dwb_dat_o}; + endcase // case (dwb_sel_o) + end // if (dwb_we_o & dwb_stb_o) + end // always @ (posedge sys_clk_i) + +`else // !`ifdef POSEDGE + + always @(negedge sys_clk_i) + if (sys_rst_i) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + dwb_ack_i <= 1'h0; + fsl_ack_i <= 1'h0; + iwb_ack_i <= 1'h0; + // End of automatics + end else begin + iwb_ack_i <= #1 iwb_stb_o; + dwb_ack_i <= #1 dwb_stb_o; + fsl_ack_i <= #1 fsl_stb_o; + end // else: !if(sys_rst_i) + + always @(negedge sys_clk_i) begin + iadr <= #1 iwb_adr_o; + dadr <= #1 dwb_adr_o; + + if (dwb_we_o & dwb_stb_o) begin + case (dwb_sel_o) + 4'h1: ram[dwb_adr_o] <= {dwb_dat_t[31:8], dwb_dat_o[7:0]}; + 4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:8], dwb_dat_t[7:0]}; + 4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:24], dwb_dat_o[23:16], dwb_dat_t[15:0]}; + 4'h8: ram[dwb_adr_o] <= {dwb_dat_o[31:24], dwb_dat_t[23:0]}; + 4'h3: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:0]}; + 4'hC: ram[dwb_adr_o] <= {dwb_dat_o[31:16], dwb_dat_t[15:0]}; + 4'hF: ram[dwb_adr_o] <= {dwb_dat_o}; + endcase // case (dwb_sel_o) + end // if (dwb_we_o & dwb_stb_o) + end // always @ (negedge sys_clk_i) + +`endif // !`ifdef POSEDGE + + + integer i; + initial begin + for (i=0;i<65535;i=i+1) begin + ram[i] <= $random; + end + #1 $readmemh("dump.vmem",ram); + end + + // DISPLAY OUTPUTS /////////////////////////////////////////////////// + + integer rnd; + + always @(posedge sys_clk_i) begin + + // Interrupt Monitors + if (!dut.cpu.rMSR_IE) begin + rnd = $random % 30; + inttime = $stime + 1000 + (rnd*rnd * 10); + end + if ($stime > inttime) begin + sys_int_i = 1; + svc = 0; + end + if (($stime > inttime + 500) && !svc) begin + $display("\n\t*** INTERRUPT TIMEOUT ***", inttime); + $finish; + end + if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0; + if (dut.cpu.regf.fRDWE && (dut.cpu.rRD == 5'h0e) && !svc && dut.cpu.gena) begin + svc = 1; + //$display("\nLATENCY: ", ($stime - inttime)/10); + end + + // Pass/Fail Monitors + if (dwb_we_o & (dwb_dat_o == "FAIL")) begin + $display("\n\tFAIL"); + $finish; + end + + if (iwb_dat_i == 32'hb8000000) begin + theend = theend + 1; + end + + if (theend == 5) begin + $display("\n\t*** PASSED ALL TESTS ***"); + $finish; + end + end // always @ (posedge sys_clk_i) + + // INTERNAL WIRING //////////////////////////////////////////////////// + + aeMB_sim #(16,16) + dut ( + .sys_int_i(sys_int_i), + .dwb_ack_i(dwb_ack_i), + .dwb_stb_o(dwb_stb_o), + .dwb_adr_o(dwb_adr_o), + .dwb_dat_o(dwb_dat_o), + .dwb_dat_i(dwb_dat_i), + .dwb_wre_o(dwb_we_o), + .dwb_sel_o(dwb_sel_o), + + .fsl_ack_i(fsl_ack_i), + .fsl_stb_o(fsl_stb_o), + .fsl_adr_o(fsl_adr_o), + .fsl_dat_o(fsl_dat_o), + .fsl_dat_i(fsl_dat_i), + .fsl_wre_o(fsl_we_o), + + .iwb_adr_o(iwb_adr_o), + .iwb_dat_i(iwb_dat_i), + .iwb_stb_o(iwb_stb_o), + .iwb_ack_i(iwb_ack_i), + .sys_clk_i(sys_clk_i), + .sys_rst_i(sys_rst_i) + ); + +endmodule // edk32 + +/* + $Log: edk32.v,v $ + Revision 1.12 2007/12/23 20:40:51 sybreon + Abstracted simulation kernel (aeMB_sim) to split simulation models from synthesis models. + + Revision 1.11 2007/12/11 00:44:31 sybreon + Modified for AEMB2 + + Revision 1.10 2007/11/30 17:08:30 sybreon + Moved simulation kernel into code. + + Revision 1.9 2007/11/20 18:36:00 sybreon + Removed unnecessary byte acrobatics with VMEM data. + + Revision 1.8 2007/11/18 19:41:45 sybreon + Minor simulation fixes. + + Revision 1.7 2007/11/14 22:11:41 sybreon + Added posedge/negedge bus interface. + Modified interrupt test system. + + Revision 1.6 2007/11/13 23:37:28 sybreon + Updated simulation to also check BRI 0x00 instruction. + + Revision 1.5 2007/11/09 20:51:53 sybreon + Added GET/PUT support through a FSL bus. + + Revision 1.4 2007/11/08 14:18:00 sybreon + Parameterised optional components. + + Revision 1.3 2007/11/05 10:59:31 sybreon + Added random seed for simulation. + + Revision 1.2 2007/11/02 19:16:10 sybreon + Added interrupt simulation. + Changed "human readable" simulation output. + + Revision 1.1 2007/11/02 03:25:45 sybreon + New EDK 3.2 compatible design with optional barrel-shifter and multiplier. + Fixed various minor data hazard bugs. + Code compatible with -O0/1/2/3/s generated code. + */ \ No newline at end of file diff --git a/usrp2/fpga/opencores/aemb/sw/CVS/Entries b/usrp2/fpga/opencores/aemb/sw/CVS/Entries new file mode 100644 index 00000000..45725bed --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/CVS/Entries @@ -0,0 +1,2 @@ +D/c//// +/gccrom/1.13/Sun Jan 20 19:47:57 2008// diff --git a/usrp2/fpga/opencores/aemb/sw/CVS/Repository b/usrp2/fpga/opencores/aemb/sw/CVS/Repository new file mode 100644 index 00000000..6de31b8b --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/CVS/Repository @@ -0,0 +1 @@ +aemb/sw diff --git a/usrp2/fpga/opencores/aemb/sw/CVS/Root b/usrp2/fpga/opencores/aemb/sw/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/sw/CVS/Template b/usrp2/fpga/opencores/aemb/sw/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/sw/c/CVS/Entries b/usrp2/fpga/opencores/aemb/sw/c/CVS/Entries new file mode 100644 index 00000000..4867b631 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/CVS/Entries @@ -0,0 +1,3 @@ +/aeMB_testbench.c/1.14/Tue Jan 15 18:38:57 2008// +/libaemb.h/1.3/Tue Jan 15 18:38:57 2008// +D diff --git a/usrp2/fpga/opencores/aemb/sw/c/CVS/Repository b/usrp2/fpga/opencores/aemb/sw/c/CVS/Repository new file mode 100644 index 00000000..86c411d0 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/CVS/Repository @@ -0,0 +1 @@ +aemb/sw/c diff --git a/usrp2/fpga/opencores/aemb/sw/c/CVS/Root b/usrp2/fpga/opencores/aemb/sw/c/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/aemb/sw/c/CVS/Template b/usrp2/fpga/opencores/aemb/sw/c/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c b/usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c new file mode 100644 index 00000000..c3402e0e --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c @@ -0,0 +1,385 @@ +/* $Id: aeMB_testbench.c,v 1.14 2007/12/28 21:44:04 sybreon Exp $ +** +** AEMB Function Verification C Testbench +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU General Public License as published by +** the Free Software Foundation, either version 3 of the License, or +** (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public +** License for more details. +** +** You should have received a copy of the GNU General Public License +** along with AEMB. If not, see . +*/ + +#include +#include +#include + +#include "libaemb.h" + +/** + INTERRUPT TEST + + This tests for the following: + - Pointer addressing + - Interrupt handling + */ + +void __attribute__ ((interrupt_handler)) int_handler(); +volatile int service = 0xDEADDEAD; + +void int_service() +{ + int* pio = (int*)0xFFFFFFFC; + *pio = 0x52544E49; // "INTR" + service = 0; +} + +void int_handler() +{ + int_service(); +} + +/** + INTERRUPT TEST ROUTINE +*/ +int int_test () +{ + // Delay loop until hardware interrupt triggers + volatile int i; + for (i=0; i < 999; i++) { + if (service == 0) return 0; + }; + + return -1; +} + +/** + FIBONACCI TEST + http://en.literateprograms.org/Fibonacci_numbers_(C) + + This tests for the following: + - Recursion & Iteration + - 32/16/8-bit data handling +*/ + +unsigned int fib_slow(unsigned int n) +{ + return n < 2 ? n : fib_slow(n-1) + fib_slow(n-2); +} + +unsigned int fib_fast(unsigned int n) +{ + unsigned int a[3]; + unsigned int *p=a; + unsigned int i; + + for(i=0; i<=n; ++i) { + if(i<2) *p=i; + else { + if(p==a) *p=*(a+1)+*(a+2); + else if(p==a+1) *p=*a+*(a+2); + else *p=*a+*(a+1); + } + if(++p>a+2) p=a; + } + + return p==a?*(p+2):*(p-1); +} + +int fib_test(int max) { + unsigned int n; + unsigned int fast, slow; + // 32-bit LUT + unsigned int fib_lut32[] = { + 0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, 233 + }; + // 16-bit LUT + unsigned short fib_lut16[] = { + 0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, 233 + }; + // 8-bit LUT + unsigned char fib_lut8[] = { + 0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, 233 + }; + + for (n=0;n a) goto b_larger; + while (1) { + a = a % b; + if (a == 0) return b; + b_larger: + b = b % a; + if (b == 0) return a; + } +} + +int euclid_test(int max) +{ + int n; + int euclid; + // Random Numbers + int euclid_a[] = { + 1804289383, 1681692777, 1957747793, 719885386, 596516649, + 1025202362, 783368690, 2044897763, 1365180540, 304089172, + 35005211, 294702567, 336465782, 278722862 + }; + int euclid_b[] = { + 846930886, 1714636915, 424238335, 1649760492, 1189641421, + 1350490027, 1102520059, 1967513926, 1540383426, 1303455736, + 521595368, 1726956429, 861021530, 233665123 + }; + + // GCD + int euclid_lut[] = { + 1, 1, 1, 2, 1, 1, 1, 1, 6, 4, 1, 3, 2, 1 + }; + + for (n=0;n 0.0) + { + xn = (i+(i-1))/2.0; + break; + } + } + while (!(iters++ >= 100 + || x == xn)) + { + x = xn; + xn = x - (x * x - n) / (2 * x); + } + return xn; +} + +int newton_test (int max) { + int n; + float newt; + // 32-bit LUT + float newt_lut[] = { + 0.000000000000000000000000, + 1.000000000000000000000000, + 1.414213538169860839843750, + 1.732050776481628417968750, + 2.000000000000000000000000, + 2.236068010330200195312500, + 2.449489831924438476562500, + 2.645751237869262695312500, + 2.828427076339721679687500, + 3.000000000000000000000000, + 3.162277698516845703125000, + 3.316624879837036132812500, + 3.464101552963256835937500, + 3.605551242828369140625000, + 3.741657495498657226562500 + }; + + for (n=0;n_errno; + // return &errnum; +} +*/ + +int malloc_test() +{ + void *alloc; + + alloc = (void *)malloc(256); // allocate 32 bytes + + if (alloc == NULL) + return -1; + else + return (int) alloc; +} + +/** + MAIN TEST PROGRAMME + + This is the main test procedure. It will output signals onto the + MPI port that is checked by the testbench. + */ + +int main () +{ + // Message Passing Port + int* mpi = (int*)0xFFFFFFFF; + + // Number of each test to run + int max = 10; + + // lock T0 if it's multi-threaded + /* + if ((aemb_isthreaded() == 0) && (aemb_isthread1() != 0)) { + while (1) { + asm volatile ("nop;"); + } + } + */ + + // Enable Global Interrupts + aemb_enable_interrupt(); + + // INT TEST + //if (int_test() == -1) { *mpi = 0x4641494C; } + + // TEST MALLOC + if (malloc_test() == -1) { *mpi = 0x4641494C; } + + // FSL TEST + //if (fsl_test() == -1) { *mpi = 0x4641494C; } + + // Fibonacci Test + if (fib_test(max) == -1) { *mpi = 0x4641494C; } + + // Euclid Test + if (euclid_test(max) == -1) { *mpi = 0x4641494C; } + + // Newton-Rhapson Test + if (newton_test(max) == -1) { *mpi = 0x4641494C; } + + // Disable Global Interrupts + aemb_disable_interrupt(); + + // ALL PASSED + return 0; +} + +/* + HISTORY + $Log: aeMB_testbench.c,v $ + Revision 1.14 2007/12/28 21:44:04 sybreon + Added malloc() test + + Revision 1.13 2007/12/11 00:44:31 sybreon + Modified for AEMB2 + + Revision 1.12 2007/11/18 19:41:45 sybreon + Minor simulation fixes. + + Revision 1.11 2007/11/14 23:41:06 sybreon + Fixed minor interrupt test typo. + + Revision 1.10 2007/11/14 22:12:02 sybreon + Added interrupt test routine. + + Revision 1.9 2007/11/09 20:51:53 sybreon + Added GET/PUT support through a FSL bus. + + Revision 1.8 2007/11/03 08:40:18 sybreon + Minor code cleanup. + + Revision 1.7 2007/11/02 18:32:19 sybreon + Enable MSR_IE with software. + + Revision 1.6 2007/04/30 15:57:10 sybreon + Removed byte acrobatics. + + Revision 1.5 2007/04/27 15:17:59 sybreon + Added code documentation. + Added new tests that test floating point, modulo arithmetic and multiplication/division. + + Revision 1.4 2007/04/25 22:15:05 sybreon + Added support for 8-bit and 16-bit data types. + + Revision 1.3 2007/04/04 14:09:04 sybreon + Added initial interrupt/exception support. + + Revision 1.2 2007/04/04 06:07:45 sybreon + Fixed C code bug which passes the test + + Revision 1.1 2007/03/09 17:41:57 sybreon + initial import +*/ diff --git a/usrp2/fpga/opencores/aemb/sw/c/endian-test.c b/usrp2/fpga/opencores/aemb/sw/c/endian-test.c new file mode 100644 index 00000000..b585f7a3 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/endian-test.c @@ -0,0 +1,86 @@ + +#include "memory_map.h" + +int main() { + char *p = (char *)0x4000; + short *q = (short *)0x5000; + int *r= (int *)0x6000; + + int *output = (int *)0x7000; + + char s; + short t; + int u; + + // Write + // Bytes + *p = (char)1; + p++; + *p = (char)2; + p++; + *p = (char)3; + p++; + *p = (char)4; + p++; + *p = (char)5; + + // Words + *q = (short) 0x1112; + q++; + *q = (short) 0x1314; + q++; + *q = (short) 0x1516; + + // Double Words + *r = 0x21222324; + r++; + *r = 0x25262728; + r++; + *r = 0x292a2b2c; + + + // Read + p = (char *)0x6000; + s = *p; + if(s == 0x21) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + + p = (char *)0x6001; + s = *p; + if(s == 0x22) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + + p = (char *)0x6002; + s = *p; + if(s == 0x23) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + + + p = (char *)0x6003; + s = *p; + if(s == 0x24) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + + q = (short *)0x4000; + t = *q; + if(t == 0x0102) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + + r = (int *)0x4000; + u = *r; + if(u == 0x01020304) + *output = 0x53534150; // PASS + else + *output = 0x4C494146; // FAIL + +} diff --git a/usrp2/fpga/opencores/aemb/sw/c/libaemb.h b/usrp2/fpga/opencores/aemb/sw/c/libaemb.h new file mode 100644 index 00000000..329a327a --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/c/libaemb.h @@ -0,0 +1,218 @@ +/* $Id: libaemb.h,v 1.3 2007/12/16 03:26:37 sybreon Exp $ +** +** AEMB2 CUSTOM LIBRARY +** +** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap +** +** This file is part of AEMB. +** +** AEMB is free software: you can redistribute it and/or modify it +** under the terms of the GNU Lesser General Public License as +** published by the Free Software Foundation, either version 3 of the +** License, or (at your option) any later version. +** +** AEMB is distributed in the hope that it will be useful, but WITHOUT +** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY +** or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General +** Public License for more details. +** +** You should have received a copy of the GNU Lesser General Public +** License along with AEMB. If not, see . +*/ + +#ifndef LIBAEMB_H +#define LIBAEMB_H + +#define AEMB_TXE 0x0100 +#define AEMB_TXP 0x0400 +#define AEMB_TX0 0x0300 +#define AEMB_TX1 0x0700 +#define AEMB_MSK 0x0F00 + +#define AEMB_BIP 0x0008 +#define AEMB_CCC 0x0004 +#define AEMB_ITE 0x0002 +#define AEMB_BLE 0x0001 + + +// Linker symbols +extern void* _STACK_SIZE; +extern void* _stack_end; + + +void aemb_hook_init () asm ("_program_init"); +void aemb_hook_clean () asm ("_program_clean"); + +inline void aemb_enable_interrupt (); +inline void aemb_disable_interrupt (); +inline int aemb_isthread1(); +inline int aemb_isthread0(); +inline int aemb_isthreaded(); + +/*! +* Assembly macro to enable MSR_IE +*/ +void aemb_enable_interrupt () +{ + int msr, tmp; + asm volatile ("mfs %0, rmsr;" + "ori %1, %0, 0x02;" + "mts rmsr, %1;" + : "=r"(msr) + : "r" (tmp) + ); +} + +/*! +* Assembly macro to disable MSR_IE +*/ +void aemb_disable_interrupt () +{ + int msr, tmp; + asm volatile ("mfs %0, rmsr;" + "andi %1, %0, 0xFD;" + "mts rmsr, %1;" + : "=r"(msr) + : "r" (tmp) + ); +} + +/*! +* Bootstrap Hook Override +*/ + +void aemb_hook_init () +{ + int msr, tmp; + int stk_end, stk_siz; + + /* + // Check to see if hardware threads are enabled + if (((msr & AEMB_TXE) != AEMB_TXE) || + // Check to see if second thread is started + ((msr & AEMB_TX1) == AEMB_TX1)) return; + */ + //return; + asm volatile ("mfs %0, rmsr;" + // Check for BIP + "andi %1, %0, %5;" + "xori %1, %1, %5;" + "beqi %1, 44;" + + // Check for TXE + "andi %1, %0, %2;" + "xori %1, %1, %2;" + "bnei %1, 36;" + + // Check for TX1 + "andi %1, %0, %3;" + "xori %1, %1, %3;" + "beqi %1, 20;" + + // reallocate stack pointer for T0 + "ori %1, r0, %4;" + "sra %1, %1;" + "rsubk r1, %1, r1;" + + // reboot the machine + //"brki r0, _crtinit;" + "brid 0;" + "nop;" + + // clear BIP + "andni %1, %0, %5;" + "mts rmsr, %1;" + + :"=r"(msr), "=r"(tmp) + :"i"(AEMB_TXE), "i"(AEMB_TX1), "i"(&_STACK_SIZE), "i"(AEMB_BIP) + ); + +} + +/*! + Undo the changes made by programme init +*/ + +void aemb_hook_clean () +{ + int msr, tmp; + int stk_end, stk_siz; + + /* + // Check to see if hardware threads are enabled + if (((msr & AEMB_TXE) != AEMB_TXE) || + // Check to see if second thread is started + ((msr & AEMB_TX1) == AEMB_TX1)) return; + */ + return; + asm volatile ("mfs %0, rmsr;" + "andi %1, %0, %2;" + "xori %1, %1, %2;" + "bnei %1, 28;" + "andi %1, %0, %3;" + "xori %1, %1, %3;" + "beqi %1, 16;" + + // reallocate stack pointer for T0 + "ori %1, r0, %4;" + "sra %1, %1;" + "addk r1, %1, r1;" + + :"=r"(msr), "=r"(tmp) + :"i"(AEMB_TXE), "i"(AEMB_TX1), "i"(&_STACK_SIZE) + ); + + +} + +/* Checks if it's T1, and returns 0 if true */ + +int aemb_isthread1 () +{ + int msr, tmp, res; + asm volatile ("mfs %0, rmsr;" + "andi %1, %0, %3;" + "xori %1, %1, %3;" + :"=r"(msr), "=r"(tmp) + :"i"(AEMB_TXE), "i"(AEMB_TXP) + ); + return tmp; +} + +/* Checks if it's T0, and returns 0 if true */ + +int aemb_isthread0 () +{ + int msr, tmp, res; + asm volatile ("mfs %0, rmsr;" + "andi %1, %0, %3;" + "xori %1, %1, %2;" + :"=r"(msr), "=r"(tmp) + :"i"(AEMB_TXP), "i"(AEMB_MSK) + ); + return tmp; +} + +/* Checks if TXE is available, and returns 0 if true */ + +int aemb_isthreaded () +{ + int msr, tmp, res; + asm volatile ("mfs %0, rmsr;" + "andi %1, %0, %2;" + "xori %1, %1, %2;" + :"=r"(msr), "=r"(tmp) + :"i"(AEMB_TXE), "i"(AEMB_MSK) + ); + return tmp; +} + +#endif + +/* $Log: libaemb.h,v $ +/* Revision 1.3 2007/12/16 03:26:37 sybreon +/* Made T0 loop. +/* +/* Revision 1.1 2007/12/11 00:44:04 sybreon +/* initial import +/* */ diff --git a/usrp2/fpga/opencores/aemb/sw/gccrom b/usrp2/fpga/opencores/aemb/sw/gccrom new file mode 100755 index 00000000..f6e581f1 --- /dev/null +++ b/usrp2/fpga/opencores/aemb/sw/gccrom @@ -0,0 +1,62 @@ +#!/bin/sh +# $Id: gccrom,v 1.13 2008/01/19 16:42:54 sybreon Exp $ + +# Compile using C++ pre-processor +mb-g++ -g -Wl,-defsym -Wl,_HEAP_SIZE=0x800 -mxl-soft-div -msoft-float -mxl-barrel-shift -mno-xl-soft-mul -mno-clearbss $@ -o rom.elf -lc_m_bs -lm_m_bs && \ + +# Create a text listing of the compiled code +mb-objdump -DSC rom.elf > rom.dump && \ + +# Convert the ELF file to an SREC file +mb-objcopy -O srec rom.elf rom.srec && \ + +# Generate a Verilog VMEM file from the SREC file +srec_cat rom.srec -o ../sim/dump.vmem -vmem 32 && \ + +# Cleanup code +rm rom.srec && \ + +# Say Cheeze! +echo "ROM generated" + +# $Log: gccrom,v $ +# Revision 1.13 2008/01/19 16:42:54 sybreon +# Uses multiplier + barrel shifter as default. +# +# Revision 1.12 2007/12/11 00:44:32 sybreon +# Modified for AEMB2 +# +# Revision 1.11 2007/11/30 17:09:27 sybreon +# Minor code cleanup. +# +# Revision 1.10 2007/11/20 18:35:34 sybreon +# Generate VMEM instead of HEX dumps of programme. +# +# Revision 1.9 2007/11/18 19:41:46 sybreon +# Minor simulation fixes. +# +# Revision 1.8 2007/11/09 20:52:37 sybreon +# Added some compilation optimisations. +# +# Revision 1.7 2007/11/04 05:16:25 sybreon +# Added -msoft-float and -mxl-soft-div compiler flags. +# +# Revision 1.6 2007/11/02 03:25:46 sybreon +# New EDK 3.2 compatible design with optional barrel-shifter and multiplier. +# Fixed various minor data hazard bugs. +# Code compatible with -O0/1/2/3/s generated code. +# +# Revision 1.5 2007/10/22 19:14:38 sybreon +# Recommended to compile code with -O2/3/s +# +# Revision 1.4 2007/04/30 15:57:31 sybreon +# Modified compilation sequence. +# +# Revision 1.3 2007/04/25 22:15:06 sybreon +# Added support for 8-bit and 16-bit data types. +# +# Revision 1.2 2007/04/04 06:14:39 sybreon +# Minor changes +# +# Revision 1.1 2007/03/09 17:41:56 sybreon +# initial import diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries new file mode 100644 index 00000000..c8bbf235 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries @@ -0,0 +1,6 @@ +/start.tcl/1.3/Sun May 28 05:00:17 2006// +D/bench//// +D/doc//// +D/rtl//// +D/sim//// +D/syn//// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository new file mode 100644 index 00000000..7c0a8c1d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository new file mode 100644 index 00000000..f7aa7ea6 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/bench diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries new file mode 100644 index 00000000..21ad451b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries @@ -0,0 +1,7 @@ +/Phy_sim.v/1.3/Fri Nov 17 17:53:07 2006// +/User_int_sim.v/1.3/Fri Nov 17 17:53:07 2006// +/altera_mf.v/1.1/Thu Jan 19 14:07:50 2006// +/host_sim.v/1.1/Thu Jan 19 14:07:51 2006// +/reg_int_sim.v/1.1/Tue Dec 13 12:54:38 2005// +/tb_top.v/1.3/Thu Jan 19 14:07:51 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository new file mode 100644 index 00000000..614471f3 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/bench/verilog diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v new file mode 100644 index 00000000..37bf364f --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v @@ -0,0 +1,102 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Phy_sim.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Phy_sim.v,v $ +// Revision 1.3 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.2 2006/01/19 14:07:50 maverickist +// verification is complete. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +`timescale 1ns/100ps + +module Phy_sim (input Gtx_clk ,//used only in GMII mode + output Rx_clk , + output Tx_clk ,//used only in MII mode + input Tx_er , + input Tx_en , + input [7:0] Txd , + output Rx_er , + output Rx_dv , + output [7:0] Rxd , + output Crs , + output Col , + input [2:0] Speed + ); + + // //////////////////////////////////////////////////////////////////// + // this file used to simulate Phy. + // generate clk and loop the Tx data to Rx data + // full duplex mode can be verified on loop mode. + // //////////////////////////////////////////////////////////////////// + // //////////////////////////////////////////////////////////////////// + // internal signals + // //////////////////////////////////////////////////////////////////// + reg Clk_25m ;//used for 100 Mbps mode + reg Clk_2_5m ;//used for 10 Mbps mode + //wire Rx_clk ; + //wire Tx_clk ;//used only in MII mode + // //////////////////////////////////////////////////////////////////// + always + begin + #20 Clk_25m=0; + #20 Clk_25m=1; + end + + always + begin + #200 Clk_2_5m=0; + #200 Clk_2_5m=1; + end + + assign Rx_clk=Speed[2]?Gtx_clk:Speed[1]?Clk_25m:Speed[0]?Clk_2_5m:0; + assign Tx_clk=Speed[2]?Gtx_clk:Speed[1]?Clk_25m:Speed[0]?Clk_2_5m:0; + + assign Rx_dv =Tx_en ; + assign Rxd =Txd ; + assign Rx_er =0 ; + assign Crs =Tx_en ; + assign Col =0 ; + +endmodule // Phy_sim diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v new file mode 100644 index 00000000..90c79b3d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v @@ -0,0 +1,148 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// User_input_sim.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: User_int_sim.v,v $ +// Revision 1.3 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.2 2006/01/19 14:07:50 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/13 12:15:35 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module User_int_sim (Reset , + Clk_user , + CPU_init_end , + //user inputerface , + Rx_mac_ra , + Rx_mac_rd , + Rx_mac_data , + Rx_mac_BE , + Rx_mac_pa , + Rx_mac_sop , + Rx_mac_eop , + //user inputerface , + Tx_mac_wa , + Tx_mac_wr , + Tx_mac_data , + Tx_mac_BE , + Tx_mac_sop , + Tx_mac_eop + ); + + input Reset ; + input Clk_user ; + input CPU_init_end ; + //user inputerface + input Rx_mac_ra ; + output Rx_mac_rd ; + input [31:0] Rx_mac_data ; + input [1:0] Rx_mac_BE ; + input Rx_mac_pa ; + input Rx_mac_sop ; + input Rx_mac_eop ; + //user inputerface + input Tx_mac_wa ; + output Tx_mac_wr ; + output [31:0] Tx_mac_data ; + output [1:0] Tx_mac_BE ;//big endian + output Tx_mac_sop ; + output Tx_mac_eop ; + + // //////////////////////////////////////////////////////////////////// + // inputernal signals + // //////////////////////////////////////////////////////////////////// + reg [4:0] operation; + reg [31:0] data; + reg Rx_mac_rd; + reg Start_tran; + // //////////////////////////////////////////////////////////////////// + //generate Tx user data + // //////////////////////////////////////////////////////////////////// + initial + begin + operation =0; + data =0; + end + + always @ (posedge Clk_user or posedge Reset) + if (Reset) + Start_tran <=0; + else if (Tx_mac_eop&&!Tx_mac_wa) + Start_tran <=0; + else if (Tx_mac_wa) + Start_tran <=1; + + always @ (posedge Clk_user) + if (Tx_mac_wa&&CPU_init_end) + $ip_32W_gen("../data/config.ini",operation,data); + else + begin + operation <=0; + data <=0; + end + + assign Tx_mac_data =data; + assign Tx_mac_wr =operation[4]; + assign Tx_mac_sop =operation[3]; + assign Tx_mac_eop =operation[2]; + assign Tx_mac_BE =operation[1:0]; + ////////////////////////////////////////////////////////////////////// + //verify Rx user data + ////////////////////////////////////////////////////////////////////// + always @ (posedge Clk_user or posedge Reset) + if (Reset) + Rx_mac_rd <=0; + else if(Rx_mac_ra) + Rx_mac_rd <=1; + else + Rx_mac_rd <=0; + + always @ (posedge Clk_user ) + if (Rx_mac_pa) + $ip_32W_check( Rx_mac_data, + {Rx_mac_sop,Rx_mac_eop,Rx_mac_eop?Rx_mac_BE:2'b0}); + +endmodule // User_int_sim diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v new file mode 100644 index 00000000..fffc46ff --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v @@ -0,0 +1,34538 @@ +// Copyright (C) 1991-2005 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// Quartus II 5.1 Build 176 10/26/2005 + + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : ALTERA_MF_MEMORY_INITIALIZATION +// +// Description : Common function to read intel-hex format data file with +// extension .hex and creates the equivalent verilog format +// data file with extension .ver. +// +// Limitation : Supports only record type '00'(data record), '01'(end of +// file record) and '02'(extended segment address record). +// +// Results expected: Creates the verilog format data file with extension .ver +// and return the name of the file. +// +//END_MODULE_NAME-------------------------------------------------------------- + + +`timescale 1 ps / 1 ps +module lcell (in, out); + input in; + output out; + + assign out = in; +endmodule + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +`define TRUE 1 +`define FALSE 0 +`define NULL 0 +`define EOF -1 +`define MAX_BUFFER_SZ 2048 +`define MAX_NAME_SZ 128 +`define COLON ":" +`define NEWLINE "\n" +`define CARRIAGE_RETURN 8'h0D +`define SPACE " " +`define OFFSET 9 +`define H10 8'h10 +`define AWORD 8 +`define MASK15 32'h000000FF +`define EXT_STR "ver" + +// MODULE DECLARATION +module ALTERA_MF_MEMORY_INITIALIZATION; + + +/****************************************************************/ +/* Read in Intel-hex format data to verilog format data. */ +/* Intel-hex format :nnaaaaattddddcc */ +/****************************************************************/ +task convert_hex2ver; + input[`MAX_NAME_SZ*8 : 1] in_file; + input width; + output [`MAX_NAME_SZ*8 : 1] out_file; + reg [`MAX_NAME_SZ*8 : 1] in_file; + reg [`MAX_NAME_SZ*8 : 1] out_file; + reg [8:1] c; + reg [3:0] hex, tmp_char; + + integer width; + integer ifp, ofp, r, r2; + integer i, j, k, m, n; + integer done; + integer error_status; + integer first_rec; + integer last_rec; + + integer off_addr, nn, aaaa, tt, cc, aah, aal, dd, sum ; + integer line_no; + +begin +`ifdef NO_PLI +`else + `ifdef USE_RIF + `else + done = `FALSE; + error_status = `FALSE; + first_rec = `FALSE; + last_rec = `FALSE; + + off_addr= 0; + nn= 0; + aaaa= 0; + tt= 0; + cc= 0; + aah= 0; + aal= 0; + dd= 0; + sum = 0; + line_no = 1; + c = 0; + hex = 0; + + if((in_file[4*8 : 1] == ".dat") || (in_file[4*8 : 1] == ".DAT")) + out_file = in_file; + else + begin + ifp = $fopen(in_file, "r"); + if (ifp == `NULL) + begin + $display("ERROR: cannot read %0s.", in_file); + done = `TRUE; + end + + out_file = in_file; + + if((out_file[4*8 : 1] == ".hex") || (out_file[4*8 : 1] == ".HEX")) + out_file[3*8 : 1] = `EXT_STR; + else + begin + $display("ERROR: Invalid input file name %0s. Expecting file with .hex extension and Intel-hex data format.", in_file); + done = `TRUE; + end + + ofp = $fopen(out_file, "w"); + if (ofp == `NULL) + begin + $display("ERROR : cannot write %0s.", out_file); + done = `TRUE; + end + + while((!done) && (!error_status)) + begin : READER + + r = $fgetc(ifp); + + if (r == `EOF) + begin + if(!first_rec) + begin + error_status = `TRUE; + $display("WARNING: %0s, Intel-hex data file is empty.", in_file); + end + else if(!last_rec) + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Missing the last record.", in_file, line_no); + end + end + else if (r == `COLON) + begin + first_rec = `TRUE; + nn= 0; + aaaa= 0; + tt= 0; + cc= 0; + aah= 0; + aal= 0; + dd= 0; + sum = 0; + + // get record length bytes + for (i = 0; i < 2; i = i+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + nn = (nn * 16) + (r - 'h30); + else if ((r >= "A") && (r <= "F")) + nn = (nn * 16) + 10 + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + nn = (nn * 16) + 10 + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + end + + // get address bytes + for (i = 0; i < 4; i = i+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + hex = (r - 'h30); + else if ((r >= "A") && (r <= "F")) + hex = 10 + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + hex = 10 + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + + aaaa = (aaaa * 16) + hex; + + if (i < 2) + aal = (aal * 16) + hex; + else + aah = (aah * 16) + hex; + end + + // get record type bytes + for (i = 0; i < 2; i = i+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + tt = (tt * 16) + (r - 'h30); + else if ((r >= "A") && (r <= "F")) + tt = (tt * 16) + 10 + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + tt = (tt * 16) + 10 + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + end + + if((tt == 2) && (nn != 2) ) + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid data record.", in_file, line_no); + end + else + begin + + // get the sum of all the bytes for record length, address and record types + sum = nn + aah + aal + tt ; + + // check the record type + case(tt) + // normal_record + 8'h00 : + begin + first_rec = `TRUE; + i = 0; + k = width / `AWORD; + if ((width % `AWORD) != 0) + k = k + 1; + + // k = no. of bytes per entry. + while (i < nn) + begin + $fdisplay(ofp,"@%0h", (aaaa + off_addr)); + for (j = 1; j <= k; j = j +1) + begin + if ((k - j +1) > nn) + begin + for(m = 1; m <= 2; m= m+1) + begin + if((((k-j)*8) + ((3-m)*4) - width) < 4) + $fwrite(ofp, "0"); + end + end + else + begin + // get the data bytes + for(m = 1; m <= 2; m= m+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + hex = (r - 'h30); + else if ((r >= "A") && (r <= "F")) + hex = 10 + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + hex = 10 + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + + if((((k-j)*8) + ((3-m)*4) - width) < 4) + $fwrite(ofp, "%h", hex); + dd = (dd * 16) + hex; + + if(m % 2 == 0) + begin + sum = sum + dd; + dd = 0; + end + end + end + end + $fwrite(ofp, "\n"); + + i = i + k; + aaaa = aaaa + 1; + end // end of while (i < nn) + end + // last record + 8'h01: + begin + last_rec = `TRUE; + done = `TRUE; + end + // address base record + 8'h02: + begin + off_addr= 0; + + // get the extended segment address record + for(i = 1; i <= (nn*2); i= i+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + hex = (r - 'h30); + else if ((r >= "A") && (r <= "F")) + hex = 10 + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + hex = 10 + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + + off_addr = (off_addr * `H10) + hex; + dd = (dd * 16) + hex; + + if(i % 2 == 0) + begin + sum = sum + dd; + dd = 0; + end + end + + off_addr = off_addr * `H10; + end + default: + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Unknown record type.", in_file, line_no); + end + endcase + + // get the checksum bytes + for (i = 0; i < 2; i = i+1) + begin + r = $fgetc(ifp); + + if ((r >= "0") && (r <= "9")) + cc = (cc * 16) + (r - 'h30); + else if ((r >= "A") && (r <= "F")) + cc = 10 + (cc * 16) + (r - 'h41); + else if ((r >= "a") && (r <= "f")) + cc = 10 + (cc * 16) + (r - 'h61); + else + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + disable READER; + end + end + + // Perform check sum. + if(((~sum+1)& `MASK15) != cc) + begin + error_status = `TRUE; + $display("ERROR: %0s, line %0d, Invalid checksum.", in_file, line_no); + end + end + end + else if ((r == `NEWLINE) || (r == `CARRIAGE_RETURN)) + begin + line_no = line_no +1; + end + else if (r == `SPACE) + begin + // continue to next character; + end + else + begin + error_status = `TRUE; + $display("ERROR:%0s, line %0d, Invalid INTEL HEX record.", in_file, line_no); + done = `TRUE; + end + end + $fclose(ifp); + $fclose(ofp); + end + `endif +`endif +end +endtask // convert_hex2ver + +endmodule // ALTERA_MF_MEMORY_INITIALIZATION + + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : ALTERA_DEVICE_FAMILIES +// +// Description : Common Altera device families comparison +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module ALTERA_DEVICE_FAMILIES; + +function IS_FAMILY_ACEX1K; + input[8*20:1] device; + reg is_acex1k; +begin + if ((device == "ACEX1K") || (device == "acex1k") || (device == "ACEX 1K") || (device == "acex 1k")) + is_acex1k = 1; + else + is_acex1k = 0; + + IS_FAMILY_ACEX1K = is_acex1k; +end +endfunction //IS_FAMILY_ACEX1K + +function IS_FAMILY_APEX20K; + input[8*20:1] device; + reg is_apex20k; +begin + if ((device == "APEX20K") || (device == "apex20k") || (device == "APEX 20K") || (device == "apex 20k") || (device == "RAPHAEL") || (device == "raphael")) + is_apex20k = 1; + else + is_apex20k = 0; + + IS_FAMILY_APEX20K = is_apex20k; +end +endfunction //IS_FAMILY_APEX20K + +function IS_FAMILY_APEX20KC; + input[8*20:1] device; + reg is_apex20kc; +begin + if ((device == "APEX20KC") || (device == "apex20kc") || (device == "APEX 20KC") || (device == "apex 20kc")) + is_apex20kc = 1; + else + is_apex20kc = 0; + + IS_FAMILY_APEX20KC = is_apex20kc; +end +endfunction //IS_FAMILY_APEX20KC + +function IS_FAMILY_APEX20KE; + input[8*20:1] device; + reg is_apex20ke; +begin + if ((device == "APEX20KE") || (device == "apex20ke") || (device == "APEX 20KE") || (device == "apex 20ke")) + is_apex20ke = 1; + else + is_apex20ke = 0; + + IS_FAMILY_APEX20KE = is_apex20ke; +end +endfunction //IS_FAMILY_APEX20KE + +function IS_FAMILY_APEXII; + input[8*20:1] device; + reg is_apexii; +begin + if ((device == "APEX II") || (device == "apex ii") || (device == "APEXII") || (device == "apexii") || (device == "APEX 20KF") || (device == "apex 20kf") || (device == "APEX20KF") || (device == "apex20kf")) + is_apexii = 1; + else + is_apexii = 0; + + IS_FAMILY_APEXII = is_apexii; +end +endfunction //IS_FAMILY_APEXII + +function IS_FAMILY_EXCALIBUR_ARM; + input[8*20:1] device; + reg is_excalibur_arm; +begin + if ((device == "EXCALIBUR_ARM") || (device == "excalibur_arm") || (device == "Excalibur ARM") || (device == "EXCALIBUR ARM") || (device == "excalibur arm") || (device == "ARM-BASED EXCALIBUR") || (device == "arm-based excalibur") || (device == "ARM_BASED_EXCALIBUR") || (device == "arm_based_excalibur")) + is_excalibur_arm = 1; + else + is_excalibur_arm = 0; + + IS_FAMILY_EXCALIBUR_ARM = is_excalibur_arm; +end +endfunction //IS_FAMILY_EXCALIBUR_ARM + +function IS_FAMILY_FLEX10KE; + input[8*20:1] device; + reg is_flex10ke; +begin + if ((device == "FLEX10KE") || (device == "flex10ke") || (device == "FLEX 10KE") || (device == "flex 10ke")) + is_flex10ke = 1; + else + is_flex10ke = 0; + + IS_FAMILY_FLEX10KE = is_flex10ke; +end +endfunction //IS_FAMILY_FLEX10KE + +function IS_FAMILY_MERCURY; + input[8*20:1] device; + reg is_mercury; +begin + if ((device == "Mercury") || (device == "MERCURY") || (device == "mercury") || (device == "DALI") || (device == "dali")) + is_mercury = 1; + else + is_mercury = 0; + + IS_FAMILY_MERCURY = is_mercury; +end +endfunction //IS_FAMILY_MERCURY + +function IS_FAMILY_STRATIX; + input[8*20:1] device; + reg is_stratix; +begin + if ((device == "Stratix") || (device == "STRATIX") || (device == "stratix") || (device == "Yeager") || (device == "YEAGER") || (device == "yeager")) + is_stratix = 1; + else + is_stratix = 0; + + IS_FAMILY_STRATIX = is_stratix; +end +endfunction //IS_FAMILY_STRATIX + +function IS_FAMILY_STRATIXGX; + input[8*20:1] device; + reg is_stratixgx; +begin + if ((device == "Stratix GX") || (device == "STRATIX GX") || (device == "stratix gx") || (device == "Stratix-GX") || (device == "STRATIX-GX") || (device == "stratix-gx") || (device == "StratixGX") || (device == "STRATIXGX") || (device == "stratixgx") || (device == "Aurora") || (device == "AURORA") || (device == "aurora")) + is_stratixgx = 1; + else + is_stratixgx = 0; + + IS_FAMILY_STRATIXGX = is_stratixgx; +end +endfunction //IS_FAMILY_STRATIXGX + +function IS_FAMILY_CYCLONE; + input[8*20:1] device; + reg is_cyclone; +begin + if ((device == "Cyclone") || (device == "CYCLONE") || (device == "cyclone") || (device == "ACEX2K") || (device == "acex2k") || (device == "ACEX 2K") || (device == "acex 2k") || (device == "Tornado") || (device == "TORNADO") || (device == "tornado")) + is_cyclone = 1; + else + is_cyclone = 0; + + IS_FAMILY_CYCLONE = is_cyclone; +end +endfunction //IS_FAMILY_CYCLONE + +function IS_FAMILY_MAXII; + input[8*20:1] device; + reg is_maxii; +begin + if ((device == "MAX II") || (device == "max ii") || (device == "MAXII") || (device == "maxii") || (device == "Tsunami") || (device == "TSUNAMI") || (device == "tsunami")) + is_maxii = 1; + else + is_maxii = 0; + + IS_FAMILY_MAXII = is_maxii; +end +endfunction //IS_FAMILY_MAXII + +function IS_FAMILY_HARDCOPYSTRATIX; + input[8*20:1] device; + reg is_hardcopystratix; +begin + if ((device == "HardCopy Stratix") || (device == "HARDCOPY STRATIX") || (device == "hardcopy stratix") || (device == "Stratix HC") || (device == "STRATIX HC") || (device == "stratix hc") || (device == "StratixHC") || (device == "STRATIXHC") || (device == "stratixhc") || (device == "HardcopyStratix") || (device == "HARDCOPYSTRATIX") || (device == "hardcopystratix")) + is_hardcopystratix = 1; + else + is_hardcopystratix = 0; + + IS_FAMILY_HARDCOPYSTRATIX = is_hardcopystratix; +end +endfunction //IS_FAMILY_HARDCOPYSTRATIX + +function IS_FAMILY_STRATIXII; + input[8*20:1] device; + reg is_stratixii; +begin + if ((device == "Stratix II") || (device == "STRATIX II") || (device == "stratix ii") || (device == "StratixII") || (device == "STRATIXII") || (device == "stratixii") || (device == "Armstrong") || (device == "ARMSTRONG") || (device == "armstrong")) + is_stratixii = 1; + else + is_stratixii = 0; + + IS_FAMILY_STRATIXII = is_stratixii; +end +endfunction //IS_FAMILY_STRATIXII + +function IS_FAMILY_STRATIXIIGX; + input[8*20:1] device; + reg is_stratixiigx; +begin + if ((device == "Stratix II GX") || (device == "STRATIX II GX") || (device == "stratix ii gx") || (device == "StratixIIGX") || (device == "STRATIXIIGX") || (device == "stratixiigx")) + is_stratixiigx = 1; + else + is_stratixiigx = 0; + + IS_FAMILY_STRATIXIIGX = is_stratixiigx; +end +endfunction //IS_FAMILY_STRATIXIIGX + +function IS_FAMILY_CYCLONEII; + input[8*20:1] device; + reg is_cycloneii; +begin + if ((device == "Cyclone II") || (device == "CYCLONE II") || (device == "cyclone ii") || (device == "Cycloneii") || (device == "CYCLONEII") || (device == "cycloneii") || (device == "Magellan") || (device == "MAGELLAN") || (device == "magellan")) + is_cycloneii = 1; + else + is_cycloneii = 0; + + IS_FAMILY_CYCLONEII = is_cycloneii; +end +endfunction //IS_FAMILY_CYCLONEII + +function IS_FAMILY_HARDCOPYII; + input[8*20:1] device; + reg is_hardcopyii; +begin + if ((device == "HardCopy II") || (device == "HARDCOPY II") || (device == "hardcopy ii") || (device == "HardCopyII") || (device == "HARDCOPYII") || (device == "hardcopyii") || (device == "Fusion") || (device == "FUSION") || (device == "fusion")) + is_hardcopyii = 1; + else + is_hardcopyii = 0; + + IS_FAMILY_HARDCOPYII = is_hardcopyii; +end +endfunction //IS_FAMILY_HARDCOPYII + +function FEATURE_FAMILY_STRATIXGX; + input[8*20:1] device; + reg var_family_stratixgx; +begin + if (IS_FAMILY_STRATIXGX(device) ) + var_family_stratixgx = 1; + else + var_family_stratixgx = 0; + + FEATURE_FAMILY_STRATIXGX = var_family_stratixgx; +end +endfunction //FEATURE_FAMILY_STRATIXGX + +function FEATURE_FAMILY_CYCLONE; + input[8*20:1] device; + reg var_family_cyclone; +begin + if (IS_FAMILY_CYCLONE(device) ) + var_family_cyclone = 1; + else + var_family_cyclone = 0; + + FEATURE_FAMILY_CYCLONE = var_family_cyclone; +end +endfunction //FEATURE_FAMILY_CYCLONE + +function FEATURE_FAMILY_STRATIXII; + input[8*20:1] device; + reg var_family_stratixii; +begin + if (IS_FAMILY_STRATIXII(device) || IS_FAMILY_HARDCOPYII(device) || IS_FAMILY_STRATIXIIGX(device) ) + var_family_stratixii = 1; + else + var_family_stratixii = 0; + + FEATURE_FAMILY_STRATIXII = var_family_stratixii; +end +endfunction //FEATURE_FAMILY_STRATIXII + +function FEATURE_FAMILY_STRATIX_HC; + input[8*20:1] device; + reg var_family_stratix_hc; +begin + if (IS_FAMILY_HARDCOPYSTRATIX(device) ) + var_family_stratix_hc = 1; + else + var_family_stratix_hc = 0; + + FEATURE_FAMILY_STRATIX_HC = var_family_stratix_hc; +end +endfunction //FEATURE_FAMILY_STRATIX_HC + +function FEATURE_FAMILY_STRATIX; + input[8*20:1] device; + reg var_family_stratix; +begin + if (IS_FAMILY_STRATIX(device) || FEATURE_FAMILY_STRATIX_HC(device) || FEATURE_FAMILY_STRATIXGX(device) || FEATURE_FAMILY_CYCLONE(device) || FEATURE_FAMILY_STRATIXII(device) || FEATURE_FAMILY_MAXII(device) || FEATURE_FAMILY_CYCLONEII(device) ) + var_family_stratix = 1; + else + var_family_stratix = 0; + + FEATURE_FAMILY_STRATIX = var_family_stratix; +end +endfunction //FEATURE_FAMILY_STRATIX + +function FEATURE_FAMILY_MAXII; + input[8*20:1] device; + reg var_family_maxii; +begin + if (IS_FAMILY_MAXII(device) ) + var_family_maxii = 1; + else + var_family_maxii = 0; + + FEATURE_FAMILY_MAXII = var_family_maxii; +end +endfunction //FEATURE_FAMILY_MAXII + +function FEATURE_FAMILY_CYCLONEII; + input[8*20:1] device; + reg var_family_cycloneii; +begin + if (IS_FAMILY_CYCLONEII(device) ) + var_family_cycloneii = 1; + else + var_family_cycloneii = 0; + + FEATURE_FAMILY_CYCLONEII = var_family_cycloneii; +end +endfunction //FEATURE_FAMILY_CYCLONEII + +function FEATURE_FAMILY_HAS_MEGARAM; + input[8*20:1] device; + reg var_family_has_megaram; +begin + if (IS_FAMILY_STRATIX(device) || FEATURE_FAMILY_STRATIX_HC(device) || IS_FAMILY_STRATIXGX(device) || FEATURE_FAMILY_STRATIXII(device) ) + var_family_has_megaram = 1; + else + var_family_has_megaram = 0; + + FEATURE_FAMILY_HAS_MEGARAM = var_family_has_megaram; +end +endfunction //FEATURE_FAMILY_HAS_MEGARAM + +function FEATURE_FAMILY_HAS_M512; + input[8*20:1] device; + reg var_family_has_m512; +begin + if (IS_FAMILY_STRATIX(device) || FEATURE_FAMILY_STRATIX_HC(device) || IS_FAMILY_STRATIXGX(device) || IS_FAMILY_STRATIXII(device) || IS_FAMILY_STRATIXIIGX(device) ) + var_family_has_m512 = 1; + else + var_family_has_m512 = 0; + + FEATURE_FAMILY_HAS_M512 = var_family_has_m512; +end +endfunction //FEATURE_FAMILY_HAS_M512 + +function FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM; + input[8*20:1] device; + reg var_family_has_stratixii_style_ram; +begin + if (FEATURE_FAMILY_STRATIXII(device) || FEATURE_FAMILY_CYCLONEII(device) ) + var_family_has_stratixii_style_ram = 1; + else + var_family_has_stratixii_style_ram = 0; + + FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM = var_family_has_stratixii_style_ram; +end +endfunction //FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM + +function FEATURE_FAMILY_HAS_INVERTED_OUTPUT_DDIO; + input[8*20:1] device; + reg var_family_has_inverted_output_ddio; +begin + if (FEATURE_FAMILY_CYCLONEII(device) ) + var_family_has_inverted_output_ddio = 1; + else + var_family_has_inverted_output_ddio = 0; + + FEATURE_FAMILY_HAS_INVERTED_OUTPUT_DDIO = var_family_has_inverted_output_ddio; +end +endfunction //FEATURE_FAMILY_HAS_INVERTED_OUTPUT_DDIO + +function IS_VALID_FAMILY; + input[8*20:1] device; + reg is_valid; +begin + if (((device == "ACEX1K") || (device == "acex1k") || (device == "ACEX 1K") || (device == "acex 1k")) + || ((device == "APEX20K") || (device == "apex20k") || (device == "APEX 20K") || (device == "apex 20k") || (device == "RAPHAEL") || (device == "raphael")) + || ((device == "APEX20KC") || (device == "apex20kc") || (device == "APEX 20KC") || (device == "apex 20kc")) + || ((device == "APEX20KE") || (device == "apex20ke") || (device == "APEX 20KE") || (device == "apex 20ke")) + || ((device == "APEX II") || (device == "apex ii") || (device == "APEXII") || (device == "apexii") || (device == "APEX 20KF") || (device == "apex 20kf") || (device == "APEX20KF") || (device == "apex20kf")) + || ((device == "EXCALIBUR_ARM") || (device == "excalibur_arm") || (device == "Excalibur ARM") || (device == "EXCALIBUR ARM") || (device == "excalibur arm") || (device == "ARM-BASED EXCALIBUR") || (device == "arm-based excalibur") || (device == "ARM_BASED_EXCALIBUR") || (device == "arm_based_excalibur")) + || ((device == "FLEX10KE") || (device == "flex10ke") || (device == "FLEX 10KE") || (device == "flex 10ke")) + || ((device == "FLEX10K") || (device == "flex10k") || (device == "FLEX 10K") || (device == "flex 10k")) + || ((device == "FLEX10KA") || (device == "flex10ka") || (device == "FLEX 10KA") || (device == "flex 10ka")) + || ((device == "FLEX6000") || (device == "flex6000") || (device == "FLEX 6000") || (device == "flex 6000") || (device == "FLEX6K") || (device == "flex6k")) + || ((device == "MAX7000B") || (device == "max7000b") || (device == "MAX 7000B") || (device == "max 7000b")) + || ((device == "MAX7000AE") || (device == "max7000ae") || (device == "MAX 7000AE") || (device == "max 7000ae")) + || ((device == "MAX3000A") || (device == "max3000a") || (device == "MAX 3000A") || (device == "max 3000a")) + || ((device == "MAX7000S") || (device == "max7000s") || (device == "MAX 7000S") || (device == "max 7000s")) + || ((device == "MAX7000A") || (device == "max7000a") || (device == "MAX 7000A") || (device == "max 7000a")) + || ((device == "Mercury") || (device == "MERCURY") || (device == "mercury") || (device == "DALI") || (device == "dali")) + || ((device == "Stratix") || (device == "STRATIX") || (device == "stratix") || (device == "Yeager") || (device == "YEAGER") || (device == "yeager")) + || ((device == "Stratix GX") || (device == "STRATIX GX") || (device == "stratix gx") || (device == "Stratix-GX") || (device == "STRATIX-GX") || (device == "stratix-gx") || (device == "StratixGX") || (device == "STRATIXGX") || (device == "stratixgx") || (device == "Aurora") || (device == "AURORA") || (device == "aurora")) + || ((device == "Cyclone") || (device == "CYCLONE") || (device == "cyclone") || (device == "ACEX2K") || (device == "acex2k") || (device == "ACEX 2K") || (device == "acex 2k") || (device == "Tornado") || (device == "TORNADO") || (device == "tornado")) + || ((device == "MAX II") || (device == "max ii") || (device == "MAXII") || (device == "maxii") || (device == "Tsunami") || (device == "TSUNAMI") || (device == "tsunami")) + || ((device == "HardCopy Stratix") || (device == "HARDCOPY STRATIX") || (device == "hardcopy stratix") || (device == "Stratix HC") || (device == "STRATIX HC") || (device == "stratix hc") || (device == "StratixHC") || (device == "STRATIXHC") || (device == "stratixhc") || (device == "HardcopyStratix") || (device == "HARDCOPYSTRATIX") || (device == "hardcopystratix")) + || ((device == "Stratix II") || (device == "STRATIX II") || (device == "stratix ii") || (device == "StratixII") || (device == "STRATIXII") || (device == "stratixii") || (device == "Armstrong") || (device == "ARMSTRONG") || (device == "armstrong")) + || ((device == "Stratix II GX") || (device == "STRATIX II GX") || (device == "stratix ii gx") || (device == "StratixIIGX") || (device == "STRATIXIIGX") || (device == "stratixiigx")) + || ((device == "Cyclone II") || (device == "CYCLONE II") || (device == "cyclone ii") || (device == "Cycloneii") || (device == "CYCLONEII") || (device == "cycloneii") || (device == "Magellan") || (device == "MAGELLAN") || (device == "magellan")) + || ((device == "HardCopy II") || (device == "HARDCOPY II") || (device == "hardcopy ii") || (device == "HardCopyII") || (device == "HARDCOPYII") || (device == "hardcopyii") || (device == "Fusion") || (device == "FUSION") || (device == "fusion"))) + is_valid = 1; + else + is_valid = 0; + + IS_VALID_FAMILY = is_valid; +end +endfunction // IS_VALID_FAMILY + + +endmodule // ALTERA_DEVICE_FAMILIES + +/////////////////////////////////////////////////////////////////////////////// +// +// STRATIX_PLL and STRATIXII_PLL +// +/////////////////////////////////////////////////////////////////////////////// + +// DFFP +`timescale 1ps / 1ps +module dffp ( Q, CLK, ENA, D, CLRN, PRN ); + input D; + input CLK; + input CLRN; + input PRN; + input ENA; + output Q; + + + tri1 PRN, CLRN, ENA; + reg Q; + + always @ (posedge CLK or negedge CLRN or negedge PRN ) + if (PRN == 1'b0) Q = 1; + else if (CLRN == 1'b0) Q = 0; + else if ((CLK == 1) & (ENA == 1'b1)) Q = D; + endmodule + + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : stx_m_cntr +// +// Description : Simulation model for the M counter. This is the +// loop feedback counter for the Stratix PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module stx_m_cntr (clk, + reset, + cout, + initial_value, + modulus, + time_delay); + + // INPUT PORTS + input clk; + input reset; + input [31:0] initial_value; + input [31:0] modulus; + input [31:0] time_delay; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + integer count; + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg cout_tmp; + + initial + begin + count = 1; + first_rising_edge = 1; + clk_last_value = 0; + end + + always @(reset or clk) + begin + if (reset) + begin + count = 1; + tmp_cout = 0; + first_rising_edge = 1; + end + else begin + if (clk == 1 && clk_last_value !== clk && first_rising_edge) + begin + first_rising_edge = 0; + tmp_cout = clk; + end + else if (first_rising_edge == 0) + begin + if (count < modulus) + count = count + 1; + else + begin + count = 1; + tmp_cout = ~tmp_cout; + end + end + end + clk_last_value = clk; + + cout_tmp <= #(time_delay) tmp_cout; + end + + and (cout, cout_tmp, 1'b1); + +endmodule // stx_m_cntr + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : stx_n_cntr +// +// Description : Simulation model for the N counter. This is the +// input clock divide counter for the Stratix PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module stx_n_cntr (clk, + reset, + cout, + modulus, + time_delay); + + // INPUT PORTS + input clk; + input reset; + input [31:0] modulus; + input [31:0] time_delay; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + integer count; + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg clk_last_valid_value; + reg cout_tmp; + + initial + begin + count = 1; + first_rising_edge = 1; + clk_last_value = 0; + end + + always @(reset or clk) + begin + if (reset) + begin + count = 1; + tmp_cout = 0; + first_rising_edge = 1; + end + else begin + if (clk_last_value !== clk) + begin + if (clk === 1'bx) + begin + $display("Warning : Invalid transition to 'X' detected on Stratix PLL input clk. This edge will be ignored."); + $display("Time: %0t Instance: %m", $time); + end + else if ((clk === 1'b1) && first_rising_edge) + begin + first_rising_edge = 0; + tmp_cout = clk; + end + else if ((first_rising_edge == 0) && (clk_last_valid_value !== clk)) + begin + if (count < modulus) + count = count + 1; + else + begin + count = 1; + tmp_cout = ~tmp_cout; + end + end + end + end + clk_last_value = clk; + if (clk !== 1'bx) + clk_last_valid_value = clk; + + cout_tmp <= #(time_delay) tmp_cout; + end + + and (cout, cout_tmp, 1'b1); + +endmodule // stx_n_cntr + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : stx_scale_cntr +// +// Description : Simulation model for the output scale-down counters. +// This is a common model for the L0, L1, G0, G1, G2, G3, E0, +// E1, E2 and E3 output counters of the Stratix PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module stx_scale_cntr (clk, + reset, + cout, + high, + low, + initial_value, + mode, + time_delay, + ph_tap); + + // INPUT PORTS + input clk; + input reset; + input [31:0] high; + input [31:0] low; + input [31:0] initial_value; + input [8*6:1] mode; + input [31:0] time_delay; + input [31:0] ph_tap; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg init; + integer count; + integer output_shift_count; + reg cout_tmp; + reg [31:0] high_reg; + reg [31:0] low_reg; + reg high_cnt_xfer_done; + + initial + begin + count = 1; + first_rising_edge = 0; + tmp_cout = 0; + output_shift_count = 0; + high_cnt_xfer_done = 0; + end + + always @(clk or reset) + begin + if (init !== 1'b1) + begin + high_reg = high; + low_reg = low; + clk_last_value = 0; + init = 1'b1; + end + if (reset) + begin + count = 1; + output_shift_count = 0; + tmp_cout = 0; + first_rising_edge = 0; + end + else if (clk_last_value !== clk) + begin + if (mode == "off") + tmp_cout = 0; + else if (mode == "bypass") + tmp_cout = clk; + else if (first_rising_edge == 0) + begin + if (clk == 1) + begin + output_shift_count = output_shift_count + 1; + if (output_shift_count == initial_value) + begin + tmp_cout = clk; + first_rising_edge = 1; + end + end + end + else if (output_shift_count < initial_value) + begin + if (clk == 1) + output_shift_count = output_shift_count + 1; + end + else + begin + count = count + 1; + if (mode == "even" && (count == (high_reg*2) + 1)) + begin + tmp_cout = 0; + if (high_cnt_xfer_done === 1'b1) + begin + low_reg = low; + high_cnt_xfer_done = 0; + end + end + else if (mode == "odd" && (count == (high_reg*2))) + begin + tmp_cout = 0; + if (high_cnt_xfer_done === 1'b1) + begin + low_reg = low; + high_cnt_xfer_done = 0; + end + end + else if (count == (high_reg + low_reg)*2 + 1) + begin + tmp_cout = 1; + count = 1; // reset count + if (high_reg != high) + begin + high_reg = high; + high_cnt_xfer_done = 1; + end + end + end + end + clk_last_value = clk; + cout_tmp <= #(time_delay) tmp_cout; + end + + and (cout, cout_tmp, 1'b1); + +endmodule // stx_scale_cntr + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : MF_pll_reg +// +// Description : Simulation model for a simple DFF. +// This is required for the generation of the bit slip-signals. +// No timing, powers upto 0. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1ps / 1ps +module MF_pll_reg (q, + clk, + ena, + d, + clrn, + prn); + + // INPUT PORTS + input d; + input clk; + input clrn; + input prn; + input ena; + + // OUTPUT PORTS + output q; + + // INTERNAL VARIABLES + reg q; + + // DEFAULT VALUES THRO' PULLUPs + tri1 prn, clrn, ena; + + initial q = 0; + + always @ (posedge clk or negedge clrn or negedge prn ) + begin + if (prn == 1'b0) + q <= 1; + else if (clrn == 1'b0) + q <= 0; + else if ((clk == 1) & (ena == 1'b1)) + q <= d; + end + +endmodule // MF_pll_reg + +////////////////////////////////////////////////////////////////////////////// +// +// Module Name : MF_stratix_pll +// +// Description : The behavioral model for Stratix PLL. +// +// Limitations : Applies to the Stratix and Stratix GX device families +// No support for spread spectrum feature in the model +// +// Outputs : Up to 10 output clocks, each defined by its own set of +// parameters. Locked output (active high) indicates when the +// PLL locks. clkbad, clkloss and activeclock are used for +// clock switchover to indicate which input clock has gone +// bad, when the clock switchover initiates and which input +// clock is being used as the reference, respectively. +// scandataout is the data output of the serial scan chain. +// +////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps/1 ps +`define WORD_LENGTH 18 + +module MF_stratix_pll (inclk, + fbin, + ena, + clkswitch, + areset, + pfdena, + clkena, + extclkena, + scanclk, + scanaclr, + scandata, + clk, + extclk, + clkbad, + activeclock, + locked, + clkloss, + scandataout, + // lvds mode specific ports + comparator, + enable0, + enable1); + + parameter operation_mode = "normal"; + parameter qualify_conf_done = "off"; + parameter compensate_clock = "clk0"; + parameter pll_type = "auto"; + parameter scan_chain = "long"; + + parameter clk0_multiply_by = 1; + parameter clk0_divide_by = 1; + parameter clk0_phase_shift = 0; + parameter clk0_time_delay = 0; + parameter clk0_duty_cycle = 50; + + parameter clk1_multiply_by = 1; + parameter clk1_divide_by = 1; + parameter clk1_phase_shift = 0; + parameter clk1_time_delay = 0; + parameter clk1_duty_cycle = 50; + + parameter clk2_multiply_by = 1; + parameter clk2_divide_by = 1; + parameter clk2_phase_shift = 0; + parameter clk2_time_delay = 0; + parameter clk2_duty_cycle = 50; + + parameter clk3_multiply_by = 1; + parameter clk3_divide_by = 1; + parameter clk3_phase_shift = 0; + parameter clk3_time_delay = 0; + parameter clk3_duty_cycle = 50; + + parameter clk4_multiply_by = 1; + parameter clk4_divide_by = 1; + parameter clk4_phase_shift = 0; + parameter clk4_time_delay = 0; + parameter clk4_duty_cycle = 50; + + parameter clk5_multiply_by = 1; + parameter clk5_divide_by = 1; + parameter clk5_phase_shift = 0; + parameter clk5_time_delay = 0; + parameter clk5_duty_cycle = 50; + + parameter extclk0_multiply_by = 1; + parameter extclk0_divide_by = 1; + parameter extclk0_phase_shift = 0; + parameter extclk0_time_delay = 0; + parameter extclk0_duty_cycle = 50; + + parameter extclk1_multiply_by = 1; + parameter extclk1_divide_by = 1; + parameter extclk1_phase_shift = 0; + parameter extclk1_time_delay = 0; + parameter extclk1_duty_cycle = 50; + + parameter extclk2_multiply_by = 1; + parameter extclk2_divide_by = 1; + parameter extclk2_phase_shift = 0; + parameter extclk2_time_delay = 0; + parameter extclk2_duty_cycle = 50; + + parameter extclk3_multiply_by = 1; + parameter extclk3_divide_by = 1; + parameter extclk3_phase_shift = 0; + parameter extclk3_time_delay = 0; + parameter extclk3_duty_cycle = 50; + + parameter primary_clock = "inclk0"; + parameter inclk0_input_frequency = 10000; + parameter inclk1_input_frequency = 10000; + parameter gate_lock_signal = "no"; + parameter gate_lock_counter = 1; + parameter valid_lock_multiplier = 5; + parameter invalid_lock_multiplier = 5; + + parameter switch_over_on_lossclk = "off"; + parameter switch_over_on_gated_lock = "off"; + parameter switch_over_counter = 1; + parameter enable_switch_over_counter = "off"; + parameter feedback_source = "e0"; + parameter bandwidth = 0; + parameter bandwidth_type = "auto"; + parameter down_spread = "0.0"; + parameter spread_frequency = 0; + parameter common_rx_tx = "off"; + parameter rx_outclock_resource = "auto"; + parameter use_vco_bypass = "false"; + parameter use_dc_coupling = "false"; + + parameter pfd_min = 0; + parameter pfd_max = 0; + parameter vco_min = 0; + parameter vco_max = 0; + parameter vco_center = 0; + + // ADVANCED USE PARAMETERS + parameter m_initial = 1; + parameter m = 1; + parameter n = 1; + parameter m2 = 1; + parameter n2 = 1; + parameter ss = 0; + + parameter l0_high = 1; + parameter l0_low = 1; + parameter l0_initial = 1; + parameter l0_mode = "bypass"; + parameter l0_ph = 0; + parameter l0_time_delay = 0; + + parameter l1_high = 1; + parameter l1_low = 1; + parameter l1_initial = 1; + parameter l1_mode = "bypass"; + parameter l1_ph = 0; + parameter l1_time_delay = 0; + + parameter g0_high = 1; + parameter g0_low = 1; + parameter g0_initial = 1; + parameter g0_mode = "bypass"; + parameter g0_ph = 0; + parameter g0_time_delay = 0; + + parameter g1_high = 1; + parameter g1_low = 1; + parameter g1_initial = 1; + parameter g1_mode = "bypass"; + parameter g1_ph = 0; + parameter g1_time_delay = 0; + + parameter g2_high = 1; + parameter g2_low = 1; + parameter g2_initial = 1; + parameter g2_mode = "bypass"; + parameter g2_ph = 0; + parameter g2_time_delay = 0; + + parameter g3_high = 1; + parameter g3_low = 1; + parameter g3_initial = 1; + parameter g3_mode = "bypass"; + parameter g3_ph = 0; + parameter g3_time_delay = 0; + + parameter e0_high = 1; + parameter e0_low = 1; + parameter e0_initial = 1; + parameter e0_mode = "bypass"; + parameter e0_ph = 0; + parameter e0_time_delay = 0; + + parameter e1_high = 1; + parameter e1_low = 1; + parameter e1_initial = 1; + parameter e1_mode = "bypass"; + parameter e1_ph = 0; + parameter e1_time_delay = 0; + + parameter e2_high = 1; + parameter e2_low = 1; + parameter e2_initial = 1; + parameter e2_mode = "bypass"; + parameter e2_ph = 0; + parameter e2_time_delay = 0; + + parameter e3_high = 1; + parameter e3_low = 1; + parameter e3_initial = 1; + parameter e3_mode = "bypass"; + parameter e3_ph = 0; + parameter e3_time_delay = 0; + + parameter m_ph = 0; + parameter m_time_delay = 0; + parameter n_time_delay = 0; + + parameter extclk0_counter = "e0"; + parameter extclk1_counter = "e1"; + parameter extclk2_counter = "e2"; + parameter extclk3_counter = "e3"; + + parameter clk0_counter = "g0"; + parameter clk1_counter = "g1"; + parameter clk2_counter = "g2"; + parameter clk3_counter = "g3"; + parameter clk4_counter = "l0"; + parameter clk5_counter = "l1"; + + // LVDS mode parameters + parameter enable0_counter = "l0"; + parameter enable1_counter = "l0"; + + parameter charge_pump_current = 0; + parameter loop_filter_r = "1.0"; + parameter loop_filter_c = 1; + + parameter pll_compensation_delay = 0; + parameter simulation_type = "timing"; + + //parameter for stratix lvds + parameter clk0_phase_shift_num = 0; + parameter clk1_phase_shift_num = 0; + parameter clk2_phase_shift_num = 0; + + parameter skip_vco = "off"; + + parameter clk0_use_even_counter_mode = "off"; + parameter clk1_use_even_counter_mode = "off"; + parameter clk2_use_even_counter_mode = "off"; + parameter clk3_use_even_counter_mode = "off"; + parameter clk4_use_even_counter_mode = "off"; + parameter clk5_use_even_counter_mode = "off"; + parameter extclk0_use_even_counter_mode = "off"; + parameter extclk1_use_even_counter_mode = "off"; + parameter extclk2_use_even_counter_mode = "off"; + parameter extclk3_use_even_counter_mode = "off"; + + parameter clk0_use_even_counter_value = "off"; + parameter clk1_use_even_counter_value = "off"; + parameter clk2_use_even_counter_value = "off"; + parameter clk3_use_even_counter_value = "off"; + parameter clk4_use_even_counter_value = "off"; + parameter clk5_use_even_counter_value = "off"; + parameter extclk0_use_even_counter_value = "off"; + parameter extclk1_use_even_counter_value = "off"; + parameter extclk2_use_even_counter_value = "off"; + parameter extclk3_use_even_counter_value = "off"; + + // INPUT PORTS + input [1:0] inclk; + input fbin; + input ena; + input clkswitch; + input areset; + input pfdena; + input [5:0] clkena; + input [3:0] extclkena; + input scanclk; + input scanaclr; + input scandata; + // lvds specific input ports + input comparator; + + // OUTPUT PORTS + output [5:0] clk; + output [3:0] extclk; + output [1:0] clkbad; + output activeclock; + output locked; + output clkloss; + output scandataout; + // lvds specific output ports + output enable0; + output enable1; + + // BUFFER INPUTS + wire inclk0_ipd; + wire inclk1_ipd; + wire ena_ipd; + wire fbin_ipd; + wire areset_ipd; + wire pfdena_ipd; + wire clkena0_ipd; + wire clkena1_ipd; + wire clkena2_ipd; + wire clkena3_ipd; + wire clkena4_ipd; + wire clkena5_ipd; + wire extclkena0_ipd; + wire extclkena1_ipd; + wire extclkena2_ipd; + wire extclkena3_ipd; + wire scanclk_ipd; + wire scanaclr_ipd; + wire scandata_ipd; + wire comparator_ipd; + wire clkswitch_ipd; + + buf (inclk0_ipd, inclk[0]); + buf (inclk1_ipd, inclk[1]); + buf (ena_ipd, ena); + buf (fbin_ipd, fbin); + buf (areset_ipd, areset); + buf (pfdena_ipd, pfdena); + buf (clkena0_ipd, clkena[0]); + buf (clkena1_ipd, clkena[1]); + buf (clkena2_ipd, clkena[2]); + buf (clkena3_ipd, clkena[3]); + buf (clkena4_ipd, clkena[4]); + buf (clkena5_ipd, clkena[5]); + buf (extclkena0_ipd, extclkena[0]); + buf (extclkena1_ipd, extclkena[1]); + buf (extclkena2_ipd, extclkena[2]); + buf (extclkena3_ipd, extclkena[3]); + buf (scanclk_ipd, scanclk); + buf (scanaclr_ipd, scanaclr); + buf (scandata_ipd, scandata); + buf (comparator_ipd, comparator); + buf (clkswitch_ipd, clkswitch); + + // INTERNAL VARIABLES AND NETS + integer scan_chain_length; + integer i; + integer j; + integer k; + integer l_index; + integer gate_count; + integer egpp_offset; + integer sched_time; + integer delay_chain; + integer low; + integer high; + integer initial_delay; + integer fbk_phase; + integer fbk_delay; + integer phase_shift[0:7]; + integer last_phase_shift[0:7]; + + integer m_times_vco_period; + integer new_m_times_vco_period; + integer refclk_period; + integer fbclk_period; + integer primary_clock_frequency; + integer high_time; + integer low_time; + integer my_rem; + integer tmp_rem; + integer rem; + integer tmp_vco_per; + integer vco_per; + integer offset; + integer temp_offset; + integer cycles_to_lock; + integer cycles_to_unlock; + integer l0_count; + integer l1_count; + integer loop_xplier; + integer loop_initial; + integer loop_ph; + integer loop_time_delay; + integer cycle_to_adjust; + integer total_pull_back; + integer pull_back_M; + integer pull_back_ext_cntr; + + time fbclk_time; + time first_fbclk_time; + time refclk_time; + time scanaclr_rising_time; + time scanaclr_falling_time; + + reg got_first_refclk; + reg got_second_refclk; + reg got_first_fbclk; + reg refclk_last_value; + reg fbclk_last_value; + reg inclk_last_value; + reg pll_is_locked; + reg pll_about_to_lock; + reg locked_tmp; + reg l0_got_first_rising_edge; + reg l1_got_first_rising_edge; + reg vco_l0_last_value; + reg vco_l1_last_value; + reg areset_ipd_last_value; + reg ena_ipd_last_value; + reg pfdena_ipd_last_value; + reg inclk_out_of_range; + reg schedule_vco_last_value; + + reg gate_out; + reg vco_val; + + reg [31:0] m_initial_val; + reg [31:0] m_val; + reg [31:0] m_val_tmp; + reg [31:0] m2_val; + reg [31:0] n_val; + reg [31:0] n_val_tmp; + reg [31:0] n2_val; + reg [31:0] m_time_delay_val; + reg [31:0] n_time_delay_val; + reg [31:0] m_delay; + reg [8*6:1] m_mode_val; + reg [8*6:1] m2_mode_val; + reg [8*6:1] n_mode_val; + reg [8*6:1] n2_mode_val; + reg [31:0] l0_high_val; + reg [31:0] l0_low_val; + reg [31:0] l0_initial_val; + reg [31:0] l0_time_delay_val; + reg [8*6:1] l0_mode_val; + reg [31:0] l1_high_val; + reg [31:0] l1_low_val; + reg [31:0] l1_initial_val; + reg [31:0] l1_time_delay_val; + reg [8*6:1] l1_mode_val; + + reg [31:0] g0_high_val; + reg [31:0] g0_low_val; + reg [31:0] g0_initial_val; + reg [31:0] g0_time_delay_val; + reg [8*6:1] g0_mode_val; + + reg [31:0] g1_high_val; + reg [31:0] g1_low_val; + reg [31:0] g1_initial_val; + reg [31:0] g1_time_delay_val; + reg [8*6:1] g1_mode_val; + + reg [31:0] g2_high_val; + reg [31:0] g2_low_val; + reg [31:0] g2_initial_val; + reg [31:0] g2_time_delay_val; + reg [8*6:1] g2_mode_val; + + reg [31:0] g3_high_val; + reg [31:0] g3_low_val; + reg [31:0] g3_initial_val; + reg [31:0] g3_time_delay_val; + reg [8*6:1] g3_mode_val; + + reg [31:0] e0_high_val; + reg [31:0] e0_low_val; + reg [31:0] e0_initial_val; + reg [31:0] e0_time_delay_val; + reg [8*6:1] e0_mode_val; + + reg [31:0] e1_high_val; + reg [31:0] e1_low_val; + reg [31:0] e1_initial_val; + reg [31:0] e1_time_delay_val; + reg [8*6:1] e1_mode_val; + + reg [31:0] e2_high_val; + reg [31:0] e2_low_val; + reg [31:0] e2_initial_val; + reg [31:0] e2_time_delay_val; + reg [8*6:1] e2_mode_val; + + reg [31:0] e3_high_val; + reg [31:0] e3_low_val; + reg [31:0] e3_initial_val; + reg [31:0] e3_time_delay_val; + reg [8*6:1] e3_mode_val; + + reg scanclk_last_value; + reg scanaclr_last_value; + reg transfer; + reg transfer_enable; + reg [288:0] scan_data; + reg schedule_vco; + reg schedule_offset; + reg stop_vco; + reg inclk_n; + + reg [7:0] vco_out; + wire inclk_l0; + wire inclk_l1; + wire inclk_m; + wire clk0_tmp; + wire clk1_tmp; + wire clk2_tmp; + wire clk3_tmp; + wire clk4_tmp; + wire clk5_tmp; + wire extclk0_tmp; + wire extclk1_tmp; + wire extclk2_tmp; + wire extclk3_tmp; + wire nce_l0; + wire nce_l1; + wire nce_temp; + + reg vco_l0; + reg vco_l1; + + wire clk0; + wire clk1; + wire clk2; + wire clk3; + wire clk4; + wire clk5; + wire extclk0; + wire extclk1; + wire extclk2; + wire extclk3; + wire ena0; + wire ena1; + wire ena2; + wire ena3; + wire ena4; + wire ena5; + wire extena0; + wire extena1; + wire extena2; + wire extena3; + wire refclk; + wire fbclk; + wire l0_clk; + wire l1_clk; + wire g0_clk; + wire g1_clk; + wire g2_clk; + wire g3_clk; + wire e0_clk; + wire e1_clk; + wire e2_clk; + wire e3_clk; + wire dffa_out; + wire dffb_out; + wire dffc_out; + wire dffd_out; + wire lvds_dffb_clk; + wire lvds_dffc_clk; + wire lvds_dffd_clk; + + reg first_schedule; + + wire enable0_tmp; + wire enable1_tmp; + wire enable_0; + wire enable_1; + reg l0_tmp; + reg l1_tmp; + + reg vco_period_was_phase_adjusted; + reg phase_adjust_was_scheduled; + + // for external feedback mode + + reg [31:0] ext_fbk_cntr_high; + reg [31:0] ext_fbk_cntr_low; + reg [31:0] ext_fbk_cntr_modulus; + reg [31:0] ext_fbk_cntr_delay; + reg [8*2:1] ext_fbk_cntr; + reg [8*6:1] ext_fbk_cntr_mode; + integer ext_fbk_cntr_ph; + integer ext_fbk_cntr_initial; + + wire inclk_e0; + wire inclk_e1; + wire inclk_e2; + wire inclk_e3; + wire [31:0] cntr_e0_initial; + wire [31:0] cntr_e1_initial; + wire [31:0] cntr_e2_initial; + wire [31:0] cntr_e3_initial; + wire [31:0] cntr_e0_delay; + wire [31:0] cntr_e1_delay; + wire [31:0] cntr_e2_delay; + wire [31:0] cntr_e3_delay; + reg [31:0] ext_fbk_delay; + + // variables for clk_switch + reg clk0_is_bad; + reg clk1_is_bad; + reg inclk0_last_value; + reg inclk1_last_value; + reg other_clock_value; + reg other_clock_last_value; + reg primary_clk_is_bad; + reg current_clk_is_bad; + reg external_switch; + reg [8*6:1] current_clock; + reg active_clock; + reg clkloss_tmp; + reg got_curr_clk_falling_edge_after_clkswitch; + reg active_clk_was_switched; + + integer clk0_count; + integer clk1_count; + integer switch_over_count; + + reg scandataout_tmp; + integer quiet_time; + reg pll_in_quiet_period; + time start_quiet_time; + reg quiet_period_violation; + reg reconfig_err; + reg scanclr_violation; + reg scanclr_clk_violation; + reg got_first_scanclk_after_scanclr_inactive_edge; + reg error; + + reg no_warn; + + // internal parameters + parameter EGPP_SCAN_CHAIN = 289; + parameter GPP_SCAN_CHAIN = 193; + parameter TRST = 5000; + parameter TRSTCLK = 5000; + + // internal variables for scaling of multiply_by and divide_by values + integer i_clk0_mult_by; + integer i_clk0_div_by; + integer i_clk1_mult_by; + integer i_clk1_div_by; + integer i_clk2_mult_by; + integer i_clk2_div_by; + integer i_clk3_mult_by; + integer i_clk3_div_by; + integer i_clk4_mult_by; + integer i_clk4_div_by; + integer i_clk5_mult_by; + integer i_clk5_div_by; + integer i_extclk0_mult_by; + integer i_extclk0_div_by; + integer i_extclk1_mult_by; + integer i_extclk1_div_by; + integer i_extclk2_mult_by; + integer i_extclk2_div_by; + integer i_extclk3_mult_by; + integer i_extclk3_div_by; + integer max_d_value; + integer new_multiplier; + + // internal variables for storing the phase shift number.(used in lvds mode only) + integer i_clk0_phase_shift; + integer i_clk1_phase_shift; + integer i_clk2_phase_shift; + + // user to advanced internal signals + + integer i_m_initial; + integer i_m; + integer i_n; + integer i_m2; + integer i_n2; + integer i_ss; + integer i_l0_high; + integer i_l1_high; + integer i_g0_high; + integer i_g1_high; + integer i_g2_high; + integer i_g3_high; + integer i_e0_high; + integer i_e1_high; + integer i_e2_high; + integer i_e3_high; + integer i_l0_low; + integer i_l1_low; + integer i_g0_low; + integer i_g1_low; + integer i_g2_low; + integer i_g3_low; + integer i_e0_low; + integer i_e1_low; + integer i_e2_low; + integer i_e3_low; + integer i_l0_initial; + integer i_l1_initial; + integer i_g0_initial; + integer i_g1_initial; + integer i_g2_initial; + integer i_g3_initial; + integer i_e0_initial; + integer i_e1_initial; + integer i_e2_initial; + integer i_e3_initial; + reg [8*6:1] i_l0_mode; + reg [8*6:1] i_l1_mode; + reg [8*6:1] i_g0_mode; + reg [8*6:1] i_g1_mode; + reg [8*6:1] i_g2_mode; + reg [8*6:1] i_g3_mode; + reg [8*6:1] i_e0_mode; + reg [8*6:1] i_e1_mode; + reg [8*6:1] i_e2_mode; + reg [8*6:1] i_e3_mode; + integer i_vco_min; + integer i_vco_max; + integer i_vco_center; + integer i_pfd_min; + integer i_pfd_max; + integer i_l0_ph; + integer i_l1_ph; + integer i_g0_ph; + integer i_g1_ph; + integer i_g2_ph; + integer i_g3_ph; + integer i_e0_ph; + integer i_e1_ph; + integer i_e2_ph; + integer i_e3_ph; + integer i_m_ph; + integer m_ph_val; + integer i_l0_time_delay; + integer i_l1_time_delay; + integer i_g0_time_delay; + integer i_g1_time_delay; + integer i_g2_time_delay; + integer i_g3_time_delay; + integer i_e0_time_delay; + integer i_e1_time_delay; + integer i_e2_time_delay; + integer i_e3_time_delay; + integer i_m_time_delay; + integer i_n_time_delay; + integer i_extclk3_counter; + integer i_extclk2_counter; + integer i_extclk1_counter; + integer i_extclk0_counter; + integer i_clk5_counter; + integer i_clk4_counter; + integer i_clk3_counter; + integer i_clk2_counter; + integer i_clk1_counter; + integer i_clk0_counter; + integer i_charge_pump_current; + integer i_loop_filter_r; + integer max_neg_abs; + integer output_count; + integer new_divisor; + + // uppercase to lowercase parameter values + reg [8*`WORD_LENGTH:1] l_operation_mode; + reg [8*`WORD_LENGTH:1] l_pll_type; + reg [8*`WORD_LENGTH:1] l_qualify_conf_done; + reg [8*`WORD_LENGTH:1] l_compensate_clock; + reg [8*`WORD_LENGTH:1] l_scan_chain; + reg [8*`WORD_LENGTH:1] l_primary_clock; + reg [8*`WORD_LENGTH:1] l_gate_lock_signal; + reg [8*`WORD_LENGTH:1] l_switch_over_on_lossclk; + reg [8*`WORD_LENGTH:1] l_switch_over_on_gated_lock; + reg [8*`WORD_LENGTH:1] l_enable_switch_over_counter; + reg [8*`WORD_LENGTH:1] l_feedback_source; + reg [8*`WORD_LENGTH:1] l_bandwidth_type; + reg [8*`WORD_LENGTH:1] l_simulation_type; + reg [8*`WORD_LENGTH:1] l_enable0_counter; + reg [8*`WORD_LENGTH:1] l_enable1_counter; + + reg init; + + specify + endspecify + + // finds the closest integer fraction of a given pair of numerator and denominator. + task find_simple_integer_fraction; + input numerator; + input denominator; + input max_denom; + output fraction_num; + output fraction_div; + parameter max_iter = 20; + + integer numerator; + integer denominator; + integer max_denom; + integer fraction_num; + integer fraction_div; + + integer quotient_array[max_iter-1:0]; + integer int_loop_iter; + integer int_quot; + integer m_value; + integer d_value; + integer old_m_value; + integer swap; + + integer loop_iter; + integer num; + integer den; + integer i_max_iter; + + begin + loop_iter = 0; + num = numerator; + den = denominator; + i_max_iter = max_iter; + + while (loop_iter < i_max_iter) + begin + int_quot = num / den; + quotient_array[loop_iter] = int_quot; + num = num - (den*int_quot); + loop_iter=loop_iter+1; + + if ((num == 0) || (max_denom != -1) || (loop_iter == i_max_iter)) + begin + // calculate the numerator and denominator if there is a restriction on the + // max denom value or if the loop is ending + m_value = 0; + d_value = 1; + // get the rounded value at this stage for the remaining fraction + if (den != 0) + begin + m_value = (2*num/den); + end + // calculate the fraction numerator and denominator at this stage + for (int_loop_iter = loop_iter-1; int_loop_iter >= 0; int_loop_iter=int_loop_iter-1) + begin + if (m_value == 0) + begin + m_value = quotient_array[int_loop_iter]; + d_value = 1; + end + else + begin + old_m_value = m_value; + m_value = quotient_array[int_loop_iter]*m_value + d_value; + d_value = old_m_value; + end + end + // if the denominator is less than the maximum denom_value or if there is no restriction save it + if ((d_value <= max_denom) || (max_denom == -1)) + begin + fraction_num = m_value; + fraction_div = d_value; + end + // end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round) + if (((d_value > max_denom) && (max_denom != -1)) || (num == 0)) + begin + i_max_iter = loop_iter; + end + end + // swap the numerator and denominator for the next round + swap = den; + den = num; + num = swap; + end + end + endtask // find_simple_integer_fraction + +// get the absolute value + function integer abs; + input value; + integer value; + begin + if (value < 0) + abs = value * -1; + else abs = value; + end + endfunction + + // find twice the period of the slowest clock + function integer slowest_clk; + input L0, L1, G0, G1, G2, G3, E0, E1, E2, E3, scan_chain, refclk, m_mod; + integer L0, L1, G0, G1, G2, G3, E0, E1, E2, E3; + reg [8*5:1] scan_chain; + integer refclk; + reg [31:0] m_mod; + integer max_modulus; + begin + if (L0 > L1) + max_modulus = L0; + else + max_modulus = L1; + if (G0 > max_modulus) + max_modulus = G0; + if (G1 > max_modulus) + max_modulus = G1; + if (G2 > max_modulus) + max_modulus = G2; + if (G3 > max_modulus) + max_modulus = G3; + if (scan_chain == "long") + begin + if (E0 > max_modulus) + max_modulus = E0; + if (E1 > max_modulus) + max_modulus = E1; + if (E2 > max_modulus) + max_modulus = E2; + if (E3 > max_modulus) + max_modulus = E3; + end + + slowest_clk = ((refclk/m_mod) * max_modulus *2); + end + endfunction + + // count the number of digits in the given integer + function integer count_digit; + input X; + integer X; + integer count, result; + begin + count = 0; + result = X; + while (result != 0) + begin + result = (result / 10); + count = count + 1; + end + + count_digit = count; + end + endfunction + + // reduce the given huge number(X) to Y significant digits + function integer scale_num; + input X, Y; + integer X, Y; + integer count; + integer fac_ten, lc; + begin + fac_ten = 1; + count = count_digit(X); + + for (lc = 0; lc < (count-Y); lc = lc + 1) + fac_ten = fac_ten * 10; + + scale_num = (X / fac_ten); + end + endfunction + + // find the greatest common denominator of X and Y + function integer gcd; + input X,Y; + integer X,Y; + integer L, S, R, G; + begin + if (X < Y) // find which is smaller. + begin + S = X; + L = Y; + end + else + begin + S = Y; + L = X; + end + + R = S; + while ( R > 1) + begin + S = L; + L = R; + R = S % L; // divide bigger number by smaller. + // remainder becomes smaller number. + end + if (R == 0) // if evenly divisible then L is gcd else it is 1. + G = L; + else + G = R; + gcd = G; + end + endfunction + + // find the least common multiple of A1 to A10 + function integer lcm; + input A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, P; + integer A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, P; + integer M1, M2, M3, M4, M5 , M6, M7, M8, M9, R; + begin + M1 = (A1 * A2)/gcd(A1, A2); + M2 = (M1 * A3)/gcd(M1, A3); + M3 = (M2 * A4)/gcd(M2, A4); + M4 = (M3 * A5)/gcd(M3, A5); + M5 = (M4 * A6)/gcd(M4, A6); + M6 = (M5 * A7)/gcd(M5, A7); + M7 = (M6 * A8)/gcd(M6, A8); + M8 = (M7 * A9)/gcd(M7, A9); + M9 = (M8 * A10)/gcd(M8, A10); + if (M9 < 3) + R = 10; + else if ((M9 <= 10) && (M9 >= 3)) + R = 4 * M9; + else if (M9 > 1000) + R = scale_num(M9,3); + else + R = M9; + lcm = R; + end + endfunction + + // find the factor of division of the output clock frequency + // compared to the VCO + function integer output_counter_value; + input clk_divide, clk_mult, M, N; + integer clk_divide, clk_mult, M, N; + integer R; + begin + R = (clk_divide * M)/(clk_mult * N); + output_counter_value = R; + end + endfunction + + // find the mode of each of the PLL counters - bypass, even or odd + function [8*6:1] counter_mode; + input duty_cycle; + input output_counter_value; + integer duty_cycle; + integer output_counter_value; + integer half_cycle_high; + reg [8*6:1] R; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + if (output_counter_value == 1) + R = "bypass"; + else if ((half_cycle_high % 2) == 0) + R = "even"; + else + R = "odd"; + counter_mode = R; + end + endfunction + + // find the number of VCO clock cycles to hold the output clock high + function integer counter_high; + input output_counter_value, duty_cycle; + integer output_counter_value, duty_cycle; + integer half_cycle_high; + integer tmp_counter_high; + integer mode; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + mode = ((half_cycle_high % 2) == 0); + tmp_counter_high = half_cycle_high/2; + counter_high = tmp_counter_high + !mode; + end + endfunction + + // find the number of VCO clock cycles to hold the output clock low + function integer counter_low; + input output_counter_value, duty_cycle; + integer output_counter_value, duty_cycle, counter_h; + integer half_cycle_high; + integer mode; + integer tmp_counter_high; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + mode = ((half_cycle_high % 2) == 0); + tmp_counter_high = half_cycle_high/2; + counter_h = tmp_counter_high + !mode; + counter_low = output_counter_value - counter_h; + end + endfunction + + // find the smallest time delay amongst t1 to t10 + function integer mintimedelay; + input t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer m1,m2,m3,m4,m5,m6,m7,m8,m9; + begin + if (t1 < t2) + m1 = t1; + else + m1 = t2; + if (m1 < t3) + m2 = m1; + else + m2 = t3; + if (m2 < t4) + m3 = m2; + else + m3 = t4; + if (m3 < t5) + m4 = m3; + else + m4 = t5; + if (m4 < t6) + m5 = m4; + else + m5 = t6; + if (m5 < t7) + m6 = m5; + else + m6 = t7; + if (m6 < t8) + m7 = m6; + else + m7 = t8; + if (m7 < t9) + m8 = m7; + else + m8 = t9; + if (m8 < t10) + m9 = m8; + else + m9 = t10; + if (m9 > 0) + mintimedelay = m9; + else + mintimedelay = 0; + end + endfunction + + // find the numerically largest negative number, and return its absolute value + function integer maxnegabs; + input t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer m1,m2,m3,m4,m5,m6,m7,m8,m9; + begin + if (t1 < t2) m1 = t1; else m1 = t2; + if (m1 < t3) m2 = m1; else m2 = t3; + if (m2 < t4) m3 = m2; else m3 = t4; + if (m3 < t5) m4 = m3; else m4 = t5; + if (m4 < t6) m5 = m4; else m5 = t6; + if (m5 < t7) m6 = m5; else m6 = t7; + if (m6 < t8) m7 = m6; else m7 = t8; + if (m7 < t9) m8 = m7; else m8 = t9; + if (m8 < t10) m9 = m8; else m9 = t10; + maxnegabs = (m9 < 0) ? 0 - m9 : 0; + end + endfunction + + // adjust the given tap_phase by adding the largest negative number (ph_base) + function integer ph_adjust; + input tap_phase, ph_base; + integer tap_phase, ph_base; + begin + ph_adjust = tap_phase + ph_base; + end + endfunction + + // find the actual time delay for each PLL counter + function integer counter_time_delay; + input clk_time_delay, m_time_delay, n_time_delay; + integer clk_time_delay, m_time_delay, n_time_delay; + begin + counter_time_delay = clk_time_delay + m_time_delay - n_time_delay; + end + endfunction + + // find the number of VCO clock cycles to wait initially before the first + // rising edge of the output clock + function integer counter_initial; + input tap_phase, m, n; + integer tap_phase, m, n, phase; + begin + if (tap_phase < 0) tap_phase = 0 - tap_phase; + // adding 0.5 for rounding correction (required in order to round + // to the nearest integer instead of truncating) + phase = ((tap_phase * m) / (360 * n)) + 0.5; + counter_initial = phase; + end + endfunction + + // find which VCO phase tap to align the rising edge of the output clock to + function integer counter_ph; + input tap_phase; + input m,n; + integer m,n, phase; + integer tap_phase; + begin + // adding 0.5 for rounding correction + phase = (tap_phase * m / n) + 0.5; + counter_ph = (phase % 360)/45; + end + endfunction + + // convert the given string to length 6 by padding with spaces + function [8*6:1] translate_string; + input mode; + reg [8*6:1] new_mode; + begin + if (mode == "bypass") + new_mode = "bypass"; + else if (mode == "even") + new_mode = " even"; + else if (mode == "odd") + new_mode = " odd"; + + translate_string = new_mode; + end + endfunction + + // convert string to integer with sign + function integer str2int; + input [8*16:1] s; + + reg [8*16:1] reg_s; + reg [8:1] digit; + reg [8:1] tmp; + integer m, magnitude; + integer sign; + + begin + sign = 1; + magnitude = 0; + reg_s = s; + for (m=1; m<=16; m=m+1) + begin + tmp = reg_s[128:121]; + digit = tmp & 8'b00001111; + reg_s = reg_s << 8; + // Accumulate ascii digits 0-9 only. + if ((tmp>=48) && (tmp<=57)) + magnitude = (magnitude * 10) + digit; + if (tmp == 45) + sign = -1; // Found a '-' character, i.e. number is negative. + end + str2int = sign*magnitude; + end + endfunction + + // this is for stratix lvds only + // convert phase delay to integer + function integer get_int_phase_shift; + input [8*16:1] s; + input i_phase_shift; + integer i_phase_shift; + + begin + if (i_phase_shift != 0) + begin + get_int_phase_shift = i_phase_shift; + end + else + begin + get_int_phase_shift = str2int(s); + end + end + endfunction + + // calculate the given phase shift (in ps) in terms of degrees + function integer get_phase_degree; + input phase_shift; + integer phase_shift, result; + begin + result = (phase_shift * 360) / inclk0_input_frequency; + // this is to round up the calculation result + if ( result > 0 ) + result = result + 1; + else if ( result < 0 ) + result = result - 1; + else + result = 0; + + // assign the rounded up result + get_phase_degree = result; + end + endfunction + + // convert uppercase parameter values to lowercase + // assumes that the maximum character length of a parameter is 18 + function [8*`WORD_LENGTH:1] alpha_tolower; + input [8*`WORD_LENGTH:1] given_string; + + reg [8*`WORD_LENGTH:1] return_string; + reg [8*`WORD_LENGTH:1] reg_string; + reg [8:1] tmp; + reg [8:1] conv_char; + integer byte_count; + begin + return_string = " "; // initialise strings to spaces + conv_char = " "; + reg_string = given_string; + for (byte_count = `WORD_LENGTH; byte_count >= 1; byte_count = byte_count - 1) + begin + tmp = reg_string[8*`WORD_LENGTH:(8*(`WORD_LENGTH-1)+1)]; + reg_string = reg_string << 8; + if ((tmp >= 65) && (tmp <= 90)) // ASCII number of 'A' is 65, 'Z' is 90 + begin + conv_char = tmp + 32; // 32 is the difference in the position of 'A' and 'a' in the ASCII char set + return_string = {return_string, conv_char}; + end + else + return_string = {return_string, tmp}; + end + + alpha_tolower = return_string; + end + endfunction + + initial + begin + // convert string parameter values from uppercase to lowercase, + // as expected in this model + l_operation_mode = alpha_tolower(operation_mode); + l_pll_type = alpha_tolower(pll_type); + l_qualify_conf_done = alpha_tolower(qualify_conf_done); + l_compensate_clock = alpha_tolower(compensate_clock); + l_scan_chain = alpha_tolower(scan_chain); + l_primary_clock = alpha_tolower(primary_clock); + l_gate_lock_signal = alpha_tolower(gate_lock_signal); + l_switch_over_on_lossclk = alpha_tolower(switch_over_on_lossclk); + l_switch_over_on_gated_lock = alpha_tolower(switch_over_on_gated_lock); + l_enable_switch_over_counter = alpha_tolower(enable_switch_over_counter); + l_feedback_source = alpha_tolower(feedback_source); + l_bandwidth_type = alpha_tolower(bandwidth_type); + l_simulation_type = alpha_tolower(simulation_type); + l_enable0_counter = alpha_tolower(enable0_counter); + l_enable1_counter = alpha_tolower(enable1_counter); + + if (m == 0) + begin + // set the limit of the divide_by value that can be returned by + // the following function. + max_d_value = 500; + + // scale down the multiply_by and divide_by values provided by the design + // before attempting to use them in the calculations below + find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by, + max_d_value, i_clk0_mult_by, i_clk0_div_by); + find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by, + max_d_value, i_clk1_mult_by, i_clk1_div_by); + find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by, + max_d_value, i_clk2_mult_by, i_clk2_div_by); + find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by, + max_d_value, i_clk3_mult_by, i_clk3_div_by); + find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by, + max_d_value, i_clk4_mult_by, i_clk4_div_by); + find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by, + max_d_value, i_clk5_mult_by, i_clk5_div_by); + find_simple_integer_fraction(extclk0_multiply_by, extclk0_divide_by, + max_d_value, i_extclk0_mult_by, i_extclk0_div_by); + find_simple_integer_fraction(extclk1_multiply_by, extclk1_divide_by, + max_d_value, i_extclk1_mult_by, i_extclk1_div_by); + find_simple_integer_fraction(extclk2_multiply_by, extclk2_divide_by, + max_d_value, i_extclk2_mult_by, i_extclk2_div_by); + find_simple_integer_fraction(extclk3_multiply_by, extclk3_divide_by, + max_d_value, i_extclk3_mult_by, i_extclk3_div_by); + + // convert user parameters to advanced + i_n = 1; + if (l_pll_type == "lvds") + i_m = clk0_multiply_by; + else + i_m = lcm (i_clk0_mult_by, i_clk1_mult_by, + i_clk2_mult_by, i_clk3_mult_by, + i_clk4_mult_by, i_clk5_mult_by, + i_extclk0_mult_by, + i_extclk1_mult_by, i_extclk2_mult_by, + i_extclk3_mult_by, inclk0_input_frequency); + i_m_time_delay = maxnegabs (str2int(clk0_time_delay), + str2int(clk1_time_delay), + str2int(clk2_time_delay), + str2int(clk3_time_delay), + str2int(clk4_time_delay), + str2int(clk5_time_delay), + str2int(extclk0_time_delay), + str2int(extclk1_time_delay), + str2int(extclk2_time_delay), + str2int(extclk3_time_delay)); + i_n_time_delay = mintimedelay(str2int(clk0_time_delay), + str2int(clk1_time_delay), + str2int(clk2_time_delay), + str2int(clk3_time_delay), + str2int(clk4_time_delay), + str2int(clk5_time_delay), + str2int(extclk0_time_delay), + str2int(extclk1_time_delay), + str2int(extclk2_time_delay), + str2int(extclk3_time_delay)); + if (l_pll_type == "lvds") + i_g0_high = counter_high(output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + else + i_g0_high = counter_high(output_counter_value(i_clk0_div_by, + i_clk0_mult_by, i_m, i_n), clk0_duty_cycle); + + + i_g1_high = counter_high(output_counter_value(i_clk1_div_by, + i_clk1_mult_by, i_m, i_n), clk1_duty_cycle); + i_g2_high = counter_high(output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + i_g3_high = counter_high(output_counter_value(i_clk3_div_by, + i_clk3_mult_by, i_m, i_n), clk3_duty_cycle); + if (l_pll_type == "lvds") + begin + i_l0_high = i_g0_high; + i_l1_high = i_g0_high; + end + else + begin + i_l0_high = counter_high(output_counter_value(i_clk4_div_by, + i_clk4_mult_by, i_m, i_n), clk4_duty_cycle); + i_l1_high = counter_high(output_counter_value(i_clk5_div_by, + i_clk5_mult_by, i_m, i_n), clk5_duty_cycle); + end + i_e0_high = counter_high(output_counter_value(i_extclk0_div_by, + i_extclk0_mult_by, i_m, i_n), extclk0_duty_cycle); + i_e1_high = counter_high(output_counter_value(i_extclk1_div_by, + i_extclk1_mult_by, i_m, i_n), extclk1_duty_cycle); + i_e2_high = counter_high(output_counter_value(i_extclk2_div_by, + i_extclk2_mult_by, i_m, i_n), extclk2_duty_cycle); + i_e3_high = counter_high(output_counter_value(i_extclk3_div_by, + i_extclk3_mult_by, i_m, i_n), extclk3_duty_cycle); + if (l_pll_type == "lvds") + i_g0_low = counter_low(output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + else + i_g0_low = counter_low(output_counter_value(i_clk0_div_by, + i_clk0_mult_by, i_m, i_n), clk0_duty_cycle); + i_g1_low = counter_low(output_counter_value(i_clk1_div_by, + i_clk1_mult_by, i_m, i_n), clk1_duty_cycle); + i_g2_low = counter_low(output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + i_g3_low = counter_low(output_counter_value(i_clk3_div_by, + i_clk3_mult_by, i_m, i_n), clk3_duty_cycle); + if (l_pll_type == "lvds") + begin + i_l0_low = i_g0_low; + i_l1_low = i_g0_low; + end + else + begin + i_l0_low = counter_low(output_counter_value(i_clk4_div_by, + i_clk4_mult_by, i_m, i_n), clk4_duty_cycle); + i_l1_low = counter_low(output_counter_value(i_clk5_div_by, + i_clk5_mult_by, i_m, i_n), clk5_duty_cycle); + end + i_e0_low = counter_low(output_counter_value(i_extclk0_div_by, + i_extclk0_mult_by, i_m, i_n), extclk0_duty_cycle); + i_e1_low = counter_low(output_counter_value(i_extclk1_div_by, + i_extclk1_mult_by, i_m, i_n), extclk1_duty_cycle); + i_e2_low = counter_low(output_counter_value(i_extclk2_div_by, + i_extclk2_mult_by, i_m, i_n), extclk2_duty_cycle); + i_e3_low = counter_low(output_counter_value(i_extclk3_div_by, + i_extclk3_mult_by, i_m, i_n), extclk3_duty_cycle); + + if (l_pll_type == "flvds") + begin + // Need to readjust phase shift values when the clock multiply value has been readjusted. + new_multiplier = clk0_multiply_by / i_clk0_mult_by; + i_clk0_phase_shift = (clk0_phase_shift_num * new_multiplier); + i_clk1_phase_shift = (clk1_phase_shift_num * new_multiplier); + i_clk2_phase_shift = (clk2_phase_shift_num * new_multiplier); + end + else + begin + i_clk0_phase_shift = get_int_phase_shift(clk0_phase_shift, clk0_phase_shift_num); + i_clk1_phase_shift = get_int_phase_shift(clk1_phase_shift, clk1_phase_shift_num); + i_clk2_phase_shift = get_int_phase_shift(clk2_phase_shift, clk2_phase_shift_num); + end + + max_neg_abs = maxnegabs(i_clk0_phase_shift, + i_clk1_phase_shift, + i_clk2_phase_shift, + str2int(clk3_phase_shift), + str2int(clk4_phase_shift), + str2int(clk5_phase_shift), + str2int(extclk0_phase_shift), + str2int(extclk1_phase_shift), + str2int(extclk2_phase_shift), + str2int(extclk3_phase_shift)); + if (l_pll_type == "lvds") + i_g0_initial = counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + else + i_g0_initial = counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs)), i_m, i_n); + + i_g1_initial = counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs)), i_m, i_n); + i_g2_initial = counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + i_g3_initial = counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs)), i_m, i_n); + if (l_pll_type == "lvds") + begin + i_l0_initial = i_g0_initial; + i_l1_initial = i_g0_initial; + end + else + begin + i_l0_initial = counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs)), i_m, i_n); + i_l1_initial = counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs)), i_m, i_n); + end + i_e0_initial = counter_initial(get_phase_degree(ph_adjust(str2int(extclk0_phase_shift), max_neg_abs)), i_m, i_n); + i_e1_initial = counter_initial(get_phase_degree(ph_adjust(str2int(extclk1_phase_shift), max_neg_abs)), i_m, i_n); + i_e2_initial = counter_initial(get_phase_degree(ph_adjust(str2int(extclk2_phase_shift), max_neg_abs)), i_m, i_n); + i_e3_initial = counter_initial(get_phase_degree(ph_adjust(str2int(extclk3_phase_shift), max_neg_abs)), i_m, i_n); + if (l_pll_type == "lvds") + i_g0_mode = counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n)); + else + i_g0_mode = counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n)); + i_g1_mode = counter_mode(clk1_duty_cycle,output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n)); + i_g2_mode = counter_mode(clk2_duty_cycle,output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n)); + i_g3_mode = counter_mode(clk3_duty_cycle,output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n)); + if (l_pll_type == "lvds") + begin + i_l0_mode = "bypass"; + i_l1_mode = "bypass"; + end + else + begin + i_l0_mode = counter_mode(clk4_duty_cycle,output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n)); + i_l1_mode = counter_mode(clk5_duty_cycle,output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n)); + end + i_e0_mode = counter_mode(extclk0_duty_cycle,output_counter_value(i_extclk0_div_by, i_extclk0_mult_by, i_m, i_n)); + i_e1_mode = counter_mode(extclk1_duty_cycle,output_counter_value(i_extclk1_div_by, i_extclk1_mult_by, i_m, i_n)); + i_e2_mode = counter_mode(extclk2_duty_cycle,output_counter_value(i_extclk2_div_by, i_extclk2_mult_by, i_m, i_n)); + i_e3_mode = counter_mode(extclk3_duty_cycle,output_counter_value(i_extclk3_div_by, i_extclk3_mult_by, i_m, i_n)); + i_m_ph = counter_ph(get_phase_degree(max_neg_abs), i_m, i_n); + i_m_initial = counter_initial(get_phase_degree(max_neg_abs), i_m, i_n); + if (l_pll_type == "lvds") + i_g0_ph = counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + else + i_g0_ph = counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs)), i_m, i_n); + + i_g1_ph = counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs)), i_m, i_n); + i_g2_ph = counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + i_g3_ph = counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs)), i_m, i_n); + if (l_pll_type == "lvds") + begin + i_l0_ph = i_g0_ph; + i_l1_ph = i_g0_ph; + end + else + begin + i_l0_ph = counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs)), i_m, i_n); + i_l1_ph = counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs)), i_m, i_n); + end + i_e0_ph = counter_ph(get_phase_degree(ph_adjust(str2int(extclk0_phase_shift),max_neg_abs)), i_m, i_n); + i_e1_ph = counter_ph(get_phase_degree(ph_adjust(str2int(extclk1_phase_shift),max_neg_abs)), i_m, i_n); + i_e2_ph = counter_ph(get_phase_degree(ph_adjust(str2int(extclk2_phase_shift),max_neg_abs)), i_m, i_n); + i_e3_ph = counter_ph(get_phase_degree(ph_adjust(str2int(extclk3_phase_shift),max_neg_abs)), i_m, i_n); + + if (l_pll_type == "lvds") + i_g0_time_delay = counter_time_delay ( str2int(clk2_time_delay), + i_m_time_delay, + i_n_time_delay); + else + i_g0_time_delay = counter_time_delay ( str2int(clk0_time_delay), + i_m_time_delay, + i_n_time_delay); + i_g1_time_delay = counter_time_delay ( str2int(clk1_time_delay), + i_m_time_delay, + i_n_time_delay); + i_g2_time_delay = counter_time_delay ( str2int(clk2_time_delay), + i_m_time_delay, + i_n_time_delay); + i_g3_time_delay = counter_time_delay ( str2int(clk3_time_delay), + i_m_time_delay, + i_n_time_delay); + if (l_pll_type == "lvds") + begin + i_l0_time_delay = i_g0_time_delay; + i_l1_time_delay = i_g0_time_delay; + end + else + begin + i_l0_time_delay = counter_time_delay ( str2int(clk4_time_delay), + i_m_time_delay, + i_n_time_delay); + i_l1_time_delay = counter_time_delay ( str2int(clk5_time_delay), + i_m_time_delay, + i_n_time_delay); + end + i_e0_time_delay = counter_time_delay ( str2int( extclk0_time_delay), + i_m_time_delay, + i_n_time_delay); + i_e1_time_delay = counter_time_delay ( str2int( extclk1_time_delay), + i_m_time_delay, + i_n_time_delay); + i_e2_time_delay = counter_time_delay ( str2int( extclk2_time_delay), + i_m_time_delay, + i_n_time_delay); + i_e3_time_delay = counter_time_delay ( str2int( extclk3_time_delay), + i_m_time_delay, + i_n_time_delay); + i_extclk3_counter = "e3" ; + i_extclk2_counter = "e2" ; + i_extclk1_counter = "e1" ; + i_extclk0_counter = "e0" ; + i_clk5_counter = "l1" ; + i_clk4_counter = "l0" ; + i_clk3_counter = "g3" ; + i_clk2_counter = "g2" ; + i_clk1_counter = "g1" ; + + if (l_pll_type == "lvds") + begin + l_enable0_counter = "l0"; + l_enable1_counter = "l1"; + i_clk0_counter = "l0" ; + end + else + i_clk0_counter = "g0" ; + + // in external feedback mode, need to adjust M value to take + // into consideration the external feedback counter value + if (l_operation_mode == "external_feedback") + begin + // if there is a negative phase shift, m_initial can only be 1 + if (max_neg_abs > 0) + i_m_initial = 1; + + if (l_feedback_source == "extclk0") + begin + if (i_e0_mode == "bypass") + output_count = 1; + else + output_count = i_e0_high + i_e0_low; + end + else if (l_feedback_source == "extclk1") + begin + if (i_e1_mode == "bypass") + output_count = 1; + else + output_count = i_e1_high + i_e1_low; + end + else if (l_feedback_source == "extclk2") + begin + if (i_e2_mode == "bypass") + output_count = 1; + else + output_count = i_e2_high + i_e2_low; + end + else if (l_feedback_source == "extclk3") + begin + if (i_e3_mode == "bypass") + output_count = 1; + else + output_count = i_e3_high + i_e3_low; + end + else // default to e0 + begin + if (i_e0_mode == "bypass") + output_count = 1; + else + output_count = i_e0_high + i_e0_low; + end + + if (i_m > output_count) + i_m = i_m / output_count; + else + begin + new_divisor = gcd(i_m, output_count); + i_m = i_m / new_divisor; + i_n = output_count / new_divisor; + end + end + + end + else + begin // m != 0 + + i_n = n; + i_m = m; + i_l0_high = l0_high; + i_l1_high = l1_high; + i_g0_high = g0_high; + i_g1_high = g1_high; + i_g2_high = g2_high; + i_g3_high = g3_high; + i_e0_high = e0_high; + i_e1_high = e1_high; + i_e2_high = e2_high; + i_e3_high = e3_high; + i_l0_low = l0_low; + i_l1_low = l1_low; + i_g0_low = g0_low; + i_g1_low = g1_low; + i_g2_low = g2_low; + i_g3_low = g3_low; + i_e0_low = e0_low; + i_e1_low = e1_low; + i_e2_low = e2_low; + i_e3_low = e3_low; + i_l0_initial = l0_initial; + i_l1_initial = l1_initial; + i_g0_initial = g0_initial; + i_g1_initial = g1_initial; + i_g2_initial = g2_initial; + i_g3_initial = g3_initial; + i_e0_initial = e0_initial; + i_e1_initial = e1_initial; + i_e2_initial = e2_initial; + i_e3_initial = e3_initial; + i_l0_mode = alpha_tolower(l0_mode); + i_l1_mode = alpha_tolower(l1_mode); + i_g0_mode = alpha_tolower(g0_mode); + i_g1_mode = alpha_tolower(g1_mode); + i_g2_mode = alpha_tolower(g2_mode); + i_g3_mode = alpha_tolower(g3_mode); + i_e0_mode = alpha_tolower(e0_mode); + i_e1_mode = alpha_tolower(e1_mode); + i_e2_mode = alpha_tolower(e2_mode); + i_e3_mode = alpha_tolower(e3_mode); + i_l0_ph = l0_ph; + i_l1_ph = l1_ph; + i_g0_ph = g0_ph; + i_g1_ph = g1_ph; + i_g2_ph = g2_ph; + i_g3_ph = g3_ph; + i_e0_ph = e0_ph; + i_e1_ph = e1_ph; + i_e2_ph = e2_ph; + i_e3_ph = e3_ph; + i_m_ph = m_ph; // default + i_m_initial = m_initial; + i_l0_time_delay = l0_time_delay; + i_l1_time_delay = l1_time_delay; + i_g0_time_delay = g0_time_delay; + i_g1_time_delay = g1_time_delay; + i_g2_time_delay = g2_time_delay; + i_g3_time_delay = g3_time_delay; + i_e0_time_delay = e0_time_delay; + i_e1_time_delay = e1_time_delay; + i_e2_time_delay = e2_time_delay; + i_e3_time_delay = e3_time_delay; + i_m_time_delay = m_time_delay; + i_n_time_delay = n_time_delay; + i_extclk3_counter = alpha_tolower(extclk3_counter); + i_extclk2_counter = alpha_tolower(extclk2_counter); + i_extclk1_counter = alpha_tolower(extclk1_counter); + i_extclk0_counter = alpha_tolower(extclk0_counter); + i_clk5_counter = alpha_tolower(clk5_counter); + i_clk4_counter = alpha_tolower(clk4_counter); + i_clk3_counter = alpha_tolower(clk3_counter); + i_clk2_counter = alpha_tolower(clk2_counter); + i_clk1_counter = alpha_tolower(clk1_counter); + i_clk0_counter = alpha_tolower(clk0_counter); + + end // user to advanced conversion + + // set the scan_chain length + if (l_scan_chain == "long") + scan_chain_length = EGPP_SCAN_CHAIN; + else if (l_scan_chain == "short") + scan_chain_length = GPP_SCAN_CHAIN; + + if (l_primary_clock == "inclk0") + begin + refclk_period = inclk0_input_frequency * i_n; + primary_clock_frequency = inclk0_input_frequency; + end + else if (l_primary_clock == "inclk1") + begin + refclk_period = inclk1_input_frequency * i_n; + primary_clock_frequency = inclk1_input_frequency; + end + + m_times_vco_period = refclk_period; + new_m_times_vco_period = refclk_period; + + fbclk_period = 0; + high_time = 0; + low_time = 0; + schedule_vco = 0; + schedule_offset = 1; + vco_out[7:0] = 8'b0; + fbclk_last_value = 0; + offset = 0; + temp_offset = 0; + got_first_refclk = 0; + got_first_fbclk = 0; + fbclk_time = 0; + first_fbclk_time = 0; + refclk_time = 0; + first_schedule = 1; + sched_time = 0; + vco_val = 0; + l0_got_first_rising_edge = 0; + l1_got_first_rising_edge = 0; + vco_l0_last_value = 0; + l0_count = 1; + l1_count = 1; + l0_tmp = 0; + l1_tmp = 0; + gate_count = 0; + gate_out = 0; + initial_delay = 0; + fbk_phase = 0; + for (i = 0; i <= 7; i = i + 1) + begin + phase_shift[i] = 0; + last_phase_shift[i] = 0; + end + fbk_delay = 0; + inclk_n = 0; + cycle_to_adjust = 0; + m_delay = 0; + vco_l0 = 0; + vco_l1 = 0; + total_pull_back = 0; + pull_back_M = 0; + pull_back_ext_cntr = 0; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + ena_ipd_last_value = 0; + inclk_out_of_range = 0; + scandataout_tmp = 0; + schedule_vco_last_value = 0; + + // set initial values for counter parameters + m_initial_val = i_m_initial; + m_val = i_m; + m_time_delay_val = i_m_time_delay; + n_val = i_n; + n_time_delay_val = i_n_time_delay; + m_ph_val = i_m_ph; + + m2_val = m2; + n2_val = n2; + + if (m_val == 1) + m_mode_val = "bypass"; + if (m2_val == 1) + m2_mode_val = "bypass"; + if (n_val == 1) + n_mode_val = "bypass"; + if (n2_val == 1) + n2_mode_val = "bypass"; + + if (skip_vco == "on") + begin + m_val = 1; + m_initial_val = 1; + m_time_delay_val = 0; + m_ph_val = 0; + end + + l0_high_val = i_l0_high; + l0_low_val = i_l0_low; + l0_initial_val = i_l0_initial; + l0_mode_val = i_l0_mode; + l0_time_delay_val = i_l0_time_delay; + + l1_high_val = i_l1_high; + l1_low_val = i_l1_low; + l1_initial_val = i_l1_initial; + l1_mode_val = i_l1_mode; + l1_time_delay_val = i_l1_time_delay; + + g0_high_val = i_g0_high; + g0_low_val = i_g0_low; + g0_initial_val = i_g0_initial; + g0_mode_val = i_g0_mode; + g0_time_delay_val = i_g0_time_delay; + + g1_high_val = i_g1_high; + g1_low_val = i_g1_low; + g1_initial_val = i_g1_initial; + g1_mode_val = i_g1_mode; + g1_time_delay_val = i_g1_time_delay; + + g2_high_val = i_g2_high; + g2_low_val = i_g2_low; + g2_initial_val = i_g2_initial; + g2_mode_val = i_g2_mode; + g2_time_delay_val = i_g2_time_delay; + + g3_high_val = i_g3_high; + g3_low_val = i_g3_low; + g3_initial_val = i_g3_initial; + g3_mode_val = i_g3_mode; + g3_time_delay_val = i_g3_time_delay; + + e0_high_val = i_e0_high; + e0_low_val = i_e0_low; + e0_initial_val = i_e0_initial; + e0_mode_val = i_e0_mode; + e0_time_delay_val = i_e0_time_delay; + + e1_high_val = i_e1_high; + e1_low_val = i_e1_low; + e1_initial_val = i_e1_initial; + e1_mode_val = i_e1_mode; + e1_time_delay_val = i_e1_time_delay; + + e2_high_val = i_e2_high; + e2_low_val = i_e2_low; + e2_initial_val = i_e2_initial; + e2_mode_val = i_e2_mode; + e2_time_delay_val = i_e2_time_delay; + + e3_high_val = i_e3_high; + e3_low_val = i_e3_low; + e3_initial_val = i_e3_initial; + e3_mode_val = i_e3_mode; + e3_time_delay_val = i_e3_time_delay; + + i = 0; + j = 0; + inclk_last_value = 0; + + ext_fbk_cntr_ph = 0; + ext_fbk_cntr_initial = 1; + + // initialize clkswitch variables + + clk0_is_bad = 0; + clk1_is_bad = 0; + inclk0_last_value = 0; + inclk1_last_value = 0; + other_clock_value = 0; + other_clock_last_value = 0; + primary_clk_is_bad = 0; + current_clk_is_bad = 0; + external_switch = 0; + current_clock = l_primary_clock; + if (l_primary_clock == "inclk0") + active_clock = 0; + else + active_clock = 1; + clkloss_tmp = 0; + got_curr_clk_falling_edge_after_clkswitch = 0; + clk0_count = 0; + clk1_count = 0; + switch_over_count = 0; + active_clk_was_switched = 0; + + // initialize quiet_time + quiet_time = slowest_clk ( l0_high_val+l0_low_val, + l1_high_val+l1_low_val, + g0_high_val+g0_low_val, + g1_high_val+g1_low_val, + g2_high_val+g2_low_val, + g3_high_val+g3_low_val, + e0_high_val+e0_low_val, + e1_high_val+e1_low_val, + e2_high_val+e2_low_val, + e3_high_val+e3_low_val, + l_scan_chain, + refclk_period, m_val); + pll_in_quiet_period = 0; + start_quiet_time = 0; + quiet_period_violation = 0; + reconfig_err = 0; + scanclr_violation = 0; + scanclr_clk_violation = 0; + got_first_scanclk_after_scanclr_inactive_edge = 0; + error = 0; + scanaclr_rising_time = 0; + scanaclr_falling_time = 0; + + // VCO feedback loop settings for external feedback mode + // first find which ext counter is used for feedback + + if (l_operation_mode == "external_feedback") + begin + if (l_feedback_source == "extclk0") + begin + if (i_extclk0_counter == "e0") + ext_fbk_cntr = "e0"; + else if (i_extclk0_counter == "e1") + ext_fbk_cntr = "e1"; + else if (i_extclk0_counter == "e2") + ext_fbk_cntr = "e2"; + else if (i_extclk0_counter == "e3") + ext_fbk_cntr = "e3"; + else ext_fbk_cntr = "e0"; + end + else if (l_feedback_source == "extclk1") + begin + if (i_extclk1_counter == "e0") + ext_fbk_cntr = "e0"; + else if (i_extclk1_counter == "e1") + ext_fbk_cntr = "e1"; + else if (i_extclk1_counter == "e2") + ext_fbk_cntr = "e2"; + else if (i_extclk1_counter == "e3") + ext_fbk_cntr = "e3"; + else ext_fbk_cntr = "e0"; + end + else if (l_feedback_source == "extclk2") + begin + if (i_extclk2_counter == "e0") + ext_fbk_cntr = "e0"; + else if (i_extclk2_counter == "e1") + ext_fbk_cntr = "e1"; + else if (i_extclk2_counter == "e2") + ext_fbk_cntr = "e2"; + else if (i_extclk2_counter == "e3") + ext_fbk_cntr = "e3"; + else ext_fbk_cntr = "e0"; + end + else if (l_feedback_source == "extclk3") + begin + if (i_extclk3_counter == "e0") + ext_fbk_cntr = "e0"; + else if (i_extclk3_counter == "e1") + ext_fbk_cntr = "e1"; + else if (i_extclk3_counter == "e2") + ext_fbk_cntr = "e2"; + else if (i_extclk3_counter == "e3") + ext_fbk_cntr = "e3"; + else ext_fbk_cntr = "e0"; + end + + // now save this counter's parameters + if (ext_fbk_cntr == "e0") + begin + ext_fbk_cntr_high = e0_high_val; + ext_fbk_cntr_low = e0_low_val; + ext_fbk_cntr_ph = i_e0_ph; + ext_fbk_cntr_initial = i_e0_initial; + ext_fbk_cntr_delay = e0_time_delay_val; + ext_fbk_cntr_mode = e0_mode_val; + end + else if (ext_fbk_cntr == "e1") + begin + ext_fbk_cntr_high = e1_high_val; + ext_fbk_cntr_low = e1_low_val; + ext_fbk_cntr_ph = i_e1_ph; + ext_fbk_cntr_initial = i_e1_initial; + ext_fbk_cntr_delay = e1_time_delay_val; + ext_fbk_cntr_mode = e1_mode_val; + end + else if (ext_fbk_cntr == "e2") + begin + ext_fbk_cntr_high = e2_high_val; + ext_fbk_cntr_low = e2_low_val; + ext_fbk_cntr_ph = i_e2_ph; + ext_fbk_cntr_initial = i_e2_initial; + ext_fbk_cntr_delay = e2_time_delay_val; + ext_fbk_cntr_mode = e2_mode_val; + end + else if (ext_fbk_cntr == "e3") + begin + ext_fbk_cntr_high = e3_high_val; + ext_fbk_cntr_low = e3_low_val; + ext_fbk_cntr_ph = i_e3_ph; + ext_fbk_cntr_initial = i_e3_initial; + ext_fbk_cntr_delay = e3_time_delay_val; + ext_fbk_cntr_mode = e3_mode_val; + end + + if (ext_fbk_cntr_mode == "bypass") + ext_fbk_cntr_modulus = 1; + else + ext_fbk_cntr_modulus = ext_fbk_cntr_high + ext_fbk_cntr_low; + end + + l_index = 1; + stop_vco = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + else + locked_tmp = 0; + pll_is_locked = 0; + pll_about_to_lock = 0; + + no_warn = 0; + m_val_tmp = m_val; + n_val_tmp = n_val; + end + + assign inclk_m = l_operation_mode == "external_feedback" ? (l_feedback_source == "extclk0" ? extclk0_tmp : + l_feedback_source == "extclk1" ? extclk1_tmp : + l_feedback_source == "extclk2" ? extclk2_tmp : + l_feedback_source == "extclk3" ? extclk3_tmp : 'b0) : + vco_out[m_ph_val]; + + stx_m_cntr m1 (.clk(inclk_m), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(fbclk), + .initial_value(m_initial_val), + .modulus(m_val), + .time_delay(m_delay)); + + always @(clkswitch_ipd) + begin + if (clkswitch_ipd == 1'b1) + external_switch = 1; + clkloss_tmp <= clkswitch_ipd; + end + + always @(inclk0_ipd or inclk1_ipd) + begin + // save the inclk event value + if (inclk0_ipd !== inclk0_last_value) + begin + if (current_clock !== "inclk0") + other_clock_value = inclk0_ipd; + end + if (inclk1_ipd !== inclk1_last_value) + begin + if (current_clock !== "inclk1") + other_clock_value = inclk1_ipd; + end + + // check if either input clk is bad + if (inclk0_ipd === 1'b1 && inclk0_ipd !== inclk0_last_value) + begin + clk0_count = clk0_count + 1; + clk0_is_bad = 0; + if (current_clock == "inclk0") + current_clk_is_bad = 0; + clk1_count = 0; + if (clk0_count > 2) + begin + // no event on other clk for 2 cycles + clk1_is_bad = 1; + if (current_clock == "inclk1") + current_clk_is_bad = 1; + end + end + if (inclk1_ipd === 1'b1 && inclk1_ipd !== inclk1_last_value) + begin + clk1_count = clk1_count + 1; + clk1_is_bad = 0; + if (current_clock == "inclk1") + current_clk_is_bad = 0; + clk0_count = 0; + if (clk1_count > 2) + begin + // no event on other clk for 2 cycles + clk0_is_bad = 1; + if (current_clock == "inclk0") + current_clk_is_bad = 1; + end + end + + // check if the bad clk is the primary clock + if (((l_primary_clock == "inclk0") && (clk0_is_bad == 1'b1)) || ((l_primary_clock == "inclk1") && (clk1_is_bad == 1'b1))) + primary_clk_is_bad = 1; + else + primary_clk_is_bad = 0; + + // actual switching + if ((inclk0_ipd !== inclk0_last_value) && (current_clock == "inclk0")) + begin + if (external_switch == 1'b1) + begin + if (!got_curr_clk_falling_edge_after_clkswitch) + begin + if (inclk0_ipd === 1'b0) + got_curr_clk_falling_edge_after_clkswitch = 1; + inclk_n = inclk0_ipd; + end + end + else inclk_n = inclk0_ipd; + end + if ((inclk1_ipd !== inclk1_last_value) && (current_clock == "inclk1")) + begin + if (external_switch == 1'b1) + begin + if (!got_curr_clk_falling_edge_after_clkswitch) + begin + if (inclk1_ipd === 1'b0) + got_curr_clk_falling_edge_after_clkswitch = 1; + inclk_n = inclk1_ipd; + end + end + else inclk_n = inclk1_ipd; + end + if ((other_clock_value == 1'b1) && (other_clock_value != other_clock_last_value) && (l_switch_over_on_lossclk == "on") && (l_enable_switch_over_counter == "on") && primary_clk_is_bad) + switch_over_count = switch_over_count + 1; + if ((other_clock_value == 1'b0) && (other_clock_value != other_clock_last_value)) + begin + if ((external_switch && (got_curr_clk_falling_edge_after_clkswitch || current_clk_is_bad)) || (l_switch_over_on_lossclk == "on" && primary_clk_is_bad && ((l_enable_switch_over_counter == "off" || switch_over_count == switch_over_counter)))) + begin + got_curr_clk_falling_edge_after_clkswitch = 0; + if (current_clock == "inclk0") + begin + current_clock = "inclk1"; + end + else + begin + current_clock = "inclk0"; + end + active_clock = ~active_clock; + active_clk_was_switched = 1; + switch_over_count = 0; + external_switch = 0; + current_clk_is_bad = 0; + end + end + + if (l_switch_over_on_lossclk == "on" && (clkswitch_ipd != 1'b1)) + begin + if (primary_clk_is_bad) + clkloss_tmp = 1; + else + clkloss_tmp = 0; + end + + inclk0_last_value = inclk0_ipd; + inclk1_last_value = inclk1_ipd; + other_clock_last_value = other_clock_value; + + end + + and (clkbad[0], clk0_is_bad, 1'b1); + and (clkbad[1], clk1_is_bad, 1'b1); + and (activeclock, active_clock, 1'b1); + and (clkloss, clkloss_tmp, 1'b1); + + stx_n_cntr n1 ( .clk(inclk_n), + .reset(areset_ipd), + .cout(refclk), + .modulus(n_val), + .time_delay(n_time_delay_val)); + + stx_scale_cntr l0 ( .clk(vco_out[i_l0_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(l0_clk), + .high(l0_high_val), + .low(l0_low_val), + .initial_value(l0_initial_val), + .mode(l0_mode_val), + .time_delay(l0_time_delay_val), + .ph_tap(i_l0_ph)); + + stx_scale_cntr l1 ( .clk(vco_out[i_l1_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(l1_clk), + .high(l1_high_val), + .low(l1_low_val), + .initial_value(l1_initial_val), + .mode(l1_mode_val), + .time_delay(l1_time_delay_val), + .ph_tap(i_l1_ph)); + + stx_scale_cntr g0 ( .clk(vco_out[i_g0_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(g0_clk), + .high(g0_high_val), + .low(g0_low_val), + .initial_value(g0_initial_val), + .mode(g0_mode_val), + .time_delay(g0_time_delay_val), + .ph_tap(i_g0_ph)); + + MF_pll_reg lvds_dffa ( .d(comparator_ipd), + .clrn(1'b1), + .prn(1'b1), + .ena(1'b1), + .clk(g0_clk), + .q(dffa_out)); + + MF_pll_reg lvds_dffb ( .d(dffa_out), + .clrn(1'b1), + .prn(1'b1), + .ena(1'b1), + .clk(lvds_dffb_clk), + .q(dffb_out)); + + assign lvds_dffb_clk = (l_enable0_counter == "l0") ? l0_clk : (l_enable0_counter == "l1") ? l1_clk : 1'b0; + + MF_pll_reg lvds_dffc ( .d(dffb_out), + .clrn(1'b1), + .prn(1'b1), + .ena(1'b1), + .clk(lvds_dffc_clk), + .q(dffc_out)); + + assign lvds_dffc_clk = (l_enable0_counter == "l0") ? l0_clk : (l_enable0_counter == "l1") ? l1_clk : 1'b0; + + assign nce_temp = ~dffc_out && dffb_out; + + MF_pll_reg lvds_dffd ( .d(nce_temp), + .clrn(1'b1), + .prn(1'b1), + .ena(1'b1), + .clk(~lvds_dffd_clk), + .q(dffd_out)); + + assign lvds_dffd_clk = (l_enable0_counter == "l0") ? l0_clk : (l_enable0_counter == "l1") ? l1_clk : 1'b0; + + assign nce_l0 = (l_enable0_counter == "l0") ? dffd_out : 'b0; + assign nce_l1 = (l_enable0_counter == "l1") ? dffd_out : 'b0; + + stx_scale_cntr g1 ( .clk(vco_out[i_g1_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(g1_clk), + .high(g1_high_val), + .low(g1_low_val), + .initial_value(g1_initial_val), + .mode(g1_mode_val), + .time_delay(g1_time_delay_val), + .ph_tap(i_g1_ph)); + + stx_scale_cntr g2 ( .clk(vco_out[i_g2_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(g2_clk), + .high(g2_high_val), + .low(g2_low_val), + .initial_value(g2_initial_val), + .mode(g2_mode_val), + .time_delay(g2_time_delay_val), + .ph_tap(i_g2_ph)); + + stx_scale_cntr g3 ( .clk(vco_out[i_g3_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(g3_clk), + .high(g3_high_val), + .low(g3_low_val), + .initial_value(g3_initial_val), + .mode(g3_mode_val), + .time_delay(g3_time_delay_val), + .ph_tap(i_g3_ph)); + assign cntr_e0_initial = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e0") ? 1 : e0_initial_val; + assign cntr_e0_delay = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e0") ? ext_fbk_delay : e0_time_delay_val; + + stx_scale_cntr e0 ( .clk(vco_out[i_e0_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(e0_clk), + .high(e0_high_val), + .low(e0_low_val), + .initial_value(cntr_e0_initial), + .mode(e0_mode_val), + .time_delay(cntr_e0_delay), + .ph_tap(i_e0_ph)); + + assign cntr_e1_initial = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e1") ? 1 : e1_initial_val; + assign cntr_e1_delay = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e1") ? ext_fbk_delay : e1_time_delay_val; + stx_scale_cntr e1 ( .clk(vco_out[i_e1_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(e1_clk), + .high(e1_high_val), + .low(e1_low_val), + .initial_value(cntr_e1_initial), + .mode(e1_mode_val), + .time_delay(cntr_e1_delay), + .ph_tap(i_e1_ph)); + + assign cntr_e2_initial = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e2") ? 1 : e2_initial_val; + assign cntr_e2_delay = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e2") ? ext_fbk_delay : e2_time_delay_val; + stx_scale_cntr e2 ( .clk(vco_out[i_e2_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(e2_clk), + .high(e2_high_val), + .low(e2_low_val), + .initial_value(cntr_e2_initial), + .mode(e2_mode_val), + .time_delay(cntr_e2_delay), + .ph_tap(i_e2_ph)); + + assign cntr_e3_initial = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e3") ? 1 : e3_initial_val; + assign cntr_e3_delay = (l_operation_mode == "external_feedback" && ext_fbk_cntr == "e3") ? ext_fbk_delay : e3_time_delay_val; + stx_scale_cntr e3 ( .clk(vco_out[i_e3_ph]), + .reset(areset_ipd || (!ena_ipd) || stop_vco), + .cout(e3_clk), + .high(e3_high_val), + .low(e3_low_val), + .initial_value(cntr_e3_initial), + .mode(e3_mode_val), + .time_delay(cntr_e3_delay), + .ph_tap(i_e3_ph)); + + + always @(vco_out[i_l0_ph] or posedge areset_ipd or negedge ena_ipd or stop_vco) + begin + if ((areset_ipd == 1'b1) || (ena_ipd == 1'b0) || (stop_vco == 1'b1)) + begin + l0_count = 1; + l0_got_first_rising_edge = 0; + end + else begin + if (nce_l0 == 1'b0) + begin + if (l0_got_first_rising_edge == 1'b0) + begin + if (vco_out[i_l0_ph] == 1'b1 && vco_out[i_l0_ph] != vco_l0_last_value) + l0_got_first_rising_edge = 1; + end + else if (vco_out[i_l0_ph] != vco_l0_last_value) + begin + l0_count = l0_count + 1; + if (l0_count == (l0_high_val + l0_low_val) * 2) + l0_count = 1; + end + end + if (vco_out[i_l0_ph] == 1'b0 && vco_out[i_l0_ph] != vco_l0_last_value) + begin + if (l0_count == 1) + begin + l0_tmp = 1; + l0_got_first_rising_edge = 0; + end + else l0_tmp = 0; + end + end + vco_l0_last_value = vco_out[i_l0_ph]; + end + + always @(vco_out[i_l1_ph] or posedge areset_ipd or negedge ena_ipd or stop_vco) + begin + if (areset_ipd == 1'b1 || ena_ipd == 1'b0 || stop_vco == 1'b1) + begin + l1_count = 1; + l1_got_first_rising_edge = 0; + end + else begin + if (nce_l1 == 1'b0) + begin + if (l1_got_first_rising_edge == 1'b0) + begin + if (vco_out[i_l1_ph] == 1'b1 && vco_out[i_l1_ph] != vco_l1_last_value) + l1_got_first_rising_edge = 1; + end + else if (vco_out[i_l1_ph] != vco_l1_last_value) + begin + l1_count = l1_count + 1; + if (l1_count == (l1_high_val + l1_low_val) * 2) + l1_count = 1; + end + end + if (vco_out[i_l1_ph] == 1'b0 && vco_out[i_l1_ph] != vco_l1_last_value) + begin + if (l1_count == 1) + begin + l1_tmp = 1; + l1_got_first_rising_edge = 0; + end + else l1_tmp = 0; + end + end + vco_l1_last_value = vco_out[i_l1_ph]; + end + + assign enable0_tmp = (l_enable0_counter == "l0") ? l0_tmp : l1_tmp; + assign enable1_tmp = (l_enable1_counter == "l0") ? l0_tmp : l1_tmp; + + always @ (inclk_n or ena_ipd or areset_ipd) + begin + if (areset_ipd == 'b1) + begin + gate_count = 0; + gate_out = 0; + end + else if (inclk_n == 'b1 && inclk_last_value != inclk_n) + if (ena_ipd == 'b1) + begin + gate_count = gate_count + 1; + if (gate_count == gate_lock_counter) + gate_out = 1; + end + inclk_last_value = inclk_n; + end + + assign locked = (l_gate_lock_signal == "yes") ? gate_out && locked_tmp : locked_tmp; + + always @ (scanclk_ipd or scanaclr_ipd) + begin + if (scanaclr_ipd === 1'b1 && scanaclr_last_value === 1'b0) + scanaclr_rising_time = $time; + else if (scanaclr_ipd === 1'b0 && scanaclr_last_value === 1'b1) + begin + scanaclr_falling_time = $time; + // check for scanaclr active pulse width + if ($time - scanaclr_rising_time < TRST) + begin + scanclr_violation = 1; + $display ("Warning : Detected SCANACLR ACTIVE pulse width violation. Required is 5000 ps, actual is %0t. Reconfiguration may not work.", $time - scanaclr_rising_time); + $display ("Time: %0t Instance: %m", $time); + end + else begin + scanclr_violation = 0; + for (i = 0; i <= scan_chain_length; i = i + 1) + scan_data[i] = 0; + end + got_first_scanclk_after_scanclr_inactive_edge = 0; + end + else if ((scanclk_ipd === 'b1 && scanclk_last_value !== scanclk_ipd) && (got_first_scanclk_after_scanclr_inactive_edge === 1'b0) && ($time - scanaclr_falling_time < TRSTCLK)) + begin + scanclr_clk_violation = 1; + $display ("Warning : Detected SCANACLR INACTIVE time violation before rising edge of SCANCLK. Required is 5000 ps, actual is %0t. Reconfiguration may not work.", $time - scanaclr_falling_time); + $display ("Time: %0t Instance: %m", $time); + got_first_scanclk_after_scanclr_inactive_edge = 1; + end + else if (scanclk_ipd == 'b1 && scanclk_last_value != scanclk_ipd && scanaclr_ipd === 1'b0) + begin + if (pll_in_quiet_period && ($time - start_quiet_time < quiet_time)) + begin + $display("Time: %0t", $time, " Warning : Detected transition on SCANCLK during quiet time. PLL may not function correctly."); + quiet_period_violation = 1; + end + else begin + pll_in_quiet_period = 0; + for (j = scan_chain_length-1; j >= 1; j = j - 1) + begin + scan_data[j] = scan_data[j - 1]; + end + scan_data[0] = scandata_ipd; + end + if (got_first_scanclk_after_scanclr_inactive_edge === 1'b0) + begin + got_first_scanclk_after_scanclr_inactive_edge = 1; + scanclr_clk_violation = 0; + end + end + else if (scanclk_ipd === 1'b0 && scanclk_last_value !== scanclk_ipd && scanaclr_ipd === 1'b0) + begin + if (pll_in_quiet_period && ($time - start_quiet_time < quiet_time)) + begin + $display("Time: %0t", $time, " Warning : Detected transition on SCANCLK during quiet time. PLL may not function correctly."); + quiet_period_violation = 1; + end + else if (scan_data[scan_chain_length-1] == 1'b1) + begin + pll_in_quiet_period = 1; + quiet_period_violation = 0; + reconfig_err = 0; + start_quiet_time = $time; + // initiate transfer + scandataout_tmp <= 1'b1; + quiet_time = slowest_clk ( l0_high_val+l0_low_val, + l1_high_val+l1_low_val, + g0_high_val+g0_low_val, + g1_high_val+g1_low_val, + g2_high_val+g2_low_val, + g3_high_val+g3_low_val, + e0_high_val+e0_low_val, + e1_high_val+e1_low_val, + e2_high_val+e2_low_val, + e3_high_val+e3_low_val, + l_scan_chain, + refclk_period, m_val); + transfer <= 1; + end + end + scanclk_last_value = scanclk_ipd; + scanaclr_last_value = scanaclr_ipd; + end + + always @(scandataout_tmp) + begin + if (scandataout_tmp == 1'b1) + scandataout_tmp <= #(quiet_time) 1'b0; + end + + always @(posedge transfer) + begin + if (transfer == 1'b1) + begin + $display("NOTE : Reconfiguring PLL"); + $display ("Time: %0t Instance: %m", $time); + if (l_scan_chain == "long") + begin + // cntr e3 + error = 0; + if (scan_data[273] == 1'b1) + begin + e3_mode_val = "bypass"; + if (scan_data[283] == 1'b1) + begin + e3_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the E3 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[283] == 1'b1) + e3_mode_val = "odd"; + else + e3_mode_val = "even"; + // before reading delay bits, clear e3_time_delay_val + e3_time_delay_val = 32'b0; + e3_time_delay_val = scan_data[287:284]; + e3_time_delay_val = e3_time_delay_val * 250; + if (e3_time_delay_val > 3000) + e3_time_delay_val = 3000; + e3_high_val[8:0] <= scan_data[272:264]; + e3_low_val[8:0] <= scan_data[282:274]; + if (scan_data[272:264] == 9'b000000000) + e3_high_val[9:0] <= 10'b1000000000; + if (scan_data[282:274] == 9'b000000000) + e3_low_val[9:0] <= 10'b1000000000; + + if (ext_fbk_cntr == "e3") + begin + ext_fbk_cntr_high = e3_high_val; + ext_fbk_cntr_low = e3_low_val; + ext_fbk_cntr_delay = e3_time_delay_val; + ext_fbk_cntr_mode = e3_mode_val; + end + + // cntr e2 + if (scan_data[249] == 1'b1) + begin + e2_mode_val = "bypass"; + if (scan_data[259] == 1'b1) + begin + e2_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the E2 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[259] == 1'b1) + e2_mode_val = "odd"; + else + e2_mode_val = "even"; + e2_time_delay_val = 32'b0; + e2_time_delay_val = scan_data[263:260]; + e2_time_delay_val = e2_time_delay_val * 250; + if (e2_time_delay_val > 3000) + e2_time_delay_val = 3000; + e2_high_val[8:0] <= scan_data[248:240]; + e2_low_val[8:0] <= scan_data[258:250]; + if (scan_data[248:240] == 9'b000000000) + e2_high_val[9:0] <= 10'b1000000000; + if (scan_data[258:250] == 9'b000000000) + e2_low_val[9:0] <= 10'b1000000000; + + if (ext_fbk_cntr == "e2") + begin + ext_fbk_cntr_high = e2_high_val; + ext_fbk_cntr_low = e2_low_val; + ext_fbk_cntr_delay = e2_time_delay_val; + ext_fbk_cntr_mode = e2_mode_val; + end + + // cntr e1 + if (scan_data[225] == 1'b1) + begin + e1_mode_val = "bypass"; + if (scan_data[235] == 1'b1) + begin + e1_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the E1 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[235] == 1'b1) + e1_mode_val = "odd"; + else + e1_mode_val = "even"; + e1_time_delay_val = 32'b0; + e1_time_delay_val = scan_data[239:236]; + e1_time_delay_val = e1_time_delay_val * 250; + if (e1_time_delay_val > 3000) + e1_time_delay_val = 3000; + e1_high_val[8:0] <= scan_data[224:216]; + e1_low_val[8:0] <= scan_data[234:226]; + if (scan_data[224:216] == 9'b000000000) + e1_high_val[9:0] <= 10'b1000000000; + if (scan_data[234:226] == 9'b000000000) + e1_low_val[9:0] <= 10'b1000000000; + + if (ext_fbk_cntr == "e1") + begin + ext_fbk_cntr_high = e1_high_val; + ext_fbk_cntr_low = e1_low_val; + ext_fbk_cntr_delay = e1_time_delay_val; + ext_fbk_cntr_mode = e1_mode_val; + end + + // cntr e0 + if (scan_data[201] == 1'b1) + begin + e0_mode_val = "bypass"; + if (scan_data[211] == 1'b1) + begin + e0_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the E0 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[211] == 1'b1) + e0_mode_val = "odd"; + else + e0_mode_val = "even"; + e0_time_delay_val = 32'b0; + e0_time_delay_val = scan_data[215:212]; + e0_time_delay_val = e0_time_delay_val * 250; + if (e0_time_delay_val > 3000) + e0_time_delay_val = 3000; + e0_high_val[8:0] <= scan_data[200:192]; + e0_low_val[8:0] <= scan_data[210:202]; + if (scan_data[200:192] == 9'b000000000) + e0_high_val[9:0] <= 10'b1000000000; + if (scan_data[210:202] == 9'b000000000) + e0_low_val[9:0] <= 10'b1000000000; + + if (ext_fbk_cntr == "e0") + begin + ext_fbk_cntr_high = e0_high_val; + ext_fbk_cntr_low = e0_low_val; + ext_fbk_cntr_delay = e0_time_delay_val; + ext_fbk_cntr_mode = e0_mode_val; + end + end + + // cntr l1 + if (scan_data[177] == 1'b1) + begin + l1_mode_val = "bypass"; + if (scan_data[187] == 1'b1) + begin + l1_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the L1 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[187] == 1'b1) + l1_mode_val = "odd"; + else + l1_mode_val = "even"; + l1_time_delay_val = 32'b0; + l1_time_delay_val = scan_data[191:188]; + l1_time_delay_val = l1_time_delay_val * 250; + if (l1_time_delay_val > 3000) + l1_time_delay_val = 3000; + l1_high_val[8:0] <= scan_data[176:168]; + l1_low_val[8:0] <= scan_data[186:178]; + if (scan_data[176:168] == 9'b000000000) + l1_high_val[9:0] <= 10'b1000000000; + if (scan_data[186:178] == 9'b000000000) + l1_low_val[9:0] <= 10'b1000000000; + + // cntr l0 + if (scan_data[153] == 1'b1) + begin + l0_mode_val = "bypass"; + if (scan_data[163] == 1'b1) + begin + l0_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the L0 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[163] == 1'b1) + l0_mode_val = "odd"; + else + l0_mode_val = "even"; + l0_time_delay_val = 32'b0; + l0_time_delay_val = scan_data[167:164]; + l0_time_delay_val = l0_time_delay_val * 250; + if (l0_time_delay_val > 3000) + l0_time_delay_val = 3000; + l0_high_val[8:0] <= scan_data[152:144]; + l0_low_val[8:0] <= scan_data[162:154]; + if (scan_data[152:144] == 9'b000000000) + l0_high_val[9:0] <= 10'b1000000000; + if (scan_data[162:154] == 9'b000000000) + l0_low_val[9:0] <= 10'b1000000000; + + // cntr g3 + if (scan_data[129] == 1'b1) + begin + g3_mode_val = "bypass"; + if (scan_data[139] == 1'b1) + begin + g3_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the G3 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[139] == 1'b1) + g3_mode_val = "odd"; + else + g3_mode_val = "even"; + g3_time_delay_val = 32'b0; + g3_time_delay_val = scan_data[143:140]; + g3_time_delay_val = g3_time_delay_val * 250; + if (g3_time_delay_val > 3000) + g3_time_delay_val = 3000; + g3_high_val[8:0] <= scan_data[128:120]; + g3_low_val[8:0] <= scan_data[138:130]; + if (scan_data[128:120] == 9'b000000000) + g3_high_val[9:0] <= 10'b1000000000; + if (scan_data[138:130] == 9'b000000000) + g3_low_val[9:0] <= 10'b1000000000; + + // cntr g2 + if (scan_data[105] == 1'b1) + begin + g2_mode_val = "bypass"; + if (scan_data[115] == 1'b1) + begin + g2_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the G2 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[115] == 1'b1) + g2_mode_val = "odd"; + else + g2_mode_val = "even"; + g2_time_delay_val = 32'b0; + g2_time_delay_val = scan_data[119:116]; + g2_time_delay_val = g2_time_delay_val * 250; + if (g2_time_delay_val > 3000) + g2_time_delay_val = 3000; + g2_high_val[8:0] <= scan_data[104:96]; + g2_low_val[8:0] <= scan_data[114:106]; + if (scan_data[104:96] == 9'b000000000) + g2_high_val[9:0] <= 10'b1000000000; + if (scan_data[114:106] == 9'b000000000) + g2_low_val[9:0] <= 10'b1000000000; + + // cntr g1 + if (scan_data[81] == 1'b1) + begin + g1_mode_val = "bypass"; + if (scan_data[91] == 1'b1) + begin + g1_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the G1 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[91] == 1'b1) + g1_mode_val = "odd"; + else + g1_mode_val = "even"; + g1_time_delay_val = 32'b0; + g1_time_delay_val = scan_data[95:92]; + g1_time_delay_val = g1_time_delay_val * 250; + if (g1_time_delay_val > 3000) + g1_time_delay_val = 3000; + g1_high_val[8:0] <= scan_data[80:72]; + g1_low_val[8:0] <= scan_data[90:82]; + if (scan_data[80:72] == 9'b000000000) + g1_high_val[9:0] <= 10'b1000000000; + if (scan_data[90:82] == 9'b000000000) + g1_low_val[9:0] <= 10'b1000000000; + + // cntr g0 + if (scan_data[57] == 1'b1) + begin + g0_mode_val = "bypass"; + if (scan_data[67] == 1'b1) + begin + g0_mode_val = "off"; + $display("Warning : The specified bit settings will turn OFF the G0 counter. It cannot be turned on unless the part is re-initialized."); + end + end + else if (scan_data[67] == 1'b1) + g0_mode_val = "odd"; + else + g0_mode_val = "even"; + g0_time_delay_val = 32'b0; + g0_time_delay_val = scan_data[71:68]; + g0_time_delay_val = g0_time_delay_val * 250; + if (g0_time_delay_val > 3000) + g0_time_delay_val = 3000; + g0_high_val[8:0] <= scan_data[56:48]; + g0_low_val[8:0] <= scan_data[66:58]; + if (scan_data[56:48] == 9'b000000000) + g0_high_val[9:0] <= 10'b1000000000; + if (scan_data[66:58] == 9'b000000000) + g0_low_val[9:0] <= 10'b1000000000; + + // cntr M + error = 0; + m_val_tmp[8:0] = scan_data[32:24]; + if (scan_data[33] !== 1'b1) + begin + if (m_val_tmp[8:0] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal 1 value for M counter. Instead, the M counter should be BYPASSED. Reconfiguration may not work."); + end + else if (m_val_tmp[8:0] == 9'b000000000) + m_val_tmp[9:0] = 10'b1000000000; + if (error == 1'b0) + begin + if (m_mode_val === "bypass") + $display ("Warning : M counter switched from BYPASS mode to enabled (M modulus = %d). PLL may lose lock.", m_val_tmp[9:0]); + else + $display("PLL reconfigured with : M modulus = %d ", m_val_tmp[9:0]); + m_mode_val = ""; + end + end + else if (scan_data[33] == 1'b1) + begin + if (scan_data[24] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal value for counter M in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work."); + end + else begin + if (m_mode_val !== "bypass") + $display ("Warning : M counter switched from enabled to BYPASS mode. PLL may lose lock."); + m_val_tmp[9:0] = 10'b0000000001; + m_mode_val = "bypass"; + $display("PLL reconfigured with : M modulus = %d ", m_val_tmp[9:0]); + end + end + + if (skip_vco == "on") + m_val_tmp[9:0] = 10'b0000000001; + + // cntr M2 + if (ss > 0) + begin + error = 0; + m2_val[8:0] = scan_data[42:34]; + if (scan_data[43] !== 1'b1) + begin + if (m2_val[8:0] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal 1 value for M2 counter. Instead, the M2 counter should be BYPASSED. Reconfiguration may not work."); + end + else if (m2_val[8:0] == 9'b000000000) + m2_val[9:0] = 10'b1000000000; + if (error == 1'b0) + begin + if (m2_mode_val === "bypass") + $display ("Warning : M2 counter switched from BYPASS mode to enabled (M2 modulus = %d). Pll may lose lock.", m2_val[9:0]); + else + $display(" M2 modulus = %d ", m2_val[9:0]); + m2_mode_val = ""; + end + end + else if (scan_data[43] == 1'b1) + begin + if (scan_data[34] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal value for counter M2 in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work."); + end + else begin + if (m2_mode_val !== "bypass") + $display ("Warning : M2 counter switched from enabled to BYPASS mode. PLL may lose lock."); + m2_val[9:0] = 10'b0000000001; + m2_mode_val = "bypass"; + $display(" M2 modulus = %d ", m2_val[9:0]); + end + end + if (m_mode_val != m2_mode_val) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Incompatible modes for M1/M2 counters. Either both should be BYASSED or both NON-BYPASSED. Reconfiguration may not work."); + end + end + + m_time_delay_val = 32'b0; + m_time_delay_val = scan_data[47:44]; + m_time_delay_val = m_time_delay_val * 250; + if (m_time_delay_val > 3000) + m_time_delay_val = 3000; + if (skip_vco == "on") + m_time_delay_val = 32'b0; + $display(" M time delay = %0d", m_time_delay_val); + + // cntr N + error = 0; + n_val_tmp[8:0] = scan_data[8:0]; + if (scan_data[9] !== 1'b1) + begin + if (n_val_tmp[8:0] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal 1 value for N counter. Instead, the N counter should be BYPASSED. Reconfiguration may not work."); + end + else if (n_val_tmp[8:0] == 9'b000000000) + n_val_tmp[9:0] = 10'b1000000000; + if (error == 1'b0) + begin + if (n_mode_val === "bypass") + $display ("Warning : N counter switched from BYPASS mode to enabled (N modulus = %d). PLL may lose lock.", n_val_tmp[9:0]); + else + $display(" N modulus = %d ", n_val_tmp[9:0]); + n_mode_val = ""; + end + end + else if (scan_data[9] == 1'b1) // bypass + begin + if (scan_data[0] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal value for counter N in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work."); + end + else begin + if (n_mode_val !== "bypass") + $display ("Warning : N counter switched from enabled to BYPASS mode. PLL may lose lock."); + n_val_tmp[9:0] = 10'b0000000001; + n_mode_val = "bypass"; + $display(" N modulus = %d ", n_val_tmp[9:0]); + end + end + + // cntr N2 + if (ss > 0) + begin + error = 0; + n2_val[8:0] = scan_data[18:10]; + if (scan_data[19] !== 1'b1) + begin + if (n2_val[8:0] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal 1 value for N2 counter. Instead, the N2 counter should be BYPASSED. Reconfiguration may not work."); + end + else if (n2_val[8:0] == 9'b000000000) + n2_val = 10'b1000000000; + if (error == 1'b0) + begin + if (n2_mode_val === "bypass") + $display ("Warning : N2 counter switched from BYPASS mode to enabled (N2 modulus = %d). PLL may lose lock.", n2_val[9:0]); + else + $display(" N2 modulus = %d ", n2_val[9:0]); + n2_mode_val = ""; + end + end + else if (scan_data[19] == 1'b1) // bypass + begin + if (scan_data[10] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Illegal value for counter N2 in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work."); + end + else begin + if (n2_mode_val !== "bypass") + $display ("Warning : N2 counter switched from enabled to BYPASS mode. PLL may lose lock."); + n2_val[9:0] = 10'b0000000001; + n2_mode_val = "bypass"; + $display(" N2 modulus = %d ", n2_val[9:0]); + end + end + if (n_mode_val != n2_mode_val) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Incompatible modes for N1/N2 counters. Either both should be BYASSED or both NON-BYPASSED."); + end + end // ss > 0 + + n_time_delay_val = 32'b0; + n_time_delay_val = scan_data[23:20]; + n_time_delay_val = n_time_delay_val * 250; + if (n_time_delay_val > 3000) + n_time_delay_val = 3000; + $display(" N time delay = %0d", n_time_delay_val); + + transfer <= 0; + // clear the scan_chain + for (i = 0; i <= scan_chain_length; i = i + 1) + scan_data[i] = 0; + end + end + + always @(negedge transfer) + begin + if (l_scan_chain == "long") + begin + $display(" E3 high = %d, E3 low = %d, E3 mode = %s, E3 time delay = %0d", e3_high_val[9:0], e3_low_val[9:0], e3_mode_val, e3_time_delay_val); + $display(" E2 high = %d, E2 low = %d, E2 mode = %s, E2 time delay = %0d", e2_high_val[9:0], e2_low_val[9:0], e2_mode_val, e2_time_delay_val); + $display(" E1 high = %d, E1 low = %d, E1 mode = %s, E1 time delay = %0d", e1_high_val[9:0], e1_low_val[9:0], e1_mode_val, e1_time_delay_val); + $display(" E0 high = %d, E0 low = %d, E0 mode = %s, E0 time delay = %0d", e0_high_val[9:0], e0_low_val[9:0], e0_mode_val, e0_time_delay_val); + end + $display(" L1 high = %d, L1 low = %d, L1 mode = %s, L1 time delay = %0d", l1_high_val[9:0], l1_low_val[9:0], l1_mode_val, l1_time_delay_val); + $display(" L0 high = %d, L0 low = %d, L0 mode = %s, L0 time delay = %0d", l0_high_val[9:0], l0_low_val[9:0], l0_mode_val, l0_time_delay_val); + $display(" G3 high = %d, G3 low = %d, G3 mode = %s, G3 time delay = %0d", g3_high_val[9:0], g3_low_val[9:0], g3_mode_val, g3_time_delay_val); + $display(" G2 high = %d, G2 low = %d, G2 mode = %s, G2 time delay = %0d", g2_high_val[9:0], g2_low_val[9:0], g2_mode_val, g2_time_delay_val); + $display(" G1 high = %d, G1 low = %d, G1 mode = %s, G1 time delay = %0d", g1_high_val[9:0], g1_low_val[9:0], g1_mode_val, g1_time_delay_val); + $display(" G0 high = %d, G0 low = %d, G0 mode = %s, G0 time delay = %0d", g0_high_val[9:0], g0_low_val[9:0], g0_mode_val, g0_time_delay_val); + end + +always @(schedule_vco or areset_ipd or ena_ipd) +begin + sched_time = 0; + + for (i = 0; i <= 7; i=i+1) + last_phase_shift[i] = phase_shift[i]; + + cycle_to_adjust = 0; + l_index = 1; + m_times_vco_period = new_m_times_vco_period; + + // give appropriate messages + // if areset was asserted + if (areset_ipd == 1'b1 && areset_ipd_last_value !== areset_ipd) + begin + $display (" Note : Stratix PLL was reset"); + $display ("Time: %0t Instance: %m", $time); + end + + // if ena was deasserted + if (ena_ipd == 1'b0 && ena_ipd_last_value !== ena_ipd) + begin + $display (" Note : Stratix PLL was disabled"); + $display ("Time: %0t Instance: %m", $time); + end + + // illegal value on areset_ipd + if (areset_ipd === 1'bx && (areset_ipd_last_value === 1'b0 || areset_ipd_last_value === 1'b1)) + begin + $display("Warning : Illegal value 'X' detected on ARESET input"); + $display ("Time: %0t Instance: %m", $time); + end + + if ((schedule_vco !== schedule_vco_last_value) && (areset_ipd == 1'b1 || ena_ipd == 1'b0 || stop_vco == 1'b1)) + begin + + // drop VCO taps to 0 + for (i = 0; i <= 7; i=i+1) + begin + for (j = 0; j <= last_phase_shift[i] + 1; j=j+1) + vco_out[i] <= #(j) 1'b0; + phase_shift[i] = 0; + last_phase_shift[i] = 0; + end + + // reset lock parameters + locked_tmp = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + pll_is_locked = 0; + pll_about_to_lock = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + + got_first_refclk = 0; + got_second_refclk = 0; + refclk_time = 0; + got_first_fbclk = 0; + fbclk_time = 0; + first_fbclk_time = 0; + fbclk_period = 0; + + first_schedule = 1; + schedule_offset = 1; + vco_val = 0; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + + // reset enable0 and enable1 counter parameters +// l0_count = 1; +// l1_count = 1; +// l0_got_first_rising_edge = 0; +// l1_got_first_rising_edge = 0; + + end else if (ena_ipd === 1'b1 && areset_ipd === 1'b0 && stop_vco === 1'b0) + begin + + // else note areset deassert time + // note it as refclk_time to prevent false triggering + // of stop_vco after areset + if (areset_ipd === 1'b0 && areset_ipd_last_value === 1'b1) + begin + refclk_time = $time; + end + + // calculate loop_xplier : this will be different from m_val in ext. fbk mode + loop_xplier = m_val; + loop_initial = i_m_initial - 1; + loop_ph = i_m_ph; + loop_time_delay = m_time_delay_val; + + if (l_operation_mode == "external_feedback") + begin + if (ext_fbk_cntr_mode == "bypass") + ext_fbk_cntr_modulus = 1; + else + ext_fbk_cntr_modulus = ext_fbk_cntr_high + ext_fbk_cntr_low; + + loop_xplier = m_val * (ext_fbk_cntr_modulus); + loop_ph = ext_fbk_cntr_ph; + loop_initial = ext_fbk_cntr_initial - 1 + ((i_m_initial - 1) * (ext_fbk_cntr_modulus)); + loop_time_delay = m_time_delay_val + ext_fbk_cntr_delay; + end + + // convert initial value to delay + initial_delay = (loop_initial * m_times_vco_period)/loop_xplier; + + // convert loop ph_tap to delay + rem = m_times_vco_period % loop_xplier; + vco_per = m_times_vco_period/loop_xplier; + if (rem != 0) + vco_per = vco_per + 1; + fbk_phase = (loop_ph * vco_per)/8; + + if (l_operation_mode == "external_feedback") + begin + pull_back_ext_cntr = ext_fbk_cntr_delay + (ext_fbk_cntr_initial - 1) * (m_times_vco_period/loop_xplier) + fbk_phase; + + while (pull_back_ext_cntr > refclk_period) + pull_back_ext_cntr = pull_back_ext_cntr - refclk_period; + + pull_back_M = m_time_delay_val + (i_m_initial - 1) * (ext_fbk_cntr_modulus) * (m_times_vco_period/loop_xplier); + + while (pull_back_M > refclk_period) + pull_back_M = pull_back_M - refclk_period; + end + else begin + pull_back_ext_cntr = 0; + pull_back_M = initial_delay + m_time_delay_val + fbk_phase; + end + + total_pull_back = pull_back_M + pull_back_ext_cntr; + if (l_simulation_type == "timing") + total_pull_back = total_pull_back + pll_compensation_delay; + + while (total_pull_back > refclk_period) + total_pull_back = total_pull_back - refclk_period; + + if (total_pull_back > 0) + offset = refclk_period - total_pull_back; + + if (l_operation_mode == "external_feedback") + begin + fbk_delay = pull_back_M; + if (l_simulation_type == "timing") + fbk_delay = fbk_delay + pll_compensation_delay; + + ext_fbk_delay = pull_back_ext_cntr - fbk_phase; + end + else begin + fbk_delay = total_pull_back - fbk_phase; + if (fbk_delay < 0) + begin + offset = offset - fbk_phase; + fbk_delay = total_pull_back; + end + end + + // assign m_delay + m_delay = fbk_delay; + + for (i = 1; i <= loop_xplier; i=i+1) + begin + // adjust cycles + tmp_vco_per = m_times_vco_period/loop_xplier; + if (rem != 0 && l_index <= rem) + begin + tmp_rem = (loop_xplier * l_index) % rem; + cycle_to_adjust = (loop_xplier * l_index) / rem; + if (tmp_rem != 0) + cycle_to_adjust = cycle_to_adjust + 1; + end + if (cycle_to_adjust == i) + begin + tmp_vco_per = tmp_vco_per + 1; + l_index = l_index + 1; + end + + // calculate high and low periods + high_time = tmp_vco_per/2; + if (tmp_vco_per % 2 != 0) + high_time = high_time + 1; + low_time = tmp_vco_per - high_time; + + // schedule the rising and falling egdes + for (j=0; j<=1; j=j+1) + begin + vco_val = ~vco_val; + if (vco_val == 1'b0) + sched_time = sched_time + high_time; + else + sched_time = sched_time + low_time; + + // add offset + if (schedule_offset == 1'b1) + begin + sched_time = sched_time + offset; + schedule_offset = 0; + end + + // schedule taps with appropriate phase shifts + for (k = 0; k <= 7; k=k+1) + begin + phase_shift[k] = (k*tmp_vco_per)/8; + if (first_schedule) + vco_out[k] <= #(sched_time + phase_shift[k]) vco_val; + else + vco_out[k] <= #(sched_time + last_phase_shift[k]) vco_val; + end + end + end + if (first_schedule) + begin + vco_val = ~vco_val; + if (vco_val == 1'b0) + sched_time = sched_time + high_time; + else + sched_time = sched_time + low_time; + for (k = 0; k <= 7; k=k+1) + begin + phase_shift[k] = (k*tmp_vco_per)/8; + vco_out[k] <= #(sched_time+phase_shift[k]) vco_val; + end + first_schedule = 0; + end + + // this may no longer be required + + schedule_vco <= #(sched_time) ~schedule_vco; + if (vco_period_was_phase_adjusted) + begin + m_times_vco_period = refclk_period; + new_m_times_vco_period = refclk_period; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 1; + + tmp_vco_per = m_times_vco_period/loop_xplier; + for (k = 0; k <= 7; k=k+1) + phase_shift[k] = (k*tmp_vco_per)/8; + end + end + + areset_ipd_last_value = areset_ipd; + ena_ipd_last_value = ena_ipd; + schedule_vco_last_value = schedule_vco; + +end + +always @(pfdena_ipd) +begin + if (pfdena_ipd === 1'b0) + begin + locked_tmp = 1'bx; + pll_is_locked = 0; + cycles_to_lock = 0; + $display (" Note : PFDENA was deasserted"); + $display ("Time: %0t Instance: %m", $time); + end + else if (pfdena_ipd === 1'b1 && pfdena_ipd_last_value === 1'b0) + begin + // PFD was disabled, now enabled again + got_first_refclk = 0; + got_second_refclk = 0; + refclk_time = $time; + end + pfdena_ipd_last_value = pfdena_ipd; +end + +always @(negedge refclk) +begin + refclk_last_value = refclk; +end + +always @(negedge fbclk) +begin + fbclk_last_value = fbclk; +end + +always @(posedge refclk or posedge fbclk) +begin + if (refclk == 1'b1 && refclk_last_value !== refclk && areset_ipd === 1'b0) + begin + n_val <= n_val_tmp; + if (! got_first_refclk) + begin + got_first_refclk = 1; + end else + begin + got_second_refclk = 1; + refclk_period = $time - refclk_time; + + // check if incoming freq. will cause VCO range to be + // exceeded + if ( (vco_max != 0 && vco_min != 0) && (skip_vco == "off") && (pfdena_ipd === 1'b1) && + ((refclk_period/loop_xplier > vco_max) || + (refclk_period/loop_xplier < vco_min)) ) + begin + if (pll_is_locked == 1'b1) + begin + $display ("Warning : Input clock freq. is not within VCO range. PLL may lose lock"); + $display ("Time: %0t Instance: %m", $time); + if (inclk_out_of_range === 1'b1) + begin + // unlock + pll_is_locked = 0; + locked_tmp = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + pll_about_to_lock = 0; + cycles_to_lock = 0; + $display ("Note : Stratix PLL lost lock"); + $display ("Time: %0t Instance: %m", $time); + first_schedule = 1; + schedule_offset = 1; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + end + end + else begin + if (no_warn == 0) + begin + $display ("Warning : Input clock freq. is not within VCO range. PLL may not lock"); + $display ("Time: %0t Instance: %m", $time); + no_warn = 1; + end + end + inclk_out_of_range = 1; + end + else if ( vco_min == 0 && vco_max == 0 && pll_type == "cdr") + begin + if (refclk_period != primary_clock_frequency) + begin + if (no_warn == 0) + begin + $display("Warning : Incoming clock period %d for Stratix PLL does not match the specified inclock period %d. ALTGXB simulation may not function correctly.", refclk_period, primary_clock_frequency); + $display ("Time: %0t Instance: %m", $time); + no_warn = 1; + end + end + end + else begin + inclk_out_of_range = 0; + end + + end + if (stop_vco == 1'b1) + begin + stop_vco = 0; + schedule_vco = ~schedule_vco; + end + refclk_time = $time; + end + + if (fbclk == 1'b1 && fbclk_last_value !== fbclk) + begin + m_val <= m_val_tmp; + if (!got_first_fbclk) + begin + got_first_fbclk = 1; + first_fbclk_time = $time; + end + else + fbclk_period = $time - fbclk_time; + + // need refclk_period here, so initialized to proper value above + if ( ( ($time - refclk_time > 1.5 * refclk_period) && pfdena_ipd === 1'b1 && pll_is_locked == 1'b1) || ( ($time - refclk_time > 5 * refclk_period) && pfdena_ipd === 1'b1) ) + begin + stop_vco = 1; + // reset + got_first_refclk = 0; + got_first_fbclk = 0; + got_second_refclk = 0; + if (pll_is_locked == 1'b1) + begin + pll_is_locked = 0; + locked_tmp = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + $display ("Note : Stratix PLL lost lock due to loss of input clock"); + $display ("Time: %0t Instance: %m", $time); + end + pll_about_to_lock = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + first_schedule = 1; + end + fbclk_time = $time; + end + + if (got_second_refclk && pfdena_ipd === 1'b1 && (!inclk_out_of_range)) + begin + // now we know actual incoming period +// if (abs(refclk_period - fbclk_period) > 2) +// begin +// new_m_times_vco_period = refclk_period; +// end +// else if (abs(fbclk_time - refclk_time) <= 2 || (refclk_period - abs(fbclk_time - refclk_time) <= 2)) + if (abs(fbclk_time - refclk_time) <= 5 || (got_first_fbclk && abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5)) + begin + // considered in phase + if (cycles_to_lock == valid_lock_multiplier - 1) + pll_about_to_lock <= 1; + if (cycles_to_lock == valid_lock_multiplier) + begin + if (pll_is_locked === 1'b0) + begin + $display (" Note : Stratix PLL locked to incoming clock"); + $display ("Time: %0t Instance: %m", $time); + end + pll_is_locked = 1; + locked_tmp = 1; + if (l_pll_type == "fast") + locked_tmp = 0; + end + // increment lock counter only if the second part of the above + // time check is NOT true + if (!(abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5)) + begin + cycles_to_lock = cycles_to_lock + 1; + end + + // adjust m_times_vco_period + new_m_times_vco_period = refclk_period; + + end else + begin + // if locked, begin unlock + if (pll_is_locked) + begin + cycles_to_unlock = cycles_to_unlock + 1; + if (cycles_to_unlock == invalid_lock_multiplier) + begin + pll_is_locked = 0; + locked_tmp = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + pll_about_to_lock = 0; + cycles_to_lock = 0; + $display ("Note : Stratix PLL lost lock"); + $display ("Time: %0t Instance: %m", $time); + first_schedule = 1; + schedule_offset = 1; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + end + end + if (abs(refclk_period - fbclk_period) <= 2) + begin + // frequency is still good + if ($time == fbclk_time && (!phase_adjust_was_scheduled)) + begin + if (abs(fbclk_time - refclk_time) > refclk_period/2) + begin + if (abs(fbclk_time - refclk_time) > 1.5 * refclk_period) + begin + // input clock may have stopped : do nothing + end + else begin + new_m_times_vco_period = m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time)); + vco_period_was_phase_adjusted = 1; + end + end else + begin + new_m_times_vco_period = m_times_vco_period - abs(fbclk_time - refclk_time); + vco_period_was_phase_adjusted = 1; + end + end + end else + begin + new_m_times_vco_period = refclk_period; + phase_adjust_was_scheduled = 0; + end + end + end + + if (quiet_period_violation == 1'b1 || reconfig_err == 1'b1 || scanclr_violation == 1'b1 || scanclr_clk_violation == 1'b1) + begin + locked_tmp = 0; + if (l_pll_type == "fast") + locked_tmp = 1; + end + + refclk_last_value = refclk; + fbclk_last_value = fbclk; +end + + assign clk0_tmp = i_clk0_counter == "l0" ? l0_clk : i_clk0_counter == "l1" ? l1_clk : i_clk0_counter == "g0" ? g0_clk : i_clk0_counter == "g1" ? g1_clk : i_clk0_counter == "g2" ? g2_clk : i_clk0_counter == "g3" ? g3_clk : 'b0; + + assign clk0 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk0_tmp : 'bx; + + dffp ena0_reg ( .D(clkena0_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk0_tmp), + .Q(ena0)); + + assign clk1_tmp = i_clk1_counter == "l0" ? l0_clk : i_clk1_counter == "l1" ? l1_clk : i_clk1_counter == "g0" ? g0_clk : i_clk1_counter == "g1" ? g1_clk : i_clk1_counter == "g2" ? g2_clk : i_clk1_counter == "g3" ? g3_clk : 'b0; + + assign clk1 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk1_tmp : 'bx; + + dffp ena1_reg ( .D(clkena1_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk1_tmp), + .Q(ena1)); + + assign clk2_tmp = i_clk2_counter == "l0" ? l0_clk : i_clk2_counter == "l1" ? l1_clk : i_clk2_counter == "g0" ? g0_clk : i_clk2_counter == "g1" ? g1_clk : i_clk2_counter == "g2" ? g2_clk : i_clk2_counter == "g3" ? g3_clk : 'b0; + + assign clk2 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk2_tmp : 'bx; + + dffp ena2_reg ( .D(clkena2_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk2_tmp), + .Q(ena2)); + + assign clk3_tmp = i_clk3_counter == "l0" ? l0_clk : i_clk3_counter == "l1" ? l1_clk : i_clk3_counter == "g0" ? g0_clk : i_clk3_counter == "g1" ? g1_clk : i_clk3_counter == "g2" ? g2_clk : i_clk3_counter == "g3" ? g3_clk : 'b0; + + assign clk3 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk3_tmp : 'bx; + + dffp ena3_reg ( .D(clkena3_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk3_tmp), + .Q(ena3)); + + assign clk4_tmp = i_clk4_counter == "l0" ? l0_clk : i_clk4_counter == "l1" ? l1_clk : i_clk4_counter == "g0" ? g0_clk : i_clk4_counter == "g1" ? g1_clk : i_clk4_counter == "g2" ? g2_clk : i_clk4_counter == "g3" ? g3_clk : 'b0; + + assign clk4 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk4_tmp : 'bx; + + dffp ena4_reg ( .D(clkena4_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk4_tmp), + .Q(ena4)); + + assign clk5_tmp = i_clk5_counter == "l0" ? l0_clk : i_clk5_counter == "l1" ? l1_clk : i_clk5_counter == "g0" ? g0_clk : i_clk5_counter == "g1" ? g1_clk : i_clk5_counter == "g2" ? g2_clk : i_clk5_counter == "g3" ? g3_clk : 'b0; + + assign clk5 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? clk5_tmp : 'bx; + + dffp ena5_reg ( .D(clkena5_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!clk5_tmp), + .Q(ena5)); + + assign extclk0_tmp = i_extclk0_counter == "e0" ? e0_clk : i_extclk0_counter == "e1" ? e1_clk : i_extclk0_counter == "e2" ? e2_clk : i_extclk0_counter == "e3" ? e3_clk : i_extclk0_counter == "g0" ? g0_clk : 'b0; + + assign extclk0 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? extclk0_tmp : 'bx; + + dffp extena0_reg ( .D(extclkena0_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!extclk0_tmp), + .Q(extena0)); + + assign extclk1_tmp = i_extclk1_counter == "e0" ? e0_clk : i_extclk1_counter == "e1" ? e1_clk : i_extclk1_counter == "e2" ? e2_clk : i_extclk1_counter == "e3" ? e3_clk : i_extclk1_counter == "g0" ? g0_clk : 'b0; + + assign extclk1 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? extclk1_tmp : 'bx; + + dffp extena1_reg ( .D(extclkena1_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!extclk1_tmp), + .Q(extena1)); + + assign extclk2_tmp = i_extclk2_counter == "e0" ? e0_clk : i_extclk2_counter == "e1" ? e1_clk : i_extclk2_counter == "e2" ? e2_clk : i_extclk2_counter == "e3" ? e3_clk : i_extclk2_counter == "g0" ? g0_clk : 'b0; + + assign extclk2 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? extclk2_tmp : 'bx; + + dffp extena2_reg ( .D(extclkena2_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!extclk2_tmp), + .Q(extena2)); + + assign extclk3_tmp = i_extclk3_counter == "e0" ? e0_clk : i_extclk3_counter == "e1" ? e1_clk : i_extclk3_counter == "e2" ? e2_clk : i_extclk3_counter == "e3" ? e3_clk : i_extclk3_counter == "g0" ? g0_clk : 'b0; + + assign extclk3 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || (pll_about_to_lock == 1'b1 && !quiet_period_violation && !reconfig_err && !scanclr_violation && !scanclr_clk_violation) ? extclk3_tmp : 'bx; + + dffp extena3_reg ( .D(extclkena3_ipd), + .CLRN(1'b1), + .PRN(1'b1), + .ENA(1'b1), + .CLK(!extclk3_tmp), + .Q(extena3)); + + assign enable_0 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || pll_about_to_lock == 1'b1 ? enable0_tmp : 'bx; + assign enable_1 = (areset_ipd === 1'b1 || ena_ipd === 1'b0) || pll_about_to_lock == 1'b1 ? enable1_tmp : 'bx; + + // ACCELERATE OUTPUTS + and (clk[0], ena0, clk0); + and (clk[1], ena1, clk1); + and (clk[2], ena2, clk2); + and (clk[3], ena3, clk3); + and (clk[4], ena4, clk4); + and (clk[5], ena5, clk5); + + and (extclk[0], extena0, extclk0); + and (extclk[1], extena1, extclk1); + and (extclk[2], extena2, extclk2); + and (extclk[3], extena3, extclk3); + + and (enable0, 1'b1, enable_0); + and (enable1, 1'b1, enable_1); + + and (scandataout, 1'b1, scandataout_tmp); + +endmodule // MF_stratix_pll + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : arm_m_cntr +// +// Description : Simulation model for the M counter. This is the +// loop feedback counter for the StratixII PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module arm_m_cntr ( clk, + reset, + cout, + initial_value, + modulus, + time_delay); + + // INPUT PORTS + input clk; + input reset; + input [31:0] initial_value; + input [31:0] modulus; + input [31:0] time_delay; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + integer count; + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg cout_tmp; + + initial + begin + count = 1; + first_rising_edge = 1; + clk_last_value = 0; + end + + always @(reset or clk) + begin + if (reset) + begin + count = 1; + tmp_cout = 0; + first_rising_edge = 1; + cout_tmp <= tmp_cout; + end + else begin + if (clk == 1 && clk_last_value !== clk && first_rising_edge) + begin + first_rising_edge = 0; + tmp_cout = clk; + cout_tmp <= #(time_delay) tmp_cout; + end + else if (first_rising_edge == 0) + begin + if (count < modulus) + count = count + 1; + else + begin + count = 1; + tmp_cout = ~tmp_cout; + cout_tmp <= #(time_delay) tmp_cout; + end + end + end + clk_last_value = clk; + +// cout_tmp <= #(time_delay) tmp_cout; + end + + and (cout, cout_tmp, 1'b1); + +endmodule // arm_m_cntr + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : arm_n_cntr +// +// Description : Simulation model for the N counter. This is the +// input clock divide counter for the StratixII PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module arm_n_cntr ( clk, + reset, + cout, + modulus); + + // INPUT PORTS + input clk; + input reset; + input [31:0] modulus; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + integer count; + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg cout_tmp; + + initial + begin + count = 1; + first_rising_edge = 1; + clk_last_value = 0; + end + + always @(reset or clk) + begin + if (reset) + begin + count = 1; + tmp_cout = 0; + first_rising_edge = 1; + end + else begin + if (clk == 1 && clk_last_value !== clk && first_rising_edge) + begin + first_rising_edge = 0; + tmp_cout = clk; + end + else if (first_rising_edge == 0) + begin + if (count < modulus) + count = count + 1; + else + begin + count = 1; + tmp_cout = ~tmp_cout; + end + end + end + clk_last_value = clk; + + end + + assign cout = tmp_cout; + +endmodule // arm_n_cntr + +/////////////////////////////////////////////////////////////////////////////// +// +// Module Name : arm_scale_cntr +// +// Description : Simulation model for the output scale-down counters. +// This is a common model for the C0, C1, C2, C3, C4 and +// C5 output counters of the StratixII PLL. +// +/////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module arm_scale_cntr ( clk, + reset, + cout, + high, + low, + initial_value, + mode, + ph_tap); + + // INPUT PORTS + input clk; + input reset; + input [31:0] high; + input [31:0] low; + input [31:0] initial_value; + input [8*6:1] mode; + input [31:0] ph_tap; + + // OUTPUT PORTS + output cout; + + // INTERNAL VARIABLES AND NETS + reg tmp_cout; + reg first_rising_edge; + reg clk_last_value; + reg init; + integer count; + integer output_shift_count; + reg cout_tmp; + + initial + begin + count = 1; + first_rising_edge = 0; + tmp_cout = 0; + output_shift_count = 1; + end + + always @(clk or reset) + begin + if (init !== 1'b1) + begin + clk_last_value = 0; + init = 1'b1; + end + if (reset) + begin + count = 1; + output_shift_count = 1; + tmp_cout = 0; + first_rising_edge = 0; + end + else if (clk_last_value !== clk) + begin + if (mode == " off") + tmp_cout = 0; + else if (mode == "bypass") + begin + tmp_cout = clk; + first_rising_edge = 1; + end + else if (first_rising_edge == 0) + begin + if (clk == 1) + begin + if (output_shift_count == initial_value) + begin + tmp_cout = clk; + first_rising_edge = 1; + end + else + output_shift_count = output_shift_count + 1; + end + end + else if (output_shift_count < initial_value) + begin + if (clk == 1) + output_shift_count = output_shift_count + 1; + end + else + begin + count = count + 1; + if (mode == " even" && (count == (high*2) + 1)) + tmp_cout = 0; + else if (mode == " odd" && (count == (high*2))) + tmp_cout = 0; + else if (count == (high + low)*2 + 1) + begin + tmp_cout = 1; + count = 1; // reset count + end + end + end + clk_last_value = clk; + cout_tmp <= tmp_cout; + end + + and (cout, cout_tmp, 1'b1); + +endmodule // arm_scale_cntr + + +////////////////////////////////////////////////////////////////////////////// +// +// Module Name : MF_stratixii_pll +// +// Description : Behavioral model for StratixII pll. +// +// Limitations : Does not support Spread Spectrum and Bandwidth. +// +// Outputs : Up to 6 output clocks, each defined by its own set of +// parameters. Locked output (active high) indicates when the +// PLL locks. clkbad, clkloss and activeclock are used for +// clock switchover to indicate which input clock has gone +// bad, when the clock switchover initiates and which input +// clock is being used as the reference, respectively. +// scandataout is the data output of the serial scan chain. +// +////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps/1 ps +`define WORD_LENGTH 18 + +module MF_stratixii_pll (inclk, + fbin, + ena, + clkswitch, + areset, + pfdena, + scanclk, + scanread, + scanwrite, + scandata, + testin, + clk, + clkbad, + activeclock, + locked, + clkloss, + scandataout, + scandone, + enable0, + enable1, + testupout, + testdownout, + sclkout + ); + + parameter operation_mode = "normal"; + parameter pll_type = "auto"; + parameter compensate_clock = "clk0"; + parameter feedback_source = "clk0"; + parameter qualify_conf_done = "off"; + + parameter test_input_comp_delay_chain_bits = 0; + parameter test_feedback_comp_delay_chain_bits = 0; + + parameter inclk0_input_frequency = 10000; + parameter inclk1_input_frequency = 10000; + + parameter gate_lock_signal = "no"; + parameter gate_lock_counter = 1; + parameter self_reset_on_gated_loss_lock = "off"; + parameter valid_lock_multiplier = 1; + parameter invalid_lock_multiplier = 5; + + parameter switch_over_type = "auto"; + parameter switch_over_on_lossclk = "off"; + parameter switch_over_on_gated_lock = "off"; + parameter switch_over_counter = 1; + parameter enable_switch_over_counter = "on"; + + parameter bandwidth = 0; + parameter bandwidth_type = "auto"; + parameter down_spread = "0.0"; + parameter spread_frequency = 0; + parameter common_rx_tx = "off"; + parameter rx_outclock_resource = "auto"; + parameter use_dc_coupling = "false"; + + parameter clk0_output_frequency = 0; + parameter clk0_multiply_by = 1; + parameter clk0_divide_by = 1; + parameter clk0_phase_shift = "0"; + parameter clk0_duty_cycle = 50; + + parameter clk1_output_frequency = 0; + parameter clk1_multiply_by = 1; + parameter clk1_divide_by = 1; + parameter clk1_phase_shift = "0"; + parameter clk1_duty_cycle = 50; + + parameter clk2_output_frequency = 0; + parameter clk2_multiply_by = 1; + parameter clk2_divide_by = 1; + parameter clk2_phase_shift = "0"; + parameter clk2_duty_cycle = 50; + + parameter clk3_output_frequency = 0; + parameter clk3_multiply_by = 1; + parameter clk3_divide_by = 1; + parameter clk3_phase_shift = "0"; + parameter clk3_duty_cycle = 50; + + parameter clk4_output_frequency = 0; + parameter clk4_multiply_by = 1; + parameter clk4_divide_by = 1; + parameter clk4_phase_shift = "0"; + parameter clk4_duty_cycle = 50; + + parameter clk5_output_frequency = 0; + parameter clk5_multiply_by = 1; + parameter clk5_divide_by = 1; + parameter clk5_phase_shift = "0"; + parameter clk5_duty_cycle = 50; + + parameter pfd_min = 0; + parameter pfd_max = 0; + parameter vco_min = 0; + parameter vco_max = 0; + parameter vco_center = 0; + + // ADVANCED USE PARAMETERS + parameter m_initial = 1; + parameter m = 1; + parameter n = 1; + parameter m2 = 1; + parameter n2 = 1; + parameter ss = 0; + + parameter c0_high = 1; + parameter c0_low = 1; + parameter c0_initial = 1; + parameter c0_mode = "bypass"; + parameter c0_ph = 0; + + parameter c1_high = 1; + parameter c1_low = 1; + parameter c1_initial = 1; + parameter c1_mode = "bypass"; + parameter c1_ph = 0; + + parameter c2_high = 1; + parameter c2_low = 1; + parameter c2_initial = 1; + parameter c2_mode = "bypass"; + parameter c2_ph = 0; + + parameter c3_high = 1; + parameter c3_low = 1; + parameter c3_initial = 1; + parameter c3_mode = "bypass"; + parameter c3_ph = 0; + + parameter c4_high = 1; + parameter c4_low = 1; + parameter c4_initial = 1; + parameter c4_mode = "bypass"; + parameter c4_ph = 0; + + parameter c5_high = 1; + parameter c5_low = 1; + parameter c5_initial = 1; + parameter c5_mode = "bypass"; + parameter c5_ph = 0; + + parameter m_ph = 0; + + parameter clk0_counter = "c0"; + parameter clk1_counter = "c1"; + parameter clk2_counter = "c2"; + parameter clk3_counter = "c3"; + parameter clk4_counter = "c4"; + parameter clk5_counter = "c5"; + + parameter c1_use_casc_in = "off"; + parameter c2_use_casc_in = "off"; + parameter c3_use_casc_in = "off"; + parameter c4_use_casc_in = "off"; + parameter c5_use_casc_in = "off"; + + parameter m_test_source = 5; + parameter c0_test_source = 5; + parameter c1_test_source = 5; + parameter c2_test_source = 5; + parameter c3_test_source = 5; + parameter c4_test_source = 5; + parameter c5_test_source = 5; + + // LVDS mode parameters + parameter enable0_counter = "c0"; + parameter enable1_counter = "c1"; + parameter sclkout0_phase_shift = "0"; + parameter sclkout1_phase_shift = "0"; + + parameter vco_multiply_by = 0; + parameter vco_divide_by = 0; + parameter vco_post_scale = 1; + + parameter charge_pump_current = 0; + parameter loop_filter_r = "1.0"; + parameter loop_filter_c = 1; + + parameter pll_compensation_delay = 0; + parameter simulation_type = "functional"; + + //parameter for stratixii lvds + parameter clk0_phase_shift_num = 0; + parameter clk1_phase_shift_num = 0; + parameter clk2_phase_shift_num = 0; + + parameter clk0_use_even_counter_mode = "off"; + parameter clk1_use_even_counter_mode = "off"; + parameter clk2_use_even_counter_mode = "off"; + parameter clk3_use_even_counter_mode = "off"; + parameter clk4_use_even_counter_mode = "off"; + parameter clk5_use_even_counter_mode = "off"; + + parameter clk0_use_even_counter_value = "off"; + parameter clk1_use_even_counter_value = "off"; + parameter clk2_use_even_counter_value = "off"; + parameter clk3_use_even_counter_value = "off"; + parameter clk4_use_even_counter_value = "off"; + parameter clk5_use_even_counter_value = "off"; + + // INPUT PORTS + input [1:0] inclk; + input fbin; + input ena; + input clkswitch; + input areset; + input pfdena; + input scanclk; + input scanread; + input scanwrite; + input scandata; + input [3:0] testin; + + // OUTPUT PORTS + output [5:0] clk; + output [1:0] clkbad; + output activeclock; + output locked; + output clkloss; + output scandataout; + output scandone; + // lvds specific output ports + output enable0; + output enable1; + output [1:0] sclkout; + // test ports + output testupout; + output testdownout; + + // BUFFER INPUTS + wire inclk0_ipd; + wire inclk1_ipd; + wire ena_ipd; + wire fbin_ipd; + wire clkswitch_ipd; + wire areset_ipd; + wire pfdena_ipd; + wire scanclk_ipd; + wire scanread_ipd; + wire scanwrite_ipd; + wire scandata_ipd; + buf (inclk0_ipd, inclk[0]); + buf (inclk1_ipd, inclk[1]); + buf (ena_ipd, ena); + buf (fbin_ipd, fbin); + buf (clkswitch_ipd, clkswitch); + buf (areset_ipd, areset); + buf (pfdena_ipd, pfdena); + buf (scanclk_ipd, scanclk); + buf (scanread_ipd, scanread); + buf (scanwrite_ipd, scanwrite); + buf (scandata_ipd, scandata); + + + // INTERNAL VARIABLES AND NETS + integer scan_chain_length; + integer i; + integer j; + integer k; + integer x; + integer y; + integer l_index; + integer gate_count; + integer egpp_offset; + integer sched_time; + integer delay_chain; + integer low; + integer high; + integer initial_delay; + integer fbk_phase; + integer fbk_delay; + integer phase_shift[0:7]; + integer last_phase_shift[0:7]; + + integer m_times_vco_period; + integer new_m_times_vco_period; + integer refclk_period; + integer fbclk_period; + integer high_time; + integer low_time; + integer my_rem; + integer tmp_rem; + integer rem; + integer tmp_vco_per; + integer vco_per; + integer offset; + integer temp_offset; + integer cycles_to_lock; + integer cycles_to_unlock; + integer c0_count; + integer c0_initial_count; + integer c1_count; + integer c1_initial_count; + integer loop_xplier; + integer loop_initial; + integer loop_ph; + integer cycle_to_adjust; + integer total_pull_back; + integer pull_back_M; + + time fbclk_time; + time first_fbclk_time; + time refclk_time; + + reg got_first_refclk; + reg got_second_refclk; + reg got_first_fbclk; + reg refclk_last_value; + reg fbclk_last_value; + reg inclk_last_value; + reg pll_is_locked; + reg pll_about_to_lock; + reg locked_tmp; + reg c0_got_first_rising_edge; + reg c1_got_first_rising_edge; + reg vco_c0_last_value; + reg vco_c1_last_value; + reg areset_ipd_last_value; + reg ena_ipd_last_value; + reg pfdena_ipd_last_value; + reg inclk_out_of_range; + reg schedule_vco_last_value; + + reg gate_out; + reg vco_val; + + reg [31:0] m_initial_val; + reg [31:0] m_val[0:1]; + reg [31:0] n_val[0:1]; + reg [31:0] m_delay; + reg [8*6:1] m_mode_val[0:1]; + reg [8*6:1] n_mode_val[0:1]; + + reg [31:0] c_high_val[0:5]; + reg [31:0] c_low_val[0:5]; + reg [8*6:1] c_mode_val[0:5]; + reg [31:0] c_initial_val[0:5]; + integer c_ph_val[0:5]; + + // temporary registers for reprogramming + integer c_ph_val_tmp[0:5]; + reg [31:0] c_high_val_tmp[0:5]; + reg [31:0] c_low_val_tmp[0:5]; + reg [8*6:1] c_mode_val_tmp[0:5]; + + // hold registers for reprogramming + integer c_ph_val_hold[0:5]; + reg [31:0] c_high_val_hold[0:5]; + reg [31:0] c_low_val_hold[0:5]; + reg [8*6:1] c_mode_val_hold[0:5]; + + // old values + reg [31:0] m_val_old[0:1]; + reg [31:0] m_val_tmp[0:1]; + reg [31:0] n_val_old[0:1]; + reg [8*6:1] m_mode_val_old[0:1]; + reg [8*6:1] n_mode_val_old[0:1]; + reg [31:0] c_high_val_old[0:5]; + reg [31:0] c_low_val_old[0:5]; + reg [8*6:1] c_mode_val_old[0:5]; + integer c_ph_val_old[0:5]; + integer m_ph_val_old; + integer m_ph_val_tmp; + + integer cp_curr_old; + integer cp_curr_val; + integer lfc_old; + integer lfc_val; + reg [9*8:1] lfr_val; + reg [9*8:1] lfr_old; + + reg [31:0] m_hi; + reg [31:0] m_lo; + + // ph tap orig values (POF) + integer c_ph_val_orig[0:5]; + integer m_ph_val_orig; + + reg schedule_vco; + reg stop_vco; + reg inclk_n; + + reg [7:0] vco_out; + reg [7:0] vco_out_last_value; + wire inclk_c0; + wire inclk_c1; + wire inclk_c2; + wire inclk_c3; + wire inclk_c4; + wire inclk_c5; + reg inclk_c0_from_vco; + reg inclk_c1_from_vco; + reg inclk_c2_from_vco; + reg inclk_c3_from_vco; + reg inclk_c4_from_vco; + reg inclk_c5_from_vco; + reg inclk_m_from_vco; + reg inclk_sclkout0_from_vco; + reg inclk_sclkout1_from_vco; + + wire inclk_m; + wire clk0_tmp; + wire clk1_tmp; + wire clk2_tmp; + wire clk3_tmp; + wire clk4_tmp; + wire clk5_tmp; + wire ena_pll; + wire n_cntr_inclk; + reg sclkout0_tmp; + reg sclkout1_tmp; + + reg vco_c0; + reg vco_c1; + + wire clk0; + wire clk1; + wire clk2; + wire clk3; + wire clk4; + wire clk5; + wire sclkout0; + wire sclkout1; + + wire c0_clk; + wire c1_clk; + wire c2_clk; + wire c3_clk; + wire c4_clk; + wire c5_clk; + + reg first_schedule; + + wire enable0_tmp; + wire enable1_tmp; + wire enable_0; + wire enable_1; + reg c0_tmp; + reg c1_tmp; + + reg vco_period_was_phase_adjusted; + reg phase_adjust_was_scheduled; + + wire refclk; + wire fbclk; + + wire pllena_reg; + wire test_mode_inclk; + + // for external feedback mode + + reg [31:0] ext_fbk_cntr_high; + reg [31:0] ext_fbk_cntr_low; + reg [31:0] ext_fbk_cntr_modulus; + reg [8*2:1] ext_fbk_cntr; + reg [8*6:1] ext_fbk_cntr_mode; + integer ext_fbk_cntr_ph; + integer ext_fbk_cntr_initial; + integer ext_fbk_cntr_index; + + // variables for clk_switch + reg clk0_is_bad; + reg clk1_is_bad; + reg inclk0_last_value; + reg inclk1_last_value; + reg other_clock_value; + reg other_clock_last_value; + reg primary_clk_is_bad; + reg current_clk_is_bad; + reg external_switch; + reg [8*6:1] current_clock; + reg active_clock; + reg clkloss_tmp; + reg got_curr_clk_falling_edge_after_clkswitch; + + integer clk0_count; + integer clk1_count; + integer switch_over_count; + + wire scandataout_tmp; + reg scandone_tmp; + reg scandone_tmp_last_value; + integer quiet_time; + integer slowest_clk_old; + integer slowest_clk_new; + + reg reconfig_err; + reg error; + time scanclk_last_rising_edge; + time scanread_active_edge; + reg got_first_scanclk; + reg got_first_gated_scanclk; + reg gated_scanclk; + integer scanclk_period; + reg scanclk_last_value; + reg scanread_reg; + reg scanwrite_reg; + reg scanwrite_enabled; + reg scanwrite_last_value; + reg [173:0] scan_data; + reg [173:0] tmp_scan_data; + reg c0_rising_edge_transfer_done; + reg c1_rising_edge_transfer_done; + reg c2_rising_edge_transfer_done; + reg c3_rising_edge_transfer_done; + reg c4_rising_edge_transfer_done; + reg c5_rising_edge_transfer_done; + reg scanread_setup_violation; + integer index; + integer scanclk_cycles; + reg d_msg; + + integer num_output_cntrs; + reg no_warn; + + // INTERNAL PARAMETERS + parameter GPP_SCAN_CHAIN = 174; + parameter FAST_SCAN_CHAIN = 75; + // primary clk is always inclk0 + parameter primary_clock = "inclk0"; + + // internal variables for scaling of multiply_by and divide_by values + integer i_clk0_mult_by; + integer i_clk0_div_by; + integer i_clk1_mult_by; + integer i_clk1_div_by; + integer i_clk2_mult_by; + integer i_clk2_div_by; + integer i_clk3_mult_by; + integer i_clk3_div_by; + integer i_clk4_mult_by; + integer i_clk4_div_by; + integer i_clk5_mult_by; + integer i_clk5_div_by; + integer max_d_value; + integer new_multiplier; + + // internal variables for storing the phase shift number.(used in lvds mode only) + integer i_clk0_phase_shift; + integer i_clk1_phase_shift; + integer i_clk2_phase_shift; + + // user to advanced internal signals + + integer i_m_initial; + integer i_m; + integer i_n; + integer i_m2; + integer i_n2; + integer i_ss; + integer i_c_high[0:5]; + integer i_c_low[0:5]; + integer i_c_initial[0:5]; + integer i_c_ph[0:5]; + reg [8*6:1] i_c_mode[0:5]; + + integer i_vco_min; + integer i_vco_max; + integer i_vco_center; + integer i_pfd_min; + integer i_pfd_max; + integer i_m_ph; + integer m_ph_val; + reg [8*2:1] i_clk5_counter; + reg [8*2:1] i_clk4_counter; + reg [8*2:1] i_clk3_counter; + reg [8*2:1] i_clk2_counter; + reg [8*2:1] i_clk1_counter; + reg [8*2:1] i_clk0_counter; + integer i_charge_pump_current; + integer i_loop_filter_r; + integer max_neg_abs; + integer output_count; + integer new_divisor; + + integer loop_filter_c_arr[0:3]; + integer fpll_loop_filter_c_arr[0:3]; + integer charge_pump_curr_arr[0:15]; + reg [9*8:1] loop_filter_r_arr[0:39]; + + reg pll_in_test_mode; + reg pll_is_in_reset; + + // uppercase to lowercase parameter values + reg [8*`WORD_LENGTH:1] l_operation_mode; + reg [8*`WORD_LENGTH:1] l_pll_type; + reg [8*`WORD_LENGTH:1] l_qualify_conf_done; + reg [8*`WORD_LENGTH:1] l_compensate_clock; + reg [8*`WORD_LENGTH:1] l_scan_chain; + reg [8*`WORD_LENGTH:1] l_primary_clock; + reg [8*`WORD_LENGTH:1] l_gate_lock_signal; + reg [8*`WORD_LENGTH:1] l_switch_over_on_lossclk; + reg [8*`WORD_LENGTH:1] l_switch_over_type; + reg [8*`WORD_LENGTH:1] l_switch_over_on_gated_lock; + reg [8*`WORD_LENGTH:1] l_enable_switch_over_counter; + reg [8*`WORD_LENGTH:1] l_feedback_source; + reg [8*`WORD_LENGTH:1] l_bandwidth_type; + reg [8*`WORD_LENGTH:1] l_simulation_type; + reg [8*`WORD_LENGTH:1] l_enable0_counter; + reg [8*`WORD_LENGTH:1] l_enable1_counter; + + reg init; + + + // finds the closest integer fraction of a given pair of numerator and denominator. + task find_simple_integer_fraction; + input numerator; + input denominator; + input max_denom; + output fraction_num; + output fraction_div; + parameter max_iter = 20; + + integer numerator; + integer denominator; + integer max_denom; + integer fraction_num; + integer fraction_div; + + integer quotient_array[max_iter-1:0]; + integer int_loop_iter; + integer int_quot; + integer m_value; + integer d_value; + integer old_m_value; + integer swap; + + integer loop_iter; + integer num; + integer den; + integer i_max_iter; + + begin + loop_iter = 0; + num = numerator; + den = denominator; + i_max_iter = max_iter; + + while (loop_iter < i_max_iter) + begin + int_quot = num / den; + quotient_array[loop_iter] = int_quot; + num = num - (den*int_quot); + loop_iter=loop_iter+1; + + if ((num == 0) || (max_denom != -1) || (loop_iter == i_max_iter)) + begin + // calculate the numerator and denominator if there is a restriction on the + // max denom value or if the loop is ending + m_value = 0; + d_value = 1; + // get the rounded value at this stage for the remaining fraction + if (den != 0) + begin + m_value = (2*num/den); + end + // calculate the fraction numerator and denominator at this stage + for (int_loop_iter = loop_iter-1; int_loop_iter >= 0; int_loop_iter=int_loop_iter-1) + begin + if (m_value == 0) + begin + m_value = quotient_array[int_loop_iter]; + d_value = 1; + end + else + begin + old_m_value = m_value; + m_value = quotient_array[int_loop_iter]*m_value + d_value; + d_value = old_m_value; + end + end + // if the denominator is less than the maximum denom_value or if there is no restriction save it + if ((d_value <= max_denom) || (max_denom == -1)) + begin + fraction_num = m_value; + fraction_div = d_value; + end + // end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round) + if (((d_value > max_denom) && (max_denom != -1)) || (num == 0)) + begin + i_max_iter = loop_iter; + end + end + // swap the numerator and denominator for the next round + swap = den; + den = num; + num = swap; + end + end + endtask // find_simple_integer_fraction + + // get the absolute value + function integer abs; + input value; + integer value; + begin + if (value < 0) + abs = value * -1; + else abs = value; + end + endfunction + + // find twice the period of the slowest clock + function integer slowest_clk; + input C0, C0_mode, C1, C1_mode, C2, C2_mode, C3, C3_mode, C4, C4_mode, C5, C5_mode, refclk, m_mod; + integer C0, C1, C2, C3, C4, C5; + reg [8*6:1] C0_mode, C1_mode, C2_mode, C3_mode, C4_mode, C5_mode; + integer refclk; + reg [31:0] m_mod; + integer max_modulus; + begin + max_modulus = 1; + if (C0_mode != "bypass" && C0_mode != " off") + max_modulus = C0; + if (C1 > max_modulus && C1_mode != "bypass" && C1_mode != " off") + max_modulus = C1; + if (C2 > max_modulus && C2_mode != "bypass" && C2_mode != " off") + max_modulus = C2; + if (C3 > max_modulus && C3_mode != "bypass" && C3_mode != " off") + max_modulus = C3; + if (C4 > max_modulus && C4_mode != "bypass" && C4_mode != " off") + max_modulus = C4; + if (C5 > max_modulus && C5_mode != "bypass" && C5_mode != " off") + max_modulus = C5; + + slowest_clk = (refclk * max_modulus *2 / m_mod); + end + endfunction + + // count the number of digits in the given integer + function integer count_digit; + input X; + integer X; + integer count, result; + begin + count = 0; + result = X; + while (result != 0) + begin + result = (result / 10); + count = count + 1; + end + + count_digit = count; + end + endfunction + + // reduce the given huge number(X) to Y significant digits + function integer scale_num; + input X, Y; + integer X, Y; + integer count; + integer fac_ten, lc; + begin + fac_ten = 1; + count = count_digit(X); + + for (lc = 0; lc < (count-Y); lc = lc + 1) + fac_ten = fac_ten * 10; + + scale_num = (X / fac_ten); + end + endfunction + + // find the greatest common denominator of X and Y + function integer gcd; + input X,Y; + integer X,Y; + integer L, S, R, G; + begin + if (X < Y) // find which is smaller. + begin + S = X; + L = Y; + end + else + begin + S = Y; + L = X; + end + + R = S; + while ( R > 1) + begin + S = L; + L = R; + R = S % L; // divide bigger number by smaller. + // remainder becomes smaller number. + end + if (R == 0) // if evenly divisible then L is gcd else it is 1. + G = L; + else + G = R; + gcd = G; + end + endfunction + + // find the least common multiple of A1 to A10 + function integer lcm; + input A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, P; + integer A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, P; + integer M1, M2, M3, M4, M5 , M6, M7, M8, M9, R; + begin + M1 = (A1 * A2)/gcd(A1, A2); + M2 = (M1 * A3)/gcd(M1, A3); + M3 = (M2 * A4)/gcd(M2, A4); + M4 = (M3 * A5)/gcd(M3, A5); + M5 = (M4 * A6)/gcd(M4, A6); + M6 = (M5 * A7)/gcd(M5, A7); + M7 = (M6 * A8)/gcd(M6, A8); + M8 = (M7 * A9)/gcd(M7, A9); + M9 = (M8 * A10)/gcd(M8, A10); + if (M9 < 3) + R = 10; + else if ((M9 <= 10) && (M9 >= 3)) + R = 4 * M9; + else if (M9 > 1000) + R = scale_num(M9, 3); + else + R = M9; + lcm = R; + end + endfunction + + // find the factor of division of the output clock frequency + // compared to the VCO + function integer output_counter_value; + input clk_divide, clk_mult, M, N; + integer clk_divide, clk_mult, M, N; + integer R; + begin + R = (clk_divide * M)/(clk_mult * N); + output_counter_value = R; + end + endfunction + + // find the mode of each of the PLL counters - bypass, even or odd + function [8*6:1] counter_mode; + input duty_cycle; + input output_counter_value; + integer duty_cycle; + integer output_counter_value; + integer half_cycle_high; + reg [8*6:1] R; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + if (output_counter_value == 1) + R = "bypass"; + else if ((half_cycle_high % 2) == 0) + R = " even"; + else + R = " odd"; + counter_mode = R; + end + endfunction + + // find the number of VCO clock cycles to hold the output clock high + function integer counter_high; + input output_counter_value, duty_cycle; + integer output_counter_value, duty_cycle; + integer half_cycle_high; + integer tmp_counter_high; + integer mode; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + mode = ((half_cycle_high % 2) == 0); + tmp_counter_high = half_cycle_high/2; + counter_high = tmp_counter_high + !mode; + end + endfunction + + // find the number of VCO clock cycles to hold the output clock low + function integer counter_low; + input output_counter_value, duty_cycle; + integer output_counter_value, duty_cycle, counter_h; + integer half_cycle_high; + integer mode; + integer tmp_counter_high; + begin + half_cycle_high = (2*duty_cycle*output_counter_value)/100; + mode = ((half_cycle_high % 2) == 0); + tmp_counter_high = half_cycle_high/2; + counter_h = tmp_counter_high + !mode; + counter_low = output_counter_value - counter_h; + end + endfunction + + // find the smallest time delay amongst t1 to t10 + function integer mintimedelay; + input t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer m1,m2,m3,m4,m5,m6,m7,m8,m9; + begin + if (t1 < t2) + m1 = t1; + else + m1 = t2; + if (m1 < t3) + m2 = m1; + else + m2 = t3; + if (m2 < t4) + m3 = m2; + else + m3 = t4; + if (m3 < t5) + m4 = m3; + else + m4 = t5; + if (m4 < t6) + m5 = m4; + else + m5 = t6; + if (m5 < t7) + m6 = m5; + else + m6 = t7; + if (m6 < t8) + m7 = m6; + else + m7 = t8; + if (m7 < t9) + m8 = m7; + else + m8 = t9; + if (m8 < t10) + m9 = m8; + else + m9 = t10; + if (m9 > 0) + mintimedelay = m9; + else + mintimedelay = 0; + end + endfunction + + // find the numerically largest negative number, and return its absolute value + function integer maxnegabs; + input t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer t1, t2, t3, t4, t5, t6, t7, t8, t9, t10; + integer m1,m2,m3,m4,m5,m6,m7,m8,m9; + begin + if (t1 < t2) m1 = t1; else m1 = t2; + if (m1 < t3) m2 = m1; else m2 = t3; + if (m2 < t4) m3 = m2; else m3 = t4; + if (m3 < t5) m4 = m3; else m4 = t5; + if (m4 < t6) m5 = m4; else m5 = t6; + if (m5 < t7) m6 = m5; else m6 = t7; + if (m6 < t8) m7 = m6; else m7 = t8; + if (m7 < t9) m8 = m7; else m8 = t9; + if (m8 < t10) m9 = m8; else m9 = t10; + maxnegabs = (m9 < 0) ? 0 - m9 : 0; + end + endfunction + + // adjust the given tap_phase by adding the largest negative number (ph_base) + function integer ph_adjust; + input tap_phase, ph_base; + integer tap_phase, ph_base; + begin + ph_adjust = tap_phase + ph_base; + end + endfunction + + // find the number of VCO clock cycles to wait initially before the first + // rising edge of the output clock + function integer counter_initial; + input tap_phase, m, n; + integer tap_phase, m, n, phase; + begin + if (tap_phase < 0) tap_phase = 0 - tap_phase; + // adding 0.5 for rounding correction (required in order to round + // to the nearest integer instead of truncating) + phase = ((tap_phase * m) / (360 * n)) + 0.5; + counter_initial = phase; + end + endfunction + + // find which VCO phase tap to align the rising edge of the output clock to + function integer counter_ph; + input tap_phase; + input m,n; + integer m,n, phase; + integer tap_phase; + begin + // adding 0.5 for rounding correction + phase = (tap_phase * m / n) + 0.5; + counter_ph = (phase % 360)/45; + end + endfunction + + // convert the given string to length 6 by padding with spaces + function [8*6:1] translate_string; + input [8*6:1] mode; + reg [8*6:1] new_mode; + begin + if (mode == "bypass") + new_mode = "bypass"; + else if (mode == "even") + new_mode = " even"; + else if (mode == "odd") + new_mode = " odd"; + + translate_string = new_mode; + end + endfunction + + // convert string to integer with sign + function integer str2int; + input [8*16:1] s; + + reg [8*16:1] reg_s; + reg [8:1] digit; + reg [8:1] tmp; + integer m, magnitude; + integer sign; + + begin + sign = 1; + magnitude = 0; + reg_s = s; + for (m=1; m<=16; m=m+1) + begin + tmp = reg_s[128:121]; + digit = tmp & 8'b00001111; + reg_s = reg_s << 8; + // Accumulate ascii digits 0-9 only. + if ((tmp>=48) && (tmp<=57)) + magnitude = (magnitude * 10) + digit; + if (tmp == 45) + sign = -1; // Found a '-' character, i.e. number is negative. + end + str2int = sign*magnitude; + end + endfunction + + // this is for stratixii lvds only + // convert phase delay to integer + function integer get_int_phase_shift; + input [8*16:1] s; + input i_phase_shift; + integer i_phase_shift; + + begin + if (i_phase_shift != 0) + begin + get_int_phase_shift = i_phase_shift; + end + else + begin + get_int_phase_shift = str2int(s); + end + end + endfunction + + // calculate the given phase shift (in ps) in terms of degrees + function integer get_phase_degree; + input phase_shift; + integer phase_shift, result; + begin + result = (phase_shift * 360) / inclk0_input_frequency; + // this is to round up the calculation result + if ( result > 0 ) + result = result + 1; + else if ( result < 0 ) + result = result - 1; + else + result = 0; + + // assign the rounded up result + get_phase_degree = result; + end + endfunction + + // convert uppercase parameter values to lowercase + // assumes that the maximum character length of a parameter is 18 + function [8*`WORD_LENGTH:1] alpha_tolower; + input [8*`WORD_LENGTH:1] given_string; + + reg [8*`WORD_LENGTH:1] return_string; + reg [8*`WORD_LENGTH:1] reg_string; + reg [8:1] tmp; + reg [8:1] conv_char; + integer byte_count; + begin + return_string = " "; // initialise strings to spaces + conv_char = " "; + reg_string = given_string; + for (byte_count = `WORD_LENGTH; byte_count >= 1; byte_count = byte_count - 1) + begin + tmp = reg_string[8*`WORD_LENGTH:(8*(`WORD_LENGTH-1)+1)]; + reg_string = reg_string << 8; + if ((tmp >= 65) && (tmp <= 90)) // ASCII number of 'A' is 65, 'Z' is 90 + begin + conv_char = tmp + 32; // 32 is the difference in the position of 'A' and 'a' in the ASCII char set + return_string = {return_string, conv_char}; + end + else + return_string = {return_string, tmp}; + end + + alpha_tolower = return_string; + end + endfunction + + function integer display_msg; + input [8*2:1] cntr_name; + input msg_code; + integer msg_code; + begin + if (msg_code == 1) + $display ("Warning : %s counter switched from BYPASS mode to enabled. PLL may lose lock.", cntr_name); + else if (msg_code == 2) + $display ("Warning : Illegal 1 value for %s counter. Instead, the %s counter should be BYPASSED. Reconfiguration may not work.", cntr_name, cntr_name); + else if (msg_code == 3) + $display ("Warning : Illegal value for counter %s in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work.", cntr_name); + else if (msg_code == 4) + $display ("Warning : %s counter switched from enabled to BYPASS mode. PLL may lose lock.", cntr_name); + + display_msg = 1; + end + endfunction + + initial + begin + + // convert string parameter values from uppercase to lowercase, + // as expected in this model + l_operation_mode = alpha_tolower(operation_mode); + l_pll_type = alpha_tolower(pll_type); + l_qualify_conf_done = alpha_tolower(qualify_conf_done); + l_compensate_clock = alpha_tolower(compensate_clock); + l_primary_clock = alpha_tolower(primary_clock); + l_gate_lock_signal = alpha_tolower(gate_lock_signal); + l_switch_over_on_lossclk = alpha_tolower(switch_over_on_lossclk); + l_switch_over_on_gated_lock = alpha_tolower(switch_over_on_gated_lock); + l_enable_switch_over_counter = alpha_tolower(enable_switch_over_counter); + l_switch_over_type = alpha_tolower(switch_over_type); + l_feedback_source = alpha_tolower(feedback_source); + l_bandwidth_type = alpha_tolower(bandwidth_type); + l_simulation_type = alpha_tolower(simulation_type); + l_enable0_counter = alpha_tolower(enable0_counter); + l_enable1_counter = alpha_tolower(enable1_counter); + + // initialize charge_pump_current, and loop_filter tables + loop_filter_c_arr[0] = 57; + loop_filter_c_arr[1] = 16; + loop_filter_c_arr[2] = 36; + loop_filter_c_arr[3] = 5; + + fpll_loop_filter_c_arr[0] = 18; + fpll_loop_filter_c_arr[1] = 13; + fpll_loop_filter_c_arr[2] = 8; + fpll_loop_filter_c_arr[3] = 2; + + charge_pump_curr_arr[0] = 6; + charge_pump_curr_arr[1] = 12; + charge_pump_curr_arr[2] = 30; + charge_pump_curr_arr[3] = 36; + charge_pump_curr_arr[4] = 52; + charge_pump_curr_arr[5] = 57; + charge_pump_curr_arr[6] = 72; + charge_pump_curr_arr[7] = 77; + charge_pump_curr_arr[8] = 92; + charge_pump_curr_arr[9] = 96; + charge_pump_curr_arr[10] = 110; + charge_pump_curr_arr[11] = 114; + charge_pump_curr_arr[12] = 127; + charge_pump_curr_arr[13] = 131; + charge_pump_curr_arr[14] = 144; + charge_pump_curr_arr[15] = 148; + + loop_filter_r_arr[0] = " 1.000000"; + loop_filter_r_arr[1] = " 1.500000"; + loop_filter_r_arr[2] = " 2.000000"; + loop_filter_r_arr[3] = " 2.500000"; + loop_filter_r_arr[4] = " 3.000000"; + loop_filter_r_arr[5] = " 3.500000"; + loop_filter_r_arr[6] = " 4.000000"; + loop_filter_r_arr[7] = " 4.500000"; + loop_filter_r_arr[8] = " 5.000000"; + loop_filter_r_arr[9] = " 5.500000"; + loop_filter_r_arr[10] = " 6.000000"; + loop_filter_r_arr[11] = " 6.500000"; + loop_filter_r_arr[12] = " 7.000000"; + loop_filter_r_arr[13] = " 7.500000"; + loop_filter_r_arr[14] = " 8.000000"; + loop_filter_r_arr[15] = " 8.500000"; + loop_filter_r_arr[16] = " 9.000000"; + loop_filter_r_arr[17] = " 9.500000"; + loop_filter_r_arr[18] = "10.000000"; + loop_filter_r_arr[19] = "10.500000"; + loop_filter_r_arr[20] = "11.000000"; + loop_filter_r_arr[21] = "11.500000"; + loop_filter_r_arr[22] = "12.000000"; + loop_filter_r_arr[23] = "12.500000"; + loop_filter_r_arr[24] = "13.000000"; + loop_filter_r_arr[25] = "13.500000"; + loop_filter_r_arr[26] = "14.000000"; + loop_filter_r_arr[27] = "14.500000"; + loop_filter_r_arr[28] = "15.000000"; + loop_filter_r_arr[29] = "15.500000"; + loop_filter_r_arr[30] = "16.000000"; + loop_filter_r_arr[31] = "16.500000"; + loop_filter_r_arr[32] = "17.000000"; + loop_filter_r_arr[33] = "17.500000"; + loop_filter_r_arr[34] = "18.000000"; + loop_filter_r_arr[35] = "18.500000"; + loop_filter_r_arr[36] = "19.000000"; + loop_filter_r_arr[37] = "19.500000"; + loop_filter_r_arr[38] = "20.000000"; + loop_filter_r_arr[39] = "20.500000"; + + if (m == 0) + begin + i_clk5_counter = "c5" ; + i_clk4_counter = "c4" ; + i_clk3_counter = "c3" ; + i_clk2_counter = "c2" ; + i_clk1_counter = "c1" ; + i_clk0_counter = "c0" ; + end + else begin + i_clk5_counter = alpha_tolower(clk5_counter); + i_clk4_counter = alpha_tolower(clk4_counter); + i_clk3_counter = alpha_tolower(clk3_counter); + i_clk2_counter = alpha_tolower(clk2_counter); + i_clk1_counter = alpha_tolower(clk1_counter); + i_clk0_counter = alpha_tolower(clk0_counter); + end + + // VCO feedback loop settings for external feedback mode + // first find which counter is used for feedback + if (l_operation_mode == "external_feedback") + begin + if (l_feedback_source == "clk0") + ext_fbk_cntr = i_clk0_counter; + else if (l_feedback_source == "clk1") + ext_fbk_cntr = i_clk1_counter; + else if (l_feedback_source == "clk2") + ext_fbk_cntr = i_clk2_counter; + else if (l_feedback_source == "clk3") + ext_fbk_cntr = i_clk3_counter; + else if (l_feedback_source == "clk4") + ext_fbk_cntr = i_clk4_counter; + else if (l_feedback_source == "clk5") + ext_fbk_cntr = i_clk5_counter; + else ext_fbk_cntr = "c0"; + + if (ext_fbk_cntr == "c0") + ext_fbk_cntr_index = 0; + else if (ext_fbk_cntr == "c1") + ext_fbk_cntr_index = 1; + else if (ext_fbk_cntr == "c2") + ext_fbk_cntr_index = 2; + else if (ext_fbk_cntr == "c3") + ext_fbk_cntr_index = 3; + else if (ext_fbk_cntr == "c4") + ext_fbk_cntr_index = 4; + else if (ext_fbk_cntr == "c5") + ext_fbk_cntr_index = 5; + end + + if (m == 0) + begin + + // set the limit of the divide_by value that can be returned by + // the following function. + max_d_value = 500; + + // scale down the multiply_by and divide_by values provided by the design + // before attempting to use them in the calculations below + find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by, + max_d_value, i_clk0_mult_by, i_clk0_div_by); + find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by, + max_d_value, i_clk1_mult_by, i_clk1_div_by); + find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by, + max_d_value, i_clk2_mult_by, i_clk2_div_by); + find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by, + max_d_value, i_clk3_mult_by, i_clk3_div_by); + find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by, + max_d_value, i_clk4_mult_by, i_clk4_div_by); + find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by, + max_d_value, i_clk5_mult_by, i_clk5_div_by); + + // convert user parameters to advanced + if (((l_pll_type == "fast") || (l_pll_type == "lvds")) && (vco_multiply_by != 0) && (vco_divide_by != 0)) + begin + i_n = vco_divide_by; + i_m = vco_multiply_by; + end + else begin + i_n = 1; + i_m = lcm (i_clk0_mult_by, i_clk1_mult_by, + i_clk2_mult_by, i_clk3_mult_by, + i_clk4_mult_by, i_clk5_mult_by, + 1, 1, 1, 1, inclk0_input_frequency); + end + + i_c_high[0] = counter_high (output_counter_value(i_clk0_div_by, + i_clk0_mult_by, i_m, i_n), clk0_duty_cycle); + i_c_high[1] = counter_high (output_counter_value(i_clk1_div_by, + i_clk1_mult_by, i_m, i_n), clk1_duty_cycle); + i_c_high[2] = counter_high (output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + i_c_high[3] = counter_high (output_counter_value(i_clk3_div_by, + i_clk3_mult_by, i_m, i_n), clk3_duty_cycle); + i_c_high[4] = counter_high (output_counter_value(i_clk4_div_by, + i_clk4_mult_by, i_m, i_n), clk4_duty_cycle); + i_c_high[5] = counter_high (output_counter_value(i_clk5_div_by, + i_clk5_mult_by, i_m, i_n), clk5_duty_cycle); + + i_c_low[0] = counter_low (output_counter_value(i_clk0_div_by, + i_clk0_mult_by, i_m, i_n), clk0_duty_cycle); + i_c_low[1] = counter_low (output_counter_value(i_clk1_div_by, + i_clk1_mult_by, i_m, i_n), clk1_duty_cycle); + i_c_low[2] = counter_low (output_counter_value(i_clk2_div_by, + i_clk2_mult_by, i_m, i_n), clk2_duty_cycle); + i_c_low[3] = counter_low (output_counter_value(i_clk3_div_by, + i_clk3_mult_by, i_m, i_n), clk3_duty_cycle); + i_c_low[4] = counter_low (output_counter_value(i_clk4_div_by, + i_clk4_mult_by, i_m, i_n), clk4_duty_cycle); + i_c_low[5] = counter_low (output_counter_value(i_clk5_div_by, + i_clk5_mult_by, i_m, i_n), clk5_duty_cycle); + + if (l_pll_type == "flvds") + begin + // Need to readjust phase shift values when the clock multiply value has been readjusted. + new_multiplier = clk0_multiply_by / i_clk0_mult_by; + i_clk0_phase_shift = (clk0_phase_shift_num * new_multiplier); + i_clk1_phase_shift = (clk1_phase_shift_num * new_multiplier); + i_clk2_phase_shift = (clk2_phase_shift_num * new_multiplier); + end + else + begin + i_clk0_phase_shift = get_int_phase_shift(clk0_phase_shift, clk0_phase_shift_num); + i_clk1_phase_shift = get_int_phase_shift(clk1_phase_shift, clk1_phase_shift_num); + i_clk2_phase_shift = get_int_phase_shift(clk2_phase_shift, clk2_phase_shift_num); + end + + max_neg_abs = maxnegabs ( i_clk0_phase_shift, + i_clk1_phase_shift, + i_clk2_phase_shift, + str2int(clk3_phase_shift), + str2int(clk4_phase_shift), + str2int(clk5_phase_shift), + 0, 0, 0, 0); + + i_c_initial[0] = counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs)), i_m, i_n); + i_c_initial[1] = counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs)), i_m, i_n); + i_c_initial[2] = counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + i_c_initial[3] = counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs)), i_m, i_n); + i_c_initial[4] = counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs)), i_m, i_n); + i_c_initial[5] = counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs)), i_m, i_n); + + i_c_mode[0] = counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n)); + i_c_mode[1] = counter_mode(clk1_duty_cycle,output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n)); + i_c_mode[2] = counter_mode(clk2_duty_cycle,output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n)); + i_c_mode[3] = counter_mode(clk3_duty_cycle,output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n)); + i_c_mode[4] = counter_mode(clk4_duty_cycle,output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n)); + i_c_mode[5] = counter_mode(clk5_duty_cycle,output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n)); + + i_m_ph = counter_ph(get_phase_degree(max_neg_abs), i_m, i_n); + i_m_initial = counter_initial(get_phase_degree(max_neg_abs), i_m, i_n); + + i_c_ph[0] = counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs)), i_m, i_n); + i_c_ph[1] = counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs)), i_m, i_n); + i_c_ph[2] = counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs)), i_m, i_n); + i_c_ph[3] = counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs)), i_m, i_n); + i_c_ph[4] = counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs)), i_m, i_n); + i_c_ph[5] = counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs)), i_m, i_n); + + // in external feedback mode, need to adjust M value to take + // into consideration the external feedback counter value + if (l_operation_mode == "external_feedback") + begin + // if there is a negative phase shift, m_initial can only be 1 + if (max_neg_abs > 0) + i_m_initial = 1; + + if (i_c_mode[ext_fbk_cntr_index] == "bypass") + output_count = 1; + else + output_count = i_c_high[ext_fbk_cntr_index] + i_c_low[ext_fbk_cntr_index]; + + if (i_m > output_count) + i_m = i_m / output_count; + else + begin + new_divisor = gcd(i_m, output_count); + i_m = i_m / new_divisor; + i_n = output_count / new_divisor; + end + end + + end + else + begin // m != 0 + + i_n = n; + i_m = m; + i_c_high[0] = c0_high; + i_c_high[1] = c1_high; + i_c_high[2] = c2_high; + i_c_high[3] = c3_high; + i_c_high[4] = c4_high; + i_c_high[5] = c5_high; + i_c_low[0] = c0_low; + i_c_low[1] = c1_low; + i_c_low[2] = c2_low; + i_c_low[3] = c3_low; + i_c_low[4] = c4_low; + i_c_low[5] = c5_low; + i_c_initial[0] = c0_initial; + i_c_initial[1] = c1_initial; + i_c_initial[2] = c2_initial; + i_c_initial[3] = c3_initial; + i_c_initial[4] = c4_initial; + i_c_initial[5] = c5_initial; + i_c_mode[0] = translate_string(alpha_tolower(c0_mode)); + i_c_mode[1] = translate_string(alpha_tolower(c1_mode)); + i_c_mode[2] = translate_string(alpha_tolower(c2_mode)); + i_c_mode[3] = translate_string(alpha_tolower(c3_mode)); + i_c_mode[4] = translate_string(alpha_tolower(c4_mode)); + i_c_mode[5] = translate_string(alpha_tolower(c5_mode)); + i_c_ph[0] = c0_ph; + i_c_ph[1] = c1_ph; + i_c_ph[2] = c2_ph; + i_c_ph[3] = c3_ph; + i_c_ph[4] = c4_ph; + i_c_ph[5] = c5_ph; + i_m_ph = m_ph; // default + i_m_initial = m_initial; + + end // user to advanced conversion + + refclk_period = inclk0_input_frequency * i_n; + + m_times_vco_period = refclk_period; + new_m_times_vco_period = refclk_period; + + fbclk_period = 0; + high_time = 0; + low_time = 0; + schedule_vco = 0; + vco_out[7:0] = 8'b0; + fbclk_last_value = 0; + offset = 0; + temp_offset = 0; + got_first_refclk = 0; + got_first_fbclk = 0; + fbclk_time = 0; + first_fbclk_time = 0; + refclk_time = 0; + first_schedule = 1; + sched_time = 0; + vco_val = 0; + c0_got_first_rising_edge = 0; + c1_got_first_rising_edge = 0; + vco_c0_last_value = 0; + c0_count = 2; + c0_initial_count = 1; + c1_count = 2; + c1_initial_count = 1; + c0_tmp = 0; + c1_tmp = 0; + gate_count = 0; + gate_out = 0; + initial_delay = 0; + fbk_phase = 0; + for (i = 0; i <= 7; i = i + 1) + begin + phase_shift[i] = 0; + last_phase_shift[i] = 0; + end + fbk_delay = 0; + inclk_n = 0; + cycle_to_adjust = 0; + m_delay = 0; + vco_c0 = 0; + vco_c1 = 0; + total_pull_back = 0; + pull_back_M = 0; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + ena_ipd_last_value = 0; + inclk_out_of_range = 0; + scandone_tmp = 0; + schedule_vco_last_value = 0; + + // set initial values for counter parameters + m_initial_val = i_m_initial; + m_val[0] = i_m; + n_val[0] = i_n; + m_ph_val = i_m_ph; + m_ph_val_orig = i_m_ph; + m_ph_val_tmp = i_m_ph; + m_val_tmp[0] = i_m; + + m_val[1] = m2; + n_val[1] = n2; + + if (m_val[0] == 1) + m_mode_val[0] = "bypass"; + else m_mode_val[0] = ""; + if (m_val[1] == 1) + m_mode_val[1] = "bypass"; + if (n_val[0] == 1) + n_mode_val[0] = "bypass"; + if (n_val[1] == 1) + n_mode_val[1] = "bypass"; + + for (i = 0; i < 6; i=i+1) + begin + c_high_val[i] = i_c_high[i]; + c_low_val[i] = i_c_low[i]; + c_initial_val[i] = i_c_initial[i]; + c_mode_val[i] = i_c_mode[i]; + c_ph_val[i] = i_c_ph[i]; + c_high_val_tmp[i] = i_c_high[i]; + c_low_val_tmp[i] = i_c_low[i]; + if (c_mode_val[i] == "bypass") + begin + if (l_pll_type == "fast" || l_pll_type == "lvds") + begin + c_high_val[i] = 5'b10000; + c_low_val[i] = 5'b10000; + c_high_val_tmp[i] = 5'b10000; + c_low_val_tmp[i] = 5'b10000; + end + else begin + c_high_val[i] = 9'b100000000; + c_low_val[i] = 9'b100000000; + c_high_val_tmp[i] = 9'b100000000; + c_low_val_tmp[i] = 9'b100000000; + end + end + + c_mode_val_tmp[i] = i_c_mode[i]; + c_ph_val_tmp[i] = i_c_ph[i]; + + c_ph_val_orig[i] = i_c_ph[i]; + c_high_val_hold[i] = i_c_high[i]; + c_low_val_hold[i] = i_c_low[i]; + c_mode_val_hold[i] = i_c_mode[i]; + end + + lfc_val = loop_filter_c; + lfr_val = loop_filter_r; + cp_curr_val = charge_pump_current; + + i = 0; + j = 0; + inclk_last_value = 0; + + ext_fbk_cntr_ph = 0; + ext_fbk_cntr_initial = 1; + + // initialize clkswitch variables + + clk0_is_bad = 0; + clk1_is_bad = 0; + inclk0_last_value = 0; + inclk1_last_value = 0; + other_clock_value = 0; + other_clock_last_value = 0; + primary_clk_is_bad = 0; + current_clk_is_bad = 0; + external_switch = 0; + current_clock = l_primary_clock; + active_clock = 0; // primary_clk is always inclk0 + if (l_pll_type == "fast") + l_switch_over_type = "manual"; + + if (l_switch_over_type == "manual" && clkswitch_ipd === 1'b1) + begin + current_clock = "inclk1"; + active_clock = 1; + end + clkloss_tmp = 0; + got_curr_clk_falling_edge_after_clkswitch = 0; + clk0_count = 0; + clk1_count = 0; + switch_over_count = 0; + + // initialize reconfiguration variables + // quiet_time + quiet_time = slowest_clk ( c_high_val[0]+c_low_val[0], c_mode_val[0], + c_high_val[1]+c_low_val[1], c_mode_val[1], + c_high_val[2]+c_low_val[2], c_mode_val[2], + c_high_val[3]+c_low_val[3], c_mode_val[3], + c_high_val[4]+c_low_val[4], c_mode_val[4], + c_high_val[5]+c_low_val[5], c_mode_val[5], + refclk_period, m_val[0]); + reconfig_err = 0; + error = 0; + scanread_active_edge = 0; + if ((l_pll_type == "fast") || (l_pll_type == "lvds")) + begin + scan_chain_length = FAST_SCAN_CHAIN; + num_output_cntrs = 4; + end + else + begin + scan_chain_length = GPP_SCAN_CHAIN; + num_output_cntrs = 6; + end + scanread_reg = 0; + scanwrite_reg = 0; + scanwrite_enabled = 0; + c0_rising_edge_transfer_done = 0; + c1_rising_edge_transfer_done = 0; + c2_rising_edge_transfer_done = 0; + c3_rising_edge_transfer_done = 0; + c4_rising_edge_transfer_done = 0; + c5_rising_edge_transfer_done = 0; + got_first_scanclk = 0; + got_first_gated_scanclk = 0; + gated_scanclk = 1; + scanread_setup_violation = 0; + index = 0; + + // initialize the scan_chain contents + // CP/LF bits + scan_data[11:0] = 12'b0; + for (i = 0; i <= 3; i = i + 1) + begin + if ((l_pll_type == "fast") || (l_pll_type == "lvds")) + begin + if (fpll_loop_filter_c_arr[i] == loop_filter_c) + scan_data[11:10] = i; + end + else begin + if (loop_filter_c_arr[i] == loop_filter_c) + scan_data[11:10] = i; + end + end + for (i = 0; i <= 15; i = i + 1) + begin + if (charge_pump_curr_arr[i] == charge_pump_current) + scan_data[3:0] = i; + end + for (i = 0; i <= 39; i = i + 1) + begin + if (loop_filter_r_arr[i] == loop_filter_r) + begin + if ((i >= 16) && (i <= 23)) + scan_data[9:4] = i+8; + else if ((i >= 24) && (i <= 31)) + scan_data[9:4] = i+16; + else if (i >= 32) + scan_data[9:4] = i+24; + else + scan_data[9:4] = i; + end + end + + if (l_pll_type == "fast" || l_pll_type == "lvds") + begin + scan_data[21:12] = 10'b0; // M, C3-C0 ph + // C0-C3 high + scan_data[25:22] = c_high_val[0]; + scan_data[35:32] = c_high_val[1]; + scan_data[45:42] = c_high_val[2]; + scan_data[55:52] = c_high_val[3]; + // C0-C3 low + scan_data[30:27] = c_low_val[0]; + scan_data[40:37] = c_low_val[1]; + scan_data[50:47] = c_low_val[2]; + scan_data[60:57] = c_low_val[3]; + // C0-C3 mode + for (i = 0; i < 4; i = i + 1) + begin + if (c_mode_val[i] == " off" || c_mode_val[i] == "bypass") + begin + scan_data[26 + (10*i)] = 1; + if (c_mode_val[i] == " off") + scan_data[31 + (10*i)] = 1; + else + scan_data[31 + (10*i)] = 0; + end + else begin + scan_data[26 + (10*i)] = 0; + if (c_mode_val[i] == " odd") + scan_data[31 + (10*i)] = 1; + else + scan_data[31 + (10*i)] = 0; + end + end + // M + if (m_mode_val[0] == "bypass") + begin + scan_data[66] = 1; + scan_data[71] = 0; + scan_data[65:62] = 4'b0; + scan_data[70:67] = 4'b0; + end + else begin + scan_data[66] = 0; // set BYPASS bit to 0 + scan_data[70:67] = m_val[0]/2; // set M low + if (m_val[0] % 2 == 0) + begin + // M is an even no. : set M high = low, + // set odd/even bit to 0 + scan_data[65:62] = scan_data[70:67]; + scan_data[71] = 0; + end + else begin // M is odd : M high = low + 1 + scan_data[65:62] = (m_val[0]/2) + 1; + scan_data[71] = 1; + end + end + // N + scan_data[73:72] = n_val[0]; + if (n_mode_val[0] == "bypass") + begin + scan_data[74] = 1; + scan_data[73:72] = 2'b0; + end + end + else begin // PLL type is enhanced/auto + scan_data[25:12] = 14'b0; + + // C5-C0 high + scan_data[33:26] = c_high_val[5]; + scan_data[51:44] = c_high_val[4]; + scan_data[69:62] = c_high_val[3]; + scan_data[87:80] = c_high_val[2]; + scan_data[105:98] = c_high_val[1]; + scan_data[123:116] = c_high_val[0]; + // C5-C0 low + scan_data[42:35] = c_low_val[5]; + scan_data[60:53] = c_low_val[4]; + scan_data[78:71] = c_low_val[3]; + scan_data[96:89] = c_low_val[2]; + scan_data[114:107] = c_low_val[1]; + scan_data[132:125] = c_low_val[0]; + + for (i = 5; i >= 0; i = i - 1) + begin + if (c_mode_val[i] == " off" || c_mode_val[i] == "bypass") + begin + scan_data[124 - (18*i)] = 1; + if (c_mode_val[i] == " off") + scan_data[133 - (18*i)] = 1; + else + scan_data[133 - (18*i)] = 0; + end + else begin + scan_data[124 - (18*i)] = 0; + if (c_mode_val[i] == " odd") + scan_data[133 - (18*i)] = 1; + else + scan_data[133 - (18*i)] = 0; + end + end + + scan_data[142:134] = m_val[0]; + scan_data[143] = 0; + scan_data[152:144] = m_val[1]; + scan_data[153] = 0; + if (m_mode_val[0] == "bypass") + begin + scan_data[143] = 1; + scan_data[142:134] = 9'b0; + end + if (m_mode_val[1] == "bypass") + begin + scan_data[153] = 1; + scan_data[152:144] = 9'b0; + end + + scan_data[162:154] = n_val[0]; + scan_data[172:164] = n_val[1]; + if (n_mode_val[0] == "bypass") + begin + scan_data[163] = 1; + scan_data[162:154] = 9'b0; + end + if (n_mode_val[1] == "bypass") + begin + scan_data[173] = 1; + scan_data[172:164] = 9'b0; + end + end + + // now save this counter's parameters + ext_fbk_cntr_high = c_high_val[ext_fbk_cntr_index]; + ext_fbk_cntr_low = c_low_val[ext_fbk_cntr_index]; + ext_fbk_cntr_ph = c_ph_val[ext_fbk_cntr_index]; + ext_fbk_cntr_initial = c_initial_val[ext_fbk_cntr_index]; + ext_fbk_cntr_mode = c_mode_val[ext_fbk_cntr_index]; + + if (ext_fbk_cntr_mode == "bypass") + ext_fbk_cntr_modulus = 1; + else + ext_fbk_cntr_modulus = ext_fbk_cntr_high + ext_fbk_cntr_low; + + l_index = 1; + stop_vco = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + locked_tmp = 0; + pll_is_locked = 0; + pll_about_to_lock = 0; + no_warn = 1'b0; + + // check if pll is in test mode + if (m_test_source != 5 || c0_test_source != 5 || c1_test_source != 5 || c2_test_source != 5 || c3_test_source != 5 || c4_test_source != 5 || c5_test_source != 5) + pll_in_test_mode = 1'b1; + else + pll_in_test_mode = 1'b0; + + + pll_is_in_reset = 0; + end + + always @(clkswitch_ipd) + begin + if (clkswitch_ipd === 1'b1 && l_switch_over_type == "auto") + external_switch = 1; + else if (l_switch_over_type == "manual") + begin + if (clkswitch_ipd === 1'b1) + begin + current_clock = "inclk1"; + active_clock = 1; + inclk_n = inclk1_ipd; + end + else if (clkswitch_ipd === 1'b0) + begin + current_clock = "inclk0"; + active_clock = 0; + inclk_n = inclk0_ipd; + end + end + end + + always @(inclk0_ipd or inclk1_ipd) + begin + // save the inclk event value + if (inclk0_ipd !== inclk0_last_value) + begin + if (current_clock !== "inclk0") + other_clock_value = inclk0_ipd; + end + if (inclk1_ipd !== inclk1_last_value) + begin + if (current_clock !== "inclk1") + other_clock_value = inclk1_ipd; + end + + // check if either input clk is bad + if (inclk0_ipd === 1'b1 && inclk0_ipd !== inclk0_last_value) + begin + clk0_count = clk0_count + 1; + clk0_is_bad = 0; + clk1_count = 0; + if (clk0_count > 2) + begin + // no event on other clk for 2 cycles + clk1_is_bad = 1; + if (current_clock == "inclk1") + current_clk_is_bad = 1; + end + end + if (inclk1_ipd === 1'b1 && inclk1_ipd !== inclk1_last_value) + begin + clk1_count = clk1_count + 1; + clk1_is_bad = 0; + clk0_count = 0; + if (clk1_count > 2) + begin + // no event on other clk for 2 cycles + clk0_is_bad = 1; + if (current_clock == "inclk0") + current_clk_is_bad = 1; + end + end + + // check if the bad clk is the primary clock, which is always clk0 + if (clk0_is_bad == 1'b1) + primary_clk_is_bad = 1; + else + primary_clk_is_bad = 0; + + // actual switching -- manual switch + if ((inclk0_ipd !== inclk0_last_value) && current_clock == "inclk0") + begin + if (external_switch == 1'b1) + begin + if (!got_curr_clk_falling_edge_after_clkswitch) + begin + if (inclk0_ipd === 1'b0) + got_curr_clk_falling_edge_after_clkswitch = 1; + inclk_n = inclk0_ipd; + end + end + else inclk_n = inclk0_ipd; + end + if ((inclk1_ipd !== inclk1_last_value) && current_clock == "inclk1") + begin + if (external_switch == 1'b1) + begin + if (!got_curr_clk_falling_edge_after_clkswitch) + begin + if (inclk1_ipd === 1'b0) + got_curr_clk_falling_edge_after_clkswitch = 1; + inclk_n = inclk1_ipd; + end + end + else inclk_n = inclk1_ipd; + end + + // actual switching -- automatic switch + if ((other_clock_value == 1'b1) && (other_clock_value != other_clock_last_value) && (l_switch_over_on_lossclk == "on") && l_enable_switch_over_counter == "on" && primary_clk_is_bad) + switch_over_count = switch_over_count + 1; + + if ((other_clock_value == 1'b0) && (other_clock_value != other_clock_last_value)) + begin + if ((external_switch && (got_curr_clk_falling_edge_after_clkswitch || current_clk_is_bad)) || (l_switch_over_on_lossclk == "on" && primary_clk_is_bad && l_pll_type !== "fast" && l_pll_type !== "lvds" && ((l_enable_switch_over_counter == "off" || switch_over_count == switch_over_counter)))) + begin + got_curr_clk_falling_edge_after_clkswitch = 0; + if (current_clock == "inclk0") + current_clock = "inclk1"; + else + current_clock = "inclk0"; + active_clock = ~active_clock; + switch_over_count = 0; + external_switch = 0; + current_clk_is_bad = 0; + end + end + + if (l_switch_over_on_lossclk == "on" && (clkswitch_ipd != 1'b1)) + begin + if (primary_clk_is_bad) + clkloss_tmp = 1; + else + clkloss_tmp = 0; + end + else clkloss_tmp = clkswitch_ipd; + + inclk0_last_value = inclk0_ipd; + inclk1_last_value = inclk1_ipd; + other_clock_last_value = other_clock_value; + + end + + and (clkbad[0], clk0_is_bad, 1'b1); + and (clkbad[1], clk1_is_bad, 1'b1); + and (activeclock, active_clock, 1'b1); + and (clkloss, clkloss_tmp, 1'b1); + + MF_pll_reg ena_reg ( .clk(!inclk_n), + .ena(1'b1), + .d(ena_ipd), + .clrn(1'b1), + .prn(1'b1), + .q(pllena_reg)); + + and (test_mode_inclk, inclk_n, pllena_reg); + assign n_cntr_inclk = (pll_in_test_mode === 1'b1) ? test_mode_inclk : inclk_n; + assign ena_pll = (pll_in_test_mode === 1'b1) ? pllena_reg : ena_ipd; + + assign inclk_m = (m_test_source == 0) ? n_cntr_inclk : l_operation_mode == "external_feedback" ? (l_feedback_source == "clk0" ? clk0_tmp : + l_feedback_source == "clk1" ? clk1_tmp : + l_feedback_source == "clk2" ? clk2_tmp : + l_feedback_source == "clk3" ? clk3_tmp : + l_feedback_source == "clk4" ? clk4_tmp : + l_feedback_source == "clk5" ? clk5_tmp : 'b0) : + inclk_m_from_vco; + + + arm_m_cntr m1 (.clk(inclk_m), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(fbclk), + .initial_value(m_initial_val), + .modulus(m_val[0]), + .time_delay(m_delay)); + + arm_n_cntr n1 (.clk(n_cntr_inclk), + .reset(areset_ipd), + .cout(refclk), + .modulus(n_val[0])); + + + + always @(vco_out) + begin + // check which VCO TAP has event + for (x = 0; x <= 7; x = x + 1) + begin + if (vco_out[x] !== vco_out_last_value[x]) + begin + if (c_ph_val[0] == x) + begin + inclk_c0_from_vco <= vco_out[x]; + if (enable0_counter == "c0") + inclk_sclkout0_from_vco <= vco_out[x]; + if (enable1_counter == "c0") + inclk_sclkout1_from_vco <= vco_out[x]; + end + if (c_ph_val[1] == x) + begin + inclk_c1_from_vco <= vco_out[x]; + if (enable0_counter == "c1") + inclk_sclkout0_from_vco <= vco_out[x]; + if (enable1_counter == "c1") + inclk_sclkout1_from_vco <= vco_out[x]; + end + if (c_ph_val[2] == x) + inclk_c2_from_vco <= vco_out[x]; + if (c_ph_val[3] == x) + inclk_c3_from_vco <= vco_out[x]; + if (c_ph_val[4] == x) + inclk_c4_from_vco <= vco_out[x]; + if (c_ph_val[5] == x) + inclk_c5_from_vco <= vco_out[x]; + if (m_ph_val == x) + inclk_m_from_vco <= vco_out[x]; + end + end + if (scanwrite_enabled === 1'b1) + begin + for (x = 0; x <= 7; x = x + 1) + begin + if ((vco_out[x] === 1'b0) && (vco_out[x] !== vco_out_last_value[x])) + begin + for (y = 0; y <= 5; y = y + 1) + begin + if (c_ph_val[y] == x) + c_ph_val[y] <= c_ph_val_tmp[y]; + end + if (m_ph_val == x) + m_ph_val <= m_ph_val_tmp; + end + end + end + + // reset all counter phase tap values to POF programmed values + if (areset_ipd === 1'b1) + begin + m_ph_val <= m_ph_val_orig; + m_ph_val_tmp <= m_ph_val_orig; + for (i=0; i<= 5; i=i+1) + begin + c_ph_val[i] <= c_ph_val_orig[i]; + c_ph_val_tmp[i] <= c_ph_val_orig[i]; + end + end + + vco_out_last_value = vco_out; + end + + always @(inclk_sclkout0_from_vco) + begin + sclkout0_tmp <= inclk_sclkout0_from_vco; + end + always @(inclk_sclkout1_from_vco) + begin + sclkout1_tmp <= inclk_sclkout1_from_vco; + end + + assign inclk_c0 = (c0_test_source == 0) ? n_cntr_inclk : (c0_test_source == 1) ? refclk : inclk_c0_from_vco; + + arm_scale_cntr c0 (.clk(inclk_c0), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c0_clk), + .high(c_high_val[0]), + .low(c_low_val[0]), + .initial_value(c_initial_val[0]), + .mode(c_mode_val[0]), + .ph_tap(c_ph_val[0])); + + always @(posedge c0_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[0] <= c_high_val_tmp[0]; + c_mode_val_hold[0] <= c_mode_val_tmp[0]; + c_high_val[0] <= c_high_val_hold[0]; + c_mode_val[0] <= c_mode_val_hold[0]; + c0_rising_edge_transfer_done = 1; + end + end + always @(negedge c0_clk) + begin + if (c0_rising_edge_transfer_done) + begin + c_low_val_hold[0] <= c_low_val_tmp[0]; + c_low_val[0] <= c_low_val_hold[0]; + end + end + + assign inclk_c1 = (c1_test_source == 0) ? n_cntr_inclk : (c1_test_source == 2) ? fbclk : (c1_use_casc_in == "on") ? c0_clk : inclk_c1_from_vco; + + arm_scale_cntr c1 (.clk(inclk_c1), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c1_clk), + .high(c_high_val[1]), + .low(c_low_val[1]), + .initial_value(c_initial_val[1]), + .mode(c_mode_val[1]), + .ph_tap(c_ph_val[1])); + + always @(posedge c1_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[1] <= c_high_val_tmp[1]; + c_mode_val_hold[1] <= c_mode_val_tmp[1]; + c_high_val[1] <= c_high_val_hold[1]; + c_mode_val[1] <= c_mode_val_hold[1]; + c1_rising_edge_transfer_done = 1; + end + end + always @(negedge c1_clk) + begin + if (c1_rising_edge_transfer_done) + begin + c_low_val_hold[1] <= c_low_val_tmp[1]; + c_low_val[1] <= c_low_val_hold[1]; + end + end + + assign inclk_c2 = (c2_test_source == 0) ? n_cntr_inclk : (c2_use_casc_in == "on") ? c1_clk : inclk_c2_from_vco; + + arm_scale_cntr c2 (.clk(inclk_c2), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c2_clk), + .high(c_high_val[2]), + .low(c_low_val[2]), + .initial_value(c_initial_val[2]), + .mode(c_mode_val[2]), + .ph_tap(c_ph_val[2])); + + always @(posedge c2_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[2] <= c_high_val_tmp[2]; + c_mode_val_hold[2] <= c_mode_val_tmp[2]; + c_high_val[2] <= c_high_val_hold[2]; + c_mode_val[2] <= c_mode_val_hold[2]; + c2_rising_edge_transfer_done = 1; + end + end + always @(negedge c2_clk) + begin + if (c2_rising_edge_transfer_done) + begin + c_low_val_hold[2] <= c_low_val_tmp[2]; + c_low_val[2] <= c_low_val_hold[2]; + end + end + + assign inclk_c3 = (c3_test_source == 0) ? n_cntr_inclk : (c3_use_casc_in == "on") ? c2_clk : inclk_c3_from_vco; + arm_scale_cntr c3 (.clk(inclk_c3), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c3_clk), + .high(c_high_val[3]), + .low(c_low_val[3]), + .initial_value(c_initial_val[3]), + .mode(c_mode_val[3]), + .ph_tap(c_ph_val[3])); + + always @(posedge c3_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[3] <= c_high_val_tmp[3]; + c_mode_val_hold[3] <= c_mode_val_tmp[3]; + c_high_val[3] <= c_high_val_hold[3]; + c_mode_val[3] <= c_mode_val_hold[3]; + c3_rising_edge_transfer_done = 1; + end + end + always @(negedge c3_clk) + begin + if (c3_rising_edge_transfer_done) + begin + c_low_val_hold[3] <= c_low_val_tmp[3]; + c_low_val[3] <= c_low_val_hold[3]; + end + end + + assign inclk_c4 = (c4_test_source == 0) ? n_cntr_inclk : (c4_use_casc_in == "on") ? c3_clk : inclk_c4_from_vco; + arm_scale_cntr c4 (.clk(inclk_c4), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c4_clk), + .high(c_high_val[4]), + .low(c_low_val[4]), + .initial_value(c_initial_val[4]), + .mode(c_mode_val[4]), + .ph_tap(c_ph_val[4])); + + always @(posedge c4_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[4] <= c_high_val_tmp[4]; + c_mode_val_hold[4] <= c_mode_val_tmp[4]; + c_high_val[4] <= c_high_val_hold[4]; + c_mode_val[4] <= c_mode_val_hold[4]; + c4_rising_edge_transfer_done = 1; + end + end + always @(negedge c4_clk) + begin + if (c4_rising_edge_transfer_done) + begin + c_low_val_hold[4] <= c_low_val_tmp[4]; + c_low_val[4] <= c_low_val_hold[4]; + end + end + + assign inclk_c5 = (c5_test_source == 0) ? n_cntr_inclk : (c5_use_casc_in == "on") ? c4_clk : inclk_c5_from_vco; + arm_scale_cntr c5 (.clk(inclk_c5), + .reset(areset_ipd || (!ena_pll) || stop_vco), + .cout(c5_clk), + .high(c_high_val[5]), + .low(c_low_val[5]), + .initial_value(c_initial_val[5]), + .mode(c_mode_val[5]), + .ph_tap(c_ph_val[5])); + + always @(posedge c5_clk) + begin + if (scanwrite_enabled == 1'b1) + begin + c_high_val_hold[5] <= c_high_val_tmp[5]; + c_mode_val_hold[5] <= c_mode_val_tmp[5]; + c_high_val[5] <= c_high_val_hold[5]; + c_mode_val[5] <= c_mode_val_hold[5]; + c5_rising_edge_transfer_done = 1; + end + end + always @(negedge c5_clk) + begin + if (c5_rising_edge_transfer_done) + begin + c_low_val_hold[5] <= c_low_val_tmp[5]; + c_low_val[5] <= c_low_val_hold[5]; + end + end + + always @(vco_out[c_ph_val[0]] or posedge areset_ipd or negedge ena_pll or stop_vco) + begin + if (areset_ipd == 1'b1 || ena_pll == 1'b0 || stop_vco == 1'b1) + begin + c0_count = 2; + c0_initial_count = 1; + c0_got_first_rising_edge = 0; + + end + else begin + if (c0_got_first_rising_edge == 1'b0) + begin + if (vco_out[c_ph_val[0]] == 1'b1 && vco_out[c_ph_val[0]] != vco_c0_last_value) + begin + if (c0_initial_count == c_initial_val[0]) + c0_got_first_rising_edge = 1; + else + c0_initial_count = c0_initial_count + 1; + end + end + else if (vco_out[c_ph_val[0]] != vco_c0_last_value) + begin + c0_count = c0_count + 1; + if (c0_count == (c_high_val[0] + c_low_val[0]) * 2) + c0_count = 1; + end + if (vco_out[c_ph_val[0]] == 1'b0 && vco_out[c_ph_val[0]] != vco_c0_last_value) + begin + if (c0_count == 1) + begin + c0_tmp = 1; + c0_got_first_rising_edge = 0; + end + else c0_tmp = 0; + end + end + vco_c0_last_value = vco_out[c_ph_val[0]]; + end + + always @(vco_out[c_ph_val[1]] or posedge areset_ipd or negedge ena_pll or stop_vco) + begin + if (areset_ipd == 1'b1 || ena_pll == 1'b0 || stop_vco == 1'b1) + begin + c1_count = 2; + c1_initial_count = 1; + c1_got_first_rising_edge = 0; + end + else begin + if (c1_got_first_rising_edge == 1'b0) + begin + if (vco_out[c_ph_val[1]] == 1'b1 && vco_out[c_ph_val[1]] != vco_c1_last_value) + begin + if (c1_initial_count == c_initial_val[1]) + c1_got_first_rising_edge = 1; + else + c1_initial_count = c1_initial_count + 1; + end + end + else if (vco_out[c_ph_val[1]] != vco_c1_last_value) + begin + c1_count = c1_count + 1; + if (c1_count == (c_high_val[1] + c_low_val[1]) * 2) + c1_count = 1; + end + if (vco_out[c_ph_val[1]] == 1'b0 && vco_out[c_ph_val[1]] != vco_c1_last_value) + begin + if (c1_count == 1) + begin + c1_tmp = 1; + c1_got_first_rising_edge = 0; + end + else c1_tmp = 0; + end + end + vco_c1_last_value = vco_out[c_ph_val[1]]; + end + + assign enable0_tmp = (l_enable0_counter == "c0") ? c0_tmp : c1_tmp; + assign enable1_tmp = (l_enable1_counter == "c0") ? c0_tmp : c1_tmp; + + always @ (inclk_n or ena_pll or areset_ipd) + begin + if (areset_ipd == 1'b1 || ena_pll == 1'b0) + begin + gate_count = 0; + gate_out = 0; + end + else if (inclk_n == 'b1 && inclk_last_value != inclk_n) + begin + gate_count = gate_count + 1; + if (gate_count == gate_lock_counter) + gate_out = 1; + end + inclk_last_value = inclk_n; + end + + assign locked = (l_gate_lock_signal == "yes") ? gate_out && locked_tmp : locked_tmp; + + always @(posedge scanread_ipd) + begin + scanread_active_edge = $time; + end + + always @ (scanclk_ipd) + begin + if (scanclk_ipd === 1'b0 && scanclk_last_value === 1'b1) + begin + // enable scanwrite on falling edge + scanwrite_enabled <= scanwrite_reg; + end + if (scanread_reg === 1'b1) + gated_scanclk <= scanclk_ipd && scanread_reg; + else + gated_scanclk <= 1'b1; + if (scanclk_ipd === 1'b1 && scanclk_last_value === 1'b0) + begin + // register scanread and scanwrite + scanread_reg <= scanread_ipd; + scanwrite_reg <= scanwrite_ipd; + + if (got_first_scanclk) + scanclk_period = $time - scanclk_last_rising_edge; + else begin + got_first_scanclk = 1; + end + // reset got_first_scanclk on falling edge of scanread_reg + if (scanread_ipd == 1'b0 && scanread_reg == 1'b1) + begin + got_first_scanclk = 0; + got_first_gated_scanclk = 0; + end + + scanclk_last_rising_edge = $time; + end + scanclk_last_value = scanclk_ipd; + end + + always @(posedge gated_scanclk) + begin + if ($time > 0) + begin + if (!got_first_gated_scanclk) + begin + got_first_gated_scanclk = 1; +// if ($time - scanread_active_edge < scanclk_period) +// begin +// scanread_setup_violation = 1; +// $display("Warning : SCANREAD must go high at least one cycle before SCANDATA is read in."); +// $display ("Time: %0t Instance: %m", $time); +// end + end + for (j = scan_chain_length-1; j >= 1; j = j - 1) + begin + scan_data[j] = scan_data[j - 1]; + end + scan_data[0] <= scandata_ipd; + end + end + + assign scandataout_tmp = (l_pll_type == "fast" || l_pll_type == "lvds") ? scan_data[FAST_SCAN_CHAIN-1] : scan_data[GPP_SCAN_CHAIN-1]; + + always @(posedge scandone_tmp) + begin + if (reconfig_err == 1'b0) + begin + $display("NOTE : PLL Reprogramming completed with the following values (Values in parantheses are original values) : "); + $display ("Time: %0t Instance: %m", $time); + + $display(" N modulus = %0d (%0d) ", n_val[0], n_val_old[0]); + $display(" M modulus = %0d (%0d) ", m_val[0], m_val_old[0]); + $display(" M ph_tap = %0d (%0d) ", m_ph_val, m_ph_val_old); + if (ss > 0) + begin + $display(" M2 modulus = %0d (%0d) ", m_val[1], m_val_old[1]); + $display(" N2 modulus = %0d (%0d) ", n_val[1], n_val_old[1]); + end + + for (i = 0; i < num_output_cntrs; i=i+1) + begin + $display(" C%0d high = %0d (%0d), C%0d low = %0d (%0d), C%0d mode = %s (%s), C%0d phase tap = %0d (%0d)", i, c_high_val[i], c_high_val_old[i], i, c_low_val_tmp[i], c_low_val_old[i], i, c_mode_val[i], c_mode_val_old[i], i, c_ph_val[i], c_ph_val_old[i]); + end + + // display Charge pump and loop filter values + $display (" Charge Pump Current (uA) = %0d (%0d) ", cp_curr_val, cp_curr_old); + $display (" Loop Filter Capacitor (pF) = %0d (%0d) ", lfc_val, lfc_old); + $display (" Loop Filter Resistor (Kohm) = %s (%s) ", lfr_val, lfr_old); + end + else begin + $display("Warning : Errors were encountered during PLL reprogramming. Please refer to error/warning messages above."); + $display ("Time: %0t Instance: %m", $time); + end + end + + always @(scanwrite_enabled) + begin + if (scanwrite_enabled === 1'b0 && scanwrite_last_value === 1'b1) + begin + // falling edge : deassert scandone + scandone_tmp <= #(1.5*scanclk_period) 1'b0; + // reset counter transfer flags + c0_rising_edge_transfer_done = 0; + c1_rising_edge_transfer_done = 0; + c2_rising_edge_transfer_done = 0; + c3_rising_edge_transfer_done = 0; + c4_rising_edge_transfer_done = 0; + c5_rising_edge_transfer_done = 0; + end + if (scanwrite_enabled === 1'b1 && scanwrite_last_value !== scanwrite_enabled) + begin + + $display ("NOTE : PLL Reprogramming initiated ...."); + $display ("Time: %0t Instance: %m", $time); + + error = 0; + reconfig_err = 0; + scanread_setup_violation = 0; + + // make temp. copy of scan_data for processing + tmp_scan_data = scan_data; + + // save old values + cp_curr_old = cp_curr_val; + lfc_old = lfc_val; + lfr_old = lfr_val; + + // CP + // Bits 0-3 : all values are legal + cp_curr_val = charge_pump_curr_arr[scan_data[3:0]]; + + // LF Resistance : bits 4-9 + // values from 010000 - 010111, 100000 - 100111, + // 110000- 110111 are illegal + if (((tmp_scan_data[9:4] >= 6'b010000) && (tmp_scan_data[9:4] <= 6'b010111)) || + ((tmp_scan_data[9:4] >= 6'b100000) && (tmp_scan_data[9:4] <= 6'b100111)) || + ((tmp_scan_data[9:4] >= 6'b110000) && (tmp_scan_data[9:4] <= 6'b110111))) + begin + $display ("Illegal bit settings for Loop Filter Resistance. Legal bit values range from 000000 to 001111, 011000 to 011111, 101000 to 101111 and 111000 to 111111. Reconfiguration may not work."); + reconfig_err = 1; + end + else begin + i = scan_data[9:4]; + if (i >= 56 ) + i = i - 24; + else if ((i >= 40) && (i <= 47)) + i = i - 16; + else if ((i >= 24) && (i <= 31)) + i = i - 8; + lfr_val = loop_filter_r_arr[i]; + end + + // LF Capacitance : bits 10,11 : all values are legal + if ((l_pll_type == "fast") || (l_pll_type == "lvds")) + lfc_val = fpll_loop_filter_c_arr[scan_data[11:10]]; + else + lfc_val = loop_filter_c_arr[scan_data[11:10]]; + + // save old values for display info. + for (i=0; i<=1; i=i+1) + begin + m_val_old[i] = m_val[i]; + n_val_old[i] = n_val[i]; + m_mode_val_old[i] = m_mode_val[i]; + n_mode_val_old[i] = n_mode_val[i]; + end + m_ph_val_old = m_ph_val; + for (i=0; i<=5; i=i+1) + begin + c_high_val_old[i] = c_high_val[i]; + c_low_val_old[i] = c_low_val[i]; + c_ph_val_old[i] = c_ph_val[i]; + c_mode_val_old[i] = c_mode_val[i]; + end + + // first the M counter phase : bit order same for fast and GPP + if (scan_data[12] == 1'b0) + begin + // do nothing + end + else if (scan_data[12] === 1'b1 && scan_data[13] === 1'b1) + begin + m_ph_val_tmp = m_ph_val_tmp + 1; + if (m_ph_val_tmp > 7) + m_ph_val_tmp = 0; + end + else if (scan_data[12] === 1'b1 && scan_data[13] === 1'b0) + begin + m_ph_val_tmp = m_ph_val_tmp - 1; + if (m_ph_val_tmp < 0) + m_ph_val_tmp = 7; + end + else begin + $display ("Warning : Illegal bit settings for M counter phase tap. Reconfiguration may not work."); + reconfig_err = 1; + end + + // read the fast PLL bits. + if (l_pll_type == "fast" || l_pll_type == "lvds") + begin + // C3-C0 phase bits + for (i = 3; i >= 0; i=i-1) + begin + if (tmp_scan_data[14] == 1'b0) + begin + // do nothing + end + else if (tmp_scan_data[14] === 1'b1) + begin + if (tmp_scan_data[15] === 1'b1) + begin + c_ph_val_tmp[i] = c_ph_val_tmp[i] + 1; + if (c_ph_val_tmp[i] > 7) + c_ph_val_tmp[i] = 0; + end + else if (tmp_scan_data[15] === 1'b0) + begin + c_ph_val_tmp[i] = c_ph_val_tmp[i] - 1; + if (c_ph_val_tmp[i] < 0) + c_ph_val_tmp[i] = 7; + end + end + tmp_scan_data = tmp_scan_data >> 2; + end + // C0-C3 counter moduli + tmp_scan_data = scan_data; + for (i = 0; i < 4; i=i+1) + begin + if (tmp_scan_data[26] == 1'b1) + begin + c_mode_val_tmp[i] = "bypass"; + if (tmp_scan_data[31] === 1'b1) + begin + c_mode_val_tmp[i] = " off"; + $display("Warning : The specified bit settings will turn OFF the C%0d counter. It cannot be turned on unless the part is re-initialized.", i); + end + end + else if (tmp_scan_data[31] == 1'b1) + c_mode_val_tmp[i] = " odd"; + else + c_mode_val_tmp[i] = " even"; + if (tmp_scan_data[25:22] === 4'b0000) + c_high_val_tmp[i] = 5'b10000; + else + c_high_val_tmp[i] = tmp_scan_data[25:22]; + if (tmp_scan_data[30:27] === 4'b0000) + c_low_val_tmp[i] = 5'b10000; + else + c_low_val_tmp[i] = tmp_scan_data[30:27]; + + tmp_scan_data = tmp_scan_data >> 10; + end + // M + error = 0; + // some temporary storage + if (scan_data[65:62] == 4'b0000) + m_hi = 5'b10000; + else + m_hi = scan_data[65:62]; + + if (scan_data[70:67] == 4'b0000) + m_lo = 5'b10000; + else + m_lo = scan_data[70:67]; + + m_val_tmp[0] = m_hi + m_lo; + if (scan_data[66] === 1'b1) + begin + if (scan_data[71] === 1'b1) + begin + // this will turn off the M counter : error + reconfig_err = 1; + error = 1; + $display ("The specified bit settings will turn OFF the M counter. This is illegal. Reconfiguration may not work."); + end + else begin + // M counter is being bypassed + if (m_mode_val[0] !== "bypass") + begin + // Mode is switched : give warning + d_msg = display_msg(" M", 4); + end + m_val_tmp[0] = 32'b1; + m_mode_val[0] = "bypass"; + end + end + else begin + if (m_mode_val[0] === "bypass") + begin + // Mode is switched : give warning + d_msg = display_msg(" M", 1); + end + m_mode_val[0] = ""; + if (scan_data[71] === 1'b1) + begin + // odd : check for duty cycle, if not 50% -- error + if (m_hi - m_lo !== 1) + begin + reconfig_err = 1; + $display ("Warning : The M counter of the StratixII Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"); + end + end + else begin // even mode + if (m_hi !== m_lo) + begin + reconfig_err = 1; + $display ("Warning : The M counter of the StratixII Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"); + end + end + end + + // N + error = 0; + n_val[0] = scan_data[73:72]; + if (scan_data[74] !== 1'b1) + begin + if (scan_data[73:72] == 2'b01) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + d_msg = display_msg(" N", 2); + end + else if (scan_data[73:72] == 2'b00) + n_val[0] = 3'b100; + if (error == 1'b0) + begin + if (n_mode_val[0] === "bypass") + begin + // Mode is switched : give warning + d_msg = display_msg(" N", 1); + end + n_mode_val[0] = ""; + end + end + else if (scan_data[74] == 1'b1) // bypass + begin + if (scan_data[72] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + d_msg = display_msg(" N", 3); + end + else begin + if (n_mode_val[0] != "bypass") + begin + // Mode is switched : give warning + d_msg = display_msg(" N", 4); + end + n_val[0] = 2'b01; + n_mode_val[0] = "bypass"; + end + end + end + else begin // pll type is auto or enhanced + for (i = 0; i < 6; i=i+1) + begin + if (tmp_scan_data[124] == 1'b1) + begin + c_mode_val_tmp[i] = "bypass"; + if (tmp_scan_data[133] === 1'b1) + begin + c_mode_val_tmp[i] = " off"; + $display("Warning : The specified bit settings will turn OFF the C%0d counter. It cannot be turned on unless the part is re-initialized.", i); + end + end + else if (tmp_scan_data[133] == 1'b1) + c_mode_val_tmp[i] = " odd"; + else + c_mode_val_tmp[i] = " even"; + if (tmp_scan_data[123:116] === 8'b00000000) + c_high_val_tmp[i] = 9'b100000000; + else + c_high_val_tmp[i] = tmp_scan_data[123:116]; + if (tmp_scan_data[132:125] === 8'b00000000) + c_low_val_tmp[i] = 9'b100000000; + else + c_low_val_tmp[i] = tmp_scan_data[132:125]; + + tmp_scan_data = tmp_scan_data << 18; + end + + // the phase_taps + tmp_scan_data = scan_data; + for (i = 0; i < 6; i=i+1) + begin + if (tmp_scan_data[14] == 1'b0) + begin + // do nothing + end + else if (tmp_scan_data[14] === 1'b1) + begin + if (tmp_scan_data[15] === 1'b1) + begin + c_ph_val_tmp[i] = c_ph_val_tmp[i] + 1; + if (c_ph_val_tmp[i] > 7) + c_ph_val_tmp[i] = 0; + end + else if (tmp_scan_data[15] === 1'b0) + begin + c_ph_val_tmp[i] = c_ph_val_tmp[i] - 1; + if (c_ph_val_tmp[i] < 0) + c_ph_val_tmp[i] = 7; + end + end + tmp_scan_data = tmp_scan_data >> 2; + end + ext_fbk_cntr_high = c_high_val[ext_fbk_cntr_index]; + ext_fbk_cntr_low = c_low_val[ext_fbk_cntr_index]; + ext_fbk_cntr_ph = c_ph_val[ext_fbk_cntr_index]; + ext_fbk_cntr_mode = c_mode_val[ext_fbk_cntr_index]; + + // cntrs M/M2 + tmp_scan_data = scan_data; + for (i=0; i<2; i=i+1) + begin + if (i == 0 || (i == 1 && ss > 0)) + begin + error = 0; + m_val_tmp[i] = tmp_scan_data[142:134]; + if (tmp_scan_data[143] !== 1'b1) + begin + if (tmp_scan_data[142:134] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + if (i == 0) + d_msg = display_msg(" M", 2); + else + d_msg = display_msg("M2", 2); + end + else if (tmp_scan_data[142:134] == 9'b000000000) + m_val_tmp[i] = 10'b1000000000; + if (error == 1'b0) + begin + if (m_mode_val[i] === "bypass") + begin + // Mode is switched : give warning + if (i == 0) + d_msg = display_msg(" M", 1); + else + d_msg = display_msg("M2", 1); + end + m_mode_val[i] = ""; + end + end + else if (tmp_scan_data[143] == 1'b1) + begin + if (tmp_scan_data[134] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + if (i == 0) + d_msg = display_msg(" M", 3); + else + d_msg = display_msg("M2", 3); + end + else begin + if (m_mode_val[i] !== "bypass") + begin + // Mode is switched: give warning + if (i == 0) + d_msg = display_msg(" M", 4); + else + d_msg = display_msg("M2", 4); + end + m_val_tmp[i] = 10'b0000000001; + m_mode_val[i] = "bypass"; + end + end + end + tmp_scan_data = tmp_scan_data >> 10; + end + if (ss > 0) + begin + if (m_mode_val[0] != m_mode_val[1]) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Incompatible modes for M/M2 counters. Either both should be BYASSED or both NON-BYPASSED. Reconfiguration may not work."); + end + end + + // cntrs N/N2 + tmp_scan_data = scan_data; + for (i=0; i<2; i=i+1) + begin + if (i == 0 || (i == 1 && ss > 0)) + begin + error = 0; + n_val[i] = tmp_scan_data[162:154]; + if (tmp_scan_data[163] !== 1'b1) + begin + if (tmp_scan_data[162:154] == 9'b000000001) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + if (i == 0) + d_msg = display_msg(" N", 2); + else + d_msg = display_msg("N2", 2); + end + else if (tmp_scan_data[162:154] == 9'b000000000) + n_val[i] = 10'b1000000000; + if (error == 1'b0) + begin + if (n_mode_val[i] === "bypass") + begin + // Mode is switched : give warning + if (i == 0) + d_msg = display_msg(" N", 1); + else + d_msg = display_msg("N2", 1); + end + n_mode_val[i] = ""; + end + end + else if (tmp_scan_data[163] == 1'b1) // bypass + begin + if (tmp_scan_data[154] !== 1'b0) + begin + reconfig_err = 1; + error = 1; + // Cntr value is illegal : give warning + if (i == 0) + d_msg = display_msg(" N", 3); + else + d_msg = display_msg("N2", 3); + end + else begin + if (n_mode_val[i] != "bypass") + begin + // Mode is switched : give warning + if (i == 0) + d_msg = display_msg(" N", 4); + else + d_msg = display_msg("N2", 4); + end + n_val[i] = 10'b0000000001; + n_mode_val[i] = "bypass"; + end + end + end + tmp_scan_data = tmp_scan_data >> 10; + end + if (ss > 0) + begin + if (n_mode_val[0] != n_mode_val[1]) + begin + reconfig_err = 1; + error = 1; + $display ("Warning : Incompatible modes for N/N2 counters. Either both should be BYASSED or both NON-BYPASSED. Reconfiguration may not work."); + end + end + end + + slowest_clk_old = slowest_clk ( c_high_val[0]+c_low_val[0], c_mode_val[0], + c_high_val[1]+c_low_val[1], c_mode_val[1], + c_high_val[2]+c_low_val[2], c_mode_val[2], + c_high_val[3]+c_low_val[3], c_mode_val[3], + c_high_val[4]+c_low_val[4], c_mode_val[4], + c_high_val[5]+c_low_val[5], c_mode_val[5], + refclk_period, m_val[0]); + + slowest_clk_new = slowest_clk ( c_high_val_tmp[0]+c_low_val[0], c_mode_val_tmp[0], + c_high_val_tmp[1]+c_low_val[1], c_mode_val_tmp[1], + c_high_val_tmp[2]+c_low_val[2], c_mode_val_tmp[2], + c_high_val_tmp[3]+c_low_val[3], c_mode_val_tmp[3], + c_high_val_tmp[4]+c_low_val[4], c_mode_val_tmp[4], + c_high_val_tmp[5]+c_low_val[5], c_mode_val_tmp[5], + refclk_period, m_val[0]); + + quiet_time = (slowest_clk_new > slowest_clk_old) ? slowest_clk_new : slowest_clk_old; + + // get quiet time in terms of scanclk cycles + my_rem = quiet_time % scanclk_period; + scanclk_cycles = quiet_time/scanclk_period; + if (my_rem != 0) + scanclk_cycles = scanclk_cycles + 1; + + scandone_tmp <= #((scanclk_cycles+0.5) * scanclk_period) 1'b1; + end + + scanwrite_last_value = scanwrite_enabled; + end + + always @(schedule_vco or areset_ipd or ena_pll) + begin + sched_time = 0; + + for (i = 0; i <= 7; i=i+1) + last_phase_shift[i] = phase_shift[i]; + + cycle_to_adjust = 0; + l_index = 1; + m_times_vco_period = new_m_times_vco_period; + + // give appropriate messages + // if areset was asserted + if (areset_ipd === 1'b1 && areset_ipd_last_value !== areset_ipd) + begin + $display (" Note : PLL was reset"); + $display ("Time: %0t Instance: %m", $time); + // reset lock parameters + locked_tmp = 0; + pll_is_locked = 0; + pll_about_to_lock = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + end + + // if ena was deasserted + if (ena_pll == 1'b0 && ena_ipd_last_value !== ena_pll) + begin + $display (" Note : PLL was disabled"); + $display ("Time: %0t Instance: %m", $time); + end + + // illegal value on areset_ipd + if (areset_ipd === 1'bx && (areset_ipd_last_value === 1'b0 || areset_ipd_last_value === 1'b1)) + begin + $display("Warning : Illegal value 'X' detected on ARESET input"); + $display ("Time: %0t Instance: %m", $time); + end + + if ((schedule_vco !== schedule_vco_last_value) && (areset_ipd == 1'b1 || ena_pll == 1'b0 || stop_vco == 1'b1)) + begin + + if (areset_ipd === 1'b1) + pll_is_in_reset = 1; + + // drop VCO taps to 0 + for (i = 0; i <= 7; i=i+1) + begin + for (j = 0; j <= last_phase_shift[i] + 1; j=j+1) + vco_out[i] <= #(j) 1'b0; + phase_shift[i] = 0; + last_phase_shift[i] = 0; + end + + // reset lock parameters + locked_tmp = 0; + pll_is_locked = 0; + pll_about_to_lock = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + + got_first_refclk = 0; + got_second_refclk = 0; + refclk_time = 0; + got_first_fbclk = 0; + fbclk_time = 0; + first_fbclk_time = 0; + fbclk_period = 0; + + first_schedule = 1; + vco_val = 0; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + + // reset all counter phase tap values to POF programmed values + m_ph_val = m_ph_val_orig; + for (i=0; i<= 5; i=i+1) + c_ph_val[i] = c_ph_val_orig[i]; + + end else if (ena_pll === 1'b1 && areset_ipd === 1'b0 && stop_vco === 1'b0) + begin + // else note areset deassert time + // note it as refclk_time to prevent false triggering + // of stop_vco after areset + if (areset_ipd === 1'b0 && areset_ipd_last_value === 1'b1 && pll_is_in_reset === 1'b1) + begin + refclk_time = $time; + pll_is_in_reset = 0; + end + + // calculate loop_xplier : this will be different from m_val in ext. fbk mode + loop_xplier = m_val[0]; + loop_initial = i_m_initial - 1; + loop_ph = m_ph_val; + + if (l_operation_mode == "external_feedback") + begin + if (ext_fbk_cntr_mode == "bypass") + ext_fbk_cntr_modulus = 1; + else + ext_fbk_cntr_modulus = ext_fbk_cntr_high + ext_fbk_cntr_low; + + loop_xplier = m_val[0] * (ext_fbk_cntr_modulus); + loop_ph = ext_fbk_cntr_ph; + loop_initial = ext_fbk_cntr_initial - 1 + ((i_m_initial - 1) * ext_fbk_cntr_modulus); + end + + // convert initial value to delay + initial_delay = (loop_initial * m_times_vco_period)/loop_xplier; + + // convert loop ph_tap to delay + rem = m_times_vco_period % loop_xplier; + vco_per = m_times_vco_period/loop_xplier; + if (rem != 0) + vco_per = vco_per + 1; + fbk_phase = (loop_ph * vco_per)/8; + + if (l_operation_mode == "external_feedback") + begin + pull_back_M = (i_m_initial - 1) * (ext_fbk_cntr_modulus) * (m_times_vco_period/loop_xplier); + + while (pull_back_M > refclk_period) + pull_back_M = pull_back_M - refclk_period; + end + else begin + pull_back_M = initial_delay + fbk_phase; + end + + total_pull_back = pull_back_M; + if (l_simulation_type == "timing") + total_pull_back = total_pull_back + pll_compensation_delay; + + while (total_pull_back > refclk_period) + total_pull_back = total_pull_back - refclk_period; + + if (total_pull_back > 0) + offset = refclk_period - total_pull_back; + else + offset = 0; + + if (l_operation_mode == "external_feedback") + begin + fbk_delay = pull_back_M; + if (l_simulation_type == "timing") + fbk_delay = fbk_delay + pll_compensation_delay; + end + else begin + fbk_delay = total_pull_back - fbk_phase; + if (fbk_delay < 0) + begin + offset = offset - fbk_phase; + fbk_delay = total_pull_back; + end + end + + // assign m_delay + m_delay = fbk_delay; + + for (i = 1; i <= loop_xplier; i=i+1) + begin + // adjust cycles + tmp_vco_per = m_times_vco_period/loop_xplier; + if (rem != 0 && l_index <= rem) + begin + tmp_rem = (loop_xplier * l_index) % rem; + cycle_to_adjust = (loop_xplier * l_index) / rem; + if (tmp_rem != 0) + cycle_to_adjust = cycle_to_adjust + 1; + end + if (cycle_to_adjust == i) + begin + tmp_vco_per = tmp_vco_per + 1; + l_index = l_index + 1; + end + + // calculate high and low periods + high_time = tmp_vco_per/2; + if (tmp_vco_per % 2 != 0) + high_time = high_time + 1; + low_time = tmp_vco_per - high_time; + + // schedule the rising and falling egdes + for (j=0; j<=1; j=j+1) + begin + vco_val = ~vco_val; + if (vco_val == 1'b0) + sched_time = sched_time + high_time; + else + sched_time = sched_time + low_time; + + // schedule taps with appropriate phase shifts + for (k = 0; k <= 7; k=k+1) + begin + phase_shift[k] = (k*tmp_vco_per)/8; + if (first_schedule) + vco_out[k] <= #(sched_time + phase_shift[k]) vco_val; + else + vco_out[k] <= #(sched_time + last_phase_shift[k]) vco_val; + end + end + end + if (first_schedule) + begin + vco_val = ~vco_val; + if (vco_val == 1'b0) + sched_time = sched_time + high_time; + else + sched_time = sched_time + low_time; + for (k = 0; k <= 7; k=k+1) + begin + phase_shift[k] = (k*tmp_vco_per)/8; + vco_out[k] <= #(sched_time+phase_shift[k]) vco_val; + end + first_schedule = 0; + end + + schedule_vco <= #(sched_time) ~schedule_vco; + if (vco_period_was_phase_adjusted) + begin + m_times_vco_period = refclk_period; + new_m_times_vco_period = refclk_period; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 1; + + tmp_vco_per = m_times_vco_period/loop_xplier; + for (k = 0; k <= 7; k=k+1) + phase_shift[k] = (k*tmp_vco_per)/8; + end + end + + areset_ipd_last_value = areset_ipd; + ena_ipd_last_value = ena_pll; + schedule_vco_last_value = schedule_vco; + + end + + always @(pfdena_ipd) + begin + if (pfdena_ipd === 1'b0) + begin + if (pll_is_locked) + locked_tmp = 1'bx; + pll_is_locked = 0; + cycles_to_lock = 0; + $display (" Note : PFDENA was deasserted"); + $display ("Time: %0t Instance: %m", $time); + end + else if (pfdena_ipd === 1'b1 && pfdena_ipd_last_value === 1'b0) + begin + // PFD was disabled, now enabled again + got_first_refclk = 0; + got_second_refclk = 0; + refclk_time = $time; + end + pfdena_ipd_last_value = pfdena_ipd; + end + + always @(negedge refclk or negedge fbclk) + begin + refclk_last_value = refclk; + fbclk_last_value = fbclk; + end + + always @(posedge refclk or posedge fbclk) + begin + if (refclk == 1'b1 && refclk_last_value !== refclk && areset_ipd === 1'b0) + begin + if (! got_first_refclk) + begin + got_first_refclk = 1; + end else + begin + got_second_refclk = 1; + refclk_period = $time - refclk_time; + + // check if incoming freq. will cause VCO range to be + // exceeded + if ((vco_max != 0 && vco_min != 0) && (pfdena_ipd === 1'b1) && + ((refclk_period/loop_xplier > vco_max) || + (refclk_period/loop_xplier < vco_min)) ) + begin + if (pll_is_locked == 1'b1) + begin + $display ("Warning : Input clock freq. is not within VCO range. PLL may lose lock"); + $display ("Time: %0t Instance: %m", $time); + if (inclk_out_of_range === 1'b1) + begin + // unlock + pll_is_locked = 0; + locked_tmp = 0; + pll_about_to_lock = 0; + cycles_to_lock = 0; + $display ("Note : PLL lost lock"); + $display ("Time: %0t Instance: %m", $time); + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + end + end + else begin + if (no_warn == 1'b0) + begin + $display ("Warning : Input clock freq. is not within VCO range. PLL may not lock"); + $display ("Time: %0t Instance: %m", $time); + no_warn = 1'b1; + end + end + inclk_out_of_range = 1; + end + else begin + inclk_out_of_range = 0; + end + + end + if (stop_vco == 1'b1) + begin + stop_vco = 0; + schedule_vco = ~schedule_vco; + end + refclk_time = $time; + end + + if (fbclk == 1'b1 && fbclk_last_value !== fbclk) + begin + if (scanwrite_enabled === 1'b1) + begin + m_val[0] <= m_val_tmp[0]; + m_val[1] <= m_val_tmp[1]; + end + if (!got_first_fbclk) + begin + got_first_fbclk = 1; + first_fbclk_time = $time; + end + else + fbclk_period = $time - fbclk_time; + + // need refclk_period here, so initialized to proper value above + if ( ( ($time - refclk_time > 1.5 * refclk_period) && pfdena_ipd === 1'b1 && pll_is_locked === 1'b1) || ( ($time - refclk_time > 5 * refclk_period) && pfdena_ipd === 1'b1) ) + begin + stop_vco = 1; + // reset + got_first_refclk = 0; + got_first_fbclk = 0; + got_second_refclk = 0; + if (pll_is_locked == 1'b1) + begin + pll_is_locked = 0; + locked_tmp = 0; + $display ("Note : PLL lost lock due to loss of input clock"); + $display ("Time: %0t Instance: %m", $time); + end + pll_about_to_lock = 0; + cycles_to_lock = 0; + cycles_to_unlock = 0; + first_schedule = 1; + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + end + fbclk_time = $time; + end + + if (got_second_refclk && pfdena_ipd === 1'b1 && (!inclk_out_of_range)) + begin + // now we know actual incoming period + if (abs(fbclk_time - refclk_time) <= 5 || (got_first_fbclk && abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5)) + begin + // considered in phase + if (cycles_to_lock == valid_lock_multiplier - 1) + pll_about_to_lock <= 1; + if (cycles_to_lock == valid_lock_multiplier) + begin + if (pll_is_locked === 1'b0) + begin + $display (" Note : PLL locked to incoming clock"); + $display ("Time: %0t Instance: %m", $time); + end + pll_is_locked = 1; + locked_tmp = 1; + cycles_to_unlock = 0; + end + // increment lock counter only if the second part of the above + // time check is not true + if (!(abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5)) + begin + cycles_to_lock = cycles_to_lock + 1; + end + + // adjust m_times_vco_period + new_m_times_vco_period = refclk_period; + + end else + begin + // if locked, begin unlock + if (pll_is_locked) + begin + cycles_to_unlock = cycles_to_unlock + 1; + if (cycles_to_unlock == invalid_lock_multiplier) + begin + pll_is_locked = 0; + locked_tmp = 0; + pll_about_to_lock = 0; + cycles_to_lock = 0; + $display ("Note : PLL lost lock"); + $display ("Time: %0t Instance: %m", $time); + vco_period_was_phase_adjusted = 0; + phase_adjust_was_scheduled = 0; + end + end + if (abs(refclk_period - fbclk_period) <= 2) + begin + // frequency is still good + if ($time == fbclk_time && (!phase_adjust_was_scheduled)) + begin + if (abs(fbclk_time - refclk_time) > refclk_period/2) + begin + new_m_times_vco_period = m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time)); + vco_period_was_phase_adjusted = 1; + end else + begin + new_m_times_vco_period = m_times_vco_period - abs(fbclk_time - refclk_time); + vco_period_was_phase_adjusted = 1; + end + end + end else + begin + new_m_times_vco_period = refclk_period; + phase_adjust_was_scheduled = 0; + end + end + end + + if (reconfig_err == 1'b1) + begin + locked_tmp = 0; + end + + refclk_last_value = refclk; + fbclk_last_value = fbclk; + end + + assign clk0_tmp = i_clk0_counter == "c0" ? c0_clk : i_clk0_counter == "c1" ? c1_clk : i_clk0_counter == "c2" ? c2_clk : i_clk0_counter == "c3" ? c3_clk : i_clk0_counter == "c4" ? c4_clk : i_clk0_counter == "c5" ? c5_clk : 'b0; + + assign clk0 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk0_tmp : 'bx; + + + assign clk1_tmp = i_clk1_counter == "c0" ? c0_clk : i_clk1_counter == "c1" ? c1_clk : i_clk1_counter == "c2" ? c2_clk : i_clk1_counter == "c3" ? c3_clk : i_clk1_counter == "c4" ? c4_clk : i_clk1_counter == "c5" ? c5_clk : 'b0; + + assign clk1 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk1_tmp : 'bx; + + + assign clk2_tmp = i_clk2_counter == "c0" ? c0_clk : i_clk2_counter == "c1" ? c1_clk : i_clk2_counter == "c2" ? c2_clk : i_clk2_counter == "c3" ? c3_clk : i_clk2_counter == "c4" ? c4_clk : i_clk2_counter == "c5" ? c5_clk : 'b0; + + assign clk2 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk2_tmp : 'bx; + + + assign clk3_tmp = i_clk3_counter == "c0" ? c0_clk : i_clk3_counter == "c1" ? c1_clk : i_clk3_counter == "c2" ? c2_clk : i_clk3_counter == "c3" ? c3_clk : i_clk3_counter == "c4" ? c4_clk : i_clk3_counter == "c5" ? c5_clk : 'b0; + + assign clk3 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk3_tmp : 'bx; + + + assign clk4_tmp = i_clk4_counter == "c0" ? c0_clk : i_clk4_counter == "c1" ? c1_clk : i_clk4_counter == "c2" ? c2_clk : i_clk4_counter == "c3" ? c3_clk : i_clk4_counter == "c4" ? c4_clk : i_clk4_counter == "c5" ? c5_clk : 'b0; + + assign clk4 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk4_tmp : 'bx; + + + assign clk5_tmp = i_clk5_counter == "c0" ? c0_clk : i_clk5_counter == "c1" ? c1_clk : i_clk5_counter == "c2" ? c2_clk : i_clk5_counter == "c3" ? c3_clk : i_clk5_counter == "c4" ? c4_clk : i_clk5_counter == "c5" ? c5_clk : 'b0; + + assign clk5 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode === 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? clk5_tmp : 'bx; + + assign sclkout0 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode == 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? sclkout0_tmp : 1'bx; + + assign sclkout1 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode == 1'b1) || (pll_about_to_lock == 1'b1 && !reconfig_err) ? sclkout1_tmp : 1'bx; + + assign enable_0 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode == 1'b1) || pll_about_to_lock == 1'b1 ? enable0_tmp : 'bx; + assign enable_1 = (areset_ipd === 1'b1 || ena_pll === 1'b0 || pll_in_test_mode == 1'b1) || pll_about_to_lock == 1'b1 ? enable1_tmp : 'bx; + + + // ACCELERATE OUTPUTS + and (clk[0], 1'b1, clk0); + and (clk[1], 1'b1, clk1); + and (clk[2], 1'b1, clk2); + and (clk[3], 1'b1, clk3); + and (clk[4], 1'b1, clk4); + and (clk[5], 1'b1, clk5); + + and (sclkout[0], 1'b1, sclkout0); + and (sclkout[1], 1'b1, sclkout1); + + and (enable0, 1'b1, enable_0); + and (enable1, 1'b1, enable_1); + + and (scandataout, 1'b1, scandataout_tmp); + and (scandone, 1'b1, scandone_tmp); + +endmodule // MF_stratixii_pll + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTPLL +// +// Description : Phase-Locked Loop (PLL) behavioral model. Model supports basic +// PLL features such as clock division and multiplication, +// programmable duty cycle and phase shifts, various feedback modes +// and clock delays. Also supports real-time reconfiguration of +// PLL "parameters" and clock switchover between the 2 input +// reference clocks. Up to 10 clock outputs may be used. +// +// Limitations : Applicable to Stratix, Stratix-GX, Stratix II and Cyclone II device families only +// There is no support in the model for spread-spectrum feature +// +// Expected results : Up to 10 output clocks, each defined by its own set of +// parameters. Locked output (active high) indicates when the +// PLL locks. clkbad, clkloss and activeclock are used for +// clock switchover to inidicate which input clock has gone +// bad, when the clock switchover initiates and which input +// clock is being used as the reference, respectively. +// scandataout is the data output of the serial scan chain. + +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1ps + +// MODULE DECLARATION +module altpll ( + inclk, // input reference clock - up to 2 can be used + fbin, // external feedback input port + pllena, // PLL enable signal + clkswitch, // switch between inclk0 and inclk1 + areset, // asynchronous reset + pfdena, // enable the Phase Frequency Detector (PFD) + clkena, // enable clk0 to clk5 clock outputs + extclkena, // enable extclk0 to extclk3 clock outputs + scanclk, // clock for the serial scan chain + scanaclr, // asynchronous clear the serial scan chain + scanread, // determines when the scan chain can read in data from the scandata port + scanwrite, // determines when the scan chain can write out data into pll + scandata, // data for the scan chain + clk, // internal clock outputs (feeds the core) + extclk, // external clock outputs (feeds pins) + clkbad, // indicates if inclk0/inclk1 has gone bad + enable0, // load enable pulse 0 for lvds + enable1, // load enable pulse l for lvds + activeclock, // indicates which input clock is being used + clkloss, // indicates when clock switchover initiates + locked, // indicates when the PLL locks onto the input clock + scandataout, // data output of the serial scan chain + scandone, // indicates when pll reconfiguration is complete + sclkout0, // serial clock output 0 for lvds + sclkout1 // serial clock output 1 for lvds +); + +// GLOBAL PARAMETER DECLARATION +parameter intended_device_family = "Stratix" ; +parameter operation_mode = "NORMAL" ; +parameter pll_type = "AUTO" ; +parameter qualify_conf_done = "OFF" ; +parameter compensate_clock = "CLK0" ; +parameter scan_chain = "LONG"; +parameter primary_clock = "inclk0"; +parameter inclk0_input_frequency = 1000; +parameter inclk1_input_frequency = 0; +parameter gate_lock_signal = "NO"; +parameter gate_lock_counter = 0; +parameter lock_high = 1; +parameter lock_low = 5; +parameter valid_lock_multiplier = 1; +parameter invalid_lock_multiplier = 5; +parameter switch_over_type = "AUTO"; +parameter switch_over_on_lossclk = "OFF" ; +parameter switch_over_on_gated_lock = "OFF" ; +parameter enable_switch_over_counter = "OFF"; +parameter switch_over_counter = 0; +parameter feedback_source = "EXTCLK0" ; +parameter bandwidth = 0; +parameter bandwidth_type = "UNUSED"; +parameter lpm_hint = "UNUSED"; +parameter spread_frequency = 0; +parameter down_spread = "0.0"; +parameter self_reset_on_gated_loss_lock = "OFF"; + +// simulation-only parameters +parameter simulation_type = "functional"; +parameter source_is_pll = "off"; + +parameter skip_vco = "off"; + +// internal clock specifications +parameter clk5_multiply_by = 1; +parameter clk4_multiply_by = 1; +parameter clk3_multiply_by = 1; +parameter clk2_multiply_by = 1; +parameter clk1_multiply_by = 1; +parameter clk0_multiply_by = 1; +parameter clk5_divide_by = 1; +parameter clk4_divide_by = 1; +parameter clk3_divide_by = 1; +parameter clk2_divide_by = 1; +parameter clk1_divide_by = 1; +parameter clk0_divide_by = 1; +parameter clk5_phase_shift = "0"; +parameter clk4_phase_shift = "0"; +parameter clk3_phase_shift = "0"; +parameter clk2_phase_shift = "0"; +parameter clk1_phase_shift = "0"; +parameter clk0_phase_shift = "0"; + +parameter clk5_time_delay = "0"; // For stratix pll use only +parameter clk4_time_delay = "0"; // For stratix pll use only +parameter clk3_time_delay = "0"; // For stratix pll use only +parameter clk2_time_delay = "0"; // For stratix pll use only +parameter clk1_time_delay = "0"; // For stratix pll use only +parameter clk0_time_delay = "0"; // For stratix pll use only +parameter clk5_duty_cycle = 50; +parameter clk4_duty_cycle = 50; +parameter clk3_duty_cycle = 50; +parameter clk2_duty_cycle = 50; +parameter clk1_duty_cycle = 50; +parameter clk0_duty_cycle = 50; + +parameter clk2_output_frequency = 0; +parameter clk1_output_frequency = 0; +parameter clk0_output_frequency = 0; + +// external clock specifications (for stratix pll use only) +parameter extclk3_multiply_by = 1; +parameter extclk2_multiply_by = 1; +parameter extclk1_multiply_by = 1; +parameter extclk0_multiply_by = 1; +parameter extclk3_divide_by = 1; +parameter extclk2_divide_by = 1; +parameter extclk1_divide_by = 1; +parameter extclk0_divide_by = 1; +parameter extclk3_phase_shift = "0"; +parameter extclk2_phase_shift = "0"; +parameter extclk1_phase_shift = "0"; +parameter extclk0_phase_shift = "0"; +parameter extclk3_time_delay = "0"; +parameter extclk2_time_delay = "0"; +parameter extclk1_time_delay = "0"; +parameter extclk0_time_delay = "0"; +parameter extclk3_duty_cycle = 50; +parameter extclk2_duty_cycle = 50; +parameter extclk1_duty_cycle = 50; +parameter extclk0_duty_cycle = 50; + +// The following 4 parameters are for Stratix II pll in lvds mode only +parameter vco_multiply_by = 0; +parameter vco_divide_by = 0; +parameter sclkout0_phase_shift = "0"; +parameter sclkout1_phase_shift = "0"; + +// advanced user parameters +parameter vco_min = 0; +parameter vco_max = 0; +parameter vco_center = 0; +parameter pfd_min = 0; +parameter pfd_max = 0; +parameter m_initial = 1; +parameter m = 0; // m must default to 0 in order for altpll to calculate advanced parameters for itself +parameter n = 1; +parameter m2 = 1; +parameter n2 = 1; +parameter ss = 0; +parameter l0_high = 1; +parameter l1_high = 1; +parameter g0_high = 1; +parameter g1_high = 1; +parameter g2_high = 1; +parameter g3_high = 1; +parameter e0_high = 1; +parameter e1_high = 1; +parameter e2_high = 1; +parameter e3_high = 1; +parameter l0_low = 1; +parameter l1_low = 1; +parameter g0_low = 1; +parameter g1_low = 1; +parameter g2_low = 1; +parameter g3_low = 1; +parameter e0_low = 1; +parameter e1_low = 1; +parameter e2_low = 1; +parameter e3_low = 1; +parameter l0_initial = 1; +parameter l1_initial = 1; +parameter g0_initial = 1; +parameter g1_initial = 1; +parameter g2_initial = 1; +parameter g3_initial = 1; +parameter e0_initial = 1; +parameter e1_initial = 1; +parameter e2_initial = 1; +parameter e3_initial = 1; +parameter l0_mode = "bypass"; +parameter l1_mode = "bypass"; +parameter g0_mode = "bypass"; +parameter g1_mode = "bypass"; +parameter g2_mode = "bypass"; +parameter g3_mode = "bypass"; +parameter e0_mode = "bypass"; +parameter e1_mode = "bypass"; +parameter e2_mode = "bypass"; +parameter e3_mode = "bypass"; +parameter l0_ph = 0; +parameter l1_ph = 0; +parameter g0_ph = 0; +parameter g1_ph = 0; +parameter g2_ph = 0; +parameter g3_ph = 0; +parameter e0_ph = 0; +parameter e1_ph = 0; +parameter e2_ph = 0; +parameter e3_ph = 0; +parameter m_ph = 0; +parameter l0_time_delay = 0; +parameter l1_time_delay = 0; +parameter g0_time_delay = 0; +parameter g1_time_delay = 0; +parameter g2_time_delay = 0; +parameter g3_time_delay = 0; +parameter e0_time_delay = 0; +parameter e1_time_delay = 0; +parameter e2_time_delay = 0; +parameter e3_time_delay = 0; +parameter m_time_delay = 0; +parameter n_time_delay = 0; +parameter extclk3_counter = "e3" ; +parameter extclk2_counter = "e2" ; +parameter extclk1_counter = "e1" ; +parameter extclk0_counter = "e0" ; +parameter clk5_counter = "l1" ; +parameter clk4_counter = "l0" ; +parameter clk3_counter = "g3" ; +parameter clk2_counter = "g2" ; +parameter clk1_counter = "g1" ; +parameter clk0_counter = "g0" ; +parameter enable0_counter = "l0"; +parameter enable1_counter = "l0"; +parameter charge_pump_current = 2; +parameter loop_filter_r = "1.0"; +parameter loop_filter_c = 5; +parameter vco_post_scale = 0; +parameter lpm_type = "altpll"; + +// The following parameter are used to define the connectivity for some of the input +// and output ports. +parameter port_clkena0 = "PORT_CONNECTIVITY"; +parameter port_clkena1 = "PORT_CONNECTIVITY"; +parameter port_clkena2 = "PORT_CONNECTIVITY"; +parameter port_clkena3 = "PORT_CONNECTIVITY"; +parameter port_clkena4 = "PORT_CONNECTIVITY"; +parameter port_clkena5 = "PORT_CONNECTIVITY"; +parameter port_extclkena0 = "PORT_CONNECTIVITY"; +parameter port_extclkena1 = "PORT_CONNECTIVITY"; +parameter port_extclkena2 = "PORT_CONNECTIVITY"; +parameter port_extclkena3 = "PORT_CONNECTIVITY"; +parameter port_extclk0 = "PORT_CONNECTIVITY"; +parameter port_extclk1 = "PORT_CONNECTIVITY"; +parameter port_extclk2 = "PORT_CONNECTIVITY"; +parameter port_extclk3 = "PORT_CONNECTIVITY"; +parameter port_clk0 = "PORT_CONNECTIVITY"; +parameter port_clk1 = "PORT_CONNECTIVITY"; +parameter port_clk2 = "PORT_CONNECTIVITY"; +parameter port_clk3 = "PORT_CONNECTIVITY"; +parameter port_clk4 = "PORT_CONNECTIVITY"; +parameter port_clk5 = "PORT_CONNECTIVITY"; +parameter port_scandata = "PORT_CONNECTIVITY"; +parameter port_scandataout = "PORT_CONNECTIVITY"; +parameter port_scandone = "PORT_CONNECTIVITY"; +parameter port_sclkout1 = "PORT_CONNECTIVITY"; +parameter port_sclkout0 = "PORT_CONNECTIVITY"; +parameter port_clkbad0 = "PORT_CONNECTIVITY"; +parameter port_clkbad1 = "PORT_CONNECTIVITY"; +parameter port_activeclock = "PORT_CONNECTIVITY"; +parameter port_clkloss = "PORT_CONNECTIVITY"; +parameter port_inclk1 = "PORT_CONNECTIVITY"; +parameter port_inclk0 = "PORT_CONNECTIVITY"; +parameter port_fbin = "PORT_CONNECTIVITY"; +parameter port_pllena = "PORT_CONNECTIVITY"; +parameter port_clkswitch = "PORT_CONNECTIVITY"; +parameter port_areset = "PORT_CONNECTIVITY"; +parameter port_pfdena = "PORT_CONNECTIVITY"; +parameter port_scanclk = "PORT_CONNECTIVITY"; +parameter port_scanaclr = "PORT_CONNECTIVITY"; +parameter port_scanread = "PORT_CONNECTIVITY"; +parameter port_scanwrite = "PORT_CONNECTIVITY"; +parameter port_enable0 = "PORT_CONNECTIVITY"; +parameter port_enable1 = "PORT_CONNECTIVITY"; + +//For Stratixii pll use only +parameter c0_high = 1; +parameter c1_high = 1; +parameter c2_high = 1; +parameter c3_high = 1; +parameter c4_high = 1; +parameter c5_high = 1; +parameter c0_low = 1; +parameter c1_low = 1; +parameter c2_low = 1; +parameter c3_low = 1; +parameter c4_low = 1; +parameter c5_low = 1; +parameter c0_initial = 1; +parameter c1_initial = 1; +parameter c2_initial = 1; +parameter c3_initial = 1; +parameter c4_initial = 1; +parameter c5_initial = 1; +parameter c0_mode = "bypass"; +parameter c1_mode = "bypass"; +parameter c2_mode = "bypass"; +parameter c3_mode = "bypass"; +parameter c4_mode = "bypass"; +parameter c5_mode = "bypass"; +parameter c0_ph = 0; +parameter c1_ph = 0; +parameter c2_ph = 0; +parameter c3_ph = 0; +parameter c4_ph = 0; +parameter c5_ph = 0; +parameter c1_use_casc_in = "off"; +parameter c2_use_casc_in = "off"; +parameter c3_use_casc_in = "off"; +parameter c4_use_casc_in = "off"; +parameter c5_use_casc_in = "off"; +parameter m_test_source = 5; +parameter c0_test_source = 5; +parameter c1_test_source = 5; +parameter c2_test_source = 5; +parameter c3_test_source = 5; +parameter c4_test_source = 5; +parameter c5_test_source = 5; + +// INPUT PORT DECLARATION +input [1:0] inclk; +input fbin; +input pllena; +input clkswitch; +input areset; +input pfdena; +input [5:0] clkena; +input [3:0] extclkena; +input scanclk; +input scanaclr; +input scanread; +input scanwrite; +input scandata; + +// OUTPUT PORT DECLARATION +output [5:0] clk; +output [3:0] extclk; +output [1:0] clkbad; +output activeclock; +output enable0; +output enable1; +output clkloss; +output locked; +output scandataout; +output scandone; +output sclkout0; +output sclkout1; + +// pullups +tri1 fbin_pullup; +tri1 ena_pullup; +tri1 pfdena_pullup; +tri1 [5:0] clkena_pullup; +tri1 [3:0] extclkena_pullup; + +// pulldowns +tri0 [1:0] inclk_pulldown; +tri0 clkswitch_pulldown; +tri0 areset_pulldown; +tri0 scanclk_pulldown; +tri0 scanclr_pulldown; +tri0 scanread_pulldown; +tri0 scanwrite_pulldown; +tri0 scandata_pulldown; +tri0 comparator_pulldown; + +// For fast mode, the stratix pll atom model will give active low signal on locked output. +// Therefore, need to invert the lock signal for fast mode as in user view, locked signal is +// always active high. +wire locked_tmp; +wire [1:0] stratix_inclk; +wire stratix_fbin; +wire stratix_ena; +wire stratix_clkswitch; +wire stratix_areset; +wire stratix_pfdena; +wire [5:0] stratix_clkena; +wire [3:0] stratix_extclkena; +wire stratix_scanclk; +wire stratix_scanclr; +wire stratix_scandata; +wire [5:0] stratix_clk; +wire [3:0] stratix_extclk; +wire [1:0] stratix_clkbad; +wire stratix_activeclock; +wire stratix_locked; +wire stratix_clkloss; +wire stratix_scandataout; +wire stratix_enable0; +wire stratix_enable1; + +wire [1:0] stratixii_inclk; +wire stratixii_fbin; +wire stratixii_ena; +wire stratixii_clkswitch; +wire stratixii_areset; +wire stratixii_pfdena; +wire stratixii_scanread; +wire stratixii_scanwrite; +wire stratixii_scanclk; +wire stratixii_scandata; +wire stratixii_scandone; +wire [5:0] stratixii_clk; +wire [1:0] stratixii_clkbad; +wire stratixii_activeclock; +wire stratixii_locked; +wire stratixii_clkloss; +wire stratixii_scandataout; +wire stratixii_enable0; +wire stratixii_enable1; +wire stratixii_sclkout0; +wire stratixii_sclkout1; + +wire[5:0] clk_wire; +wire[1:0] clkbad_wire; +wire activeclock_wire; +wire clkloss_wire; +wire scandataout_wire; +wire scandone_wire; +wire sclkout0_wire; +wire sclkout1_wire; + +ALTERA_DEVICE_FAMILIES dev (); + +// INITIAL BLOCK +initial +begin + + // Begin of parameter checking + + if (clk5_multiply_by <= 0) + begin + $display("ERROR: The clk5_multiply_by must be greater than 0"); + $stop; + end + + if (clk4_multiply_by <= 0) + begin + $display("ERROR: The clk4_multiply_by must be greater than 0"); + $stop; + end + + if (clk3_multiply_by <= 0) + begin + $display("ERROR: The clk3_multiply_by must be greater than 0"); + $stop; + end + + + if (clk2_multiply_by <= 0) + begin + $display("ERROR: The clk2_multiply_by must be greater than 0"); + $stop; + end + + if (clk1_multiply_by <= 0) + begin + $display("ERROR: The clk1_multiply_by must be greater than 0"); + $stop; + end + + if (clk0_multiply_by <= 0) + begin + $display("ERROR: The clk0_multiply_by must be greater than 0"); + $stop; + end + + if (clk5_divide_by <= 0) + begin + $display("ERROR: The clk5_divide_by must be greater than 0"); + $stop; + end + + + if (clk4_divide_by <= 0) + begin + $display("ERROR: The clk4_divide_by must be greater than 0"); + $stop; + end + + + if (clk3_divide_by <= 0) + begin + $display("ERROR: The clk3_divide_by must be greater than 0"); + $stop; + end + + + if (clk2_divide_by <= 0) + begin + $display("ERROR: The clk2_divide_by must be greater than 0"); + $stop; + end + + + if (clk1_divide_by <= 0) + begin + $display("ERROR: The clk1_divide_by must be greater than 0"); + $stop; + end + + + if (clk0_divide_by <= 0) + begin + $display("ERROR: The clk0_divide_by must be greater than 0"); + $stop; + end + + if (extclk3_multiply_by <= 0) + begin + $display("ERROR: The extclk3_multiply_by must be greater than 0"); + $stop; + end + + if (extclk2_multiply_by <= 0) + begin + $display("ERROR: The extclk2_multiply_by must be greater than 0"); + $stop; + end + + if (extclk1_multiply_by <= 0) + begin + $display("ERROR: The extclk1_multiply_by must be greater than 0"); + $stop; + end + + if (extclk0_multiply_by <= 0) + begin + $display("ERROR: The extclk0_multiply_by must be greater than 0"); + $stop; + end + + + if (extclk3_divide_by <= 0) + begin + $display("ERROR: The extclk3_divide_by must be greater than 0"); + $stop; + end + + + if (extclk2_divide_by <= 0) + begin + $display("ERROR: The extclk2_divide_by must be greater than 0"); + $stop; + end + + + if (extclk1_divide_by <= 0) + begin + $display("ERROR: The extclk1_divide_by must be greater than 0"); + $stop; + end + + + if (extclk0_divide_by <= 0) + begin + $display("ERROR: The extclk0_divide_by must be greater than 0"); + $stop; + end + + if (!((primary_clock == "inclk0") || (primary_clock == "INCLK0") || + (primary_clock == "inclk1") || (primary_clock == "INCLK1"))) + begin + $display("ERROR: The primary clock is set to an illegal value"); + $stop; + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $stop; + end + // End of parameter checking + +end + +// COMPONENT INSTANTIATION +MF_stratix_pll pll0 +( + .inclk (stratix_inclk), + .fbin (stratix_fbin), + .ena (stratix_ena), + .clkswitch (stratix_clkswitch), + .areset (stratix_areset), + .pfdena (stratix_pfdena), + .clkena (stratix_clkena), + .extclkena (stratix_extclkena), + .scanclk (stratix_scanclk), + .scanaclr (stratix_scanclr), + .scandata (stratix_scandata), + .comparator(), + .clk (stratix_clk), + .extclk (stratix_extclk), + .clkbad (stratix_clkbad), + .activeclock (stratix_activeclock), + .locked (locked_tmp), + .clkloss (stratix_clkloss), + .scandataout (stratix_scandataout), + .enable0 (stratix_enable0), + .enable1 (stratix_enable1) +); + defparam + pll0.operation_mode = operation_mode, + pll0.pll_type = pll_type, + pll0.qualify_conf_done = qualify_conf_done, + pll0.compensate_clock = compensate_clock, + pll0.scan_chain = scan_chain, + pll0.primary_clock = primary_clock, + pll0.inclk0_input_frequency = inclk0_input_frequency, + pll0.inclk1_input_frequency = inclk1_input_frequency, + pll0.gate_lock_signal = gate_lock_signal, + pll0.gate_lock_counter = gate_lock_counter, + pll0.valid_lock_multiplier = valid_lock_multiplier, + pll0.invalid_lock_multiplier = invalid_lock_multiplier, + pll0.switch_over_on_lossclk = switch_over_on_lossclk, + pll0.switch_over_on_gated_lock = switch_over_on_gated_lock, + pll0.enable_switch_over_counter = enable_switch_over_counter, + pll0.switch_over_counter = switch_over_counter, + pll0.feedback_source = feedback_source, + pll0.bandwidth = bandwidth, + pll0.bandwidth_type = bandwidth_type, + pll0.spread_frequency = spread_frequency, + pll0.down_spread = down_spread, + pll0.simulation_type = simulation_type, + pll0.skip_vco = skip_vco, + + // internal clock specifications + pll0.clk5_multiply_by = clk5_multiply_by, + pll0.clk4_multiply_by = clk4_multiply_by, + pll0.clk3_multiply_by = clk3_multiply_by, + pll0.clk2_multiply_by = clk2_multiply_by, + pll0.clk1_multiply_by = clk1_multiply_by, + pll0.clk0_multiply_by = clk0_multiply_by, + pll0.clk5_divide_by = clk5_divide_by, + pll0.clk4_divide_by = clk4_divide_by, + pll0.clk3_divide_by = clk3_divide_by, + pll0.clk2_divide_by = clk2_divide_by, + pll0.clk1_divide_by = clk1_divide_by, + pll0.clk0_divide_by = clk0_divide_by, + pll0.clk5_phase_shift = clk5_phase_shift, + pll0.clk4_phase_shift = clk4_phase_shift, + pll0.clk3_phase_shift = clk3_phase_shift, + pll0.clk2_phase_shift = clk2_phase_shift, + pll0.clk1_phase_shift = clk1_phase_shift, + pll0.clk0_phase_shift = clk0_phase_shift, + pll0.clk5_time_delay = clk5_time_delay, + pll0.clk4_time_delay = clk4_time_delay, + pll0.clk3_time_delay = clk3_time_delay, + pll0.clk2_time_delay = clk2_time_delay, + pll0.clk1_time_delay = clk1_time_delay, + pll0.clk0_time_delay = clk0_time_delay, + pll0.clk5_duty_cycle = clk5_duty_cycle, + pll0.clk4_duty_cycle = clk4_duty_cycle, + pll0.clk3_duty_cycle = clk3_duty_cycle, + pll0.clk2_duty_cycle = clk2_duty_cycle, + pll0.clk1_duty_cycle = clk1_duty_cycle, + pll0.clk0_duty_cycle = clk0_duty_cycle, + + // external clock specifications + pll0.extclk3_multiply_by = extclk3_multiply_by, + pll0.extclk2_multiply_by = extclk2_multiply_by, + pll0.extclk1_multiply_by = extclk1_multiply_by, + pll0.extclk0_multiply_by = extclk0_multiply_by, + pll0.extclk3_divide_by = extclk3_divide_by, + pll0.extclk2_divide_by = extclk2_divide_by, + pll0.extclk1_divide_by = extclk1_divide_by, + pll0.extclk0_divide_by = extclk0_divide_by, + pll0.extclk3_phase_shift = extclk3_phase_shift, + pll0.extclk2_phase_shift = extclk2_phase_shift, + pll0.extclk1_phase_shift = extclk1_phase_shift, + pll0.extclk0_phase_shift = extclk0_phase_shift, + pll0.extclk3_time_delay = extclk3_time_delay, + pll0.extclk2_time_delay = extclk2_time_delay, + pll0.extclk1_time_delay = extclk1_time_delay, + pll0.extclk0_time_delay = extclk0_time_delay, + pll0.extclk3_duty_cycle = extclk3_duty_cycle, + pll0.extclk2_duty_cycle = extclk2_duty_cycle, + pll0.extclk1_duty_cycle = extclk1_duty_cycle, + pll0.extclk0_duty_cycle = extclk0_duty_cycle, + + // advanced parameters + pll0.vco_min = vco_min, + pll0.vco_max = vco_max, + pll0.vco_center = vco_center, + pll0.pfd_min = pfd_min, + pll0.pfd_max = pfd_max, + pll0.m_initial = m_initial, + pll0.m = m, + pll0.n = n, + pll0.m2 = m2, + pll0.n2 = n2, + pll0.ss = ss, + pll0.l0_high = l0_high, + pll0.l1_high = l1_high, + pll0.g0_high = g0_high, + pll0.g1_high = g1_high, + pll0.g2_high = g2_high, + pll0.g3_high = g3_high, + pll0.e0_high = e0_high, + pll0.e1_high = e1_high, + pll0.e2_high = e2_high, + pll0.e3_high = e3_high, + pll0.l0_low = l0_low, + pll0.l1_low = l1_low, + pll0.g0_low = g0_low, + pll0.g1_low = g1_low, + pll0.g2_low = g2_low, + pll0.g3_low = g3_low, + pll0.e0_low = e0_low, + pll0.e1_low = e1_low, + pll0.e2_low = e2_low, + pll0.e3_low = e3_low, + pll0.l0_initial = l0_initial, + pll0.l1_initial = l1_initial, + pll0.g0_initial = g0_initial, + pll0.g1_initial = g1_initial, + pll0.g2_initial = g2_initial, + pll0.g3_initial = g3_initial, + pll0.e0_initial = e0_initial, + pll0.e1_initial = e1_initial, + pll0.e2_initial = e2_initial, + pll0.e3_initial = e3_initial, + pll0.l0_mode = l0_mode, + pll0.l1_mode = l1_mode, + pll0.g0_mode = g0_mode, + pll0.g1_mode = g1_mode, + pll0.g2_mode = g2_mode, + pll0.g3_mode = g3_mode, + pll0.e0_mode = e0_mode, + pll0.e1_mode = e1_mode, + pll0.e2_mode = e2_mode, + pll0.e3_mode = e3_mode, + pll0.l0_ph = l0_ph, + pll0.l1_ph = l1_ph, + pll0.g0_ph = g0_ph, + pll0.g1_ph = g1_ph, + pll0.g2_ph = g2_ph, + pll0.g3_ph = g3_ph, + pll0.e0_ph = e0_ph, + pll0.e1_ph = e1_ph, + pll0.e2_ph = e2_ph, + pll0.e3_ph = e3_ph, + pll0.m_ph = m_ph, + pll0.l0_time_delay = l0_time_delay, + pll0.l1_time_delay = l1_time_delay, + pll0.g0_time_delay = g0_time_delay, + pll0.g1_time_delay = g1_time_delay, + pll0.g2_time_delay = g2_time_delay, + pll0.g3_time_delay = g3_time_delay, + pll0.e0_time_delay = e0_time_delay, + pll0.e1_time_delay = e1_time_delay, + pll0.e2_time_delay = e2_time_delay, + pll0.e3_time_delay = e3_time_delay, + pll0.m_time_delay = m_time_delay, + pll0.n_time_delay = n_time_delay, + pll0.extclk3_counter = extclk3_counter, + pll0.extclk2_counter = extclk2_counter, + pll0.extclk1_counter = extclk1_counter, + pll0.extclk0_counter = extclk0_counter, + pll0.clk5_counter = clk5_counter, + pll0.clk4_counter = clk4_counter, + pll0.clk3_counter = clk3_counter, + pll0.clk2_counter = clk2_counter, + pll0.clk1_counter = clk1_counter, + pll0.clk0_counter = clk0_counter, + pll0.enable0_counter = enable0_counter, + pll0.enable1_counter = enable1_counter, + pll0.charge_pump_current = charge_pump_current, + pll0.loop_filter_r = loop_filter_r, + pll0.loop_filter_c = loop_filter_c; + +MF_stratixii_pll pll1 +( + .inclk (stratixii_inclk), + .fbin (stratixii_fbin), + .ena (stratixii_ena), + .clkswitch (stratixii_clkswitch), + .areset (stratixii_areset), + .pfdena (stratixii_pfdena), + .scanclk (stratixii_scanclk), + .scanread (stratixii_scanread), + .scanwrite (stratixii_scanwrite), + .scandata (stratixii_scandata), + .testin(), + .scandone (stratixii_scandone), + .clk (stratixii_clk), + .clkbad (stratixii_clkbad), + .activeclock (stratixii_activeclock), + .locked (stratixii_locked), + .clkloss (stratixii_clkloss), + .scandataout (stratixii_scandataout), + .enable0 (stratixii_enable0), + .enable1 (stratixii_enable1), + .testupout (), + .testdownout (), + .sclkout({stratixii_sclkout1, stratixii_sclkout0}) +); + defparam + pll1.operation_mode = operation_mode, + pll1.pll_type = pll_type, + pll1.qualify_conf_done = qualify_conf_done, + pll1.compensate_clock = compensate_clock, + pll1.inclk0_input_frequency = inclk0_input_frequency, + pll1.inclk1_input_frequency = inclk1_input_frequency, + pll1.gate_lock_signal = gate_lock_signal, + pll1.gate_lock_counter = gate_lock_counter, + pll1.valid_lock_multiplier = valid_lock_multiplier, + pll1.invalid_lock_multiplier = invalid_lock_multiplier, + pll1.switch_over_type = switch_over_type, + pll1.switch_over_on_lossclk = switch_over_on_lossclk, + pll1.switch_over_on_gated_lock = switch_over_on_gated_lock, + pll1.enable_switch_over_counter = enable_switch_over_counter, + pll1.switch_over_counter = switch_over_counter, + pll1.feedback_source = (feedback_source == "EXTCLK0") ? "CLK0" : feedback_source, + pll1.bandwidth = bandwidth, + pll1.bandwidth_type = bandwidth_type, + pll1.spread_frequency = spread_frequency, + pll1.down_spread = down_spread, + pll1.self_reset_on_gated_loss_lock = self_reset_on_gated_loss_lock, + pll1.simulation_type = simulation_type, + + // internal clock specifications + pll1.clk5_multiply_by = clk5_multiply_by, + pll1.clk4_multiply_by = clk4_multiply_by, + pll1.clk3_multiply_by = clk3_multiply_by, + pll1.clk2_multiply_by = clk2_multiply_by, + pll1.clk1_multiply_by = clk1_multiply_by, + pll1.clk0_multiply_by = clk0_multiply_by, + pll1.clk5_divide_by = clk5_divide_by, + pll1.clk4_divide_by = clk4_divide_by, + pll1.clk3_divide_by = clk3_divide_by, + pll1.clk2_divide_by = clk2_divide_by, + pll1.clk1_divide_by = clk1_divide_by, + pll1.clk0_divide_by = clk0_divide_by, + pll1.clk5_phase_shift = clk5_phase_shift, + pll1.clk4_phase_shift = clk4_phase_shift, + pll1.clk3_phase_shift = clk3_phase_shift, + pll1.clk2_phase_shift = clk2_phase_shift, + pll1.clk1_phase_shift = clk1_phase_shift, + pll1.clk0_phase_shift = clk0_phase_shift, + pll1.clk5_duty_cycle = clk5_duty_cycle, + pll1.clk4_duty_cycle = clk4_duty_cycle, + pll1.clk3_duty_cycle = clk3_duty_cycle, + pll1.clk2_duty_cycle = clk2_duty_cycle, + pll1.clk1_duty_cycle = clk1_duty_cycle, + pll1.clk0_duty_cycle = clk0_duty_cycle, + pll1.vco_multiply_by = vco_multiply_by, + pll1.vco_divide_by = vco_divide_by, + pll1.clk2_output_frequency = clk2_output_frequency, + pll1.clk1_output_frequency = clk1_output_frequency, + pll1.clk0_output_frequency = clk0_output_frequency, + + // advanced parameters + pll1.vco_min = vco_min, + pll1.vco_max = vco_max, + pll1.vco_center = vco_center, + pll1.pfd_min = pfd_min, + pll1.pfd_max = pfd_max, + pll1.m_initial = m_initial, + pll1.m = m, + pll1.n = n, + pll1.m2 = m2, + pll1.n2 = n2, + pll1.ss = ss, + pll1.c0_high = c0_high, + pll1.c1_high = c1_high, + pll1.c2_high = c2_high, + pll1.c3_high = c3_high, + pll1.c4_high = c4_high, + pll1.c5_high = c5_high, + pll1.c0_low = c0_low, + pll1.c1_low = c1_low, + pll1.c2_low = c2_low, + pll1.c3_low = c3_low, + pll1.c4_low = c4_low, + pll1.c5_low = c5_low, + pll1.c0_initial = c0_initial, + pll1.c1_initial = c1_initial, + pll1.c2_initial = c2_initial, + pll1.c3_initial = c3_initial, + pll1.c4_initial = c4_initial, + pll1.c5_initial = c5_initial, + pll1.c0_mode = c0_mode, + pll1.c1_mode = c1_mode, + pll1.c2_mode = c2_mode, + pll1.c3_mode = c3_mode, + pll1.c4_mode = c4_mode, + pll1.c5_mode = c5_mode, + pll1.c0_ph = c0_ph, + pll1.c1_ph = c1_ph, + pll1.c2_ph = c2_ph, + pll1.c3_ph = c3_ph, + pll1.c4_ph = c4_ph, + pll1.c5_ph = c5_ph, + pll1.m_ph = m_ph, + pll1.c1_use_casc_in = c1_use_casc_in, + pll1.c2_use_casc_in = c2_use_casc_in, + pll1.c3_use_casc_in = c3_use_casc_in, + pll1.c4_use_casc_in = c4_use_casc_in, + pll1.c5_use_casc_in = c5_use_casc_in, + pll1.clk5_counter = (clk5_counter == "l1") ? "c5" : clk5_counter, + pll1.clk4_counter = (clk4_counter == "l0") ? "c4" : clk4_counter, + pll1.clk3_counter = (clk3_counter == "g3") ? "c3" : clk3_counter, + pll1.clk2_counter = (clk2_counter == "g2") ? "c2" : clk2_counter, + pll1.clk1_counter = (clk1_counter == "g1") ? "c1" : clk1_counter, + pll1.clk0_counter = (clk0_counter == "g0") ? "c0" : clk0_counter, + pll1.enable0_counter = (enable0_counter == "l0") ? "c0" : enable0_counter, + pll1.enable1_counter = (enable1_counter == "l0") ? "c1" : enable1_counter, + pll1.charge_pump_current = charge_pump_current, + pll1.loop_filter_r = loop_filter_r, + pll1.loop_filter_c = loop_filter_c, + pll1.m_test_source = m_test_source, + pll1.c0_test_source = c0_test_source, + pll1.c1_test_source = c1_test_source, + pll1.c2_test_source = c2_test_source, + pll1.c3_test_source = c3_test_source, + pll1.c4_test_source = c4_test_source, + pll1.c5_test_source = c5_test_source; + +// CONTINOUS ASSIGNMENT +assign fbin_pullup = ((port_fbin == "PORT_CONNECTIVITY") || + (port_fbin == "PORT_USED")) ? fbin : 1'b1; +assign ena_pullup = ((port_pllena == "PORT_CONNECTIVITY") || + (port_pllena == "PORT_USED")) ? pllena : 1'b1; +assign pfdena_pullup = ((port_pfdena == "PORT_CONNECTIVITY") || + (port_pfdena == "PORT_USED")) ? pfdena : 1'b1; +assign clkena_pullup[0] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena0 == "PORT_USED")) && + (port_clkena0 != "PORT_UNUSED") ? clkena[0] : 1'b1; +assign clkena_pullup[1] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena1 == "PORT_USED")) && + (port_clkena1 != "PORT_UNUSED") ? clkena[1] : 1'b1; +assign clkena_pullup[2] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena2 == "PORT_USED")) && + (port_clkena2 != "PORT_UNUSED") ? clkena[2] : 1'b1; +assign clkena_pullup[3] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena3 == "PORT_USED")) && + (port_clkena3 != "PORT_UNUSED") ? clkena[3] : 1'b1; +assign clkena_pullup[4] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena4 == "PORT_USED")) && + (port_clkena4 != "PORT_UNUSED") ? clkena[4] : 1'b1; +assign clkena_pullup[5] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_clkena5 == "PORT_USED")) && + (port_clkena5 != "PORT_UNUSED") ? clkena[5] : 1'b1; + +assign extclkena_pullup[0] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_extclkena0 == "PORT_USED")) && + (port_extclkena0 != "PORT_UNUSED") ? extclkena[0] : 1'b1; +assign extclkena_pullup[1] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_extclkena1 == "PORT_USED")) && + (port_extclkena1 != "PORT_UNUSED") ? extclkena[1] : 1'b1; +assign extclkena_pullup[2] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_extclkena2 == "PORT_USED")) && + (port_extclkena2 != "PORT_UNUSED") ? extclkena[2] : 1'b1; +assign extclkena_pullup[3] = (!((pll_type == "fast") || (pll_type == "FAST")) || + (port_extclkena3 == "PORT_USED")) && + (port_extclkena3 != "PORT_UNUSED") ? extclkena[3] : 1'b1; +assign scanclk_pulldown = scanclk; +assign scanread_pulldown = ((port_scanread == "PORT_CONNECTIVITY") || + (port_scanread == "PORT_USED")) ? scanread : 1'b0; +assign scanwrite_pulldown = ((port_scanwrite == "PORT_CONNECTIVITY") || + (port_scanwrite == "PORT_USED")) ? scanwrite : 1'b0; +assign scandata_pulldown = ((port_scandata == "PORT_CONNECTIVITY") || + (port_scandata == "PORT_USED")) ? scandata : 1'b0; +assign inclk_pulldown = inclk; +assign clkswitch_pulldown = ((port_clkswitch == "PORT_CONNECTIVITY") || + (port_clkswitch == "PORT_USED")) ? clkswitch : 1'b0; +assign areset_pulldown = ((port_areset == "PORT_CONNECTIVITY") || + (port_areset == "PORT_USED")) ? areset : 1'b0; +assign scanclr_pulldown = ((port_scanaclr == "PORT_CONNECTIVITY") || + (port_scanaclr == "PORT_USED")) ? scanaclr : 1'b0; + +assign stratix_inclk = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? inclk_pulldown : {2{1'b0}}; +assign stratix_fbin = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? fbin_pullup : 1'b0; +assign stratix_ena = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? ena_pullup : 1'b0; +assign stratix_clkswitch = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? clkswitch_pulldown : 1'b0; +assign stratix_areset = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? areset_pulldown : 1'b0; +assign stratix_pfdena = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? pfdena_pullup : 1'b1; +assign stratix_clkena = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? clkena_pullup : {5{1'b0}}; +assign stratix_extclkena = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? extclkena_pullup : {3{1'b0}}; +assign stratix_scanclk = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? scanclk_pulldown : 1'b0; +assign stratix_scanclr = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? scanclr_pulldown : 1'b0; +assign stratix_scandata = (!dev.FEATURE_FAMILY_STRATIXII(intended_device_family) && + !dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? scandata_pulldown : 1'b0; +assign stratixii_inclk = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? inclk_pulldown : {2{1'b0}}; +assign stratixii_fbin = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? fbin_pullup : 1'b0; +assign stratixii_ena = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? ena_pullup : 1'b0; +assign stratixii_clkswitch = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? clkswitch_pulldown : 1'b0; +assign stratixii_areset = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? areset_pulldown : 1'b0; +assign stratixii_pfdena = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? pfdena_pullup : 1'b1; +assign stratixii_scanread = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? scanread_pulldown : 1'b0; +assign stratixii_scanwrite = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? scanwrite_pulldown : 1'b0; +assign stratixii_scanclk = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? scanclk_pulldown : 1'b0; +assign stratixii_scandata = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? scandata_pulldown : 1'b0; +assign scandone_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_scandone : 1'b0; +assign scandone = (port_scandone != "PORT_UNUSED") ? scandone_wire : 1'b0; +assign clk_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_clk : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? {3'b0, stratixii_clk[2:0]} : stratix_clk; +assign clk[0] = (port_clk0 != "PORT_UNUSED") ? clk_wire[0] : 1'b0; +assign clk[1] = (port_clk1 != "PORT_UNUSED") ? clk_wire[1] : 1'b0; +assign clk[2] = (port_clk2 != "PORT_UNUSED") ? clk_wire[2] : 1'b0; +assign clk[3] = (port_clk3 != "PORT_UNUSED") ? clk_wire[3] : 1'b0; +assign clk[4] = (port_clk4 != "PORT_UNUSED") ? clk_wire[4] : 1'b0; +assign clk[5] = (port_clk5 != "PORT_UNUSED") ? clk_wire[5] : 1'b0; +assign extclk[0] = (port_extclk0 != "PORT_UNUSED") ? stratix_extclk[0] : 1'b0; +assign extclk[1] = (port_extclk1 != "PORT_UNUSED") ? stratix_extclk[1] : 1'b0; +assign extclk[2] = (port_extclk2 != "PORT_UNUSED") ? stratix_extclk[2] : 1'b0; +assign extclk[3] = (port_extclk3 != "PORT_UNUSED") ? stratix_extclk[3] : 1'b0; +assign clkbad_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_clkbad : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 2'b0 : stratix_clkbad; +assign clkbad[0] = (port_clkbad0 != "PORT_UNUSED") ? clkbad_wire[0] : 1'b0; +assign clkbad[1] = (port_clkbad1 != "PORT_UNUSED") ? clkbad_wire[1] : 1'b0; +assign activeclock_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_activeclock : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 1'b0 : stratix_activeclock; +assign activeclock = (port_activeclock != "PORT_UNUSED") ? activeclock_wire : 1'b0; +assign locked = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? stratixii_locked : stratix_locked; +assign stratix_locked = ((pll_type == "fast") || (pll_type == "FAST")) ? (!locked_tmp) : locked_tmp; +assign clkloss_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_clkloss : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 1'b0 : stratix_clkloss; +assign clkloss = (port_clkloss != "PORT_UNUSED") ? clkloss_wire : 1'b0; +assign scandataout_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_scandataout : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 1'b0 : stratix_scandataout; +assign scandataout = (port_scandataout != "PORT_UNUSED") ? scandataout_wire : 1'b0; +assign enable0 = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_enable0 : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 1'b0 : stratix_enable0; +assign enable1 = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_enable1 : + (dev.IS_FAMILY_CYCLONEII(intended_device_family)) ? 1'b0 : stratix_enable1; +assign sclkout0_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_sclkout0 : 1'b0; +assign sclkout0 = (port_sclkout0 != "PORT_UNUSED") ? sclkout0_wire : 1'b0; +assign sclkout1_wire = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) ? stratixii_sclkout1 : 1'b0; +assign sclkout1 = (port_sclkout1 != "PORT_UNUSED") ? sclkout1_wire : 1'b0; +endmodule //altpll + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : altaccumulate +// +// Description : Parameterized accumulator megafunction. The accumulator +// performs an add function or a subtract function based on the add_sub +// parameter. The input data can be signed or unsigned. +// +// Limitation : n/a +// +// Results expected: result - The results of add or subtract operation. Output +// port [width_out-1 .. 0] wide. +// cout - The cout port has a physical interpretation as +// the carry-out (borrow-in) of the MSB. The cout +// port is most meaningful for detecting overflow +// in unsigned operations. The cout port operates +// in the same manner for signed and unsigned +// operations. +// overflow - Indicates the accumulator is overflow. +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE + +`timescale 1 ps / 1 ps + +module altaccumulate (cin, data, add_sub, clock, sload, clken, sign_data, aclr, + result, cout, overflow); + + parameter width_in = 4; // Required + parameter width_out = 8; // Required + parameter lpm_representation = "UNSIGNED"; + parameter extra_latency = 0; + parameter use_wys = "ON"; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "altaccumulate"; + + // INPUT PORT DECLARATION + input cin; + input [width_in-1:0] data; // Required port + input add_sub; // Default = 1 + input clock; // Required port + input sload; // Default = 0 + input clken; // Default = 1 + input sign_data; // Default = 0 + input aclr; // Default = 0 + + // OUTPUT PORT DECLARATION + output [width_out-1:0] result; //Required port + output cout; + output overflow; + + // INTERNAL REGISTERS DECLARATION + reg [width_out:0] temp_sum; + reg overflow; + reg overflow_int; + reg cout_int; + reg cout_delayed; + + reg [width_out-1:0] result; + reg [width_out+1:0] result_int; + reg [(width_out - width_in) : 0] zeropad; + + reg borrow; + reg cin_int; + + reg [width_out-1:0] fb_int; + reg [width_out -1:0] data_int; + + reg [width_out+1:0] result_pipe [extra_latency:0]; + reg [width_out+1:0] result_full; + reg [width_out+1:0] result_full2; + + reg a; + + // INTERNAL WIRE DECLARATION + wire [width_out:0] temp_sum_wire; + wire cout; + wire cout_int_wire; + wire cout_delayed_wire; + wire overflow_int_wire; + wire [width_out+1:0] result_int_wire; + + // INTERNAL TRI DECLARATION + + tri0 aclr_int; + tri0 sign_data_int; + tri0 sload_int; + + tri1 clken_int; + tri1 add_sub_int; + + // LOCAL INTEGER DECLARATION + integer head; + integer i; + + // INITIAL CONSTRUCT BLOCK + initial + begin + + // Checking for invalid parameters + if( width_in <= 0 ) + begin + $display("Error! Value of width_in parameter must be greater than 0."); + $stop; + end + + if( width_out <= 0 ) + begin + $display("Error! Value of width_out parameter must be greater than 0."); + $stop; + end + + if( extra_latency > width_out ) + begin + $display("Info: Value of extra_latency parameter should be lower than width_out parameter for better performance/utilization."); + end + + if( width_in > width_out ) + begin + $display("Error! Value of width_in parameter should be lower than or equal to width_out."); + $stop; + end + + result = 0; + cout_delayed = 0; + overflow = 0; + head = 0; + result_int = 0; + for (i = 0; i <= extra_latency; i = i +1) + begin + result_pipe [i] = 0; + end + end + + // ALWAYS CONSTRUCT BLOCK + always @(posedge clock or posedge aclr_int) + begin + + if (aclr_int == 1) + begin + result_int = 0; + result = 0; + overflow = 0; + cout_delayed = 0; + for (i = 0; i <= extra_latency; i = i +1) + begin + result_pipe [i] = 0; + end + + end + else + begin + if (clken_int == 1) + begin + //get result from output register + if (extra_latency > 0) + begin + result_pipe [head] = { + result_int [width_out+1], + {cout_int_wire, result_int [width_out-1:0]} + }; + + head = (head + 1) % (extra_latency); + + result_full = result_pipe [head]; + cout_delayed = result_full [width_out]; + result = result_full [width_out-1:0]; + overflow = result_full [width_out+1]; + end + else + begin + result = temp_sum_wire; + overflow = overflow_int_wire; + end + + result_int = {overflow_int_wire, {cout_int_wire, temp_sum_wire [width_out-1:0]}}; + end + end + end + + + always @ (data or cin or add_sub_int or sign_data_int or + result_int_wire [width_out -1:0] or sload_int) + begin + + if ((lpm_representation == "SIGNED") || (sign_data_int == 1)) + begin + zeropad = (data [width_in-1] ==0) ? 0 : -1; + end + else + begin + zeropad = 0; + end + + fb_int = (sload_int == 1'b1) ? 0 : result_int_wire [width_out-1:0]; + data_int = {zeropad, data}; + + if ((add_sub_int == 1) || (sload_int == 1)) + begin + cin_int = ((sload_int == 1'b1) ? 0 : ((cin === 1'bz) ? 0 : cin)); + temp_sum = fb_int + data_int + cin_int; + cout_int = temp_sum [width_out]; + end + else + begin + cin_int = (cin === 1'bz) ? 1 : cin; + borrow = ~cin_int; + + temp_sum = fb_int - data_int - borrow; + + result_full2 = data_int + borrow; + cout_int = (fb_int >= result_full2) ? 1 : 0; + end + + if ((lpm_representation == "SIGNED") || (sign_data_int == 1)) + begin + a = (data [width_in-1] ~^ fb_int [width_out-1]) ^ (~add_sub_int); + overflow_int = a & (fb_int [width_out-1] ^ temp_sum[width_out-1]); + end + else + begin + overflow_int = (add_sub_int == 1) ? cout_int : ~cout_int; + end + + if (sload_int == 1) + begin + cout_int = !add_sub_int; + overflow_int = 0; + end + + end + + // CONTINOUS ASSIGNMENT + + // Get the input data and control signals. + assign sign_data_int = sign_data; + assign sload_int = sload; + assign add_sub_int = add_sub; + + assign clken_int = clken; + assign aclr_int = aclr; + assign result_int_wire = result_int; + assign temp_sum_wire = temp_sum; + assign cout_int_wire = cout_int; + assign overflow_int_wire = overflow_int; + assign cout = (extra_latency == 0) ? cout_int_wire : cout_delayed_wire; + assign cout_delayed_wire = cout_delayed; + +endmodule // End of altaccumulate + +// END OF MODULE + +//-------------------------------------------------------------------------- +// Module Name : altmult_accum +// +// Description : a*b + x (MAC) +// +// Limitation : Stratix DSP block +// +// Results expected : signed & unsigned, maximum of 3 pipelines(latency) each. +// +//-------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module altmult_accum ( dataa, + datab, + scanina, + scaninb, + sourcea, + sourceb, + accum_sload_upper_data, + addnsub, + accum_sload, + signa, + signb, + clock0, + clock1, + clock2, + clock3, + ena0, + ena1, + ena2, + ena3, + aclr0, + aclr1, + aclr2, + aclr3, + result, + overflow, + scanouta, + scanoutb, + mult_round, + mult_saturation, + accum_round, + accum_saturation, + mult_is_saturated, + accum_is_saturated); + + // --------------------- + // PARAMETER DECLARATION + // --------------------- + parameter width_a = 2; + parameter width_b = 2; + parameter width_result = 5; + parameter input_reg_a = "CLOCK0"; + parameter input_aclr_a = "ACLR3"; + parameter input_reg_b = "CLOCK0"; + parameter input_aclr_b = "ACLR3"; + parameter port_addnsub = "PORT_CONNECTIVITY"; + parameter addnsub_reg = "CLOCK0"; + parameter addnsub_aclr = "ACLR3"; + parameter addnsub_pipeline_reg = "CLOCK0"; + parameter addnsub_pipeline_aclr = "ACLR3"; + parameter accum_direction = "ADD"; + parameter accum_sload_reg = "CLOCK0"; + parameter accum_sload_aclr = "ACLR3"; + parameter accum_sload_pipeline_reg = "CLOCK0"; + parameter accum_sload_pipeline_aclr = "ACLR3"; + parameter representation_a = "UNSIGNED"; + parameter port_signa = "PORT_CONNECTIVITY"; + parameter sign_reg_a = "CLOCK0"; + parameter sign_aclr_a = "ACLR3"; + parameter sign_pipeline_reg_a = "CLOCK0"; + parameter sign_pipeline_aclr_a = "ACLR3"; + parameter port_signb = "PORT_CONNECTIVITY"; + parameter representation_b = "UNSIGNED"; + parameter sign_reg_b = "CLOCK0"; + parameter sign_aclr_b = "ACLR3"; + parameter sign_pipeline_reg_b = "CLOCK0"; + parameter sign_pipeline_aclr_b = "ACLR3"; + parameter multiplier_reg = "CLOCK0"; + parameter multiplier_aclr = "ACLR3"; + parameter output_reg = "CLOCK0"; + parameter output_aclr = "ACLR3"; + parameter lpm_type = "altmult_accum"; + parameter lpm_hint = "UNUSED"; + + parameter extra_multiplier_latency = 0; + parameter extra_accumulator_latency = 0; + parameter dedicated_multiplier_circuitry = "AUTO"; + parameter dsp_block_balancing = "AUTO"; + parameter intended_device_family = "Stratix"; + + // StratixII related parameter + parameter accum_round_aclr = "ACLR3"; + parameter accum_round_pipeline_aclr = "ACLR3"; + parameter accum_round_pipeline_reg = "CLOCK0"; + parameter accum_round_reg = "CLOCK0"; + parameter accum_saturation_aclr = "ACLR3"; + parameter accum_saturation_pipeline_aclr = "ACLR3"; + parameter accum_saturation_pipeline_reg = "CLOCK0"; + parameter accum_saturation_reg = "CLOCK0"; + parameter accum_sload_upper_data_aclr = "ACLR3"; + parameter accum_sload_upper_data_pipeline_aclr = "ACLR3"; + parameter accum_sload_upper_data_pipeline_reg = "CLOCK0"; + parameter accum_sload_upper_data_reg = "CLOCK0"; + parameter mult_round_aclr = "ACLR3"; + parameter mult_round_reg = "CLOCK0"; + parameter mult_saturation_aclr = "ACLR3"; + parameter mult_saturation_reg = "CLOCK0"; + + parameter input_source_a = "DATAA"; + parameter input_source_b = "DATAB"; + parameter width_upper_data = 1; + parameter multiplier_rounding = "NO"; + parameter multiplier_saturation = "NO"; + parameter accumulator_rounding = "NO"; + parameter accumulator_saturation = "NO"; + parameter port_mult_is_saturated = "UNUSED"; + parameter port_accum_is_saturated = "UNUSED"; + + // ----------------------- + // Local parameters + // ----------------------- + parameter int_width_a = ((multiplier_saturation == "NO") && (multiplier_rounding == "NO") && (accumulator_saturation == "NO") && (accumulator_rounding == "NO")) ? width_a : 18; + parameter int_width_b = ((multiplier_saturation == "NO") && (multiplier_rounding == "NO") && (accumulator_saturation == "NO") && (accumulator_rounding == "NO")) ? width_b : 18; + parameter int_width_result = ((multiplier_saturation == "NO") && (multiplier_rounding == "NO") && (accumulator_saturation == "NO") && (accumulator_rounding == "NO")) ? width_result : 52; + parameter int_extra_width = ((multiplier_saturation == "NO") && (multiplier_rounding == "NO") && (accumulator_saturation == "NO") && (accumulator_rounding == "NO")) ? 0 : (int_width_a + int_width_b - width_a - width_b); + parameter diff_width_a = (int_width_a > width_a) ? int_width_a - width_a : 1; + parameter diff_width_b = (int_width_b > width_b) ? int_width_b - width_b : 1; + parameter sat_for_ini = ((multiplier_saturation == "NO") && (accumulator_saturation == "NO")) ? 0 : (int_width_a + int_width_b - 34); + parameter mult_round_for_ini = ((multiplier_rounding == "NO")? 0 : (int_width_a + int_width_b - 18)); + parameter bits_to_round = (((multiplier_rounding == "NO") && (accumulator_rounding == "NO"))? 0 : int_width_a + int_width_b - 18); + parameter sload_for_limit = (width_result < width_upper_data)? width_result + int_extra_width : width_upper_data ; + parameter accum_sat_for_limit = ((accumulator_saturation == "NO")? int_width_result - 1 : int_width_a + int_width_b - 33 ); + // ---------------- + // PORT DECLARATION + // ---------------- + + // data input ports + input [width_a -1 : 0] dataa; + input [width_b -1 : 0] datab; + input [width_a -1 : 0] scanina; + input [width_b -1 : 0] scaninb; + input sourcea; + input sourceb; + input [width_result -1 : width_result - width_upper_data] accum_sload_upper_data; + + // control signals + input addnsub; + input accum_sload; + input signa; + input signb; + + // clock ports + input clock0; + input clock1; + input clock2; + input clock3; + + // clock enable ports + input ena0; + input ena1; + input ena2; + input ena3; + + // clear ports + input aclr0; + input aclr1; + input aclr2; + input aclr3; + + // round and saturate ports + input mult_round; + input mult_saturation; + input accum_round; + input accum_saturation; + + // output ports + output [width_result -1 : 0] result; + output overflow; + output [width_a -1 : 0] scanouta; + output [width_b -1 : 0] scanoutb; + + output mult_is_saturated; + output accum_is_saturated; + + + // --------------- + // REG DECLARATION + // --------------- + reg [width_result -1 : 0] result; + + reg [int_width_result -1 : 0] mult_res_out; + reg [int_width_result : 0] temp_sum; + + + reg [width_result + 1 : 0] result_pipe [extra_accumulator_latency : 0]; + reg [width_result + 1 : 0] result_full ; + + reg [int_width_result - 1 : 0] result_int; + + reg [int_width_a - 1 : 0] mult_a_reg; + reg [int_width_a - 1 : 0] mult_a_int; + reg [int_width_a + int_width_b - 1 : 0] mult_res; + reg [int_width_a + int_width_b - 1 : 0] temp_mult_1; + reg [int_width_a + int_width_b - 1 : 0] temp_mult; + + + reg [int_width_b -1 :0] mult_b_reg; + reg [int_width_b -1 :0] mult_b_int; + + reg [5 + int_width_a + int_width_b + width_upper_data : 0] mult_pipe [extra_multiplier_latency:0]; + reg [5 + int_width_a + int_width_b + width_upper_data : 0] mult_full; + + reg [width_upper_data - 1 : 0] sload_upper_data_reg; + + reg [width_result - width_upper_data -1 + 4 : 0] lower_bits; + + reg mult_signed_out; + reg [width_upper_data - 1 : 0] sload_upper_data_pipe_reg; + + + reg zero_acc_reg; + reg zero_acc_pipe_reg; + reg sign_a_reg; + reg sign_a_pipe_reg; + reg sign_b_reg; + reg sign_b_pipe_reg; + reg addsub_reg; + reg addsub_pipe_reg; + + reg mult_signed; + reg temp_mult_signed; + reg neg_a; + reg neg_b; + + reg overflow_int; + reg cout_int; + reg overflow_tmp_int; + + reg overflow; + + reg [int_width_a + int_width_b -1 : 0] mult_round_out; + reg mult_saturate_overflow; + reg [int_width_a + int_width_b -1 : 0] mult_saturate_out; + reg [int_width_a + int_width_b -1 : 0] mult_result; + reg [int_width_a + int_width_b -1 : 0] mult_final_out; + + reg [int_width_result -1 : 0] accum_round_out; + reg accum_saturate_overflow; + reg [int_width_result -1 : 0] accum_saturate_out; + reg [int_width_result -1 : 0] accum_result; + reg [int_width_result -1 : 0] accum_final_out; + + tri0 mult_is_saturated_latent; + reg mult_is_saturated_int; + reg mult_is_saturated_reg; + + reg accum_is_saturated_latent; + reg [extra_accumulator_latency : 0] accum_saturate_pipe; + reg [extra_accumulator_latency : 0] mult_is_saturated_pipe; + + reg mult_round_tmp; + reg mult_saturation_tmp; + reg accum_round_tmp1; + reg accum_round_tmp2; + reg accum_saturation_tmp1; + reg accum_saturation_tmp2; + + reg [int_width_result - int_width_a - int_width_b + 2 - 1 : 0] accum_result_sign_bits; + + // ------------------- + // INTEGER DECLARATION + // ------------------- + integer head_result; + integer i; + integer i2; + integer i3; + integer i4; + integer head_mult; + integer flag; + + + //----------------- + // TRI DECLARATION + //----------------- + + + // Tri wire for clear signal + + tri0 input_a_wire_clr; + tri0 input_b_wire_clr; + + tri0 addsub_wire_clr; + tri0 addsub_pipe_wire_clr; + + tri0 zero_wire_clr; + tri0 zero_pipe_wire_clr; + + tri0 sign_a_wire_clr; + tri0 sign_pipe_a_wire_clr; + + tri0 sign_b_wire_clr; + tri0 sign_pipe_b_wire_clr; + + tri0 multiplier_wire_clr; + tri0 mult_pipe_wire_clr; + + tri0 output_wire_clr; + + tri0 mult_round_wire_clr; + tri0 mult_saturation_wire_clr; + + tri0 accum_round_wire_clr; + tri0 accum_round_pipe_wire_clr; + + tri0 accum_saturation_wire_clr; + tri0 accum_saturation_pipe_wire_clr; + + tri0 accum_sload_upper_data_wire_clr; + tri0 accum_sload_upper_data_pipe_wire_clr; + + + // Tri wire for enable signal + + tri1 input_a_wire_en; + tri1 input_b_wire_en; + + tri1 addsub_wire_en; + tri1 addsub_pipe_wire_en; + + tri1 zero_wire_en; + tri1 zero_pipe_wire_en; + + tri1 sign_a_wire_en; + tri1 sign_pipe_a_wire_en; + + tri1 sign_b_wire_en; + tri1 sign_pipe_b_wire_en; + + tri1 multiplier_wire_en; + tri1 mult_pipe_wire_en; + + tri1 output_wire_en; + + tri1 mult_round_wire_en; + tri1 mult_saturation_wire_en; + + tri1 accum_round_wire_en; + tri1 accum_round_pipe_wire_en; + + tri1 accum_saturation_wire_en; + tri1 accum_saturation_pipe_wire_en; + + tri1 accum_sload_upper_data_wire_en; + tri1 accum_sload_upper_data_pipe_wire_en; + + // ------------------------ + // SUPPLY WIRE DECLARATION + // ------------------------ + + supply0 [int_width_a + int_width_b - 1 : 0] temp_mult_zero; + + + // ---------------- + // WIRE DECLARATION + // ---------------- + + // Wire for Clock signals + + wire input_a_wire_clk; + wire input_b_wire_clk; + + wire addsub_wire_clk; + wire addsub_pipe_wire_clk; + + wire zero_wire_clk; + wire zero_pipe_wire_clk; + + wire sign_a_wire_clk; + wire sign_pipe_a_wire_clk; + + wire sign_b_wire_clk; + wire sign_pipe_b_wire_clk; + + wire multiplier_wire_clk; + wire mult_pipe_wire_clk; + + wire output_wire_clk; + + wire [width_a -1 : 0] scanouta; + wire [int_width_a + int_width_b -1 : 0] mult_out_latent; + wire [width_b -1 : 0] scanoutb; + + wire addsub_int; + wire sign_a_int; + wire sign_b_int; + + wire zero_acc_int; + wire sign_a_reg_int; + wire sign_b_reg_int; + + wire addsub_latent; + wire zeroacc_latent; + wire signa_latent; + wire signb_latent; + wire mult_signed_latent; + + wire [width_upper_data - 1 : 0] sload_upper_data_latent; + reg [int_width_result - 1 : 0] sload_upper_data_pipe_wire; + + wire [int_width_a -1 :0] mult_a_wire; + wire [int_width_b -1 :0] mult_b_wire; + wire [width_upper_data - 1 : 0] sload_upper_data_wire; + wire [int_width_a -1 : 0] mult_a_tmp; + wire [int_width_b -1 : 0] mult_b_tmp; + + wire zero_acc_wire; + wire zero_acc_pipe_wire; + + wire sign_a_wire; + wire sign_a_pipe_wire; + wire sign_b_wire; + wire sign_b_pipe_wire; + + wire addsub_wire; + wire addsub_pipe_wire; + + wire mult_round_int; + wire mult_round_wire_clk; + wire mult_saturation_int; + wire mult_saturation_wire_clk; + + wire accum_round_tmp1_wire; + wire accum_round_wire_clk; + wire accum_round_int; + wire accum_round_pipe_wire_clk; + + wire accum_saturation_tmp1_wire; + wire accum_saturation_wire_clk; + wire accum_saturation_int; + wire accum_saturation_pipe_wire_clk; + + wire accum_sload_upper_data_wire_clk; + wire accum_sload_upper_data_pipe_wire_clk; + wire [width_result -1 : width_result - width_upper_data] accum_sload_upper_data_int; + + tri0 mult_is_saturated_wire; + + // ------------------------ + // COMPONENT INSTANTIATIONS + // ------------------------ + ALTERA_DEVICE_FAMILIES dev (); + + + // -------------------- + // ASSIGNMENT STATEMENTS + // -------------------- + + + assign addsub_int = (port_addnsub == "PORT_USED") ? addsub_pipe_wire : + (port_addnsub == "PORT_UNUSED") ? ((accum_direction == "ADD") ? 1 : 0) : + ((addnsub ===1'bz) || + (addsub_wire_clk ===1'bz) || + (addsub_pipe_wire_clk ===1'bz)) ? + ((accum_direction == "ADD") ? 1 : 0) : addsub_pipe_wire; + + assign sign_a_int = (port_signa == "PORT_USED") ? sign_a_pipe_wire : + (port_signa == "PORT_UNUSED") ? ((representation_a == "SIGNED") ? 1 : 0) : + ((signa ===1'bz) || + (sign_a_wire_clk ===1'bz) || + (sign_pipe_a_wire_clk ===1'bz)) ? + ((representation_a == "SIGNED") ? 1 : 0) : sign_a_pipe_wire; + + assign sign_b_int = (port_signb == "PORT_USED") ? sign_b_pipe_wire : + (port_signb == "PORT_UNUSED") ? ((representation_b == "SIGNED") ? 1 : 0) : + ((signb ===1'bz) || + (sign_b_wire_clk ===1'bz) || + (sign_pipe_b_wire_clk ===1'bz)) ? + ((representation_b == "SIGNED") ? 1 : 0) : sign_b_pipe_wire; + + + + assign sign_a_reg_int = (port_signa == "PORT_USED") ? sign_a_wire : + (port_signa == "PORT_UNUSED") ? ((representation_a == "SIGNED") ? 1 : 0) : + ((signa ===1'bz) || + (sign_a_wire_clk ===1'bz) || + (sign_pipe_a_wire_clk ===1'bz)) ? + ((representation_a == "SIGNED") ? 1 : 0) : sign_a_wire; + + assign sign_b_reg_int = (port_signb == "PORT_USED") ? sign_b_wire : + (port_signb == "PORT_UNUSED") ? ((representation_b == "SIGNED") ? 1 : 0) : + ((signb ===1'bz) || + (sign_b_wire_clk ===1'bz) || + (sign_pipe_b_wire_clk ===1'bz)) ? + ((representation_b == "SIGNED") ? 1 : 0) : sign_b_wire; + + assign zero_acc_int = ((accum_sload ===1'bz) || + (zero_wire_clk===1'bz) || + (zero_pipe_wire_clk===1'bz)) ? + 0 : zero_acc_pipe_wire; + + assign accum_sload_upper_data_int = ((accum_sload_upper_data === {width_upper_data{1'bz}}) || + (accum_sload_upper_data_wire_clk === 1'bz) || + (accum_sload_upper_data_pipe_wire_clk === 1'bz)) ? + {width_upper_data{1'b0}} : accum_sload_upper_data; + + assign scanouta = mult_a_wire[int_width_a - 1 : int_width_a - width_a]; + assign scanoutb = mult_b_wire[int_width_b - 1 : int_width_b - width_b]; + + assign {addsub_latent, zeroacc_latent, signa_latent, signb_latent, mult_signed_latent, mult_out_latent, sload_upper_data_latent, mult_is_saturated_latent} = (extra_multiplier_latency > 0) ? + mult_full : {addsub_wire, zero_acc_wire, sign_a_wire, sign_b_wire, temp_mult_signed, mult_final_out, sload_upper_data_wire, mult_saturate_overflow}; + + assign mult_is_saturated = (port_mult_is_saturated != "UNUSED") ? mult_is_saturated_int : 0; + assign accum_is_saturated = (port_accum_is_saturated != "UNUSED") ? accum_is_saturated_latent : 0; + + + // --------------------------------------------------------------------------------- + // Initialization block where all the internal signals and registers are initialized + // --------------------------------------------------------------------------------- + initial + begin + + // Checking for invalid parameters, in case Wizard is bypassed (hand-modified). + + if ((dedicated_multiplier_circuitry != "AUTO") && + (dedicated_multiplier_circuitry != "YES") && + (dedicated_multiplier_circuitry != "NO")) + begin + $display("Error: The DEDICATED_MULTIPLIER_CIRCUITRY parameter is set to an illegal value."); + $stop; + end + if (width_a <= 0) + begin + $display("Error: width_a must be greater than 0."); + $stop; + end + if (width_b <= 0) + begin + $display("Error: width_b must be greater than 0."); + $stop; + end + if (width_result <= 0) + begin + $display("Error: width_result must be greater than 0."); + $stop; + end + + if (( (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && + (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) ) + && (input_source_a != "DATAA")) + begin + $display("Error: The input source for port A are limited to input dataa."); + $stop; + end + + if (( (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && + (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) ) + && (input_source_b != "DATAB")) + begin + $display("Error: The input source for port B are limited to input datab."); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (multiplier_rounding != "NO")) + begin + $display("Error: There is no rounding feature for %s device.", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (accumulator_rounding != "NO")) + begin + $display("Error: There is no rounding feature for %s device.", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (multiplier_saturation != "NO")) + begin + $display("Error: There is no saturation feature for %s device.", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (accumulator_saturation != "NO")) + begin + $display("Error: There is no saturation feature for %s device.", intended_device_family); + $stop; + end + + + temp_sum = 0; + head_result = 0; + head_mult = 0; + overflow_int = 0; + mult_a_reg = 0; + mult_b_reg = 0; + flag = 0; + + zero_acc_reg = 0; + zero_acc_pipe_reg = 0; + sload_upper_data_reg = 0; + lower_bits = 0; + sload_upper_data_pipe_reg = 0; + + sign_a_reg = (signa ===1'bz) ? ((representation_a == "SIGNED") ? 1 : 0) : 0; + sign_a_pipe_reg = (signa ===1'bz) ? ((representation_a == "SIGNED") ? 1 : 0) : 0; + sign_b_reg = (signb ===1'bz) ? ((representation_b == "SIGNED") ? 1 : 0) : 0; + sign_b_pipe_reg = (signb ===1'bz) ? ((representation_b == "SIGNED") ? 1 : 0) : 0; + addsub_reg = (addnsub ===1'bz) ? ((accum_direction == "ADD") ? 1 : 0) : 0; + addsub_pipe_reg = (addnsub ===1'bz) ? ((accum_direction == "ADD") ? 1 : 0) : 0; + + result_int = 0; + result = 0; + overflow = 0; + mult_full = 0; + mult_res_out = 0; + mult_signed_out = 0; + mult_res = 0; + + mult_is_saturated_int = 0; + mult_is_saturated_reg = 0; + mult_saturation_tmp = 0; + mult_saturate_overflow = 0; + + accum_result = 0; + accum_saturate_overflow = 0; + accum_is_saturated_latent = 0; + + for (i=0; i<=extra_accumulator_latency; i=i+1) + begin + result_pipe [i] = 0; + accum_saturate_pipe[i] = 0; + mult_is_saturated_pipe[i] = 0; + end + + for (i=0; i<= extra_multiplier_latency; i=i+1) + begin + mult_pipe [i] = 0; + end + + end + + + // --------------------------------------------------------- + // This block updates the internal clock signals accordingly + // every time the global clock signal changes state + // --------------------------------------------------------- + + assign input_a_wire_clk = (input_reg_a == "CLOCK0")? clock0: + (input_reg_a == "UNREGISTERED")? 0: + (input_reg_a == "CLOCK1")? clock1: + (input_reg_a == "CLOCK2")? clock2: + (input_reg_a == "CLOCK3")? clock3:0; + + assign input_b_wire_clk = (input_reg_b == "CLOCK0")? clock0: + (input_reg_b == "UNREGISTERED")? 0: + (input_reg_b == "CLOCK1")? clock1: + (input_reg_b == "CLOCK2")? clock2: + (input_reg_b == "CLOCK3")? clock3:0; + + + assign addsub_wire_clk = (addnsub_reg == "CLOCK0")? clock0: + (addnsub_reg == "UNREGISTERED")? 0: + (addnsub_reg == "CLOCK1")? clock1: + (addnsub_reg == "CLOCK2")? clock2: + (addnsub_reg == "CLOCK3")? clock3:0; + + + assign addsub_pipe_wire_clk = (addnsub_pipeline_reg == "CLOCK0")? clock0: + (addnsub_pipeline_reg == "UNREGISTERED")? 0: + (addnsub_pipeline_reg == "CLOCK1")? clock1: + (addnsub_pipeline_reg == "CLOCK2")? clock2: + (addnsub_pipeline_reg == "CLOCK3")? clock3:0; + + + assign zero_wire_clk = (accum_sload_reg == "CLOCK0")? clock0: + (accum_sload_reg == "UNREGISTERED")? 0: + (accum_sload_reg == "CLOCK1")? clock1: + (accum_sload_reg == "CLOCK2")? clock2: + (accum_sload_reg == "CLOCK3")? clock3:0; + + assign accum_sload_upper_data_wire_clk = (accum_sload_upper_data_reg == "CLOCK0")? clock0: + (accum_sload_upper_data_reg == "UNREGISTERED")? 0: + (accum_sload_upper_data_reg == "CLOCK1")? clock1: + (accum_sload_upper_data_reg == "CLOCK2")? clock2: + (accum_sload_upper_data_reg == "CLOCK3")? clock3:0; + + assign zero_pipe_wire_clk = (accum_sload_pipeline_reg == "CLOCK0")? clock0: + (accum_sload_pipeline_reg == "UNREGISTERED")? 0: + (accum_sload_pipeline_reg == "CLOCK1")? clock1: + (accum_sload_pipeline_reg == "CLOCK2")? clock2: + (accum_sload_pipeline_reg == "CLOCK3")? clock3:0; + + assign accum_sload_upper_data_pipe_wire_clk = (accum_sload_upper_data_pipeline_reg == "CLOCK0")? clock0: + (accum_sload_upper_data_pipeline_reg == "UNREGISTERED")? 0: + (accum_sload_upper_data_pipeline_reg == "CLOCK1")? clock1: + (accum_sload_upper_data_pipeline_reg == "CLOCK2")? clock2: + (accum_sload_upper_data_pipeline_reg == "CLOCK3")? clock3:0; + + assign sign_a_wire_clk =(sign_reg_a == "CLOCK0")? clock0: + (sign_reg_a == "UNREGISTERED")? 0: + (sign_reg_a == "CLOCK1")? clock1: + (sign_reg_a == "CLOCK2")? clock2: + (sign_reg_a == "CLOCK3")? clock3:0; + + + assign sign_b_wire_clk =(sign_reg_b == "CLOCK0")? clock0: + (sign_reg_b == "UNREGISTERED")? 0: + (sign_reg_b == "CLOCK1")? clock1: + (sign_reg_b == "CLOCK2")? clock2: + (sign_reg_b == "CLOCK3")? clock3:0; + + + + assign sign_pipe_a_wire_clk = (sign_pipeline_reg_a == "CLOCK0")? clock0: + (sign_pipeline_reg_a == "UNREGISTERED")? 0: + (sign_pipeline_reg_a == "CLOCK1")? clock1: + (sign_pipeline_reg_a == "CLOCK2")? clock2: + (sign_pipeline_reg_a == "CLOCK3")? clock3:0; + + + assign sign_pipe_b_wire_clk = (sign_pipeline_reg_b == "CLOCK0")? clock0: + (sign_pipeline_reg_b == "UNREGISTERED")? 0: + (sign_pipeline_reg_b == "CLOCK1")? clock1: + (sign_pipeline_reg_b == "CLOCK2")? clock2: + (sign_pipeline_reg_b == "CLOCK3")? clock3:0; + + + assign multiplier_wire_clk =(multiplier_reg == "CLOCK0")? clock0: + (multiplier_reg == "UNREGISTERED")? 0: + (multiplier_reg == "CLOCK1")? clock1: + (multiplier_reg == "CLOCK2")? clock2: + (multiplier_reg == "CLOCK3")? clock3:0; + + assign output_wire_clk = (output_reg == "CLOCK0")? clock0: + (output_reg == "UNREGISTERED")? 0: + (output_reg == "CLOCK1")? clock1: + (output_reg == "CLOCK2")? clock2: + (output_reg == "CLOCK3")? clock3:0; + + + assign mult_pipe_wire_clk = (multiplier_reg == "UNREGISTERED")? clock0: + multiplier_wire_clk; + + assign mult_round_wire_clk =(mult_round_reg == "CLOCK0")? clock0: + (mult_round_reg == "UNREGISTERED")? 0: + (mult_round_reg == "CLOCK1")? clock1: + (mult_round_reg == "CLOCK2")? clock2: + (mult_round_reg == "CLOCK3")? clock3:0; + + assign mult_saturation_wire_clk = (mult_saturation_reg == "CLOCK0")? clock0: + (mult_saturation_reg == "UNREGISTERED")? 0: + (mult_saturation_reg == "CLOCK1")? clock1: + (mult_saturation_reg == "CLOCK2")? clock2: + (mult_saturation_reg == "CLOCK3")? clock3:0; + + assign accum_round_wire_clk = (accum_round_reg == "CLOCK0")? clock0: + (accum_round_reg == "UNREGISTERED")? 0: + (accum_round_reg == "CLOCK1")? clock1: + (accum_round_reg == "CLOCK2")? clock2: + (accum_round_reg == "CLOCK3")? clock3:0; + + assign accum_round_pipe_wire_clk = (accum_round_pipeline_reg == "CLOCK0")? clock0: + (accum_round_pipeline_reg == "UNREGISTERED")? 0: + (accum_round_pipeline_reg == "CLOCK1")? clock1: + (accum_round_pipeline_reg == "CLOCK2")? clock2: + (accum_round_pipeline_reg == "CLOCK3")? clock3:0; + + assign accum_saturation_wire_clk = (accum_saturation_reg == "CLOCK0")? clock0: + (accum_saturation_reg == "UNREGISTERED")? 0: + (accum_saturation_reg == "CLOCK1")? clock1: + (accum_saturation_reg == "CLOCK2")? clock2: + (accum_saturation_reg == "CLOCK3")? clock3:0; + + assign accum_saturation_pipe_wire_clk = (accum_saturation_pipeline_reg == "CLOCK0")? clock0: + (accum_saturation_pipeline_reg == "UNREGISTERED")? 0: + (accum_saturation_pipeline_reg == "CLOCK1")? clock1: + (accum_saturation_pipeline_reg == "CLOCK2")? clock2: + (accum_saturation_pipeline_reg == "CLOCK3")? clock3:0; + + + // ---------------------------------------------------------------- + // This block updates the internal clock enable signals accordingly + // every time the global clock enable signal changes state + // ---------------------------------------------------------------- + + + + assign input_a_wire_en =(input_reg_a == "CLOCK0")? ena0: + (input_reg_a == "UNREGISTERED")? 1: + (input_reg_a == "CLOCK1")? ena1: + (input_reg_a == "CLOCK2")? ena2: + (input_reg_a == "CLOCK3")? ena3:1; + + assign input_b_wire_en =(input_reg_b == "CLOCK0")? ena0: + (input_reg_b == "UNREGISTERED")? 1: + (input_reg_b == "CLOCK1")? ena1: + (input_reg_b == "CLOCK2")? ena2: + (input_reg_b == "CLOCK3")? ena3:1; + + + assign addsub_wire_en = (addnsub_reg == "CLOCK0")? ena0: + (addnsub_reg == "UNREGISTERED")? 1: + (addnsub_reg == "CLOCK1")? ena1: + (addnsub_reg == "CLOCK2")? ena2: + (addnsub_reg == "CLOCK3")? ena3:1; + + + assign addsub_pipe_wire_en =(addnsub_pipeline_reg == "CLOCK0")? ena0: + (addnsub_pipeline_reg == "UNREGISTERED")? 1: + (addnsub_pipeline_reg == "CLOCK1")? ena1: + (addnsub_pipeline_reg == "CLOCK2")? ena2: + (addnsub_pipeline_reg == "CLOCK3")? ena3:1; + + + assign zero_wire_en = (accum_sload_reg == "CLOCK0")? ena0: + (accum_sload_reg == "UNREGISTERED")? 1: + (accum_sload_reg == "CLOCK1")? ena1: + (accum_sload_reg == "CLOCK2")? ena2: + (accum_sload_reg == "CLOCK3")? ena3:1; + + assign accum_sload_upper_data_wire_en = (accum_sload_upper_data_reg == "CLOCK0")? ena0: + (accum_sload_upper_data_reg == "UNREGISTERED")? 1: + (accum_sload_upper_data_reg == "CLOCK1")? ena1: + (accum_sload_upper_data_reg == "CLOCK2")? ena2: + (accum_sload_upper_data_reg == "CLOCK3")? ena3:1; + + assign zero_pipe_wire_en = (accum_sload_pipeline_reg == "CLOCK0")? ena0: + (accum_sload_pipeline_reg == "UNREGISTERED")? 1: + (accum_sload_pipeline_reg == "CLOCK1")? ena1: + (accum_sload_pipeline_reg == "CLOCK2")? ena2: + (accum_sload_pipeline_reg == "CLOCK3")? ena3:1; + + assign accum_sload_upper_data_pipe_wire_en = (accum_sload_upper_data_pipeline_reg == "CLOCK0")? ena0: + (accum_sload_upper_data_pipeline_reg == "UNREGISTERED")? 1: + (accum_sload_upper_data_pipeline_reg == "CLOCK1")? ena1: + (accum_sload_upper_data_pipeline_reg == "CLOCK2")? ena2: + (accum_sload_upper_data_pipeline_reg == "CLOCK3")? ena3:1; + + assign sign_a_wire_en = (sign_reg_a == "CLOCK0")? ena0: + (sign_reg_a == "UNREGISTERED")? 1: + (sign_reg_a == "CLOCK1")? ena1: + (sign_reg_a == "CLOCK2")? ena2: + (sign_reg_a == "CLOCK3")? ena3:1; + + + assign sign_b_wire_en = (sign_reg_b == "CLOCK0")? ena0: + (sign_reg_b == "UNREGISTERED")? 1: + (sign_reg_b == "CLOCK1")? ena1: + (sign_reg_b == "CLOCK2")? ena2: + (sign_reg_b == "CLOCK3")? ena3:1; + + + + assign sign_pipe_a_wire_en = (sign_pipeline_reg_a == "CLOCK0")? ena0: + (sign_pipeline_reg_a == "UNREGISTERED")? 1: + (sign_pipeline_reg_a == "CLOCK1")? ena1: + (sign_pipeline_reg_a == "CLOCK2")? ena2: + (sign_pipeline_reg_a == "CLOCK3")? ena3:1; + + + assign sign_pipe_b_wire_en = (sign_pipeline_reg_b == "CLOCK0")? ena0: + (sign_pipeline_reg_b == "UNREGISTERED")? 1: + (sign_pipeline_reg_b == "CLOCK1")? ena1: + (sign_pipeline_reg_b == "CLOCK2")? ena2: + (sign_pipeline_reg_b == "CLOCK3")? ena3:1; + + + assign multiplier_wire_en = (multiplier_reg == "CLOCK0")? ena0: + (multiplier_reg == "UNREGISTERED")? 1: + (multiplier_reg == "CLOCK1")? ena1: + (multiplier_reg == "CLOCK2")? ena2: + (multiplier_reg == "CLOCK3")? ena3:1; + + assign output_wire_en = (output_reg == "CLOCK0")? ena0: + (output_reg == "UNREGISTERED")? 1: + (output_reg == "CLOCK1")? ena1: + (output_reg == "CLOCK2")? ena2: + (output_reg == "CLOCK3")? ena3:1; + + + assign mult_pipe_wire_en = (multiplier_reg == "UNREGISTERED")? ena0: + multiplier_wire_en; + + + assign mult_round_wire_en = (mult_round_reg == "CLOCK0")? ena0: + (mult_round_reg == "UNREGISTERED")? 1: + (mult_round_reg == "CLOCK1")? ena1: + (mult_round_reg == "CLOCK2")? ena2: + (mult_round_reg == "CLOCK3")? ena3:1; + + + assign mult_saturation_wire_en = (mult_saturation_reg == "CLOCK0")? ena0: + (mult_saturation_reg == "UNREGISTERED")? 1: + (mult_saturation_reg == "CLOCK1")? ena1: + (mult_saturation_reg == "CLOCK2")? ena2: + (mult_saturation_reg == "CLOCK3")? ena3:1; + + assign accum_round_wire_en = (accum_round_reg == "CLOCK0")? ena0: + (accum_round_reg == "UNREGISTERED")? 1: + (accum_round_reg == "CLOCK1")? ena1: + (accum_round_reg == "CLOCK2")? ena2: + (accum_round_reg == "CLOCK3")? ena3:1; + + assign accum_round_pipe_wire_en = (accum_round_pipeline_reg == "CLOCK0")? ena0: + (accum_round_pipeline_reg == "UNREGISTERED")? 1: + (accum_round_pipeline_reg == "CLOCK1")? ena1: + (accum_round_pipeline_reg == "CLOCK2")? ena2: + (accum_round_pipeline_reg == "CLOCK3")? ena3:1; + + assign accum_saturation_wire_en = (accum_saturation_reg == "CLOCK0")? ena0: + (accum_saturation_reg == "UNREGISTERED")? 1: + (accum_saturation_reg == "CLOCK1")? ena1: + (accum_saturation_reg == "CLOCK2")? ena2: + (accum_saturation_reg == "CLOCK3")? ena3:1; + + assign accum_saturation_pipe_wire_en = (accum_saturation_pipeline_reg == "CLOCK0")? ena0: + (accum_saturation_pipeline_reg == "UNREGISTERED")? 1: + (accum_saturation_pipeline_reg == "CLOCK1")? ena1: + (accum_saturation_pipeline_reg == "CLOCK2")? ena2: + (accum_saturation_pipeline_reg == "CLOCK3")? ena3:1; + + // --------------------------------------------------------- + // This block updates the internal clear signals accordingly + // every time the global clear signal changes state + // --------------------------------------------------------- + + assign input_a_wire_clr =(input_aclr_a == "ACLR3")? aclr3: + (input_aclr_a == "UNUSED")? 0: + (input_aclr_a == "ACLR0")? aclr0: + (input_aclr_a == "ACLR1")? aclr1: + (input_aclr_a == "ACLR2")? aclr2: 0; + + assign input_b_wire_clr = (input_aclr_b == "ACLR3")? aclr3: + (input_aclr_b == "UNUSED")? 0: + (input_aclr_b == "ACLR0")? aclr0: + (input_aclr_b == "ACLR1")? aclr1: + (input_aclr_b == "ACLR2")? aclr2: 0; + + + assign addsub_wire_clr =(addnsub_aclr == "ACLR3")? aclr3: + (addnsub_aclr == "UNUSED")? 0: + (addnsub_aclr == "ACLR0")? aclr0: + (addnsub_aclr == "ACLR1")? aclr1: + (addnsub_aclr == "ACLR2")? aclr2: 0; + + + assign addsub_pipe_wire_clr = (addnsub_pipeline_aclr == "ACLR3")? aclr3: + (addnsub_pipeline_aclr == "UNUSED")? 0: + (addnsub_pipeline_aclr == "ACLR0")? aclr0: + (addnsub_pipeline_aclr == "ACLR1")? aclr1: + (addnsub_pipeline_aclr == "ACLR2")? aclr2: 0; + + + assign zero_wire_clr = (accum_sload_aclr == "ACLR3")? aclr3: + (accum_sload_aclr == "UNUSED")? 0: + (accum_sload_aclr == "ACLR0")? aclr0: + (accum_sload_aclr == "ACLR1")? aclr1: + (accum_sload_aclr == "ACLR2")? aclr2: 0; + + assign accum_sload_upper_data_wire_clr = (accum_sload_upper_data_aclr == "ACLR3")? aclr3: + (accum_sload_upper_data_aclr == "UNUSED")? 0: + (accum_sload_upper_data_aclr == "ACLR0")? aclr0: + (accum_sload_upper_data_aclr == "ACLR1")? aclr1: + (accum_sload_upper_data_aclr == "ACLR2")? aclr2: 0; + + assign zero_pipe_wire_clr = (accum_sload_pipeline_aclr == "ACLR3")? aclr3: + (accum_sload_pipeline_aclr == "UNUSED")? 0: + (accum_sload_pipeline_aclr == "ACLR0")? aclr0: + (accum_sload_pipeline_aclr == "ACLR1")? aclr1: + (accum_sload_pipeline_aclr == "ACLR2")? aclr2: 0; + + assign accum_sload_upper_data_pipe_wire_clr = (accum_sload_upper_data_pipeline_aclr == "ACLR3")? aclr3: + (accum_sload_upper_data_pipeline_aclr == "UNUSED")? 0: + (accum_sload_upper_data_pipeline_aclr == "ACLR0")? aclr0: + (accum_sload_upper_data_pipeline_aclr == "ACLR1")? aclr1: + (accum_sload_upper_data_pipeline_aclr == "ACLR2")? aclr2: 0; + + assign sign_a_wire_clr =(sign_aclr_a == "ACLR3")? aclr3: + (sign_aclr_a == "UNUSED")? 0: + (sign_aclr_a == "ACLR0")? aclr0: + (sign_aclr_a == "ACLR1")? aclr1: + (sign_aclr_a == "ACLR2")? aclr2: 0; + + + assign sign_b_wire_clr = (sign_aclr_b == "ACLR3")? aclr3: + (sign_aclr_b == "UNUSED")? 0: + (sign_aclr_b == "ACLR0")? aclr0: + (sign_aclr_b == "ACLR1")? aclr1: + (sign_aclr_b == "ACLR2")? aclr2: 0; + + + + + assign sign_pipe_a_wire_clr = (sign_pipeline_aclr_a == "ACLR3")? aclr3: + (sign_pipeline_aclr_a == "UNUSED")? 0: + (sign_pipeline_aclr_a == "ACLR0")? aclr0: + (sign_pipeline_aclr_a == "ACLR1")? aclr1: + (sign_pipeline_aclr_a == "ACLR2")? aclr2: 0; + + + assign sign_pipe_b_wire_clr = (sign_pipeline_aclr_b == "ACLR3")? aclr3: + (sign_pipeline_aclr_b == "UNUSED")? 0: + (sign_pipeline_aclr_b == "ACLR0")? aclr0: + (sign_pipeline_aclr_b == "ACLR1")? aclr1: + (sign_pipeline_aclr_b == "ACLR2")? aclr2: 0; + + + assign multiplier_wire_clr = (multiplier_aclr == "ACLR3")? aclr3: + (multiplier_aclr == "UNUSED")? 0: + (multiplier_aclr == "ACLR0")? aclr0: + (multiplier_aclr == "ACLR1")? aclr1: + (multiplier_aclr == "ACLR2")? aclr2: 0; + + assign output_wire_clr =(output_aclr == "ACLR3")? aclr3: + (output_aclr == "UNUSED")? 0: + (output_aclr == "ACLR0")? aclr0: + (output_aclr == "ACLR1")? aclr1: + (output_aclr == "ACLR2")? aclr2: 0; + + + assign mult_pipe_wire_clr = (multiplier_reg == "UNREGISTERED")? aclr0: + multiplier_wire_clr; + + assign mult_round_wire_clr = (mult_round_aclr == "ACLR3")? aclr3: + (mult_round_aclr == "UNUSED")? 0: + (mult_round_aclr == "ACLR0")? aclr0: + (mult_round_aclr == "ACLR1")? aclr1: + (mult_round_aclr == "ACLR2")? aclr2: 0; + + assign mult_saturation_wire_clr = (mult_saturation_aclr == "ACLR3")? aclr3: + (mult_saturation_aclr == "UNUSED")? 0: + (mult_saturation_aclr == "ACLR0")? aclr0: + (mult_saturation_aclr == "ACLR1")? aclr1: + (mult_saturation_aclr == "ACLR2")? aclr2: 0; + + assign accum_round_wire_clr = (accum_round_aclr == "ACLR3")? aclr3: + (accum_round_aclr == "UNUSED")? 0: + (accum_round_aclr == "ACLR0")? aclr0: + (accum_round_aclr == "ACLR1")? aclr1: + (accum_round_aclr == "ACLR2")? aclr2: 0; + + assign accum_round_pipe_wire_clr = (accum_round_pipeline_aclr == "ACLR3")? aclr3: + (accum_round_pipeline_aclr == "UNUSED")? 0: + (accum_round_pipeline_aclr == "ACLR0")? aclr0: + (accum_round_pipeline_aclr == "ACLR1")? aclr1: + (accum_round_pipeline_aclr == "ACLR2")? aclr2: 0; + + assign accum_saturation_wire_clr = (accum_saturation_aclr == "ACLR3")? aclr3: + (accum_saturation_aclr == "UNUSED")? 0: + (accum_saturation_aclr == "ACLR0")? aclr0: + (accum_saturation_aclr == "ACLR1")? aclr1: + (accum_saturation_aclr == "ACLR2")? aclr2: 0; + + assign accum_saturation_pipe_wire_clr = (accum_saturation_pipeline_aclr == "ACLR3")? aclr3: + (accum_saturation_pipeline_aclr == "UNUSED")? 0: + (accum_saturation_pipeline_aclr == "ACLR0")? aclr0: + (accum_saturation_pipeline_aclr == "ACLR1")? aclr1: + (accum_saturation_pipeline_aclr == "ACLR2")? aclr2: 0; + + // ------------------------------------------------------------------------ + // This block contains 1 register and 1 combinatorial block (to set mult_a) + // Signal Registered : dataa + // + // Register is controlled by posedge input_wire_a_clk + // Register has an asynchronous clear signal, input_reg_a_wire_clr + // NOTE : The combinatorial block will be executed if + // input_reg_a is unregistered and dataa changes value + // ------------------------------------------------------------------------ + assign mult_a_wire = (input_reg_a == "UNREGISTERED")? mult_a_tmp : mult_a_reg; + + assign mult_a_tmp = (int_width_a == width_a) ? ((input_source_a == "DATAA")? dataa : + (input_source_a == "SCANA")? scanina : + (sourcea == 1)? scanina : dataa) + : ((input_source_a == "DATAA")? {dataa, {(diff_width_a){1'b0}}} : + (input_source_a == "SCANA")? {scanina, {(diff_width_a){1'b0}}} : + (sourcea == 1)? {scanina, {(diff_width_a){1'b0}}} : {dataa, {(diff_width_a){1'b0}}}); + + always @(posedge input_a_wire_clk or posedge input_a_wire_clr) + begin + if (input_a_wire_clr == 1) + mult_a_reg <= 0; + else if ((input_a_wire_clk == 1) && (input_a_wire_en == 1)) + begin + if (input_source_a == "DATAA") + mult_a_reg <= (int_width_a == width_a) ? dataa : {dataa, {(diff_width_a){1'b0}}}; + else if (input_source_a == "SCANA") + mult_a_reg <= (int_width_a == width_a) ? scanina : {scanina,{(diff_width_a){1'b0}}}; + else if (input_source_a == "VARIABLE") + begin + if (sourcea == 1) + mult_a_reg <= (int_width_a == width_a) ? scanina : {scanina, {(diff_width_a){1'b0}}}; + else + mult_a_reg <= (int_width_a == width_a) ? dataa : {dataa, {(diff_width_a){1'b0}}}; + end + end + end + + + // ------------------------------------------------------------------------ + // This block contains 1 register and 1 combinatorial block (to set mult_b) + // Signal Registered : datab + // + // Register is controlled by posedge input_wire_b_clk + // Register has an asynchronous clear signal, input_reg_b_wire_clr + // NOTE : The combinatorial block will be executed if + // input_reg_b is unregistered and datab changes value + // ------------------------------------------------------------------------ + assign mult_b_wire = (input_reg_b == "UNREGISTERED")? mult_b_tmp : mult_b_reg; + assign mult_b_tmp = (int_width_b == width_b) ? ((input_source_b == "DATAB")? datab : + (input_source_b == "SCANB")? scaninb : + (sourceb == 1)? scaninb : datab) + : ((input_source_b == "DATAB")? {datab, {(diff_width_b){1'b0}}} : + (input_source_b == "SCANB")? {scaninb, {(diff_width_b){1'b0}}} : + (sourceb == 1)? {scaninb, {(diff_width_b){1'b0}}} : {datab, {(diff_width_b){1'b0}}}); + + always @(posedge input_b_wire_clk or posedge input_b_wire_clr ) + begin + if (input_b_wire_clr == 1) + mult_b_reg <= 0; + else if ((input_b_wire_clk == 1) && (input_b_wire_en == 1)) + begin + if (input_source_b == "DATAB") + mult_b_reg <= (int_width_b == width_b) ? datab : {datab, {(diff_width_b){1'b0}}}; + else if (input_source_b == "SCANB") + mult_b_reg <= (int_width_b == width_b) ? scaninb : {scaninb, {(diff_width_b){1'b0}}}; + else if (input_source_b == "VARIABLE") + begin + if (sourceb == 1) + mult_b_reg <= (int_width_b == width_b) ? scaninb : {scaninb, {(diff_width_b){1'b0}}}; + else + mult_b_reg <= (int_width_b == width_b) ? datab : {datab, {(diff_width_b){1'b0}}}; + end + end + end + + + // ----------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addnsub_reg) + // Signal Registered : addnsub + // + // Register is controlled by posedge addsub_wire_clk + // Register has an asynchronous clear signal, addsub_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub_reg is unregistered and addnsub changes value + // ----------------------------------------------------------------------------- + assign addsub_wire = ((addnsub_reg == "UNREGISTERED") )? addnsub : addsub_reg; + + always @(posedge addsub_wire_clk or posedge addsub_wire_clr) + begin + if (addsub_wire_clr == 1) + addsub_reg <= 0; + else if ((addsub_wire_clk == 1) && (addsub_wire_en == 1)) + addsub_reg <= addnsub; + end + + + // ----------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addsub_pipe) + // Signal Registered : addsub_latent + // + // Register is controlled by posedge addsub_pipe_wire_clk + // Register has an asynchronous clear signal, addsub_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // addsub_pipeline_reg is unregistered and addsub_latent changes value + // ----------------------------------------------------------------------------- + assign addsub_pipe_wire = (addnsub_pipeline_reg == "UNREGISTERED")?addsub_latent : addsub_pipe_reg; + + always @(posedge addsub_pipe_wire_clk or posedge addsub_pipe_wire_clr ) + begin + if (addsub_pipe_wire_clr == 1) + addsub_pipe_reg <= 0; + else if ((addsub_pipe_wire_clk == 1) && (addsub_pipe_wire_en == 1)) + addsub_pipe_reg <= addsub_latent; + + end + + + // ------------------------------------------------------------------------------ + // This block contains 1 register and 1 combinatorial block (to set zero_acc_reg) + // Signal Registered : accum_sload + // + // Register is controlled by posedge zero_wire_clk + // Register has an asynchronous clear signal, zero_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_sload_reg is unregistered and accum_sload changes value + // ------------------------------------------------------------------------------ + assign zero_acc_wire = (accum_sload_reg == "UNREGISTERED")?accum_sload : zero_acc_reg; + + always @(posedge zero_wire_clk or posedge zero_wire_clr) + begin + if (zero_wire_clr == 1) + begin + zero_acc_reg <= 0; + end + else if ((zero_wire_clk == 1) && (zero_wire_en == 1)) + begin + zero_acc_reg <= accum_sload; + end + end + + assign sload_upper_data_wire = (accum_sload_upper_data_reg == "UNREGISTERED")? accum_sload_upper_data_int : sload_upper_data_reg; + + + always @(posedge accum_sload_upper_data_wire_clk or posedge accum_sload_upper_data_wire_clr) + begin + if (accum_sload_upper_data_wire_clr == 1) + begin + sload_upper_data_reg <= 0; + end + else if ((accum_sload_upper_data_wire_clk == 1) && (accum_sload_upper_data_wire_en == 1)) + begin + sload_upper_data_reg <= accum_sload_upper_data_int; + end + end + + // -------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set zero_acc_pipe) + // Signal Registered : zeroacc_latent + // + // Register is controlled by posedge zero_pipe_wire_clk + // Register has an asynchronous clear signal, zero_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_sload_pipeline_reg is unregistered and zeroacc_latent changes value + // -------------------------------------------------------------------------------- + assign zero_acc_pipe_wire = (accum_sload_pipeline_reg == "UNREGISTERED")?zeroacc_latent : zero_acc_pipe_reg; + + always @(posedge zero_pipe_wire_clk or posedge zero_pipe_wire_clr) + begin + if (zero_pipe_wire_clr == 1) + begin + zero_acc_pipe_reg <= 0; + end + else if ((zero_pipe_wire_clk == 1) && (zero_pipe_wire_en == 1)) + begin + zero_acc_pipe_reg <= zeroacc_latent; + end + + end + + + always @(posedge accum_sload_upper_data_pipe_wire_clk or posedge accum_sload_upper_data_pipe_wire_clr) + begin + if (accum_sload_upper_data_pipe_wire_clr == 1) + begin + sload_upper_data_pipe_reg <= 0; + end + else if ((accum_sload_upper_data_pipe_wire_clk == 1) && (accum_sload_upper_data_pipe_wire_en == 1)) + begin + sload_upper_data_pipe_reg <= sload_upper_data_latent; + end + + end + + always @(sload_upper_data_latent or sload_upper_data_pipe_reg or sign_a_int or sign_b_int ) + begin + if (accum_sload_upper_data_pipeline_reg == "UNREGISTERED") + begin + if(int_width_result > width_result) + begin + + if(sign_a_int | sign_b_int) + begin + sload_upper_data_pipe_wire[int_width_result - 1 : 0] = {int_width_result{sload_upper_data_latent[width_upper_data-1]}}; + end + else + begin + sload_upper_data_pipe_wire[int_width_result - 1 : 0] = {int_width_result{1'b0}}; + end + + if(width_result > width_upper_data) + begin + for(i4 = 0; i4 < width_result - width_upper_data + int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : width_result - width_upper_data + int_extra_width] = sload_upper_data_latent; + end + else if(width_result == width_upper_data) + begin + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width: 0 + int_extra_width] = sload_upper_data_latent; + end + else + begin + for(i4 = int_extra_width; i4 < sload_for_limit; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = sload_upper_data_latent[i4]; + end + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + end + end + else + begin + if(width_result > width_upper_data) + begin + for(i4 = 0; i4 < width_result - width_upper_data + int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : width_result - width_upper_data + int_extra_width] = sload_upper_data_latent; + end + else if(width_result == width_upper_data) + begin + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : 0 + int_extra_width] = sload_upper_data_latent; + end + else + begin + for(i4 = int_extra_width; i4 < sload_for_limit; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = sload_upper_data_latent[i4]; + end + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + end + end + end + else + begin + if(int_width_result > width_result) + begin + + if(sign_a_int | sign_b_int) + begin + sload_upper_data_pipe_wire[int_width_result - 1 : 0] = {int_width_result{sload_upper_data_pipe_reg[width_upper_data-1]}}; + end + else + begin + sload_upper_data_pipe_wire[int_width_result - 1 : 0] = {int_width_result{1'b0}}; + end + + if(width_result > width_upper_data) + begin + for(i4 = 0; i4 < width_result - width_upper_data + int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : width_result - width_upper_data + int_extra_width] = sload_upper_data_pipe_reg; + end + else if(width_result == width_upper_data) + begin + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width: 0 + int_extra_width] = sload_upper_data_pipe_reg; + end + else + begin + for(i4 = int_extra_width; i4 < sload_for_limit; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = sload_upper_data_pipe_reg[i4]; + end + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + end + end + else + begin + if(width_result > width_upper_data) + begin + for(i4 = 0; i4 < width_result - width_upper_data + int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : width_result - width_upper_data + int_extra_width] = sload_upper_data_pipe_reg; + end + else if(width_result == width_upper_data) + begin + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + sload_upper_data_pipe_wire[width_result - 1 + int_extra_width : 0 + int_extra_width] = sload_upper_data_pipe_reg; + end + else + begin + for(i4 = int_extra_width; i4 < sload_for_limit; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = sload_upper_data_pipe_reg[i4]; + end + for(i4 = 0; i4 < int_extra_width; i4 = i4 + 1) + begin + sload_upper_data_pipe_wire[i4] = 1'b0; + end + end + end + end + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_a_reg) + // Signal Registered : signa + // + // Register is controlled by posedge sign_a_wire_clk + // Register has an asynchronous clear signal, sign_a_wire_clr + // NOTE : The combinatorial block will be executed if + // sign_reg_a is unregistered and signa changes value + // ---------------------------------------------------------------------------- + assign sign_a_wire = (sign_reg_a == "UNREGISTERED")? signa : sign_a_reg; + + always @(posedge sign_a_wire_clk or posedge sign_a_wire_clr) + begin + if (sign_a_wire_clr == 1) + sign_a_reg <= 0; + else if ((sign_a_wire_clk == 1) && (sign_a_wire_en == 1)) + sign_a_reg <= signa; + end + + + // ----------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_a_pipe) + // Signal Registered : signa_latent + // + // Register is controlled by posedge sign_pipe_a_wire_clk + // Register has an asynchronous clear signal, sign_pipe_a_wire_clr + // NOTE : The combinatorial block will be executed if + // sign_pipeline_reg_a is unregistered and signa_latent changes value + // ----------------------------------------------------------------------------- + assign sign_a_pipe_wire = (sign_pipeline_reg_a == "UNREGISTERED")? signa_latent : sign_a_pipe_reg; + + always @(posedge sign_pipe_a_wire_clk or posedge sign_pipe_a_wire_clr) + begin + if (sign_pipe_a_wire_clr == 1) + sign_a_pipe_reg <= 0; + else if ((sign_pipe_a_wire_clk == 1) && (sign_pipe_a_wire_en == 1)) + sign_a_pipe_reg <= signa_latent; + end + + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_b_reg) + // Signal Registered : signb + // + // Register is controlled by posedge sign_b_wire_clk + // Register has an asynchronous clear signal, sign_b_wire_clr + // NOTE : The combinatorial block will be executed if + // sign_reg_b is unregistered and signb changes value + // ---------------------------------------------------------------------------- + assign sign_b_wire = (sign_reg_b == "UNREGISTERED") ? signb : sign_b_reg; + + always @(posedge sign_b_wire_clk or posedge sign_b_wire_clr) + begin + if (sign_b_wire_clr == 1) + sign_b_reg <= 0; + else if ((sign_b_wire_clk == 1) && (sign_b_wire_en == 1)) + sign_b_reg <= signb; + end + + + // ----------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_b_pipe) + // Signal Registered : signb_latent + // + // Register is controlled by posedge sign_pipe_b_wire_clk + // Register has an asynchronous clear signal, sign_pipe_b_wire_clr + // NOTE : The combinatorial block will be executed if + // sign_pipeline_reg_b is unregistered and signb_latent changes value + // ----------------------------------------------------------------------------- + assign sign_b_pipe_wire = (sign_pipeline_reg_b == "UNREGISTERED" )? signb_latent : sign_b_pipe_reg; + + always @(posedge sign_pipe_b_wire_clk or posedge sign_pipe_b_wire_clr ) + begin + if (sign_pipe_b_wire_clr == 1) + sign_b_pipe_reg <= 0; + else if ((sign_pipe_b_wire_clk == 1) && (sign_pipe_b_wire_en == 1)) + sign_b_pipe_reg <= signb_latent; + + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_round) + // Signal Registered : mult_round + // + // Register is controlled by posedge mult_round_wire_clk + // Register has an asynchronous clear signal, mult_round_wire_clr + // NOTE : The combinatorial block will be executed if + // mult_round_reg is unregistered and mult_round changes value + // ---------------------------------------------------------------------------- + + assign mult_round_int = (mult_round_reg == "UNREGISTERED")? mult_round : mult_round_tmp; + + always @(posedge mult_round_wire_clk or posedge mult_round_wire_clr) + begin + if (mult_round_wire_clr == 1) + mult_round_tmp <= 0; + else if ((mult_round_wire_clk == 1) && (mult_round_wire_en == 1)) + mult_round_tmp <= mult_round; + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_saturation) + // Signal Registered : mult_saturation + // + // Register is controlled by posedge mult_saturation_wire_clk + // Register has an asynchronous clear signal, mult_saturation_wire_clr + // NOTE : The combinatorial block will be executed if + // mult_saturation_reg is unregistered and mult_saturation changes value + // ---------------------------------------------------------------------------- + + assign mult_saturation_int = (mult_saturation_reg == "UNREGISTERED")? mult_saturation : mult_saturation_tmp; + + always @(posedge mult_saturation_wire_clk or posedge mult_saturation_wire_clr) + begin + if (mult_saturation_wire_clr == 1) + mult_saturation_tmp <= 0; + else if ((mult_saturation_wire_clk == 1) && (mult_saturation_wire_en == 1)) + mult_saturation_tmp <= mult_saturation; + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set accum_round) + // Signal Registered : accum_round + // + // Register is controlled by posedge accum_round_wire_clk + // Register has an asynchronous clear signal, accum_round_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_round_reg is unregistered and accum_round changes value + // ---------------------------------------------------------------------------- + + assign accum_round_tmp1_wire = (accum_round_reg == "UNREGISTERED")? accum_round : accum_round_tmp1; + + always @(posedge accum_round_wire_clk or posedge accum_round_wire_clr) + begin + if (accum_round_wire_clr == 1) + accum_round_tmp1 <= 0; + else if ((accum_round_wire_clk == 1) && (accum_round_wire_en == 1)) + accum_round_tmp1 <= accum_round; + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set accum_round_tmp1) + // Signal Registered : accum_round_tmp1 + // + // Register is controlled by posedge accum_round_pipe_wire_clk + // Register has an asynchronous clear signal, accum_round_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_round_pipeline_reg is unregistered and accum_round_tmp1_wire changes value + // ---------------------------------------------------------------------------- + + assign accum_round_int = (accum_round_pipeline_reg == "UNREGISTERED")? accum_round_tmp1_wire : accum_round_tmp2; + + always @(posedge accum_round_pipe_wire_clk or posedge accum_round_pipe_wire_clr) + begin + if (accum_round_pipe_wire_clr == 1) + accum_round_tmp2 <= 0; + else if ((accum_round_pipe_wire_clk == 1) && (accum_round_pipe_wire_en == 1)) + accum_round_tmp2 <= accum_round_tmp1_wire; + end + + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set accum_saturation) + // Signal Registered : accum_saturation + // + // Register is controlled by posedge accum_saturation_wire_clk + // Register has an asynchronous clear signal, accum_saturation_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_saturation_reg is unregistered and accum_saturation changes value + // ---------------------------------------------------------------------------- + + assign accum_saturation_tmp1_wire = (accum_saturation_reg == "UNREGISTERED")? accum_saturation : accum_saturation_tmp1; + + always @(posedge accum_saturation_wire_clk or posedge accum_saturation_wire_clr) + begin + if (accum_saturation_wire_clr == 1) + accum_saturation_tmp1 <= 0; + else if ((accum_saturation_wire_clk == 1) && (accum_saturation_wire_en == 1)) + accum_saturation_tmp1 <= accum_saturation; + end + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set accum_saturation_tmp1) + // Signal Registered : accum_saturation_tmp1 + // + // Register is controlled by posedge accum_saturation_pipe_wire_clk + // Register has an asynchronous clear signal, accum_saturation_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // accum_saturation_pipeline_reg is unregistered and accum_saturation_tmp1_wire changes value + // ---------------------------------------------------------------------------- + + assign accum_saturation_int = (accum_saturation_pipeline_reg == "UNREGISTERED")? accum_saturation_tmp1_wire : accum_saturation_tmp2; + + always @(posedge accum_saturation_pipe_wire_clk or posedge accum_saturation_pipe_wire_clr) + begin + if (accum_saturation_pipe_wire_clr == 1) + accum_saturation_tmp2 <= 0; + else if ((accum_saturation_pipe_wire_clk == 1) && (accum_saturation_pipe_wire_en == 1)) + accum_saturation_tmp2 <= accum_saturation_tmp1_wire; + end + + + // ------------------------------------------------------------------------------------------------------ + // This block checks if the two numbers to be multiplied (mult_a/mult_b) is to be interpreted + // as a negative number or not. If so, then two's complement is performed. + // The numbers are then multipled + // The sign of the result (positive or negative) is determined based on the sign of the two input numbers + // ------------------------------------------------------------------------------------------------------ + + always @(mult_a_wire or mult_b_wire or sign_a_reg_int or sign_b_reg_int or temp_mult_zero) + begin + neg_a = mult_a_wire [int_width_a-1] & (sign_a_reg_int); + neg_b = mult_b_wire [int_width_b-1] & (sign_b_reg_int); + + mult_a_int = (neg_a == 1) ? ~mult_a_wire + 1 : mult_a_wire; + mult_b_int = (neg_b == 1) ? ~mult_b_wire + 1 : mult_b_wire; + + temp_mult_1 = mult_a_int * mult_b_int; + temp_mult_signed = sign_a_reg_int | sign_b_reg_int; + temp_mult = (neg_a ^ neg_b) ? (temp_mult_zero - temp_mult_1) : temp_mult_1; + + end + + always @(temp_mult or mult_saturation_int or mult_round_int) + begin + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 1) + begin + // StratixII rounding support + + // This is based on both input is in Q1.15 format + + if ((multiplier_rounding == "YES") || + ((multiplier_rounding == "VARIABLE") && (mult_round_int == 1))) + begin + mult_round_out = temp_mult + ( 1 << (bits_to_round)); + + end + else + begin + mult_round_out = temp_mult; + end + + // StratixII saturation support + + if ((multiplier_saturation == "YES") || + (( multiplier_saturation == "VARIABLE") && (mult_saturation_int == 1))) + begin + mult_saturate_overflow = (mult_round_out[int_width_a + int_width_b - 1] == 0 && mult_round_out[int_width_a + int_width_b - 2] == 1); + if (mult_saturate_overflow == 0) + begin + mult_saturate_out = mult_round_out; + end + else + begin + for (i = (int_width_a + int_width_b - 1); i >= (int_width_a + int_width_b - 2); i = i - 1) + begin + mult_saturate_out[i] = mult_round_out[int_width_a + int_width_b - 1]; + end + + for (i = (int_width_a + int_width_b - 3); i >= 0; i = i - 1) + begin + mult_saturate_out[i] = ~mult_round_out[int_width_a + int_width_b - 1]; + end + + for (i= sat_for_ini; i >=0; i = i - 1) + begin + mult_saturate_out[i] = 1'b0; + end + + end + end + else + begin + mult_saturate_out = mult_round_out; + mult_saturate_overflow = 0; + end + + if ((multiplier_rounding == "YES") || + ((multiplier_rounding == "VARIABLE") && (mult_round_int == 1))) + begin + mult_result = mult_saturate_out; + + for (i = mult_round_for_ini; i >= 0; i = i - 1) + begin + mult_result[i] = 1'b0; + end + end + else + begin + mult_result = mult_saturate_out; + end + end + + mult_final_out = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) ? + temp_mult : mult_result; + + end + + + // --------------------------------------------------------------------------------------- + // This block contains 2 register (to set mult_res and mult_signed) + // Signals Registered : mult_out_latent, mult_signed_latent + // + // Both the registers are controlled by the same clock signal, posedge multiplier_wire_clk + // Both registers share the same clock enable signal multipler_wire_en + // Both registers have the same asynchronous signal, posedge multiplier_wire_clr + // --------------------------------------------------------------------------------------- + assign mult_is_saturated_wire = (multiplier_reg == "UNREGISTERED")? mult_is_saturated_latent : mult_is_saturated_reg; + + always @(posedge multiplier_wire_clk or posedge multiplier_wire_clr) + begin + if (multiplier_wire_clr == 1) + begin + mult_res <=0; + mult_signed <=0; + mult_is_saturated_reg <=0; + end + else if ((multiplier_wire_clk == 1) && (multiplier_wire_en == 1)) + begin + mult_res <= mult_out_latent; + mult_signed <= mult_signed_latent; + mult_is_saturated_reg <= mult_is_saturated_latent; + end + end + + + // -------------------------------------------------------------------- + // This block contains 1 register (to set mult_full) + // Signal Registered : mult_pipe + // + // Register is controlled by posedge mult_pipe_wire_clk + // Register also has an asynchronous clear signal posedge mult_pipe_wire_clr + // -------------------------------------------------------------------- + always @(posedge mult_pipe_wire_clk or posedge mult_pipe_wire_clr ) + begin + if (mult_pipe_wire_clr ==1) + begin + // clear the pipeline + for (i2=0; i2<=extra_multiplier_latency; i2=i2+1) + begin + mult_pipe [i2] = 0; + end + mult_full = 0; + end + else if ((mult_pipe_wire_clk == 1) && (mult_pipe_wire_en == 1)) + begin + mult_pipe [head_mult] = {addsub_wire, zero_acc_wire, sign_a_wire, sign_b_wire, temp_mult_signed, mult_final_out, sload_upper_data_wire, mult_saturate_overflow}; + head_mult = (head_mult +1) % (extra_multiplier_latency); + mult_full = mult_pipe[head_mult]; + end + end + + + // ------------------------------------------------------------- + // This is the main process block that performs the accumulation + // ------------------------------------------------------------- + always @(posedge output_wire_clk or posedge output_wire_clr) + begin + if (output_wire_clr == 1) + begin + temp_sum = 0; + accum_result = 0; + + result_int = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) ? + temp_sum[int_width_result -1 : 0] : accum_result; + + overflow_int = 0; + accum_saturate_overflow = 0; + mult_is_saturated_int = 0; + for (i3=0; i3<=extra_accumulator_latency; i3=i3+1) + begin + result_pipe [i3] = 0; + accum_saturate_pipe[i3] = 0; + mult_is_saturated_pipe[i3] = 0; + end + + flag = ~flag; + + end + else if (output_wire_clk ==1) + begin + + if (output_wire_en ==1) + begin + if (extra_accumulator_latency == 0) + begin + mult_is_saturated_int = mult_is_saturated_wire; + end + + if (multiplier_reg == "UNREGISTERED") + begin + mult_res_out = {{int_width_result - int_width_a - int_width_b {(sign_a_int | sign_b_int) & mult_out_latent [int_width_a+int_width_b -1]}}, mult_out_latent}; + mult_signed_out = (sign_a_int | sign_b_int); + end + else + begin + mult_res_out = {{int_width_result - int_width_a - int_width_b {(sign_a_int | sign_b_int) & mult_res [int_width_a+int_width_b -1]}}, mult_res}; + mult_signed_out = (sign_a_int | sign_b_int); + end + + if (addsub_int) + begin + //add + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0 && + dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) + begin + temp_sum = ( (zero_acc_int==0) ? result_int : 0) + mult_res_out; + end + else + begin + temp_sum = ( (zero_acc_int==0) ? result_int : sload_upper_data_pipe_wire) + mult_res_out; + end + + cout_int = temp_sum [int_width_result]; + end + else + begin + //subtract + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0 && + dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) + begin + temp_sum = ( (zero_acc_int==0) ? result_int : 0) - (mult_res_out); + cout_int = (( (zero_acc_int==0) ? result_int : 0) >= mult_res_out) ? 1 : 0; + end + else + begin + temp_sum = ( (zero_acc_int==0) ? result_int : sload_upper_data_pipe_wire) - mult_res_out; + cout_int = (( (zero_acc_int==0) ? result_int : sload_upper_data_pipe_wire) >= mult_res_out) ? 1 : 0; + end + end + + //compute overflow + if ((mult_signed_out==1) && (mult_res_out != 0)) + begin + if (zero_acc_int == 0) + begin + overflow_tmp_int = (mult_res_out [int_width_a+int_width_b -1] ~^ result_int [int_width_result-1]) ^ (~addsub_int); + overflow_int = overflow_tmp_int & (result_int [int_width_result -1] ^ temp_sum[int_width_result -1]); + end + else + begin + overflow_tmp_int = (mult_res_out [int_width_a+int_width_b -1] ~^ sload_upper_data_pipe_wire [int_width_result-1]) ^ (~addsub_int); + overflow_int = overflow_tmp_int & (sload_upper_data_pipe_wire [int_width_result -1] ^ temp_sum[int_width_result -1]); + end + end + else + begin + overflow_int = (addsub_int ==1)? cout_int : ~cout_int; + end + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 1) + begin + // StratixII rounding support + + // This is based on both input is in Q1.15 format + + if ((accumulator_rounding == "YES") || + ((accumulator_rounding == "VARIABLE") && (accum_round_int == 1))) + begin + accum_round_out = temp_sum[int_width_result -1 : 0] + ( 1 << (bits_to_round)); + end + else + begin + accum_round_out = temp_sum[int_width_result - 1 : 0]; + end + + // StratixII saturation support + + if ((accumulator_saturation == "YES") || + ((accumulator_saturation == "VARIABLE") && (accum_saturation_int == 1))) + begin + accum_result_sign_bits = accum_round_out[int_width_result-1 : int_width_a + int_width_b - 2]; + + if ( (((&accum_result_sign_bits) | (|accum_result_sign_bits) | (^accum_result_sign_bits)) == 0) || + (((&accum_result_sign_bits) & (|accum_result_sign_bits) & !(^accum_result_sign_bits)) == 1)) + begin + accum_saturate_overflow = 1'b0; + end + else + begin + accum_saturate_overflow = 1'b1; + end + + if (accum_saturate_overflow == 0) + begin + accum_saturate_out = accum_round_out; + end + else + begin + + for (i = (int_width_result - 1); i >= (int_width_a + int_width_b - 2); i = i - 1) + begin + accum_saturate_out[i] = accum_round_out[int_width_result-1]; + end + + + for (i = (int_width_a + int_width_b - 3); i >= accum_sat_for_limit; i = i - 1) + begin + accum_saturate_out[i] = ~accum_round_out[int_width_result -1]; + end + + for (i = sat_for_ini; i >= 0; i = i - 1) + begin + accum_saturate_out[i] = 1'b0; + end + + end + end + else + begin + accum_saturate_out = accum_round_out; + accum_saturate_overflow = 0; + end + + if ((accumulator_rounding == "YES") || + ((accumulator_rounding == "VARIABLE") && (accum_round_int == 1))) + begin + accum_result = accum_saturate_out; + + for (i = bits_to_round; i >= 0; i = i - 1) + begin + accum_result[i] = 1'b0; + end + end + else + begin + accum_result = accum_saturate_out; + end + end + + result_int = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) ? + temp_sum[int_width_result -1 : 0] : accum_result; + + flag = ~flag; + end + + end + end + + always @ (posedge flag or negedge flag) + begin + if (extra_accumulator_latency == 0) + begin + result = result_int[width_result - 1 + int_extra_width : int_extra_width]; + overflow = overflow_int; + accum_is_saturated_latent = accum_saturate_overflow; + end + else + begin + result_pipe [head_result] = {overflow_int, result_int[width_result - 1 + int_extra_width : int_extra_width]}; + //mult_is_saturated_pipe[head_result] = mult_is_saturated_wire; + accum_saturate_pipe[head_result] = accum_saturate_overflow; + head_result = (head_result +1) % (extra_accumulator_latency + 1); + result_full = result_pipe[head_result]; + result = result_full [width_result-1:0]; + overflow = result_full [width_result]; + mult_is_saturated_int = mult_is_saturated_wire; + accum_is_saturated_latent = accum_saturate_pipe[head_result]; + end + + end + +endmodule // end of ALTMULT_ACCUM + +//-------------------------------------------------------------------------- +// Module Name : altmult_add +// +// Description : a*b + c*d +// +// Limitation : Stratix DSP block +// +// Results expected : signed & unsigned, maximum of 3 pipelines(latency) each. +// possible of zero pipeline. +// +//-------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module altmult_add ( dataa, + datab, + scanina, + scaninb, + sourcea, + sourceb, + clock3, + clock2, + clock1, + clock0, + aclr3, + aclr2, + aclr1, + aclr0, + ena3, + ena2, + ena1, + ena0, + signa, + signb, + addnsub1, + addnsub3, + result, + scanouta, + scanoutb, + mult01_round, + mult23_round, + mult01_saturation, + mult23_saturation, + addnsub1_round, + addnsub3_round, + mult0_is_saturated, + mult1_is_saturated, + mult2_is_saturated, + mult3_is_saturated); + + // --------------------- + // PARAMETER DECLARATION + // --------------------- + + parameter width_a = 1; + parameter width_b = 1; + parameter width_result = 3; + parameter number_of_multipliers = 1; + parameter lpm_type = "altmult_add"; + parameter lpm_hint = "UNUSED"; + + // A inputs + + parameter multiplier1_direction = "UNUSED"; + parameter multiplier3_direction = "UNUSED"; + + parameter input_register_a0 = "CLOCK0"; + parameter input_aclr_a0 = "ACLR3"; + parameter input_source_a0 = "DATAA"; + + parameter input_register_a1 = "CLOCK0"; + parameter input_aclr_a1 = "ACLR3"; + parameter input_source_a1 = "DATAA"; + + parameter input_register_a2 = "CLOCK0"; + parameter input_aclr_a2 = "ACLR3"; + parameter input_source_a2 = "DATAA"; + + parameter input_register_a3 = "CLOCK0"; + parameter input_aclr_a3 = "ACLR3"; + parameter input_source_a3 = "DATAA"; + + parameter port_signa = "PORT_CONNECTIVITY"; + parameter representation_a = "UNUSED"; + parameter signed_register_a = "CLOCK0"; + parameter signed_aclr_a = "ACLR3"; + parameter signed_pipeline_register_a = "CLOCK0"; + parameter signed_pipeline_aclr_a = "ACLR3"; + + // B inputs + + parameter input_register_b0 = "CLOCK0"; + parameter input_aclr_b0 = "ACLR3"; + parameter input_source_b0 = "DATAB"; + + parameter input_register_b1 = "CLOCK0"; + parameter input_aclr_b1 = "ACLR3"; + parameter input_source_b1 = "DATAB"; + + parameter input_register_b2 = "CLOCK0"; + parameter input_aclr_b2 = "ACLR3"; + parameter input_source_b2 = "DATAB"; + + parameter input_register_b3 = "CLOCK0"; + parameter input_aclr_b3 = "ACLR3"; + parameter input_source_b3 = "DATAB"; + + parameter port_signb = "PORT_CONNECTIVITY"; + parameter representation_b = "UNUSED"; + parameter signed_register_b = "CLOCK0"; + parameter signed_aclr_b = "ACLR3"; + parameter signed_pipeline_register_b = "CLOCK0"; + parameter signed_pipeline_aclr_b = "ACLR3"; + + // multiplier parameters + + parameter multiplier_register0 = "CLOCK0"; + parameter multiplier_aclr0 = "ACLR3"; + parameter multiplier_register1 = "CLOCK0"; + parameter multiplier_aclr1 = "ACLR3"; + parameter multiplier_register2 = "CLOCK0"; + parameter multiplier_aclr2 = "ACLR3"; + parameter multiplier_register3 = "CLOCK0"; + parameter multiplier_aclr3 = "ACLR3"; + + parameter port_addnsub1 = "PORT_CONNECTIVITY"; + parameter addnsub_multiplier_register1 = "CLOCK0"; + parameter addnsub_multiplier_aclr1 = "ACLR3"; + parameter addnsub_multiplier_pipeline_register1 = "CLOCK0"; + parameter addnsub_multiplier_pipeline_aclr1 = "ACLR3"; + + parameter port_addnsub3 = "PORT_CONNECTIVITY"; + parameter addnsub_multiplier_register3 = "CLOCK0"; + parameter addnsub_multiplier_aclr3 = "ACLR3"; + parameter addnsub_multiplier_pipeline_register3 = "CLOCK0"; + parameter addnsub_multiplier_pipeline_aclr3 = "ACLR3"; + + parameter addnsub1_round_aclr = "ACLR3"; + parameter addnsub1_round_pipeline_aclr = "ACLR3"; + parameter addnsub1_round_register = "CLOCK0"; + parameter addnsub1_round_pipeline_register = "CLOCK0"; + parameter addnsub3_round_aclr = "ACLR3"; + parameter addnsub3_round_pipeline_aclr = "ACLR3"; + parameter addnsub3_round_register = "CLOCK0"; + parameter addnsub3_round_pipeline_register = "CLOCK0"; + + parameter mult01_round_aclr = "ACLR3"; + parameter mult01_round_register = "CLOCK0"; + parameter mult01_saturation_register = "CLOCK0"; + parameter mult01_saturation_aclr = "ACLR3"; + parameter mult23_round_register = "CLOCK0"; + parameter mult23_round_aclr = "ACLR3"; + parameter mult23_saturation_register = "CLOCK0"; + parameter mult23_saturation_aclr = "ACLR3"; + + // StratixII parameters + parameter multiplier01_rounding = "NO"; + parameter multiplier01_saturation = "NO"; + parameter multiplier23_rounding = "NO"; + parameter multiplier23_saturation = "NO"; + parameter adder1_rounding = "NO"; + parameter adder3_rounding = "NO"; + parameter port_mult0_is_saturated = "UNUSED"; + parameter port_mult1_is_saturated = "UNUSED"; + parameter port_mult2_is_saturated = "UNUSED"; + parameter port_mult3_is_saturated = "UNUSED"; + + // output parameters + + parameter output_register = "CLOCK0"; + parameter output_aclr = "ACLR0"; + + // general setting parameters + + parameter extra_latency = 0; + parameter dedicated_multiplier_circuitry = "AUTO"; + parameter dsp_block_balancing = "AUTO"; + parameter intended_device_family = "Stratix"; + + // ---------------- + // PORT DECLARATION + // ---------------- + + // data input ports + input [number_of_multipliers * width_a -1 : 0] dataa; + input [number_of_multipliers * width_b -1 : 0] datab; + + input [width_a -1 : 0] scanina; + input [width_b -1 : 0] scaninb; + + input [number_of_multipliers -1 : 0] sourcea; + input [number_of_multipliers -1 : 0] sourceb; + + // clock ports + input clock3; + input clock2; + input clock1; + input clock0; + + // clear ports + input aclr3; + input aclr2; + input aclr1; + input aclr0; + + // clock enable ports + input ena3; + input ena2; + input ena1; + input ena0; + + // control signals + input signa; + input signb; + input addnsub1; + input addnsub3; + + // StratixII only input ports + input mult01_round; + input mult23_round; + input mult01_saturation; + input mult23_saturation; + input addnsub1_round; + input addnsub3_round; + + + // output ports + output [width_result -1 : 0] result; + output [width_a -1 : 0] scanouta; + output [width_b -1 : 0] scanoutb; + + // StratixII only output ports + output mult0_is_saturated; + output mult1_is_saturated; + output mult2_is_saturated; + output mult3_is_saturated; + + // ----------------------------------- + // Parameters internally used + // ----------------------------------- + // Represent the internal used width_a + parameter int_width_a = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? width_a: + (width_a < 18)? 18 : width_a); + // Represent the internal used width_b + parameter int_width_b = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? width_b: + (width_b < 18)? 18 : width_b); + + //Represent the internally used width_result + parameter int_width_result = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? width_result: + (width_result > (int_width_a + int_width_b))? width_result + width_result - int_width_a - int_width_b: + int_width_a + int_width_b); + + // Represent the internally used width_result + parameter int_mult_diff_bit = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? 0: + (int_width_a - width_a + int_width_b - width_b)); + + parameter sat_ini_value = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO"))? 3: + int_width_a + int_width_b - 3); + + // ----------------------------------- + // Constants internally used + // ----------------------------------- + // Represent the number of bits needed to be rounded in multiplier where the + // value 17 here refers to the 2 sign bits and the 15 wanted bits for rounding + `define MULT_ROUND_BITS (((multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? 1 : (int_width_a + int_width_b) - 17) + + // Represent the number of bits needed to be rounded in adder where the + // value 18 here refers to the 3 sign bits and the 15 wanted bits for rounding. + `define ADDER_ROUND_BITS (((multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? 1 :(int_width_a + int_width_b) - 17) + + + + // --------------- + // REG DECLARATION + // --------------- + + reg [int_width_result :0] temp_sum; + reg [int_width_result : 0] mult_res_ext; + reg [int_width_result : 0] temp_sum_reg; + + reg [4 * int_width_a -1 : 0] mult_a_reg; + reg [4 * int_width_b -1 : 0] mult_b_reg; + + + reg [(int_width_a + int_width_b) -1:0] mult_res_0; + reg [(int_width_a + int_width_b) -1:0] mult_res_1; + reg [(int_width_a + int_width_b) -1:0] mult_res_2; + reg [(int_width_a + int_width_b) -1:0] mult_res_3; + + + reg [4 * (int_width_a + int_width_b) -1:0] mult_res_reg; + reg [(int_width_a + int_width_b - 1) :0] mult_res_temp; + + + reg sign_a_pipe_reg; + reg sign_a_reg; + reg sign_b_pipe_reg; + reg sign_b_reg; + + reg addsub1_reg; + reg addsub1_pipe_reg; + + reg addsub3_reg; + reg addsub3_pipe_reg; + + + // StratixII features related internal reg type + + reg [(int_width_a + int_width_b + 3) -1 : 0] mult0_round_out; + reg [(int_width_a + int_width_b + 3) -1 : 0] mult0_saturate_out; + reg [(int_width_a + int_width_b + 3) -1 : 0] mult0_result; + reg mult0_saturate_overflow; + reg mult0_saturate_overflow_stat; + + reg [(int_width_a + int_width_b + 3) -1 : 0] mult1_round_out; + reg [(int_width_a + int_width_b + 3) -1 : 0] mult1_saturate_out; + reg [(int_width_a + int_width_b) -1 : 0] mult1_result; + reg mult1_saturate_overflow; + reg mult1_saturate_overflow_stat; + + reg [(int_width_a + int_width_b + 3) -1 : 0] mult2_round_out; + reg [(int_width_a + int_width_b + 3) -1 : 0] mult2_saturate_out; + reg [(int_width_a + int_width_b) -1 : 0] mult2_result; + reg mult2_saturate_overflow; + reg mult2_saturate_overflow_stat; + + reg [(int_width_a + int_width_b + 3) -1 : 0] mult3_round_out; + reg [(int_width_a + int_width_b + 3) -1 : 0] mult3_saturate_out; + reg [(int_width_a + int_width_b) -1 : 0] mult3_result; + reg mult3_saturate_overflow; + reg mult3_saturate_overflow_stat; + + reg mult01_round_reg; + reg mult01_saturate_reg; + reg mult23_round_reg; + reg mult23_saturate_reg; + reg [3 : 0] mult_saturate_overflow_reg; + reg [3 : 0] mult_saturate_overflow_pipe_reg; + + reg [int_width_result : 0] adder1_round_out; + reg [int_width_result : 0] adder1_result; + reg addnsub1_round_reg; + reg addnsub1_round_pipe_reg; + + reg [int_width_result : 0] adder3_round_out; + reg [int_width_result : 0] adder3_result; + reg addnsub3_round_reg; + reg addnsub3_round_pipe_reg; + + reg zero_pad; + + //----------------- + // TRI DECLARATION + //----------------- + tri0 signa_z; + tri0 signb_z; + tri1 addnsub1_z; + tri1 addnsub3_z; + tri0 [4 * int_width_a -1 : 0] dataa_int; + tri0 [4 * int_width_b -1 : 0] datab_int; + tri0 [4 * int_width_a -1 : 0] new_dataa_int; + tri0 [4 * int_width_b -1 : 0] new_datab_int; + reg [4 * int_width_a -1 : 0] dataa_reg; + reg [4 * int_width_b -1 : 0] datab_reg; + tri0 [int_width_a - 1 : 0] scanina_z; + tri0 [int_width_b - 1 : 0] scaninb_z; + + // Tri wire for clear signal + tri0 input_reg_a0_wire_clr; + tri0 input_reg_a1_wire_clr; + tri0 input_reg_a2_wire_clr; + tri0 input_reg_a3_wire_clr; + + tri0 input_reg_b0_wire_clr; + tri0 input_reg_b1_wire_clr; + tri0 input_reg_b2_wire_clr; + tri0 input_reg_b3_wire_clr; + + tri0 sign_reg_a_wire_clr; + tri0 sign_pipe_a_wire_clr; + + tri0 sign_reg_b_wire_clr; + tri0 sign_pipe_b_wire_clr; + + tri0 addsub1_reg_wire_clr; + tri0 addsub1_pipe_wire_clr; + + tri0 addsub3_reg_wire_clr; + tri0 addsub3_pipe_wire_clr; + + tri0 multiplier_reg0_wire_clr; + tri0 multiplier_reg1_wire_clr; + tri0 multiplier_reg2_wire_clr; + tri0 multiplier_reg3_wire_clr; + + tri0 addnsub1_round_wire_clr; + tri0 addnsub1_round_pipe_wire_clr; + + tri0 addnsub3_round_wire_clr; + tri0 addnsub3_round_pipe_wire_clr; + + tri0 mult01_round_wire_clr; + tri0 mult01_saturate_wire_clr; + + tri0 mult23_round_wire_clr; + tri0 mult23_saturate_wire_clr; + + tri0 output_reg_wire_clr; + + tri0 [3 : 0] sourcea_wire; + tri0 [3 : 0] sourceb_wire; + + + + // Tri wire for enable signal + + tri1 input_reg_a0_wire_en; + tri1 input_reg_a1_wire_en; + tri1 input_reg_a2_wire_en; + tri1 input_reg_a3_wire_en; + + tri1 input_reg_b0_wire_en; + tri1 input_reg_b1_wire_en; + tri1 input_reg_b2_wire_en; + tri1 input_reg_b3_wire_en; + + + tri1 sign_reg_a_wire_en; + tri1 sign_pipe_a_wire_en; + + tri1 sign_reg_b_wire_en; + tri1 sign_pipe_b_wire_en; + + tri1 addsub1_reg_wire_en; + tri1 addsub1_pipe_wire_en; + + tri1 addsub3_reg_wire_en; + tri1 addsub3_pipe_wire_en; + + tri1 multiplier_reg0_wire_en; + tri1 multiplier_reg1_wire_en; + tri1 multiplier_reg2_wire_en; + tri1 multiplier_reg3_wire_en; + + tri1 addnsub1_round_wire_en; + tri1 addnsub1_round_pipe_wire_en; + + tri1 addnsub3_round_wire_en; + tri1 addnsub3_round_pipe_wire_en; + + tri1 mult01_round_wire_en; + tri1 mult01_saturate_wire_en; + + tri1 mult23_round_wire_en; + tri1 mult23_saturate_wire_en; + + tri1 output_reg_wire_en; + + + + + + // ---------------- + // WIRE DECLARATION + // ---------------- + + // Wire for Clock signals + wire input_reg_a0_wire_clk; + wire input_reg_a1_wire_clk; + wire input_reg_a2_wire_clk; + wire input_reg_a3_wire_clk; + + wire input_reg_b0_wire_clk; + wire input_reg_b1_wire_clk; + wire input_reg_b2_wire_clk; + wire input_reg_b3_wire_clk; + + wire sign_reg_a_wire_clk; + wire sign_pipe_a_wire_clk; + + wire sign_reg_b_wire_clk; + wire sign_pipe_b_wire_clk; + + wire addsub1_reg_wire_clk; + wire addsub1_pipe_wire_clk; + + wire addsub3_reg_wire_clk; + wire addsub3_pipe_wire_clk; + + wire multiplier_reg0_wire_clk; + wire multiplier_reg1_wire_clk; + wire multiplier_reg2_wire_clk; + wire multiplier_reg3_wire_clk; + + wire output_reg_wire_clk; + + wire addnsub1_round_wire_clk; + wire addnsub1_round_pipe_wire_clk; + wire addnsub1_round_wire; + wire addnsub1_round_pipe_wire; + wire addnsub1_round_pre; + wire addnsub3_round_wire_clk; + wire addnsub3_round_pipe_wire_clk; + wire addnsub3_round_wire; + wire addnsub3_round_pipe_wire; + wire addnsub3_round_pre; + + wire mult01_round_wire_clk; + wire mult01_saturate_wire_clk; + wire mult23_round_wire_clk; + wire mult23_saturate_wire_clk; + wire mult01_round_pre; + wire mult01_saturate_pre; + wire mult01_round_wire; + wire mult01_saturate_wire; + wire mult23_round_pre; + wire mult23_saturate_pre; + wire mult23_round_wire; + wire mult23_saturate_wire; + wire [3 : 0] mult_is_saturate_vec; + wire [3 : 0] mult_saturate_overflow_vec; + + wire [4 * int_width_a -1 : 0] mult_a_pre; + wire [4 * int_width_b -1 : 0] mult_b_pre; + + wire [int_width_a -1 : 0] scanouta; + wire [int_width_b -1 : 0] scanoutb; + + wire sign_a_int; + wire sign_b_int; + + wire addsub1_int; + wire addsub3_int; + + wire [4 * int_width_a -1 : 0] mult_a_wire; + wire [4 * int_width_b -1 : 0] mult_b_wire; + wire [4 * (int_width_a + int_width_b) -1:0] mult_res_wire; + wire sign_a_pipe_wire; + wire sign_a_wire; + wire sign_b_pipe_wire; + wire sign_b_wire; + wire addsub1_wire; + wire addsub1_pipe_wire; + wire addsub3_wire; + wire addsub3_pipe_wire; + + wire [int_width_a -1 : 0] i_scanina; + wire [int_width_b -1 : 0] i_scaninb; + + wire [(int_width_result - 1): 0] output_reg_wire_result; + wire [31:0] head_result_wire; + reg [(int_width_result - 1): 0] output_laten_result; + reg [(int_width_result - 1): 0] result_pipe [extra_latency : 0]; + reg [31:0] head_result; + integer head_result_int; + + + // ------------------- + // INTEGER DECLARATION + // ------------------- + integer num_bit_mult0; + integer num_bit_mult1; + integer num_bit_mult2; + integer num_bit_mult3; + integer j; + integer num_mult; + integer num_stor; + + + + // ------------------------ + // COMPONENT INSTANTIATIONS + // ------------------------ + ALTERA_DEVICE_FAMILIES dev (); + + + // ----------------------------------------------------------------------------- + // This block checks if the two numbers to be multiplied (mult_a/mult_b) is to + // be interpreted as a negative number or not. If so, then two's complement is + // performed. + // The numbers are then multipled. The sign of the result (positive or negative) + // is determined based on the sign of the two input numbers + // ------------------------------------------------------------------------------ + + function [(int_width_a + int_width_b - 1):0] do_multiply; + input [32 : 0] multiplier; + input signa_wire; + input signb_wire; + begin:MULTIPLY + + reg [int_width_a + int_width_b -1 :0] temp_mult_zero; + reg [int_width_a + int_width_b -1 :0] temp_mult; + reg [int_width_a -1 :0] op_a; + reg [int_width_b -1 :0] op_b; + reg [int_width_a -1 :0] op_a_int; + reg [int_width_b -1 :0] op_b_int; + reg neg_a; + reg neg_b; + reg temp_mult_signed; + + temp_mult_zero = 0; + temp_mult = 0; + + op_a = mult_a_wire >> (multiplier * int_width_a); + op_b = mult_b_wire >> (multiplier * int_width_b); + + neg_a = op_a[int_width_a-1] & (signa_wire); + neg_b = op_b[int_width_b-1] & (signb_wire); + + op_a_int = (neg_a == 1) ? (~op_a + 1) : op_a; + op_b_int = (neg_b == 1) ? (~op_b + 1) : op_b; + + temp_mult = op_a_int * op_b_int; + temp_mult = (neg_a ^ neg_b) ? (temp_mult_zero - temp_mult) : temp_mult; + + do_multiply = temp_mult; + end + endfunction + + + + + // -------------------------------------------------------------- + // initialization block of all the internal signals and registers + // -------------------------------------------------------------- + initial + begin + + // Checking for invalid parameters, in case Wizard is bypassed (hand-modified). + if (number_of_multipliers > 4) + begin + $display("Altmult_add does not currently support NUMBER_OF_MULTIPLIERS > 4"); + $stop; + end + if (number_of_multipliers <= 0) + begin + $display("NUMBER_OF_MULTIPLIERS must be greater than 0."); + $stop; + end + + + if (width_a <= 0) + begin + $display("Error: width_a must be greater than 0."); + $stop; + end + if (width_b <= 0) + begin + $display("Error: width_b must be greater than 0."); + $stop; + end + if (width_result <= 0) + begin + $display("Error: width_result must be greater than 0."); + $stop; + end + + if ((input_source_a0 != "DATAA") && + (input_source_a0 != "SCANA") && + (input_source_a0 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_A0 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_a1 != "DATAA") && + (input_source_a1 != "SCANA") && + (input_source_a1 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_A1 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_a2 != "DATAA") && + (input_source_a2 != "SCANA") && + (input_source_a2 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_A2 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_a3 != "DATAA") && + (input_source_a3 != "SCANA") && + (input_source_a3 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_A3 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_b0 != "DATAB") && + (input_source_b0 != "SCANB") && + (input_source_b0 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_B0 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_b1 != "DATAB") && + (input_source_b1 != "SCANB") && + (input_source_b1 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_B1 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_b2 != "DATAB") && + (input_source_b2 != "SCANB") && + (input_source_b2 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_B2 parameter is set to an illegal value."); + $stop; + end + + if ((input_source_b3 != "DATAB") && + (input_source_b3 != "SCANB") && + (input_source_b3 != "VARIABLE")) + begin + $display("Error: The INPUT_SOURCE_B3 parameter is set to an illegal value."); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_a0 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_a1 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_a2 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_a3 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_b0 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_b1 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_b2 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && (dev.IS_FAMILY_CYCLONEII(intended_device_family) == 0) && + (input_source_b3 == "VARIABLE")) + begin + $display("Error: Input source as VARIABLE is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dedicated_multiplier_circuitry != "AUTO") && + (dedicated_multiplier_circuitry != "YES") && + (dedicated_multiplier_circuitry != "NO")) + begin + $display("Error: The DEDICATED_MULTIPLIER_CIRCUITRY parameter is set to an illegal value."); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && + ((multiplier01_rounding == "YES") || (multiplier23_rounding == "YES") || + (multiplier01_rounding == "VARIABLE") || (multiplier23_rounding == "VARIABLE"))) + begin + $display("Error: Rounding for multiplier is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && + ((adder1_rounding == "YES") || (adder3_rounding == "YES") || + (adder1_rounding == "VARIABLE") || (adder3_rounding == "VARIABLE"))) + begin + $display("Error: Rounding for adder is not supported in %s device family", intended_device_family); + $stop; + end + + if ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) && + ((multiplier01_saturation == "YES") || (multiplier23_saturation == "YES") || + (multiplier01_saturation == "VARIABLE") || (multiplier23_saturation == "VARIABLE"))) + begin + $display("Error: Saturation for multiplier is not supported in %s device family", intended_device_family); + $stop; + end + + if ((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO")) + begin + if (int_width_result != width_result) + begin + $display ("Error: Internal parameter setting of int_width_result is illegal"); + $stop; + end + + if (int_mult_diff_bit != 0) + begin + $display ("Error: Internal parameter setting of int_mult_diff_bit is illegal"); + $stop; + end + + end + else + begin + if (((width_a < 18) && (int_width_a != 18)) || + ((width_a >= 18) && (int_width_a != width_a))) + begin + $display ("Error: Internal parameter setting of int_width_a is illegal"); + $stop; + end + + + if (((width_b < 18) && (int_width_b != 18)) || + ((width_b >= 18) && (int_width_b != width_b))) + begin + $display ("Error: Internal parameter setting of int_width_b is illegal"); + $stop; + end + + + if (int_width_result > (int_width_a + int_width_b)) + begin + if (int_width_result != (width_result + width_result - int_width_a - int_width_b)) + begin + $display ("Error: Internal parameter setting for int_width_result is illegal"); + $stop; + end + end + else + if (int_width_result != (int_width_a + int_width_b)) + begin + $display ("Error: Internal parameter setting for int_width_result is illegal"); + $stop; + end + + if (int_mult_diff_bit != (int_width_a - width_a + int_width_b - width_b)) + begin + $display ("Error: Internal parameter setting of int_mult_diff_bit is illegal"); + $stop; + end + end + + + + temp_sum_reg = 0; + + mult_a_reg = 0; + mult_b_reg = 0; + mult_res_reg = 0; + + sign_a_reg = ((port_signa == "PORT_CONNECTIVITY")? + (representation_a != "UNUSED" ? (representation_a == "SIGNED" ? 1 : 0) : 0) : + (port_signa == "PORT_USED")? 0 : + (port_signa == "PORT_UNUSED")? (representation_a == "SIGNED" ? 1 : 0) : 0); + + sign_a_pipe_reg = ((port_signa == "PORT_CONNECTIVITY")? + (representation_a != "UNUSED" ? (representation_a == "SIGNED" ? 1 : 0) : 0) : + (port_signa == "PORT_USED")? 0 : + (port_signa == "PORT_UNUSED")? (representation_a == "SIGNED" ? 1 : 0) : 0); + + sign_b_reg = ((port_signb == "PORT_CONNECTIVITY")? + (representation_b != "UNUSED" ? (representation_b == "SIGNED" ? 1 : 0) : 0) : + (port_signb == "PORT_USED")? 0 : + (port_signb == "PORT_UNUSED")? (representation_b == "SIGNED" ? 1 : 0) : 0); + + sign_b_pipe_reg = ((port_signb == "PORT_CONNECTIVITY")? + (representation_b != "UNUSED" ? (representation_b == "SIGNED" ? 1 : 0) : 0) : + (port_signb == "PORT_USED")? 0 : + (port_signb == "PORT_UNUSED")? (representation_b == "SIGNED" ? 1 : 0) : 0); + + addsub1_reg = ((port_addnsub1 == "PORT_CONNECTIVITY")? + (multiplier1_direction != "UNUSED" ? (multiplier1_direction == "ADD" ? 1 : 0) : 0) : + (port_addnsub1 == "PORT_USED")? 0 : + (port_addnsub1 == "PORT_UNUSED")? (multiplier1_direction == "ADD" ? 1 : 0) : 0); + + addsub1_pipe_reg = addsub1_reg; + + addsub3_reg = ((port_addnsub3 == "PORT_CONNECTIVITY")? + (multiplier3_direction != "UNUSED" ? (multiplier3_direction == "ADD" ? 1 : 0) : 0) : + (port_addnsub3 == "PORT_USED")? 0 : + (port_addnsub3 == "PORT_UNUSED")? (multiplier3_direction == "ADD" ? 1 : 0) : 0); + + addsub3_pipe_reg = addsub3_reg; + + // StratixII related reg type initialization + + mult0_round_out = 0; + mult0_saturate_out = 0; + mult0_result = 0; + mult0_saturate_overflow = 0; + + mult1_round_out = 0; + mult1_saturate_out = 0; + mult1_result = 0; + mult1_saturate_overflow = 0; + + mult_saturate_overflow_reg [3] = 0; + mult_saturate_overflow_reg [2] = 0; + mult_saturate_overflow_reg [1] = 0; + mult_saturate_overflow_reg [0] = 0; + + mult_saturate_overflow_pipe_reg [3] = 0; + mult_saturate_overflow_pipe_reg [2] = 0; + mult_saturate_overflow_pipe_reg [1] = 0; + mult_saturate_overflow_pipe_reg [0] = 0; + zero_pad = 0; + head_result = 0; + + for ( num_stor = extra_latency; num_stor >= 0; num_stor = num_stor - 1 ) + begin + result_pipe[num_stor] = {int_width_result{1'b0}}; + end + + end // end initialization block + + assign signa_z = signa; + assign signb_z = signb; + assign addnsub1_z = addnsub1; + assign addnsub3_z = addnsub3; + assign scanina_z[width_a - 1 : 0] = scanina[width_a - 1 : 0]; + assign scaninb_z[width_b - 1 : 0] = scaninb[width_b - 1 : 0]; + + always @(dataa or datab) + begin + dataa_reg[(number_of_multipliers * width_a) - 1:0] = dataa[(number_of_multipliers* width_a) -1:0]; + datab_reg[(number_of_multipliers * width_b) - 1: 0] = datab[(number_of_multipliers * width_b) - 1:0]; + end + + assign new_dataa_int[int_width_a - 1:int_width_a - width_a] = (number_of_multipliers >= 1) ? dataa_reg[width_a - 1:0]: {width_a{1'b0}}; + + assign new_dataa_int[(2 * int_width_a) - 1: (2 * int_width_a) - width_a] = (number_of_multipliers >= 2)? dataa_reg[(2 * width_a) - 1: width_a] : {width_a{1'b0}}; + + assign new_dataa_int[(3 * int_width_a) - 1: (3 * int_width_a) - width_a] = (number_of_multipliers >= 3)? dataa_reg[(3 * width_a) - 1:(2 * width_a)] : {width_a{1'b0}}; + + assign new_dataa_int[(4 * int_width_a) - 1: (4 * int_width_a) - width_a] = (number_of_multipliers >= 4) ? dataa_reg[(4 * width_a) - 1:(3 * width_a)] : {width_a{1'b0}}; + + assign new_datab_int[int_width_b - 1:int_width_b - width_b] = (number_of_multipliers >= 1) ? datab_reg[width_b - 1:0]: {width_b{1'b0}}; + + assign new_datab_int[(2 * int_width_b) - 1: (2 * int_width_b) - width_b] = (number_of_multipliers >= 2)? datab_reg[(2 * width_b) - 1:width_b]:{width_b{1'b0}}; + + assign new_datab_int[(3 * int_width_b) - 1: (3 * int_width_b) - width_b] = (number_of_multipliers >= 3)? datab_reg[(3 * width_b) - 1:(2 * width_b)] : {width_b{1'b0}}; + + assign new_datab_int[(4 * int_width_b) - 1: (4 * int_width_b) - width_b] = (number_of_multipliers >= 4) ? datab_reg[(4 * width_b) - 1:(3 * width_b)] : {width_b{1'b0}}; + + + + assign dataa_int[number_of_multipliers * int_width_a-1:0] = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? dataa[number_of_multipliers * width_a - 1:0]: + (width_a < 18)? new_dataa_int[number_of_multipliers * int_width_a-1:0] : dataa[number_of_multipliers * width_a - 1:0]); + + assign datab_int[number_of_multipliers * int_width_b-1:0] = (((multiplier01_saturation == "NO") && (multiplier23_saturation == "NO") && + (multiplier01_rounding == "NO") && (multiplier23_rounding == "NO"))? datab[number_of_multipliers * width_b - 1:0]: + (width_b < 18)? new_datab_int[number_of_multipliers * int_width_b - 1:0] : datab[number_of_multipliers * width_b - 1:0]); + + + assign addnsub1_round_pre = addnsub1_round; + assign addnsub3_round_pre = addnsub3_round; + assign mult01_round_pre = mult01_round; + assign mult01_saturate_pre = mult01_saturation; + assign mult23_round_pre = mult23_round; + assign mult23_saturate_pre = mult23_saturation; + + // --------------------------------------------------------- + // This block updates the output port for each multiplier's + // saturation port only if port_mult0_is_saturated is set to used + // --------------------------------------------------------- + + + assign mult0_is_saturated = (port_mult0_is_saturated == "UNUSED")? 1'bz: + (port_mult0_is_saturated == "USED")? mult_is_saturate_vec[0]: 1'bz; + + assign mult1_is_saturated = (port_mult1_is_saturated == "UNUSED")? 1'bz: + (port_mult1_is_saturated == "USED")? mult_is_saturate_vec[1]: 1'bz; + + assign mult2_is_saturated = (port_mult2_is_saturated == "UNUSED")? 1'bz: + (port_mult2_is_saturated == "USED")? mult_is_saturate_vec[2]: 1'bz; + + assign mult3_is_saturated = (port_mult3_is_saturated == "UNUSED")? 1'bz: + (port_mult3_is_saturated == "USED")? mult_is_saturate_vec[3]: 1'bz; + + assign sourcea_wire[number_of_multipliers - 1 : 0] = sourcea[number_of_multipliers - 1 : 0]; + + assign sourceb_wire[number_of_multipliers - 1 : 0] = sourceb[number_of_multipliers - 1 : 0]; + + + + // --------------------------------------------------------- + // This block updates the internal clock signals accordingly + // every time the global clock signal changes state + // --------------------------------------------------------- + + assign input_reg_a0_wire_clk = (input_register_a0 == "CLOCK0")? clock0: + (input_register_a0 == "UNREGISTERED")? 0: + (input_register_a0 == "CLOCK1")? clock1: + (input_register_a0 == "CLOCK2")? clock2: + (input_register_a0 == "CLOCK3")? clock3: 0; + + + assign input_reg_a1_wire_clk = (input_register_a1 == "CLOCK0")? clock0: + (input_register_a1 == "UNREGISTERED")? 0: + (input_register_a1 == "CLOCK1")? clock1: + (input_register_a1 == "CLOCK2")? clock2: + (input_register_a1 == "CLOCK3")? clock3: 0; + + + assign input_reg_a2_wire_clk = (input_register_a2 == "CLOCK0")? clock0: + (input_register_a2 == "UNREGISTERED")? 0: + (input_register_a2 == "CLOCK1")? clock1: + (input_register_a2 == "CLOCK2")? clock2: + (input_register_a2 == "CLOCK3")? clock3: 0; + + + + assign input_reg_a3_wire_clk = (input_register_a3 == "CLOCK0")? clock0: + (input_register_a3 == "UNREGISTERED")? 0: + (input_register_a3 == "CLOCK1")? clock1: + (input_register_a3 == "CLOCK2")? clock2: + (input_register_a3 == "CLOCK3")? clock3: 0; + + + assign input_reg_b0_wire_clk = (input_register_b0 == "CLOCK0")? clock0: + (input_register_b0 == "UNREGISTERED")? 0: + (input_register_b0 == "CLOCK1")? clock1: + (input_register_b0 == "CLOCK2")? clock2: + (input_register_b0 == "CLOCK3")? clock3: 0; + + + assign input_reg_b1_wire_clk = (input_register_b1 == "CLOCK0")? clock0: + (input_register_b1 == "UNREGISTERED")? 0: + (input_register_b1 == "CLOCK1")? clock1: + (input_register_b1 == "CLOCK2")? clock2: + (input_register_b1 == "CLOCK3")? clock3: 0; + + + assign input_reg_b2_wire_clk = (input_register_b2 == "CLOCK0")? clock0: + (input_register_b2 == "UNREGISTERED")? 0: + (input_register_b2 == "CLOCK1")? clock1: + (input_register_b2 == "CLOCK2")? clock2: + (input_register_b2 == "CLOCK3")? clock3: 0; + + + assign input_reg_b3_wire_clk = (input_register_b3 == "CLOCK0")? clock0: + (input_register_b3 == "UNREGISTERED")? 0: + (input_register_b3 == "CLOCK1")? clock1: + (input_register_b3 == "CLOCK2")? clock2: + (input_register_b3 == "CLOCK3")? clock3: 0; + + + assign addsub1_reg_wire_clk = (addnsub_multiplier_register1 == "CLOCK0")? clock0: + (addnsub_multiplier_register1 == "UNREGISTERED")? 0: + (addnsub_multiplier_register1 == "CLOCK1")? clock1: + (addnsub_multiplier_register1 == "CLOCK2")? clock2: + (addnsub_multiplier_register1 == "CLOCK3")? clock3: 0; + + + assign addsub1_pipe_wire_clk = (addnsub_multiplier_pipeline_register1 == "CLOCK0")? clock0: + (addnsub_multiplier_pipeline_register1 == "UNREGISTERED")? 0: + (addnsub_multiplier_pipeline_register1 == "CLOCK1")? clock1: + (addnsub_multiplier_pipeline_register1 == "CLOCK2")? clock2: + (addnsub_multiplier_pipeline_register1 == "CLOCK3")? clock3: 0; + + + + assign addsub3_reg_wire_clk = (addnsub_multiplier_register3 == "CLOCK0")? clock0: + (addnsub_multiplier_register3 == "UNREGISTERED")? 0: + (addnsub_multiplier_register3 == "CLOCK1")? clock1: + (addnsub_multiplier_register3 == "CLOCK2")? clock2: + (addnsub_multiplier_register3 == "CLOCK3")? clock3: 0; + + + + assign addsub3_pipe_wire_clk = (addnsub_multiplier_pipeline_register3 == "CLOCK0")? clock0: + (addnsub_multiplier_pipeline_register3 == "UNREGISTERED")? 0: + (addnsub_multiplier_pipeline_register3 == "CLOCK1")? clock1: + (addnsub_multiplier_pipeline_register3 == "CLOCK2")? clock2: + (addnsub_multiplier_pipeline_register3 == "CLOCK3")? clock3: 0; + + + + + assign sign_reg_a_wire_clk = (signed_register_a == "CLOCK0")? clock0: + (signed_register_a == "UNREGISTERED")? 0: + (signed_register_a == "CLOCK1")? clock1: + (signed_register_a == "CLOCK2")? clock2: + (signed_register_a == "CLOCK3")? clock3: 0; + + + + assign sign_pipe_a_wire_clk = (signed_pipeline_register_a == "CLOCK0")? clock0: + (signed_pipeline_register_a == "UNREGISTERED")? 0: + (signed_pipeline_register_a == "CLOCK1")? clock1: + (signed_pipeline_register_a == "CLOCK2")? clock2: + (signed_pipeline_register_a == "CLOCK3")? clock3: 0; + + + + assign sign_reg_b_wire_clk = (signed_register_b == "CLOCK0")? clock0: + (signed_register_b == "UNREGISTERED")? 0: + (signed_register_b == "CLOCK1")? clock1: + (signed_register_b == "CLOCK2")? clock2: + (signed_register_b == "CLOCK3")? clock3: 0; + + + + assign sign_pipe_b_wire_clk = (signed_pipeline_register_b == "CLOCK0")? clock0: + (signed_pipeline_register_b == "UNREGISTERED")? 0: + (signed_pipeline_register_b == "CLOCK1")? clock1: + (signed_pipeline_register_b == "CLOCK2")? clock2: + (signed_pipeline_register_b == "CLOCK3")? clock3: 0; + + + + assign multiplier_reg0_wire_clk = (multiplier_register0 == "CLOCK0")? clock0: + (multiplier_register0 == "UNREGISTERED")? 0: + (multiplier_register0 == "CLOCK1")? clock1: + (multiplier_register0 == "CLOCK2")? clock2: + (multiplier_register0 == "CLOCK3")? clock3: 0; + + + + assign multiplier_reg1_wire_clk = (multiplier_register1 == "CLOCK0")? clock0: + (multiplier_register1 == "UNREGISTERED")? 0: + (multiplier_register1 == "CLOCK1")? clock1: + (multiplier_register1 == "CLOCK2")? clock2: + (multiplier_register1 == "CLOCK3")? clock3: 0; + + + assign multiplier_reg2_wire_clk = (multiplier_register2 == "CLOCK0")? clock0: + (multiplier_register2 == "UNREGISTERED")? 0: + (multiplier_register2 == "CLOCK1")? clock1: + (multiplier_register2 == "CLOCK2")? clock2: + (multiplier_register2 == "CLOCK3")? clock3: 0; + + + + assign multiplier_reg3_wire_clk = (multiplier_register3 == "CLOCK0")? clock0: + (multiplier_register3 == "UNREGISTERED")? 0: + (multiplier_register3 == "CLOCK1")? clock1: + (multiplier_register3 == "CLOCK2")? clock2: + (multiplier_register3 == "CLOCK3")? clock3: 0; + + + + assign output_reg_wire_clk = (output_register == "CLOCK0")? clock0: + (output_register == "UNREGISTERED")? 0: + (output_register == "CLOCK1")? clock1: + (output_register == "CLOCK2")? clock2: + (output_register == "CLOCK3")? clock3: 0; + + + assign addnsub1_round_wire_clk = (addnsub1_round_register == "CLOCK0")? clock0: + (addnsub1_round_register == "UNREGISTERED")? 0: + (addnsub1_round_register == "CLOCK1")? clock1: + (addnsub1_round_register == "CLOCK2")? clock2: + (addnsub1_round_register == "CLOCK3")? clock3: 0; + + + assign addnsub1_round_pipe_wire_clk = (addnsub1_round_pipeline_register == "CLOCK0")? clock0: + (addnsub1_round_pipeline_register == "UNREGISTERED")? 0: + (addnsub1_round_pipeline_register == "CLOCK1")? clock1: + (addnsub1_round_pipeline_register == "CLOCK2")? clock2: + (addnsub1_round_pipeline_register == "CLOCK3")? clock3: 0; + + + assign addnsub3_round_wire_clk = (addnsub3_round_register == "CLOCK0")? clock0: + (addnsub3_round_register == "UNREGISTERED")? 0: + (addnsub3_round_register == "CLOCK1")? clock1: + (addnsub3_round_register == "CLOCK2")? clock2: + (addnsub3_round_register == "CLOCK3")? clock3: 0; + + assign addnsub3_round_pipe_wire_clk = (addnsub3_round_pipeline_register == "CLOCK0")? clock0: + (addnsub3_round_pipeline_register == "UNREGISTERED")? 0: + (addnsub3_round_pipeline_register == "CLOCK1")? clock1: + (addnsub3_round_pipeline_register == "CLOCK2")? clock2: + (addnsub3_round_pipeline_register == "CLOCK3")? clock3: 0; + + assign mult01_round_wire_clk = (mult01_round_register == "CLOCK0")? clock0: + (mult01_round_register == "UNREGISTERED")? 0: + (mult01_round_register == "CLOCK1")? clock1: + (mult01_round_register == "CLOCK2")? clock2: + (mult01_round_register == "CLOCK3")? clock3: 0; + + + assign mult01_saturate_wire_clk = (mult01_saturation_register == "CLOCK0")? clock0: + (mult01_saturation_register == "UNREGISTERED")? 0: + (mult01_saturation_register == "CLOCK1")? clock1: + (mult01_saturation_register == "CLOCK2")? clock2: + (mult01_saturation_register == "CLOCK3")? clock3: 0; + + + assign mult23_round_wire_clk = (mult23_round_register == "CLOCK0")? clock0: + (mult23_round_register == "UNREGISTERED")? 0: + (mult23_round_register == "CLOCK1")? clock1: + (mult23_round_register == "CLOCK2")? clock2: + (mult23_round_register == "CLOCK3")? clock3: 0; + + assign mult23_saturate_wire_clk = (mult23_saturation_register == "CLOCK0")? clock0: + (mult23_saturation_register == "UNREGISTERED")? 0: + (mult23_saturation_register == "CLOCK1")? clock1: + (mult23_saturation_register == "CLOCK2")? clock2: + (mult23_saturation_register == "CLOCK3")? clock3: 0; + + + + // ---------------------------------------------------------------- + // This block updates the internal clock enable signals accordingly + // every time the global clock enable signal changes state + // ---------------------------------------------------------------- + + + assign input_reg_a0_wire_en = (input_register_a0 == "CLOCK0")? ena0: + (input_register_a0 == "UNREGISTERED")? 1: + (input_register_a0 == "CLOCK1")? ena1: + (input_register_a0 == "CLOCK2")? ena2: + (input_register_a0 == "CLOCK3")? ena3: 1; + + + + assign input_reg_a1_wire_en = (input_register_a1 == "CLOCK0")? ena0: + (input_register_a1 == "UNREGISTERED")? 1: + (input_register_a1 == "CLOCK1")? ena1: + (input_register_a1 == "CLOCK2")? ena2: + (input_register_a1 == "CLOCK3")? ena3: 1; + + + assign input_reg_a2_wire_en = (input_register_a2 == "CLOCK0")? ena0: + (input_register_a2 == "UNREGISTERED")? 1: + (input_register_a2 == "CLOCK1")? ena1: + (input_register_a2 == "CLOCK2")? ena2: + (input_register_a2 == "CLOCK3")? ena3: 1; + + + assign input_reg_a3_wire_en = (input_register_a3 == "CLOCK0")? ena0: + (input_register_a3 == "UNREGISTERED")? 1: + (input_register_a3 == "CLOCK1")? ena1: + (input_register_a3 == "CLOCK2")? ena2: + (input_register_a3 == "CLOCK3")? ena3: 1; + + + assign input_reg_b0_wire_en = (input_register_b0 == "CLOCK0")? ena0: + (input_register_b0 == "UNREGISTERED")? 1: + (input_register_b0 == "CLOCK1")? ena1: + (input_register_b0 == "CLOCK2")? ena2: + (input_register_b0 == "CLOCK3")? ena3: 1; + + + + assign input_reg_b1_wire_en = (input_register_b1 == "CLOCK0")? ena0: + (input_register_b1 == "UNREGISTERED")? 1: + (input_register_b1 == "CLOCK1")? ena1: + (input_register_b1 == "CLOCK2")? ena2: + (input_register_b1 == "CLOCK3")? ena3: 1; + + + assign input_reg_b2_wire_en = (input_register_b2 == "CLOCK0")? ena0: + (input_register_b2 == "UNREGISTERED")? 1: + (input_register_b2 == "CLOCK1")? ena1: + (input_register_b2 == "CLOCK2")? ena2: + (input_register_b2 == "CLOCK3")? ena3: 1; + + assign input_reg_b3_wire_en = (input_register_b3 == "CLOCK0")? ena0: + (input_register_b3 == "UNREGISTERED")? 1: + (input_register_b3 == "CLOCK1")? ena1: + (input_register_b3 == "CLOCK2")? ena2: + (input_register_b3 == "CLOCK3")? ena3: 1; + + + assign addsub1_reg_wire_en = (addnsub_multiplier_register1 == "CLOCK0")? ena0: + (addnsub_multiplier_register1 == "UNREGISTERED")? 1: + (addnsub_multiplier_register1 == "CLOCK1")? ena1: + (addnsub_multiplier_register1 == "CLOCK2")? ena2: + (addnsub_multiplier_register1 == "CLOCK3")? ena3: 1; + + + + assign addsub1_pipe_wire_en = (addnsub_multiplier_pipeline_register1 == "CLOCK0")? ena0: + (addnsub_multiplier_pipeline_register1 == "UNREGISTERED")? 1: + (addnsub_multiplier_pipeline_register1 == "CLOCK1")? ena1: + (addnsub_multiplier_pipeline_register1 == "CLOCK2")? ena2: + (addnsub_multiplier_pipeline_register1 == "CLOCK3")? ena3: 1; + + + assign addsub3_reg_wire_en = (addnsub_multiplier_register3 == "CLOCK0")? ena0: + (addnsub_multiplier_register3 == "UNREGISTERED")? 1: + (addnsub_multiplier_register3 == "CLOCK1")? ena1: + (addnsub_multiplier_register3 == "CLOCK2")? ena2: + (addnsub_multiplier_register3 == "CLOCK3")? ena3: 1; + + + + assign addsub3_pipe_wire_en = (addnsub_multiplier_pipeline_register3 == "CLOCK0")? ena0: + (addnsub_multiplier_pipeline_register3 == "UNREGISTERED")? 1: + (addnsub_multiplier_pipeline_register3 == "CLOCK1")? ena1: + (addnsub_multiplier_pipeline_register3 == "CLOCK2")? ena2: + (addnsub_multiplier_pipeline_register3 == "CLOCK3")? ena3: 1; + + + + assign sign_reg_a_wire_en = (signed_register_a == "CLOCK0")? ena0: + (signed_register_a == "UNREGISTERED")? 1: + (signed_register_a == "CLOCK1")? ena1: + (signed_register_a == "CLOCK2")? ena2: + (signed_register_a == "CLOCK3")? ena3: 1; + + + + assign sign_pipe_a_wire_en = (signed_pipeline_register_a == "CLOCK0")? ena0: + (signed_pipeline_register_a == "UNREGISTERED")? 1: + (signed_pipeline_register_a == "CLOCK1")? ena1: + (signed_pipeline_register_a == "CLOCK2")? ena2: + (signed_pipeline_register_a == "CLOCK3")? ena3: 1; + + + + assign sign_reg_b_wire_en = (signed_register_b == "CLOCK0")? ena0: + (signed_register_b == "UNREGISTERED")? 1: + (signed_register_b == "CLOCK1")? ena1: + (signed_register_b == "CLOCK2")? ena2: + (signed_register_b == "CLOCK3")? ena3: 1; + + + + assign sign_pipe_b_wire_en = (signed_pipeline_register_b == "CLOCK0")? ena0: + (signed_pipeline_register_b == "UNREGISTERED")? 1: + (signed_pipeline_register_b == "CLOCK1")? ena1: + (signed_pipeline_register_b == "CLOCK2")? ena2: + (signed_pipeline_register_b == "CLOCK3")? ena3: 1; + + + + assign multiplier_reg0_wire_en = (multiplier_register0 == "CLOCK0")? ena0: + (multiplier_register0 == "UNREGISTERED")? 1: + (multiplier_register0 == "CLOCK1")? ena1: + (multiplier_register0 == "CLOCK2")? ena2: + (multiplier_register0 == "CLOCK3")? ena3: 1; + + + + assign multiplier_reg1_wire_en = (multiplier_register1 == "CLOCK0")? ena0: + (multiplier_register1 == "UNREGISTERED")? 1: + (multiplier_register1 == "CLOCK1")? ena1: + (multiplier_register1 == "CLOCK2")? ena2: + (multiplier_register1 == "CLOCK3")? ena3: 1; + + + assign multiplier_reg2_wire_en = (multiplier_register2 == "CLOCK0")? ena0: + (multiplier_register2 == "UNREGISTERED")? 1: + (multiplier_register2 == "CLOCK1")? ena1: + (multiplier_register2 == "CLOCK2")? ena2: + (multiplier_register2 == "CLOCK3")? ena3: 1; + + + + assign multiplier_reg3_wire_en = (multiplier_register3 == "CLOCK0")? ena0: + (multiplier_register3 == "UNREGISTERED")? 1: + (multiplier_register3 == "CLOCK1")? ena1: + (multiplier_register3 == "CLOCK2")? ena2: + (multiplier_register3 == "CLOCK3")? ena3: 1; + + + + assign output_reg_wire_en = (output_register == "CLOCK0")? ena0: + (output_register == "UNREGISTERED")? 1: + (output_register == "CLOCK1")? ena1: + (output_register == "CLOCK2")? ena2: + (output_register == "CLOCK3")? ena3: 1; + + + assign addnsub1_round_wire_en = (addnsub1_round_register == "CLOCK0")? ena0: + (addnsub1_round_register == "UNREGISTERED")? 1: + (addnsub1_round_register == "CLOCK1")? ena1: + (addnsub1_round_register == "CLOCK2")? ena2: + (addnsub1_round_register == "CLOCK3")? ena3: 1; + + + assign addnsub1_round_pipe_wire_en = (addnsub1_round_pipeline_register == "CLOCK0")? ena0: + (addnsub1_round_pipeline_register == "UNREGISTERED")? 1: + (addnsub1_round_pipeline_register == "CLOCK1")? ena1: + (addnsub1_round_pipeline_register == "CLOCK2")? ena2: + (addnsub1_round_pipeline_register == "CLOCK3")? ena3: 1; + + + assign addnsub3_round_wire_en = (addnsub3_round_register == "CLOCK0")? ena0: + (addnsub3_round_register == "UNREGISTERED")? 1: + (addnsub3_round_register == "CLOCK1")? ena1: + (addnsub3_round_register == "CLOCK2")? ena2: + (addnsub3_round_register == "CLOCK3")? ena3: 1; + + + assign addnsub3_round_pipe_wire_en = (addnsub3_round_pipeline_register == "CLOCK0")? ena0: + (addnsub3_round_pipeline_register == "UNREGISTERED")? 1: + (addnsub3_round_pipeline_register == "CLOCK1")? ena1: + (addnsub3_round_pipeline_register == "CLOCK2")? ena2: + (addnsub3_round_pipeline_register == "CLOCK3")? ena3: 1; + + + assign mult01_round_wire_en = (mult01_round_register == "CLOCK0")? ena0: + (mult01_round_register == "UNREGISTERED")? 1: + (mult01_round_register == "CLOCK1")? ena1: + (mult01_round_register == "CLOCK2")? ena2: + (mult01_round_register == "CLOCK3")? ena3: 1; + + + assign mult01_saturate_wire_en = (mult01_saturation_register == "CLOCK0")? ena0: + (mult01_saturation_register == "UNREGISTERED")? 1: + (mult01_saturation_register == "CLOCK1")? ena1: + (mult01_saturation_register == "CLOCK2")? ena2: + (mult01_saturation_register == "CLOCK3")? ena3: 1; + + + assign mult23_round_wire_en = (mult23_round_register == "CLOCK0")? ena0: + (mult23_round_register == "UNREGISTERED")? 1: + (mult23_round_register == "CLOCK1")? ena1: + (mult23_round_register == "CLOCK2")? ena2: + (mult23_round_register == "CLOCK3")? ena3: 1; + + + assign mult23_saturate_wire_en = (mult23_saturation_register == "CLOCK0")? ena0: + (mult23_saturation_register == "UNREGISTERED")? 1: + (mult23_saturation_register == "CLOCK1")? ena1: + (mult23_saturation_register == "CLOCK2")? ena2: + (mult23_saturation_register == "CLOCK3")? ena3: 1; + + + // --------------------------------------------------------- + // This block updates the internal clear signals accordingly + // every time the global clear signal changes state + // --------------------------------------------------------- + + assign input_reg_a0_wire_clr = (input_aclr_a0 == "ACLR3")? aclr3: + (input_aclr_a0 == "UNREGISTERED")? 0: + (input_aclr_a0 == "ACLR0")? aclr0: + (input_aclr_a0 == "ACLR1")? aclr1: + (input_aclr_a0 == "ACLR2")? aclr2: 0; + + + + assign input_reg_a1_wire_clr = (input_aclr_a1 == "ACLR3")? aclr3: + (input_aclr_a1 == "UNREGISTERED")? 0: + (input_aclr_a1 == "ACLR0")? aclr0: + (input_aclr_a1 == "ACLR1")? aclr1: + (input_aclr_a1 == "ACLR2")? aclr2: 0; + + + assign input_reg_a2_wire_clr = (input_aclr_a2 == "ACLR3")? aclr3: + (input_aclr_a2 == "UNREGISTERED")? 0: + (input_aclr_a2 == "ACLR0")? aclr0: + (input_aclr_a2 == "ACLR1")? aclr1: + (input_aclr_a2 == "ACLR2")? aclr2: 0; + + + + assign input_reg_a3_wire_clr = (input_aclr_a3 == "ACLR3")? aclr3: + (input_aclr_a3 == "UNREGISTERED")? 0: + (input_aclr_a3 == "ACLR0")? aclr0: + (input_aclr_a3 == "ACLR1")? aclr1: + (input_aclr_a3 == "ACLR2")? aclr2: 0; + + + assign input_reg_b0_wire_clr = (input_aclr_b0 == "ACLR3")? aclr3: + (input_aclr_b0 == "UNREGISTERED")? 0: + (input_aclr_b0 == "ACLR0")? aclr0: + (input_aclr_b0 == "ACLR1")? aclr1: + (input_aclr_b0 == "ACLR2")? aclr2: 0; + + + assign input_reg_b1_wire_clr = (input_aclr_b1 == "ACLR3")? aclr3: + (input_aclr_b1 == "UNREGISTERED")? 0: + (input_aclr_b1 == "ACLR0")? aclr0: + (input_aclr_b1 == "ACLR1")? aclr1: + (input_aclr_b1 == "ACLR2")? aclr2: 0; + + + assign input_reg_b2_wire_clr = (input_aclr_b2 == "ACLR3")? aclr3: + (input_aclr_b2 == "UNREGISTERED")? 0: + (input_aclr_b2 == "ACLR0")? aclr0: + (input_aclr_b2 == "ACLR1")? aclr1: + (input_aclr_b2 == "ACLR2")? aclr2: 0; + + + + assign input_reg_b3_wire_clr = (input_aclr_b3 == "ACLR3")? aclr3: + (input_aclr_b3 == "UNREGISTERED")? 0: + (input_aclr_b3 == "ACLR0")? aclr0: + (input_aclr_b3 == "ACLR1")? aclr1: + (input_aclr_b3 == "ACLR2")? aclr2: 0; + + + + + assign addsub1_reg_wire_clr = (addnsub_multiplier_aclr1 == "ACLR3")? aclr3: + (addnsub_multiplier_aclr1 == "UNREGISTERED")? 0: + (addnsub_multiplier_aclr1 == "ACLR0")? aclr0: + (addnsub_multiplier_aclr1 == "ACLR1")? aclr1: + (addnsub_multiplier_aclr1 == "ACLR2")? aclr2: 0; + + + + assign addsub1_pipe_wire_clr = (addnsub_multiplier_pipeline_aclr1 == "ACLR3")? aclr3: + (addnsub_multiplier_pipeline_aclr1 == "UNREGISTERED")? 0: + (addnsub_multiplier_pipeline_aclr1 == "ACLR0")? aclr0: + (addnsub_multiplier_pipeline_aclr1 == "ACLR1")? aclr1: + (addnsub_multiplier_pipeline_aclr1 == "ACLR2")? aclr2: 0; + + + + + assign addsub3_reg_wire_clr = (addnsub_multiplier_aclr3 == "ACLR3")? aclr3: + (addnsub_multiplier_aclr3 == "UNREGISTERED")? 0: + (addnsub_multiplier_aclr3 == "ACLR0")? aclr0: + (addnsub_multiplier_aclr3 == "ACLR1")? aclr1: + (addnsub_multiplier_aclr3 == "ACLR2")? aclr2: 0; + + + + assign addsub3_pipe_wire_clr = (addnsub_multiplier_pipeline_aclr3 == "ACLR3")? aclr3: + (addnsub_multiplier_pipeline_aclr3 == "UNREGISTERED")? 0: + (addnsub_multiplier_pipeline_aclr3 == "ACLR0")? aclr0: + (addnsub_multiplier_pipeline_aclr3 == "ACLR1")? aclr1: + (addnsub_multiplier_pipeline_aclr3 == "ACLR2")? aclr2: 0; + + + + + assign sign_reg_a_wire_clr = (signed_aclr_a == "ACLR3")? aclr3: + (signed_aclr_a == "UNREGISTERED")? 0: + (signed_aclr_a == "ACLR0")? aclr0: + (signed_aclr_a == "ACLR1")? aclr1: + (signed_aclr_a == "ACLR2")? aclr2: 0; + + + + assign sign_pipe_a_wire_clr = (signed_pipeline_aclr_a == "ACLR3")? aclr3: + (signed_pipeline_aclr_a == "UNREGISTERED")? 0: + (signed_pipeline_aclr_a == "ACLR0")? aclr0: + (signed_pipeline_aclr_a == "ACLR1")? aclr1: + (signed_pipeline_aclr_a == "ACLR2")? aclr2: 0; + + + + assign sign_reg_b_wire_clr = (signed_aclr_b == "ACLR3")? aclr3: + (signed_aclr_b == "UNREGISTERED")? 0: + (signed_aclr_b == "ACLR0")? aclr0: + (signed_aclr_b == "ACLR1")? aclr1: + (signed_aclr_b == "ACLR2")? aclr2: 0; + + + + assign sign_pipe_b_wire_clr = (signed_pipeline_aclr_b == "ACLR3")? aclr3: + (signed_pipeline_aclr_b == "UNREGISTERED")? 0: + (signed_pipeline_aclr_b == "ACLR0")? aclr0: + (signed_pipeline_aclr_b == "ACLR1")? aclr1: + (signed_pipeline_aclr_b == "ACLR2")? aclr2: 0; + + + + + assign multiplier_reg0_wire_clr = (multiplier_aclr0 == "ACLR3")? aclr3: + (multiplier_aclr0 == "UNREGISTERED")? 0: + (multiplier_aclr0 == "ACLR0")? aclr0: + (multiplier_aclr0 == "ACLR1")? aclr1: + (multiplier_aclr0 == "ACLR2")? aclr2: 0; + + + + assign multiplier_reg1_wire_clr = (multiplier_aclr1 == "ACLR3")? aclr3: + (multiplier_aclr1 == "UNREGISTERED")? 0: + (multiplier_aclr1 == "ACLR0")? aclr0: + (multiplier_aclr1 == "ACLR1")? aclr1: + (multiplier_aclr1 == "ACLR2")? aclr2: 0; + + + + assign multiplier_reg2_wire_clr = (multiplier_aclr2 == "ACLR3")? aclr3: + (multiplier_aclr2 == "UNREGISTERED")? 0: + (multiplier_aclr2 == "ACLR0")? aclr0: + (multiplier_aclr2 == "ACLR1")? aclr1: + (multiplier_aclr2 == "ACLR2")? aclr2: 0; + + + + + assign multiplier_reg3_wire_clr = (multiplier_aclr3 == "ACLR3")? aclr3: + (multiplier_aclr3 == "UNREGISTERED")? 0: + (multiplier_aclr3 == "ACLR0")? aclr0: + (multiplier_aclr3 == "ACLR1")? aclr1: + (multiplier_aclr3 == "ACLR2")? aclr2: 0; + + + + + assign output_reg_wire_clr = (output_aclr == "ACLR3")? aclr3: + (output_aclr == "UNREGISTERED")? 0: + (output_aclr == "ACLR0")? aclr0: + (output_aclr == "ACLR1")? aclr1: + (output_aclr == "ACLR2")? aclr2: 0; + + + + assign addnsub1_round_wire_clr = (addnsub1_round_aclr == "ACLR3")? aclr3: + (addnsub1_round_register == "UNREGISTERED")? 0: + (addnsub1_round_aclr == "ACLR0")? aclr0: + (addnsub1_round_aclr == "ACLR1")? aclr1: + (addnsub1_round_aclr == "ACLR2")? aclr2: 0; + + + + assign addnsub1_round_pipe_wire_clr = (addnsub1_round_pipeline_aclr == "ACLR3")? aclr3: + (addnsub1_round_pipeline_register == "UNREGISTERED")? 0: + (addnsub1_round_pipeline_aclr == "ACLR0")? aclr0: + (addnsub1_round_pipeline_aclr == "ACLR1")? aclr1: + (addnsub1_round_pipeline_aclr == "ACLR2")? aclr2: 0; + + + + assign addnsub3_round_wire_clr = (addnsub3_round_aclr == "ACLR3")? aclr3: + (addnsub3_round_register == "UNREGISTERED")? 0: + (addnsub3_round_aclr == "ACLR0")? aclr0: + (addnsub3_round_aclr == "ACLR1")? aclr1: + (addnsub3_round_aclr == "ACLR2")? aclr2: 0; + + + + assign addnsub3_round_pipe_wire_clr = (addnsub3_round_pipeline_aclr == "ACLR3")? aclr3: + (addnsub3_round_pipeline_register == "UNREGISTERED")? 0: + (addnsub3_round_pipeline_aclr == "ACLR0")? aclr0: + (addnsub3_round_pipeline_aclr == "ACLR1")? aclr1: + (addnsub3_round_pipeline_aclr == "ACLR2")? aclr2: 0; + + + + assign mult01_round_wire_clr = (mult01_round_aclr == "ACLR3")? aclr3: + (mult01_round_register == "UNREGISTERED")? 0: + (mult01_round_aclr == "ACLR0")? aclr0: + (mult01_round_aclr == "ACLR1")? aclr1: + (mult01_round_aclr == "ACLR2")? aclr2: 0; + + + + assign mult01_saturate_wire_clr = (mult01_saturation_aclr == "ACLR3")? aclr3: + (mult01_saturation_register == "UNREGISTERED")? 0: + (mult01_saturation_aclr == "ACLR0")? aclr0: + (mult01_saturation_aclr == "ACLR1")? aclr1: + (mult01_saturation_aclr == "ACLR2")? aclr2: 0; + + + + assign mult23_round_wire_clr = (mult23_round_aclr == "ACLR3")? aclr3: + (mult23_round_register == "UNREGISTERED")? 0: + (mult23_round_aclr == "ACLR0")? aclr0: + (mult23_round_aclr == "ACLR1")? aclr1: + (mult23_round_aclr == "ACLR2")? aclr2: 0; + + + + assign mult23_saturate_wire_clr = (mult23_saturation_aclr == "ACLR3")? aclr3: + (mult23_saturation_register == "UNREGISTERED")? 0: + (mult23_saturation_aclr == "ACLR0")? aclr0: + (mult23_saturation_aclr == "ACLR1")? aclr1: + (mult23_saturation_aclr == "ACLR2")? aclr2: 0; + + + + // ------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_a[int_width_a-1:0]) + // Signal Registered : mult_a_pre[int_width_a-1:0] + // + // Register is controlled by posedge input_reg_a0_wire_clk + // Register has a clock enable input_reg_a0_wire_en + // Register has an asynchronous clear signal, input_reg_a0_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_a0 is unregistered and mult_a_pre[int_width_a-1:0] changes value + // ------------------------------------------------------------------------------------- + assign mult_a_wire[int_width_a-1:0] = (input_register_a0 == "UNREGISTERED")? + mult_a_pre[int_width_a-1:0]: mult_a_reg[int_width_a-1:0]; + always @(posedge input_reg_a0_wire_clk or posedge input_reg_a0_wire_clr) + begin + if (input_reg_a0_wire_clr == 1) + mult_a_reg[int_width_a-1:0] <= 0; + else if ((input_reg_a0_wire_clk === 1'b1) && (input_reg_a0_wire_en == 1)) + mult_a_reg[int_width_a-1:0] <= mult_a_pre[int_width_a-1:0]; + end + + + // ----------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_a[(2*int_width_a)-1:int_width_a]) + // Signal Registered : mult_a_pre[(2*int_width_a)-1:int_width_a] + // + // Register is controlled by posedge input_reg_a1_wire_clk + // Register has a clock enable input_reg_a1_wire_en + // Register has an asynchronous clear signal, input_reg_a1_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_a1 is unregistered and mult_a_pre[(2*int_width_a)-1:int_width_a] changes value + // ----------------------------------------------------------------------------------------------- + + assign mult_a_wire[(2*int_width_a)-1:int_width_a] = (input_register_a1 == "UNREGISTERED")? + mult_a_pre[(2*int_width_a)-1:int_width_a]: mult_a_reg[(2*int_width_a)-1:int_width_a]; + + always @(posedge input_reg_a1_wire_clk or posedge input_reg_a1_wire_clr) + + begin + if (input_reg_a1_wire_clr == 1) + mult_a_reg[(2*int_width_a)-1:int_width_a] <= 0; + else if ((input_reg_a1_wire_clk == 1) && (input_reg_a1_wire_en == 1)) + mult_a_reg[(2*int_width_a)-1:int_width_a] <= mult_a_pre[(2*int_width_a)-1:int_width_a]; + end + + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_a[(3*int_width_a)-1:2*int_width_a]) + // Signal Registered : mult_a_pre[(3*int_width_a)-1:2*int_width_a] + // + // Register is controlled by posedge input_reg_a2_wire_clk + // Register has a clock enable input_reg_a2_wire_en + // Register has an asynchronous clear signal, input_reg_a2_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_a2 is unregistered and mult_a_pre[(3*int_width_a)-1:2*int_width_a] changes value + // ------------------------------------------------------------------------------------------------- + assign mult_a_wire[(3*int_width_a)-1 : 2*int_width_a ] = (input_register_a2 == "UNREGISTERED")? + mult_a_pre[(3*int_width_a)-1 : 2*int_width_a]: mult_a_reg[(3*int_width_a)-1 : 2*int_width_a ]; + + + always @(posedge input_reg_a2_wire_clk or posedge input_reg_a2_wire_clr) + begin + if (input_reg_a2_wire_clr == 1) + mult_a_reg[(3*int_width_a)-1 : 2*int_width_a ] <= 0; + else if ((input_reg_a2_wire_clk == 1) && (input_reg_a2_wire_en == 1)) + mult_a_reg[(3*int_width_a)-1 : 2*int_width_a ] <= mult_a_pre[(3*int_width_a)-1 : 2*int_width_a]; + end + + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_a[(4*int_width_a)-1:3*int_width_a]) + // Signal Registered : mult_a_pre[(4*int_width_a)-1:3*int_width_a] + // + // Register is controlled by posedge input_reg_a3_wire_clk + // Register has a clock enable input_reg_a3_wire_en + // Register has an asynchronous clear signal, input_reg_a3_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_a3 is unregistered and mult_a_pre[(4*int_width_a)-1:3*int_width_a] changes value + // ------------------------------------------------------------------------------------------------- + assign mult_a_wire[(4*int_width_a)-1 : 3*int_width_a ] = (input_register_a3 == "UNREGISTERED")? + mult_a_pre[(4*int_width_a)-1:3*int_width_a]: mult_a_reg[(4*int_width_a)-1:3*int_width_a]; + + always @(posedge input_reg_a3_wire_clk or posedge input_reg_a3_wire_clr) + begin + if (input_reg_a3_wire_clr == 1) + mult_a_reg[(4*int_width_a)-1 : 3*int_width_a ] <= 0; + else if ((input_reg_a3_wire_clk == 1) && (input_reg_a3_wire_en == 1)) + mult_a_reg[(4*int_width_a)-1 : 3*int_width_a ] <= mult_a_pre[(4*int_width_a)-1:3*int_width_a]; + + end + + + // ------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_b[int_width_b-1:0]) + // Signal Registered : mult_b_pre[int_width_b-1:0] + // + // Register is controlled by posedge input_reg_b0_wire_clk + // Register has a clock enable input_reg_b0_wire_en + // Register has an asynchronous clear signal, input_reg_b0_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_b0 is unregistered and mult_b_pre[int_width_b-1:0] changes value + // ------------------------------------------------------------------------------------- + + assign mult_b_wire[int_width_b-1:0] = (input_register_b0 == "UNREGISTERED")? + mult_b_pre[int_width_b-1:0]: mult_b_reg[int_width_b-1:0]; + + always @(posedge input_reg_b0_wire_clk or posedge input_reg_b0_wire_clr) + begin + if (input_reg_b0_wire_clr == 1) + mult_b_reg[int_width_b-1:0] <= 0; + else if ((input_reg_b0_wire_clk == 1) && (input_reg_b0_wire_en == 1)) + mult_b_reg[int_width_b-1:0] <= mult_b_pre[int_width_b-1:0]; + end + + + // ----------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_b[(2*int_width_b)-1:int_width_b]) + // Signal Registered : mult_b_pre[(2*int_width_b)-1:int_width_b] + // + // Register is controlled by posedge input_reg_a1_wire_clk + // Register has a clock enable input_reg_b1_wire_en + // Register has an asynchronous clear signal, input_reg_b1_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_b1 is unregistered and mult_b_pre[(2*int_width_b)-1:int_width_b] changes value + // ----------------------------------------------------------------------------------------------- + assign mult_b_wire[(2*int_width_b)-1:int_width_b] = (input_register_b1 == "UNREGISTERED")? + mult_b_pre[(2*int_width_b)-1:int_width_b]: mult_b_reg[(2*int_width_b)-1:int_width_b]; + + + + always @(posedge input_reg_b1_wire_clk or posedge input_reg_b1_wire_clr) + begin + if (input_reg_b1_wire_clr == 1) + mult_b_reg[(2*int_width_b)-1:int_width_b] <= 0; + else if ((input_reg_b1_wire_clk == 1) && (input_reg_b1_wire_en == 1)) + mult_b_reg[(2*int_width_b)-1:int_width_b] <= mult_b_pre[(2*int_width_b)-1:int_width_b]; + + end + + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_b[(3*int_width_b)-1:2*int_width_b]) + // Signal Registered : mult_b_pre[(3*int_width_b)-1:2*int_width_b] + // + // Register is controlled by posedge input_reg_b2_wire_clk + // Register has a clock enable input_reg_b2_wire_en + // Register has an asynchronous clear signal, input_reg_b2_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_b2 is unregistered and mult_b_pre[(3*int_width_b)-1:2*int_width_b] changes value + // ------------------------------------------------------------------------------------------------- + assign mult_b_wire[(3*int_width_b)-1:2*int_width_b] = (input_register_b2 == "UNREGISTERED")? + mult_b_pre[(3*int_width_b)-1:2*int_width_b]: mult_b_reg[(3*int_width_b)-1:2*int_width_b]; + + + always @(posedge input_reg_b2_wire_clk or posedge input_reg_b2_wire_clr) + begin + if (input_reg_b2_wire_clr == 1) + mult_b_reg[(3*int_width_b)-1:2*int_width_b] <= 0; + else if ((input_reg_b2_wire_clk == 1) && (input_reg_b2_wire_en == 1)) + mult_b_reg[(3*int_width_b)-1:2*int_width_b] <= mult_b_pre[(3*int_width_b)-1:2*int_width_b]; + + end + + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult_b[(4*int_width_b)-1:3*int_width_b]) + // Signal Registered : mult_b_pre[(4*int_width_b)-1:3*int_width_b] + // + // Register is controlled by posedge input_reg_b3_wire_clk + // Register has a clock enable input_reg_b3_wire_en + // Register has an asynchronous clear signal, input_reg_b3_wire_clr + // NOTE : The combinatorial block will be executed if + // input_register_b3 is unregistered and mult_b_pre[(4*int_width_b)-1:3*int_width_b] changes value + // ------------------------------------------------------------------------------------------------- + assign mult_b_wire[(4*int_width_b)-1:3*int_width_b] = (input_register_b3 == "UNREGISTERED")? + mult_b_pre[(4*int_width_b)-1:3*int_width_b]: mult_b_reg[(4*int_width_b)-1:3*int_width_b]; + + + always @(posedge input_reg_b3_wire_clk or posedge input_reg_b3_wire_clr) + begin + if (input_reg_b3_wire_clr == 1) + mult_b_reg[(4*int_width_b)-1 : 3*int_width_b ] <= 0; + else if ((input_reg_b3_wire_clk == 1) && (input_reg_b3_wire_en == 1)) + mult_b_reg[(4*int_width_b)-1:3*int_width_b] <= mult_b_pre[(4*int_width_b)-1:3*int_width_b]; + + end + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult01_round_wire) + // Signal Registered : mult01_round_pre + // + // Register is controlled by posedge mult01_round_wire_clk + // Register has a clock enable mult01_round_wire_en + // Register has an asynchronous clear signal, mult01_round_wire_clr + // NOTE : The combinatorial block will be executed if + // mult01_round_register is unregistered and mult01_round changes value + // ------------------------------------------------------------------------------------------------- + assign mult01_round_wire = (mult01_round_register == "UNREGISTERED")? + mult01_round_pre : mult01_round_reg; + + always @(posedge mult01_round_wire_clk or posedge mult01_round_wire_clr) + begin + if (mult01_round_wire_clr == 1) + mult01_round_reg <= 0; + else if ((mult01_round_wire_clk == 1) && (mult01_round_wire_en == 1)) + mult01_round_reg <= mult01_round_pre; + + end + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult01_saturate_wire) + // Signal Registered : mult01_saturation_pre + // + // Register is controlled by posedge mult01_saturate_wire_clk + // Register has a clock enable mult01_saturate_wire_en + // Register has an asynchronous clear signal, mult01_saturate_wire_clr + // NOTE : The combinatorial block will be executed if + // mult01_saturation_register is unregistered and mult01_saturate_pre changes value + // ------------------------------------------------------------------------------------------------- + assign mult01_saturate_wire = (mult01_saturation_register == "UNREGISTERED")? + mult01_saturate_pre : mult01_saturate_reg; + + always @(posedge mult01_saturate_wire_clk or posedge mult01_saturate_wire_clr) + begin + if (mult01_saturate_wire_clr == 1) + mult01_saturate_reg <= 0; + else if ((mult01_saturate_wire_clk == 1) && (mult01_saturate_wire_en == 1)) + mult01_saturate_reg <= mult01_saturate_pre; + + end + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult23_round_wire) + // Signal Registered : mult23_round_pre + // + // Register is controlled by posedge mult23_round_wire_clk + // Register has a clock enable mult23_round_wire_en + // Register has an asynchronous clear signal, mult23_round_wire_clr + // NOTE : The combinatorial block will be executed if + // mult23_round_register is unregistered and mult23_round_pre changes value + // ------------------------------------------------------------------------------------------------- + assign mult23_round_wire = (mult23_round_register == "UNREGISTERED")? + mult23_round_pre : mult23_round_reg; + + always @(posedge mult23_round_wire_clk or posedge mult23_round_wire_clr) + begin + if (mult23_round_wire_clr == 1) + mult23_round_reg <= 0; + else if ((mult23_round_wire_clk == 1) && (mult23_round_wire_en == 1)) + mult23_round_reg <= mult23_round_pre; + + end + + // ------------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set mult23_saturate_wire) + // Signal Registered : mult23_round_pre + // + // Register is controlled by posedge mult23_saturate_wire_clk + // Register has a clock enable mult23_saturate_wire_en + // Register has an asynchronous clear signal, mult23_saturate_wire_clr + // NOTE : The combinatorial block will be executed if + // mult23_saturation_register is unregistered and mult23_saturation_pre changes value + // ------------------------------------------------------------------------------------------------- + assign mult23_saturate_wire = (mult23_saturation_register == "UNREGISTERED")? + mult23_saturate_pre : mult23_saturate_reg; + + always @(posedge mult23_saturate_wire_clk or posedge mult23_saturate_wire_clr) + begin + if (mult23_saturate_wire_clr == 1) + mult23_saturate_reg <= 0; + else if ((mult23_saturate_wire_clk == 1) && (mult23_saturate_wire_en == 1)) + mult23_saturate_reg <= mult23_saturate_pre; + + end + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addnsub1_round_wire) + // Signal Registered : addnsub1_round_pre + // + // Register is controlled by posedge addnsub1_round_wire_clk + // Register has a clock enable addnsub1_round_wire_en + // Register has an asynchronous clear signal, addnsub1_round_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub1_round_register is unregistered and addnsub1_round_pre changes value + // --------------------------------------------------------------------------------- + assign addnsub1_round_wire = (addnsub1_round_register=="UNREGISTERED")? + addnsub1_round_pre : addnsub1_round_reg; + + always @(posedge addnsub1_round_wire_clk or posedge addnsub1_round_wire_clr) + begin + if (addnsub1_round_wire_clr == 1) + addnsub1_round_reg <= 0; + else if ((addnsub1_round_wire_clk == 1) && (addnsub1_round_wire_en == 1)) + addnsub1_round_reg <= addnsub1_round_pre; + end + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addnsub1_round_pipe_wire) + // Signal Registered : addnsub1_round_wire + // + // Register is controlled by posedge addnsub1_round_pipe_wire_clk + // Register has a clock enable addnsub1_round_pipe_wire_en + // Register has an asynchronous clear signal, addnsub1_round_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub1_round_pipeline_register is unregistered and addnsub1_round_wire changes value + // --------------------------------------------------------------------------------- + assign addnsub1_round_pipe_wire = (addnsub1_round_pipeline_register=="UNREGISTERED")? + addnsub1_round_wire : addnsub1_round_pipe_reg; + + always @(posedge addnsub1_round_pipe_wire_clk or posedge addnsub1_round_pipe_wire_clr) + begin + if (addnsub1_round_pipe_wire_clr == 1) + addnsub1_round_pipe_reg <= 0; + else if ((addnsub1_round_pipe_wire_clk == 1) && (addnsub1_round_pipe_wire_en == 1)) + addnsub1_round_pipe_reg <= addnsub1_round_wire; + end + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addnsub3_round_wire) + // Signal Registered : addnsub3_round_pre + // + // Register is controlled by posedge addnsub3_round_wire_clk + // Register has a clock enable addnsub3_round_wire_en + // Register has an asynchronous clear signal, addnsub3_round_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub3_round_register is unregistered and addnsub3_round_pre changes value + // --------------------------------------------------------------------------------- + assign addnsub3_round_wire = (addnsub3_round_register=="UNREGISTERED")? + addnsub3_round_pre : addnsub3_round_reg; + + always @(posedge addnsub3_round_wire_clk or posedge addnsub3_round_wire_clr) + begin + if (addnsub3_round_wire_clr == 1) + addnsub3_round_reg <= 0; + else if ((addnsub3_round_wire_clk == 1) && (addnsub3_round_wire_en == 1)) + addnsub3_round_reg <= addnsub3_round_pre; + end + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addnsub3_round_pipe_wire) + // Signal Registered : addnsub3_round_wire + // + // Register is controlled by posedge addnsub3_round_pipe_wire_clk + // Register has a clock enable addnsub3_round_pipe_wire_en + // Register has an asynchronous clear signal, addnsub3_round_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub3_round_pipeline_register is unregistered and addnsub3_round_wire changes value + // --------------------------------------------------------------------------------- + assign addnsub3_round_pipe_wire = (addnsub3_round_pipeline_register=="UNREGISTERED")? + addnsub3_round_wire : addnsub3_round_pipe_reg; + + always @(posedge addnsub3_round_pipe_wire_clk or posedge addnsub3_round_pipe_wire_clr) + begin + if (addnsub3_round_pipe_wire_clr == 1) + addnsub3_round_pipe_reg <= 0; + else if ((addnsub3_round_pipe_wire_clk == 1) && (addnsub3_round_pipe_wire_en == 1)) + addnsub3_round_pipe_reg <= addnsub3_round_wire; + end + + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addsub1_reg) + // Signal Registered : addsub1_int + // + // Register is controlled by posedge addsub1_reg_wire_clk + // Register has a clock enable addsub1_reg_wire_en + // Register has an asynchronous clear signal, addsub1_reg_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub_multiplier_register1 is unregistered and addsub1_int changes value + // --------------------------------------------------------------------------------- + assign addsub1_wire = (addnsub_multiplier_register1=="UNREGISTERED")? addsub1_int : addsub1_reg; + + always @(posedge addsub1_reg_wire_clk or posedge addsub1_reg_wire_clr) + begin + if ((addsub1_reg_wire_clr == 1) && (multiplier1_direction == "UNUSED")) + addsub1_reg <= 0; + else if ((addsub1_reg_wire_clk == 1) && (addsub1_reg_wire_en == 1)) + addsub1_reg <= addsub1_int; + end + + + // ------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addsub1_pipe) + // Signal Registered : addsub1_reg + // + // Register is controlled by posedge addsub1_pipe_wire_clk + // Register has a clock enable addsub1_pipe_wire_en + // Register has an asynchronous clear signal, addsub1_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub_multiplier_pipeline_register1 is unregistered and addsub1_reg changes value + // ------------------------------------------------------------------------------------------ + + assign addsub1_pipe_wire = (addnsub_multiplier_pipeline_register1 == "UNREGISTERED")? + addsub1_wire : addsub1_pipe_reg; + always @(posedge addsub1_pipe_wire_clk or posedge addsub1_pipe_wire_clr) + begin + if ((addsub1_pipe_wire_clr == 1) && (multiplier1_direction == "UNUSED")) + addsub1_pipe_reg <= 0; + else if ((addsub1_pipe_wire_clk == 1) && (addsub1_pipe_wire_en == 1)) + addsub1_pipe_reg <= addsub1_wire; + end + + + // --------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addsub3_reg) + // Signal Registered : addsub3_int + // + // Register is controlled by posedge addsub3_reg_wire_clk + // Register has a clock enable addsub3_reg_wire_en + // Register has an asynchronous clear signal, addsub3_reg_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub_multiplier_register3 is unregistered and addsub3_int changes value + // --------------------------------------------------------------------------------- + assign addsub3_wire = (addnsub_multiplier_register3=="UNREGISTERED")? + addsub3_int : addsub3_reg; + + + always @(posedge addsub3_reg_wire_clk or posedge addsub3_reg_wire_clr) + begin + if ((addsub3_reg_wire_clr == 1) && (multiplier3_direction == "UNUSED")) + addsub3_reg <= 0; + else if ((addsub3_reg_wire_clk == 1) && (addsub3_reg_wire_en == 1)) + addsub3_reg <= addsub3_int; + end + + + // ------------------------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set addsub3_pipe) + // Signal Registered : addsub3_reg + // + // Register is controlled by posedge addsub3_pipe_wire_clk + // Register has a clock enable addsub3_pipe_wire_en + // Register has an asynchronous clear signal, addsub3_pipe_wire_clr + // NOTE : The combinatorial block will be executed if + // addnsub_multiplier_pipeline_register3 is unregistered and addsub3_reg changes value + // ------------------------------------------------------------------------------------------ + assign addsub3_pipe_wire = (addnsub_multiplier_pipeline_register3 == "UNREGISTERED")? + addsub3_wire : addsub3_pipe_reg; + + always @(posedge addsub3_pipe_wire_clk or posedge addsub3_pipe_wire_clr) + begin + if ((addsub3_pipe_wire_clr == 1) && (multiplier3_direction == "UNUSED")) + addsub3_pipe_reg <= 0; + else if ((addsub3_pipe_wire_clk == 1) && (addsub3_pipe_wire_en == 1)) + addsub3_pipe_reg <= addsub3_wire; + end + + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_a_reg) + // Signal Registered : sign_a_int + // + // Register is controlled by posedge sign_reg_a_wire_clk + // Register has a clock enable sign_reg_a_wire_en + // Register has an asynchronous clear signal, sign_reg_a_wire_clr + // NOTE : The combinatorial block will be executed if + // signed_register_a is unregistered and sign_a_int changes value + // ---------------------------------------------------------------------------- + + assign sign_a_wire = (signed_register_a == "UNREGISTERED")? sign_a_int : sign_a_reg; + always @(posedge sign_reg_a_wire_clk or posedge sign_reg_a_wire_clr) + begin + if ((sign_reg_a_wire_clr == 1) && (representation_a == "UNUSED")) + sign_a_reg <= 0; + else if ((sign_reg_a_wire_clk == 1) && (sign_reg_a_wire_en == 1)) + sign_a_reg <= sign_a_int; + end + + + // ------------------------------------------------------------------------------ + // This block contains 1 register and 1 combinatorial block (to set sign_a_pipe) + // Signal Registered : sign_a_reg + // + // Register is controlled by posedge sign_pipe_a_wire_clk + // Register has a clock enable sign_pipe_a_wire_en + // Register has an asynchronous clear signal, sign_pipe_a_wire_clr + // NOTE : The combinatorial block will be executed if + // signed_pipeline_register_a is unregistered and sign_a_reg changes value + // ------------------------------------------------------------------------------ + + assign sign_a_pipe_wire = (signed_pipeline_register_a == "UNREGISTERED")? sign_a_wire : sign_a_pipe_reg; + always @(posedge sign_pipe_a_wire_clk or posedge sign_pipe_a_wire_clr) + begin + if ((sign_pipe_a_wire_clr == 1) && (representation_a == "UNUSED")) + sign_a_pipe_reg <= 0; + else if ((sign_pipe_a_wire_clk == 1) && (sign_pipe_a_wire_en == 1)) + sign_a_pipe_reg <= sign_a_wire; + end + + + // ---------------------------------------------------------------------------- + // This block contains 1 register and 1 combinatorial block (to set sign_b_reg) + // Signal Registered : sign_b_int + // + // Register is controlled by posedge sign_reg_b_wire_clk + // Register has a clock enable sign_reg_b_wire_en + // Register has an asynchronous clear signal, sign_reg_b_wire_clr + // NOTE : The combinatorial block will be executed if + // signed_register_b is unregistered and sign_b_int changes value + // ---------------------------------------------------------------------------- + + assign sign_b_wire = (signed_register_b == "UNREGISTERED")? sign_b_int : sign_b_reg; + + always @(posedge sign_reg_b_wire_clk or posedge sign_reg_b_wire_clr) + begin + if ((sign_reg_b_wire_clr == 1) && (representation_b == "UNUSED")) + sign_b_reg <= 0; + else if ((sign_reg_b_wire_clk == 1) && (sign_reg_b_wire_en == 1)) + sign_b_reg <= sign_b_int; + + end + + + // ------------------------------------------------------------------------------ + // This block contains 1 register and 1 combinatorial block (to set sign_b_pipe) + // Signal Registered : sign_b_reg + // + // Register is controlled by posedge sign_pipe_b_wire_clk + // Register has a clock enable sign_pipe_b_wire_en + // Register has an asynchronous clear signal, sign_pipe_b_wire_clr + // NOTE : The combinatorial block will be executed if + // signed_pipeline_register_b is unregistered and sign_b_reg changes value + // ------------------------------------------------------------------------------ + assign sign_b_pipe_wire = (signed_pipeline_register_b == "UNREGISTERED")? sign_b_wire : sign_b_pipe_reg; + always @(posedge sign_pipe_b_wire_clk or posedge sign_pipe_b_wire_clr) + + begin + if ((sign_pipe_b_wire_clr == 1) && (representation_b == "UNUSED")) + sign_b_pipe_reg <= 0; + else if ((sign_pipe_b_wire_clk == 1) && (sign_pipe_b_wire_en == 1)) + sign_b_pipe_reg <= sign_b_wire; + + end + + + // -------------------------------------------------------- + // This block basically calls the task do_multiply() to set + // the value of mult_res_0[(int_width_a + int_width_b) -1 :0] + // + // If multiplier_register0 is registered, the call of the task + // will be triggered by a posedge multiplier_reg0_wire_clk. + // It also has an asynchronous clear signal multiplier_reg0_wire_clr + // + // If multiplier_register0 is unregistered, a change of value + // in either mult_a[int_width_a-1:0], mult_b[int_width_a-1:0], + // sign_a_reg or sign_b_reg will trigger the task call. + // -------------------------------------------------------- + assign mult_res_wire[(int_width_a + int_width_b - 1) :0] = (multiplier_register0 == "UNREGISTERED")? + mult0_result[(int_width_a + int_width_b - 1) :0] : + mult_res_reg[(int_width_a + int_width_b - 1) :0]; + + assign mult_saturate_overflow_vec[0] = (multiplier_register0 == "UNREGISTERED")? + mult0_saturate_overflow : mult_saturate_overflow_reg[0]; + + + // This always block is to perform the rounding and saturation operations (StratixII only) + always @(mult_res_0 or mult01_round_wire or mult01_saturate_wire) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + // ------------------------------------------------------- + // Stratix II Rounding support + // This block basically carries out the rounding for the + // mult_res_0. The equation to get the mult0_round_out is + // obtained from the Stratix II Mac FFD which is below: + // round_adder_constant = (1 << (wfraction - wfraction_round - 1)) + // roundout[] = datain[] + round_adder_constant + // For Stratix II rounding, we round up the bits to 15 bits + // or in another word wfraction_round = 15. + // -------------------------------------------------------- + + if ((multiplier01_rounding == "YES") || + ((multiplier01_rounding == "VARIABLE") && (mult01_round_wire == 1))) + begin + mult0_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_0[(int_width_a + int_width_b) -1 :0] + ( 1 << (`MULT_ROUND_BITS - 1)); + end + else + begin + mult0_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_0[(int_width_a + int_width_b) -1 :0]; + end + + mult0_round_out[((int_width_a + int_width_b) + 2) : (int_width_a + int_width_b)] = {2{1'b0}}; + + // ------------------------------------------------------- + // Stratix II Saturation support + // This carries out the saturation for mult0_round_out. + // The equation to get the saturated result is obtained + // from Stratix II MAC FFD which is below: + // satoverflow = 1 if sign bit is different + // satvalue[wtotal-1 : wfraction] = roundout[wtotal-1] + // satvalue[wfraction-1 : 0] = !roundout[wtotal-1] + // ------------------------------------------------------- + + if ((multiplier01_saturation == "YES") || + (( multiplier01_saturation == "VARIABLE") && (mult01_saturate_wire == 1))) + begin + + mult0_saturate_overflow_stat = (~mult0_round_out[int_width_a + int_width_b - 1]) && mult0_round_out[int_width_a + int_width_b - 2]; + + if (mult0_saturate_overflow_stat == 0) + begin + mult0_saturate_out = mult0_round_out; + mult0_saturate_overflow = mult0_round_out[0]; + end + else + begin + + // We are doing Q2.31 saturation + for (num_bit_mult0 = (int_width_a + int_width_b - 1); num_bit_mult0 >= (int_width_a + int_width_b - 2); num_bit_mult0 = num_bit_mult0 - 1) + begin + mult0_saturate_out[num_bit_mult0] = mult0_round_out[int_width_a + int_width_b - 1]; + end + + for (num_bit_mult0 = sat_ini_value; num_bit_mult0 >= 3; num_bit_mult0 = num_bit_mult0 - 1) + begin + mult0_saturate_out[num_bit_mult0] = ~mult0_round_out[int_width_a + int_width_b - 1]; + end + + mult0_saturate_out[2 : 0] = mult0_round_out[2:0]; + + mult0_saturate_overflow = mult0_saturate_overflow_stat; + end + end + else + begin + mult0_saturate_out = mult0_round_out; + mult0_saturate_overflow = 1'b0; + end + + if ((multiplier01_rounding == "YES") || + ((multiplier01_rounding == "VARIABLE") && (mult01_round_wire == 1))) + begin + + for (num_bit_mult0 = (`MULT_ROUND_BITS - 1); num_bit_mult0 >= 0; num_bit_mult0 = num_bit_mult0 - 1) + begin + mult0_saturate_out[num_bit_mult0] = 1'b0; + end + + end + end + end + + always @(mult0_saturate_out or mult_res_0) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + mult0_result <= mult0_saturate_out[(int_width_a + int_width_b) -1 :0]; + end + else + begin + mult0_result <= mult_res_0; + end + + end + + + + always @(posedge multiplier_reg0_wire_clk or posedge multiplier_reg0_wire_clr) + begin + if (multiplier_reg0_wire_clr == 1) + begin + mult_res_reg[(int_width_a + int_width_b) -1 :0] <= 0; + mult_saturate_overflow_reg[0] <= 0; + end + else if ((multiplier_reg0_wire_clk == 1) && (multiplier_reg0_wire_en == 1)) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) + mult_res_reg[(int_width_a + int_width_b) - 1 : 0] <= mult_res_0[(int_width_a + int_width_b) -1 :0]; + else + begin + mult_res_reg[(int_width_a + int_width_b - 1) : 0] <= mult0_result; + mult_saturate_overflow_reg[0] <= mult0_saturate_overflow; + end + end + end + + + + always @(mult_a_wire[(int_width_a *1) -1 : (int_width_a*0)] or mult_b_wire[(int_width_b *1) -1 : (int_width_b *0)] or + sign_a_wire or sign_b_wire) + begin + mult_res_0 = do_multiply (0, sign_a_wire, sign_b_wire); + end + + + + + // ------------------------------------------------------------------------ + // This block basically calls the task do_multiply() to set the value of + // mult_res_1[(int_width_a + int_width_b) -1 :0] + // + // If multiplier_register1 is registered, the call of the task + // will be triggered by a posedge multiplier_reg1_wire_clk. + // It also has an asynchronous clear signal multiplier_reg1_wire_clr + // + // If multiplier_register1 is unregistered, a change of value + // in either mult_a[(2*int_width_a)-1:int_width_a], mult_b[(2*int_width_a)-1:int_width_a], + // sign_a_reg or sign_b_reg will trigger the task call. + // ----------------------------------------------------------------------- + + assign mult_res_wire[(((int_width_a + int_width_b) *2) - 1) : (int_width_a + int_width_b)] = (multiplier_register1 == "UNREGISTERED")? + mult1_result[(int_width_a + int_width_b - 1) : 0]: + mult_res_reg[((int_width_a + int_width_b) *2) - 1: (int_width_a + int_width_b)]; + + assign mult_saturate_overflow_vec[1] = (multiplier_register1 == "UNREGISTERED")? + mult1_saturate_overflow : mult_saturate_overflow_reg[1]; + + + // This always block is to perform the rounding and saturation operations (StratixII only) + always @(mult_res_1 or mult01_round_wire or mult01_saturate_wire) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + // ------------------------------------------------------- + // Stratix II Rounding support + // This block basically carries out the rounding for the + // mult_res_1. The equation to get the mult1_round_out is + // obtained from the Stratix II Mac FFD which is below: + // round_adder_constant = (1 << (wfraction - wfraction_round - 1)) + // roundout[] = datain[] + round_adder_constant + // For Stratix II rounding, we round up the bits to 15 bits + // or in another word wfraction_round = 15. + // -------------------------------------------------------- + + if ((multiplier01_rounding == "YES") || + ((multiplier01_rounding == "VARIABLE") && (mult01_round_wire == 1))) + begin + mult1_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_1[(int_width_a + int_width_b) -1 :0] + ( 1 << (`MULT_ROUND_BITS - 1)); + end + else + begin + mult1_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_1[(int_width_a + int_width_b) -1 :0]; + end + + mult1_round_out[((int_width_a + int_width_b) + 2) : (int_width_a + int_width_b)] = {2{1'b0}}; + + + // ------------------------------------------------------- + // Stratix II Saturation support + // This carries out the saturation for mult1_round_out. + // The equation to get the saturated result is obtained + // from Stratix II MAC FFD which is below: + // satoverflow = 1 if sign bit is different + // satvalue[wtotal-1 : wfraction] = roundout[wtotal-1] + // satvalue[wfraction-1 : 0] = !roundout[wtotal-1] + // ------------------------------------------------------- + + + if ((multiplier01_saturation == "YES") || + (( multiplier01_saturation == "VARIABLE") && (mult01_saturate_wire == 1))) + begin + mult1_saturate_overflow_stat = (~mult1_round_out[int_width_a + int_width_b - 1]) && mult1_round_out[int_width_a + int_width_b - 2]; + + if (mult1_saturate_overflow_stat == 0) + begin + mult1_saturate_out = mult1_round_out; + mult1_saturate_overflow = mult1_round_out[0]; + end + else + begin + // We are doing Q2.31 saturation. Thus we would insert additional bit + // for the LSB + for (num_bit_mult1 = (int_width_a + int_width_b - 1); num_bit_mult1 >= (int_width_a + int_width_b - 2); num_bit_mult1 = num_bit_mult1 - 1) + begin + mult1_saturate_out[num_bit_mult1] = mult1_round_out[int_width_a + int_width_b - 1]; + end + + for (num_bit_mult1 = sat_ini_value; num_bit_mult1 >= 3; num_bit_mult1 = num_bit_mult1 - 1) + begin + mult1_saturate_out[num_bit_mult1] = ~mult1_round_out[int_width_a + int_width_b - 1]; + end + + mult1_saturate_out[2:0] = mult1_round_out[2:0]; + mult1_saturate_overflow = mult1_saturate_overflow_stat; + end + end + else + begin + mult1_saturate_out = mult1_round_out; + mult1_saturate_overflow = 1'b0; + end + + if ((multiplier01_rounding == "YES") || + ((multiplier01_rounding == "VARIABLE") && (mult01_round_wire == 1))) + begin + + for (num_bit_mult1 = (`MULT_ROUND_BITS - 1); num_bit_mult1 >= 0; num_bit_mult1 = num_bit_mult1 - 1) + begin + mult1_saturate_out[num_bit_mult1] = 1'b0; + end + + end + end + end + + always @(mult1_saturate_out or mult_res_1) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + mult1_result <= mult1_saturate_out[(int_width_a + int_width_b) -1 :0]; + end + else + begin + mult1_result <= mult_res_1; + end + end + + + always @(posedge multiplier_reg1_wire_clk or posedge multiplier_reg1_wire_clr) + begin + if (multiplier_reg1_wire_clr == 1) + begin + mult_res_reg[((int_width_a + int_width_b) *2) -1 : (int_width_a + int_width_b)] <= 0; + mult_saturate_overflow_reg[1] <= 0; + end + else if ((multiplier_reg1_wire_clk == 1) && (multiplier_reg1_wire_en == 1)) + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) + mult_res_reg[((int_width_a + int_width_b) *2) -1 : (int_width_a + int_width_b)] <= + mult_res_1[(int_width_a + int_width_b) -1 :0]; + else + begin + mult_res_reg[((int_width_a + int_width_b) *2) -1 : (int_width_a + int_width_b)] <= mult1_result; + mult_saturate_overflow_reg[1] <= mult1_saturate_overflow; + end + end + + + always @(mult_a_wire[(int_width_a *2) -1 : (int_width_a*1)] or mult_b_wire[(int_width_b *2) -1 : (int_width_b *1)] or + sign_a_wire or sign_b_wire) + begin + + mult_res_1 = do_multiply (1, sign_a_wire, sign_b_wire); + end + + + + + + // ---------------------------------------------------------------------------- + // This block basically calls the task do_multiply() to set the value of + // mult_res_2[(int_width_a + int_width_b) -1 :0] + // + // If multiplier_register2 is registered, the call of the task + // will be triggered by a posedge multiplier_reg2_wire_clk. + // It also has an asynchronous clear signal multiplier_reg2_wire_clr + // + // If multiplier_register2 is unregistered, a change of value + // in either mult_a[(3*int_width_a)-1:2*int_width_a], mult_b[(3*int_width_a)-1:2*int_width_a], + // sign_a_reg or sign_b_reg will trigger the task call. + // --------------------------------------------------------------------------- + + assign mult_res_wire[((int_width_a + int_width_b) *3) -1 : (2*(int_width_a + int_width_b))] = (multiplier_register2 == "UNREGISTERED")? + mult2_result[(int_width_a + int_width_b) -1 :0] : + mult_res_reg[((int_width_a + int_width_b) *3) -1 : (2*(int_width_a + int_width_b))]; + + assign mult_saturate_overflow_vec[2] = (multiplier_register2 == "UNREGISTERED")? + mult2_saturate_overflow : mult_saturate_overflow_reg[2]; + + // This always block is to perform the rounding and saturation operations (StratixII only) + always @(mult_res_2 or mult23_round_wire or mult23_saturate_wire) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + // ------------------------------------------------------- + // Stratix II Rounding support + // This block basically carries out the rounding for the + // mult_res_2. The equation to get the mult2_round_out is + // obtained from the Stratix II Mac FFD which is below: + // round_adder_constant = (1 << (wfraction - wfraction_round - 1)) + // roundout[] = datain[] + round_adder_constant + // For Stratix II rounding, we round up the bits to 15 bits + // or in another word wfraction_round = 15. + // -------------------------------------------------------- + + if ((multiplier23_rounding == "YES") || + ((multiplier23_rounding == "VARIABLE") && (mult23_round_wire == 1))) + begin + mult2_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_2[(int_width_a + int_width_b) -1 :0] + ( 1 << (`MULT_ROUND_BITS - 1)); + end + else + begin + mult2_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_2[(int_width_a + int_width_b) -1 :0]; + end + + mult2_round_out[((int_width_a + int_width_b) + 2) : (int_width_a + int_width_b)] = {2{1'b0}}; + + // ------------------------------------------------------- + // Stratix II Saturation support + // This carries out the saturation for mult2_round_out. + // The equation to get the saturated result is obtained + // from Stratix II MAC FFD which is below: + // satoverflow = 1 if sign bit is different + // satvalue[wtotal-1 : wfraction] = roundout[wtotal-1] + // satvalue[wfraction-1 : 0] = !roundout[wtotal-1] + // ------------------------------------------------------- + + + if ((multiplier23_saturation == "YES") || + (( multiplier23_saturation == "VARIABLE") && (mult23_saturate_wire == 1))) + begin + mult2_saturate_overflow_stat = (~mult2_round_out[int_width_a + int_width_b - 1]) && mult2_round_out[int_width_a + int_width_b - 2]; + + if (mult2_saturate_overflow_stat == 0) + begin + mult2_saturate_out = mult2_round_out; + mult2_saturate_overflow = mult2_round_out[0]; + end + else + begin + // We are doing Q2.31 saturation. Thus we would insert additional bit + // for the LSB + for (num_bit_mult2 = (int_width_a + int_width_b - 1); num_bit_mult2 >= (int_width_a + int_width_b - 2); num_bit_mult2 = num_bit_mult2 - 1) + begin + mult2_saturate_out[num_bit_mult2] = mult2_round_out[int_width_a + int_width_b - 1]; + end + + for (num_bit_mult2 = sat_ini_value; num_bit_mult2 >= 3; num_bit_mult2 = num_bit_mult2 - 1) + begin + mult2_saturate_out[num_bit_mult2] = ~mult2_round_out[int_width_a + int_width_b - 1]; + end + + mult2_saturate_out[2:0] = mult2_round_out[2:0]; + mult2_saturate_overflow = mult2_saturate_overflow_stat; + end + end + else + begin + mult2_saturate_out = mult2_round_out; + mult2_saturate_overflow = 1'b0; + end + + if ((multiplier23_rounding == "YES") || + ((multiplier23_rounding == "VARIABLE") && (mult23_round_wire == 1))) + begin + + for (num_bit_mult2 = (`MULT_ROUND_BITS - 1); num_bit_mult2 >= 0; num_bit_mult2 = num_bit_mult2 - 1) + begin + mult2_saturate_out[num_bit_mult2] = 1'b0; + end + + end + end + end + + always @(mult2_saturate_out or mult_res_2) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + mult2_result <= mult2_saturate_out[(int_width_a + int_width_b) -1 :0]; + end + else + begin + mult2_result <= mult_res_2; + end + end + + + always @(posedge multiplier_reg2_wire_clk or posedge multiplier_reg2_wire_clr) + begin + if (multiplier_reg2_wire_clr == 1) + begin + mult_res_reg[((int_width_a + int_width_b) *3) -1 : (2*(int_width_a + int_width_b))] <= 0; + mult_saturate_overflow_reg[2] <= 0; + end + else if ((multiplier_reg2_wire_clk == 1) && (multiplier_reg2_wire_en == 1)) + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) + mult_res_reg[((int_width_a + int_width_b) *3) -1 : (2*(int_width_a + int_width_b))] <= + mult_res_2[(int_width_a + int_width_b) -1 :0]; + else + begin + mult_res_reg[((int_width_a + int_width_b) *3) -1 : (2*(int_width_a + int_width_b))] <= mult2_result; + mult_saturate_overflow_reg[2] <= mult2_saturate_overflow; + end + end + + always @(mult_a_wire[(int_width_a *3) -1 : (int_width_a*2)] or mult_b_wire[(int_width_b *3) -1 : (int_width_b *2)] or + sign_a_wire or sign_b_wire) + begin + mult_res_2 = do_multiply (2, sign_a_wire, sign_b_wire); + end + + + + + // ---------------------------------------------------------------------------- + // This block basically calls the task do_multiply() to set the value of + // mult_res_3[(int_width_a + int_width_b) -1 :0] + // + // If multiplier_register3 is registered, the call of the task + // will be triggered by a posedge multiplier_reg3_wire_clk. + // It also has an asynchronous clear signal multiplier_reg3_wire_clr + // + // If multiplier_register3 is unregistered, a change of value + // in either mult_a[(4*int_width_a)-1:3*int_width_a], mult_b[(4*int_width_a)-1:3*int_width_a], + // sign_a_reg or sign_b_reg will trigger the task call. + // --------------------------------------------------------------------------- + + assign mult_res_wire[((int_width_a + int_width_b) *4) -1 : 3*(int_width_a + int_width_b)] = (multiplier_register3 == "UNREGISTERED")? + mult3_result[(int_width_a + int_width_b) -1 :0] : + mult_res_reg[((int_width_a + int_width_b) *4) -1 : 3*(int_width_a + int_width_b)]; + + assign mult_saturate_overflow_vec[3] = (multiplier_register3 == "UNREGISTERED")? + mult3_saturate_overflow : mult_saturate_overflow_reg[3]; + + // This always block is to perform the rounding and saturation operations (StratixII only) + always @(mult_res_3 or mult23_round_wire or mult23_saturate_wire) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + // ------------------------------------------------------- + // Stratix II Rounding support + // This block basically carries out the rounding for the + // mult_res_3. The equation to get the mult3_round_out is + // obtained from the Stratix II Mac FFD which is below: + // round_adder_constant = (1 << (wfraction - wfraction_round - 1)) + // roundout[] = datain[] + round_adder_constant + // For Stratix II rounding, we round up the bits to 15 bits + // or in another word wfraction_round = 15. + // -------------------------------------------------------- + + if ((multiplier23_rounding == "YES") || + ((multiplier23_rounding == "VARIABLE") && (mult23_round_wire == 1))) + begin + mult3_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_3[(int_width_a + int_width_b) -1 :0] + ( 1 << (`MULT_ROUND_BITS - 1)); + end + else + begin + mult3_round_out[(int_width_a + int_width_b) -1 :0] = mult_res_3[(int_width_a + int_width_b) -1 :0]; + end + + mult3_round_out[((int_width_a + int_width_b) + 2) : (int_width_a + int_width_b)] = {2{1'b0}}; + + // ------------------------------------------------------- + // Stratix II Saturation support + // This carries out the saturation for mult3_round_out. + // The equation to get the saturated result is obtained + // from Stratix II MAC FFD which is below: + // satoverflow = 1 if sign bit is different + // satvalue[wtotal-1 : wfraction] = roundout[wtotal-1] + // satvalue[wfraction-1 : 0] = !roundout[wtotal-1] + // ------------------------------------------------------- + + + if ((multiplier23_saturation == "YES") || + (( multiplier23_saturation == "VARIABLE") && (mult23_saturate_wire == 1))) + begin + mult3_saturate_overflow_stat = (~mult3_round_out[int_width_a + int_width_b - 1]) && mult3_round_out[int_width_a + int_width_b - 2]; + + if (mult3_saturate_overflow_stat == 0) + begin + mult3_saturate_out = mult3_round_out; + mult3_saturate_overflow = mult3_round_out[0]; + end + else + begin + // We are doing Q2.31 saturation. Thus we would make sure the 3 LSB bits isn't reset + for (num_bit_mult3 = (int_width_a + int_width_b -1); num_bit_mult3 >= (int_width_a + int_width_b - 2); num_bit_mult3 = num_bit_mult3 - 1) + begin + mult3_saturate_out[num_bit_mult3] = mult3_round_out[int_width_a + int_width_b - 1]; + end + + for (num_bit_mult3 = sat_ini_value; num_bit_mult3 >= 3; num_bit_mult3 = num_bit_mult3 - 1) + begin + mult3_saturate_out[num_bit_mult3] = ~mult3_round_out[int_width_a + int_width_b - 1]; + end + + mult3_saturate_out[2:0] = mult3_round_out[2:0]; + mult3_saturate_overflow = mult3_saturate_overflow_stat; + end + end + else + begin + mult3_saturate_out = mult3_round_out; + mult3_saturate_overflow = 1'b0; + end + + if ((multiplier23_rounding == "YES") || + ((multiplier23_rounding == "VARIABLE") && (mult23_round_wire == 1))) + begin + + for (num_bit_mult3 = (`MULT_ROUND_BITS - 1); num_bit_mult3 >= 0; num_bit_mult3 = num_bit_mult3 - 1) + begin + mult3_saturate_out[num_bit_mult3] = 1'b0; + end + + end + end + end + + always @(mult3_saturate_out or mult_res_3) + begin + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + mult3_result <= mult3_saturate_out[(int_width_a + int_width_b) -1 :0]; + end + else + begin + mult3_result <= mult_res_3; + end + end + + + always @(posedge multiplier_reg3_wire_clk or posedge multiplier_reg3_wire_clr) + begin + if (multiplier_reg3_wire_clr == 1) + begin + mult_res_reg[((int_width_a + int_width_b) *4) -1 : (3*(int_width_a + int_width_b))] <= 0; + mult_saturate_overflow_reg[3] <= 0; + end + else if ((multiplier_reg3_wire_clk == 1) && (multiplier_reg3_wire_en == 1)) + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0) + mult_res_reg[((int_width_a + int_width_b) *4) -1 : (3*(int_width_a + int_width_b))] <= + mult_res_3[(int_width_a + int_width_b) -1 :0]; + else + begin + mult_res_reg[((int_width_a + int_width_b) *4) -1: 3*(int_width_a + int_width_b)] <= mult3_result; + mult_saturate_overflow_reg[3] <= mult3_saturate_overflow; + end + + end + + + + + always @(mult_a_wire[(int_width_a *4) -1 : (int_width_a*3)] or mult_b_wire[(int_width_b *4) -1 : (int_width_b *3)] or + sign_a_wire or sign_b_wire) + begin + mult_res_3 = do_multiply (3, sign_a_wire, sign_b_wire); + end + + + //------------------------------ + // Continuous assign statements + //------------------------------ + + // Clock in all the A input registers + assign i_scanina = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0)? + dataa_int[int_width_a-1:0] : scanina_z; + + assign mult_a_pre[int_width_a-1:0] = (input_source_a0 == "DATAA")? dataa_int[int_width_a-1:0] : + (input_source_a0 == "SCANA")? i_scanina : + (sourcea_wire[0] == 1)? scanina_z : dataa_int[int_width_a-1:0]; + + assign mult_a_pre[(2*int_width_a)-1:int_width_a] = (input_source_a1 == "DATAA") ? dataa_int[(2*int_width_a)-1:int_width_a] : + (input_source_a1 == "SCANA")? mult_a_wire[int_width_a-1:0] : + (sourcea_wire[1] == 1)? mult_a_wire[int_width_a-1:0] : dataa_int[(2*int_width_a)-1:int_width_a]; + + assign mult_a_pre[(3*int_width_a)-1:2*int_width_a] = (input_source_a2 == "DATAA") ? dataa_int[(3*int_width_a)-1:2*int_width_a] : + (input_source_a2 == "SCANA")? mult_a_wire[(2*int_width_a)-1:int_width_a] : + (sourcea_wire[2] == 1)? mult_a_wire[(2*int_width_a)-1:int_width_a] : dataa_int[(3*int_width_a)-1:2*int_width_a]; + + assign mult_a_pre[(4*int_width_a)-1:3*int_width_a] = (input_source_a3 == "DATAA") ? dataa_int[(4*int_width_a)-1:3*int_width_a] : + (input_source_a3 == "SCANA")? mult_a_wire[(3*int_width_a)-1:2*int_width_a] : + (sourcea_wire[3] == 1)? mult_a_wire[(3*int_width_a)-1:2*int_width_a] : dataa_int[(4*int_width_a)-1:3*int_width_a]; + + assign scanouta = mult_a_wire[(number_of_multipliers * int_width_a)-1 : ((number_of_multipliers-1) * int_width_a) + (int_width_a - width_a)]; + assign scanoutb = mult_b_wire[(number_of_multipliers * int_width_b)-1 : ((number_of_multipliers-1) * int_width_b) + (int_width_b - width_b)]; + + // Clock in all the B input registers + assign i_scaninb = (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 0)? + datab_int[int_width_b-1:0] : scaninb_z; + + assign mult_b_pre[int_width_b-1:0] = (input_source_b0 == "DATAB")? datab_int[int_width_b-1:0] : + (input_source_b0 == "SCANB")? i_scaninb : + (sourceb_wire[0] == 1)? scaninb_z : datab_int[int_width_b-1:0]; + + assign mult_b_pre[(2*int_width_b)-1:int_width_b] = (input_source_b1 == "DATAB") ? datab_int[(2*int_width_b)-1 : int_width_b ]: + (input_source_b1 == "SCANB")? mult_b_wire[int_width_b -1 : 0] : + (sourceb_wire[1] == 1)? mult_b_wire[int_width_b -1 : 0] : datab_int[(2*int_width_b)-1 : int_width_b ]; + + assign mult_b_pre[(3*int_width_b)-1:2*int_width_b] = (input_source_b2 == "DATAB") ? datab_int[(3*int_width_b)-1:2*int_width_b] : + (input_source_b2 == "SCANB")? mult_b_wire[(2*int_width_b)-1:int_width_b] : + (sourceb_wire[2] == 1)? mult_b_wire[(2*int_width_b)-1:int_width_b] : datab_int[(3*int_width_b)-1:2*int_width_b]; + + assign mult_b_pre[(4*int_width_b)-1:3*int_width_b] = (input_source_b3 == "DATAB") ? datab_int[(4*int_width_b)-1:3*int_width_b] : + (input_source_b3 == "SCANB")? mult_b_wire[(3*int_width_b)-1:2*int_width_b] : + (sourceb_wire[3] == 1)? mult_b_wire[(3*int_width_b)-1:2*int_width_b] : datab_int[(4*int_width_b)-1:3*int_width_b]; + + // clock in all the control signals + assign addsub1_int = ((port_addnsub1 == "PORT_CONNECTIVITY")? + ((multiplier1_direction != "UNUSED")? (multiplier1_direction == "ADD" ? 1 : 0) : addnsub1_z) : + ((port_addnsub1 == "PORT_USED")? addnsub1_z : + (port_addnsub1 == "PORT_UNUSED")? (multiplier1_direction == "ADD" ? 1 : 0) : addnsub1_z)); + + assign addsub3_int = ((port_addnsub3 == "PORT_CONNECTIVITY")? + ((multiplier3_direction != "UNUSED") ? (multiplier3_direction == "ADD" ? 1 : 0) : addnsub3_z) : + ((port_addnsub3 == "PORT_USED")? addnsub3_z : + (port_addnsub3 == "PORT_UNUSED")? (multiplier3_direction == "ADD" ? 1 : 0) : addnsub3_z)); + + assign sign_a_int = ((port_signa == "PORT_CONNECTIVITY")? + ((representation_a != "UNUSED") ? (representation_a == "SIGNED" ? 1 : 0) : signa_z) : + (port_signa == "PORT_USED")? signa_z : + (port_signa == "PORT_UNUSED")? (representation_a == "SIGNED" ? 1 : 0) : signa_z); + + assign sign_b_int = ((port_signb == "PORT_CONNECTIVITY")? + ((representation_b != "UNUSED") ? (representation_b == "SIGNED" ? 1 : 0) : signb_z) : + (port_signb == "PORT_USED")? signb_z : + (port_signb == "PORT_UNUSED")? (representation_b == "SIGNED" ? 1 : 0) : signb_z); + + + + // ----------------------------------------------------------------- + // This is the main block that performs the addition and subtraction + // ----------------------------------------------------------------- + + assign result = (output_register == "UNREGISTERED")? + temp_sum[width_result - 1 + int_mult_diff_bit : int_mult_diff_bit]: temp_sum_reg[width_result - 1 + int_mult_diff_bit:int_mult_diff_bit]; + + assign mult_is_saturate_vec = (output_register == "UNREGISTERED")? + mult_saturate_overflow_vec: mult_saturate_overflow_pipe_reg; + + always @(posedge output_reg_wire_clk or posedge output_reg_wire_clr) + begin + if (output_reg_wire_clr == 1) + begin + temp_sum_reg <= {(int_width_result + 1){1'b0}}; + + for ( num_stor = extra_latency; num_stor >= 0; num_stor = num_stor - 1 ) + begin + result_pipe[num_stor] <= {int_width_result{1'b0}}; + end + + mult_saturate_overflow_pipe_reg <= {4{1'b0}}; + + head_result <= 0; + end + else if ((output_reg_wire_clk ==1) && (output_reg_wire_en ==1)) + begin + + if (extra_latency == 0) + begin + temp_sum_reg[int_width_result-1 :0] <= temp_sum[int_width_result-1 :0]; + end + else + begin + result_pipe [head_result] <= temp_sum[int_width_result-1 :0]; + head_result <= (head_result +1) % (extra_latency + 1); + end + mult_saturate_overflow_pipe_reg <= mult_saturate_overflow_vec; + end + + end + + assign head_result_wire = head_result[31:0]; + + always @(head_result_wire or result_pipe[head_result_wire]) + begin + if (extra_latency != 0) + temp_sum_reg[int_width_result-1 :0] <= result_pipe[head_result_wire]; + end + + always @(mult_res_wire [4 * (int_width_a + int_width_b) -1:0] or + addsub1_pipe_wire or addsub3_pipe_wire or + sign_a_pipe_wire or sign_b_pipe_wire or addnsub1_round_pipe_wire or + addnsub3_round_pipe_wire) + begin + temp_sum =0; + for (num_mult = 0; num_mult < number_of_multipliers; num_mult = num_mult +1) + begin + + mult_res_temp = mult_res_wire >> (num_mult * (int_width_a + int_width_b)); + mult_res_ext = ((int_width_result > (int_width_a + int_width_b))? + {{(int_width_result - int_width_a - int_width_b) + {mult_res_temp [int_width_a + int_width_b - 1] & + (sign_a_pipe_wire | sign_b_pipe_wire)}}, mult_res_temp}:mult_res_temp); + + if (num_mult == 1) + begin + if (addsub1_pipe_wire) + temp_sum = temp_sum + mult_res_ext; + else + temp_sum = temp_sum - mult_res_ext; + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 1) + begin + // ------------------------------------------------------- + // Stratix II Rounding support + // This block basically carries out the rounding for the + // temp_sum. The equation to get the roundout for adder1 and + // adder3 is obtained from the Stratix II Mac FFD which is below: + // round_adder_constant = (1 << (wfraction - wfraction_round - 1)) + // roundout[] = datain[] + round_adder_constant + // For Stratix II rounding, we round up the bits to 15 bits + // or in another word wfraction_round = 15. + // -------------------------------------------------------- + + if ((adder1_rounding == "YES") || + ((adder1_rounding == "VARIABLE") && (addnsub1_round_pipe_wire == 1))) + begin + adder1_round_out = temp_sum + ( 1 << (`ADDER_ROUND_BITS - 1)); + + for (j = (`ADDER_ROUND_BITS - 1); j >= 0; j = j - 1) + begin + adder1_round_out[j] = 1'b0; + end + + end + else + begin + adder1_round_out = temp_sum; + end + + adder1_result = adder1_round_out; + end + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + temp_sum = adder1_result; + end + + end + else if (num_mult == 3) + begin + if (addsub3_pipe_wire) + temp_sum = temp_sum + mult_res_ext; + else + temp_sum = temp_sum - mult_res_ext; + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family) == 1) + begin + // StratixII rounding support + // Please see the description for rounding support in adder1 + + if ((adder3_rounding == "YES") || + ((adder3_rounding == "VARIABLE") && (addnsub3_round_pipe_wire == 1))) + begin + + adder3_round_out = temp_sum + ( 1 << (`ADDER_ROUND_BITS - 1)); + + for (j = (`ADDER_ROUND_BITS - 1); j >= 0; j = j - 1) + begin + adder3_round_out[j] = 1'b0; + end + + end + else + begin + adder3_round_out = temp_sum; + end + + adder3_result = adder3_round_out; + end + + if (dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) + begin + temp_sum = adder3_result; + end + + end + else + begin + temp_sum = temp_sum + mult_res_ext; + end + end + + end + + +endmodule // end of ALTMULT_ADD + + +//START_MODULE_NAME------------------------------------------------------------- +// +// Module Name : altfp_mult +// +// Description : Parameterized floating point multiplier megafunction. +// This module implements IEEE-754 Compliant Floating Poing +// Multiplier.It supports Single Precision, Single Extended +// Precision and Double Precision floating point +// multiplication. +// +// Limitation : Fixed clock latency with 4 clock cycle delay. +// +// Results expected: result of multiplication and the result's status bits +// +//END_MODULE_NAME--------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +module altfp_mult ( + clock, // Clock input to the multiplier.(Required) + clk_en, // Clock enable for the multiplier. + aclr, // Asynchronous clear for the multiplier. + dataa, // Data input to the multiplier.(Required) + datab, // Data input to the multiplier.(Required) + result, // Multiplier output port.(Required) + overflow, // Overflow port for the multiplier. + underflow, // Underflow port for the multiplier. + zero, // Zero port for the multiplier. + denormal, // Denormal port for the multiplier. + indefinite, // Indefinite port for the multiplier. + nan // Nan port for the multiplier. +); + +// GLOBAL PARAMETER DECLARATION + // Specifies the value of the exponent, Minimum = 8, Maximum = 31 + parameter width_exp = 8; + // Specifies the value of the mantissa, Minimum = 23, Maximum = 52 + parameter width_man = 23; + // Specifies whether to use dedicated multiplier circuitry. + parameter dedicated_multiplier_circuitry = "AUTO"; + parameter reduced_functionality = "NO"; + parameter pipeline = 5; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "altfp_mult"; + +// LOCAL PARAMETER DECLARATION + //clock latency + parameter LATENCY = pipeline -1; + // Sum of mantissa's width and exponent's width + parameter WIDTH_MAN_EXP = width_exp + width_man; + +// INPUT PORT DECLARATION + input [WIDTH_MAN_EXP : 0] dataa; + input [WIDTH_MAN_EXP : 0] datab; + input clock; + input clk_en; + input aclr; + +// OUTPUT PORT DECLARATION + output [WIDTH_MAN_EXP : 0] result; + output overflow; + output underflow; + output zero; + output denormal; + output indefinite; + output nan; + +// INTERNAL REGISTERS DECLARATION + reg[width_man : 0] mant_dataa; + reg[width_man : 0] mant_datab; + reg[(2 * width_man) + 1 : 0] mant_result; + reg cout; + reg zero_mant_dataa; + reg zero_mant_datab; + reg zero_dataa; + reg zero_datab; + reg inf_dataa; + reg inf_datab; + reg nan_dataa; + reg nan_datab; + reg den_dataa; + reg den_datab; + reg no_multiply; + reg mant_result_msb; + reg no_rounding; + reg sticky_bit; + reg round_bit; + reg guard_bit; + reg carry; + reg[WIDTH_MAN_EXP : 0] result_pipe[LATENCY : 0]; + reg[LATENCY : 0] overflow_pipe; + reg[LATENCY : 0] underflow_pipe; + reg[LATENCY : 0] zero_pipe; + reg[LATENCY : 0] denormal_pipe; + reg[LATENCY : 0] indefinite_pipe; + reg[LATENCY : 0] nan_pipe; + reg[WIDTH_MAN_EXP : 0] temp_result; + reg overflow_bit; + reg underflow_bit; + reg zero_bit; + reg denormal_bit; + reg indefinite_bit; + reg nan_bit; + +// INTERNAL TRI DECLARATION + tri1 clk_en; + tri0 aclr; + +// LOCAL INTEGER DECLARATION + integer exp_dataa; + integer exp_datab; + integer exp_result; + + // loop counter + integer i0; + integer i1; + integer i2; + integer i3; + integer i4; + integer i5; + +// TASK DECLARATION + + // Add up two bits to get the result( + ) + //Also output the carry bit. + task add_bits; + // Value to be added to the temporary result of mantissa's multiplication. + input [width_man : 0] val1; + // temporary result of mantissa's multiplication. + inout [(2 * width_man) + 1 : 0] temp_mant_result; + output cout; // carry out bit + + reg co; // temporary storage to store the carry out bit + + begin + co = 1'b0; + for(i0 = 0; i0 <= width_man; i0 = i0 + 1) + begin + // if the carry out bit from the previous bit addition is 1'b0 + if (co == 1'b0) + begin + if (val1[i0] != temp_mant_result[i0 + width_man + 1]) + begin + temp_mant_result[i0 + width_man + 1] = 1'b1; + end + else + begin + co = val1[i0] & temp_mant_result[i0 + width_man + 1]; + temp_mant_result[i0 + width_man + 1] = 1'b0; + end + end + else // if (co == 1'b1) + begin + co = val1[i0] | temp_mant_result[i0 + width_man + 1]; + if (val1[i0] != temp_mant_result[i0 + width_man + 1]) + begin + temp_mant_result[i0 + width_man + 1] = 1'b0; + end + else + begin + temp_mant_result[i0 + width_man + 1] = 1'b1; + end + end + end // end of for loop + cout = co; + end + endtask // add_bits + +// FUNCTON DECLARATION + + // Check whether the all the bits from index to is 1'b1 + // Return 1'b1 if true, otherwise return 1'b0 + function bit_all_0; + input [(2 * width_man) + 1: 0] val; + input index1; + integer index1; + input index2; + integer index2; + + reg all_0; //temporary storage to indicate whether all the currently + // checked bits are 1'b0 + begin + begin : LOOP_1 + all_0 = 1'b1; + for (i1 = index1; i1 <= index2; i1 = i1 + 1) + begin + if ((val[i1]) == 1'b1) + begin + all_0 = 1'b0; + disable LOOP_1; //break the loop to stop checking + end + end + end + bit_all_0 = all_0; + end + endfunction // bit_all_0 + + // Calculate the exponential value ( power of ) + function integer exponential_value; + input base_number; + input exponent_number; + integer base_number; + integer exponent_number; + integer value; // temporary storage to store the exponential value + + begin + value = 1; + for (i2 = 0; i2 < exponent_number; i2 = i2 + 1) + begin + value = base_number * value; + end + exponential_value = value; + end + endfunction // exponential_value + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + for(i3 = LATENCY; i3 >= 0; i3 = i3 - 1) + begin + result_pipe[i3] = 0; + overflow_pipe[i3] = 1'b0; + underflow_pipe[i3] = 1'b0; + zero_pipe[i3] = 1'b0; + denormal_pipe[i3] = 1'b0; + indefinite_pipe[i3] = 1'b0; + nan_pipe[i3] = 1'b0; + end + // Check for illegal mode setting + if (WIDTH_MAN_EXP >= 64) + begin + $display("ERROR: The sum of width_exp(%d) and width_man(%d) must be less 64!", width_exp, width_man); + $finish; + end + if (width_exp < 8) + begin + $display("ERROR: width_exp(%d) must be at least 8!", width_exp); + $finish; + end + if (width_man < 23) + begin + $display("ERROR: width_man(%d) must be at least 23!", width_man); + $finish; + end + if (~((width_exp >= 11) || ((width_exp == 8) && (width_man == 23)))) + begin + $display("ERROR: Found width_exp(%d) inside the range of Single Precision. width_exp must be 8 and width_man must be 23 for Single Presicion!", width_exp); + $finish; + end + if (~((width_man >= 31) || ((width_exp == 8) && (width_man == 23)))) + begin + $display("ERROR: Found width_man(%d) inside the range of Single Precision. width_exp must be 8 and width_man must be 23 for Single Presicion!", width_man); + $finish; + end + if (width_exp >= width_man) + begin + $display("ERROR: width_exp(%d) must be less than width_man(%d)!", width_exp, width_man); + $finish; + end + if ((pipeline != 5) && (pipeline != 6)) + begin + $display("ERROR: The legal value for PIPELINE is 5 or 6!"); + $finish; + end + + if ((reduced_functionality != "NO") && (reduced_functionality != "YES")) + begin + $display("ERROR: reduced_functionality value must be \"YES\" or \"NO\"!"); + $finish; + end + + if (reduced_functionality != "NO") + begin + $display("Info: The Clearbox support is available for reduced functionality Floating Point Multiplier."); + end + end // INITIALIZATION + +// ALWAYS CONSTRUCT BLOCK + + // multiplication + always @(dataa or datab) + begin : MULTIPLY_FP + temp_result = {(WIDTH_MAN_EXP + 1){1'b0}}; + overflow_bit = 1'b0; + underflow_bit = 1'b0; + zero_bit = 1'b0; + denormal_bit = 1'b0; + indefinite_bit = 1'b0; + nan_bit = 1'b0; + mant_result = {((2 * width_man) + 2){1'b0}}; + exp_dataa = 0; + exp_datab = 0; + // Set the exponential value + exp_dataa = dataa[width_exp + width_man -1:width_man]; + exp_datab = datab[width_exp + width_man -1:width_man]; + + zero_mant_dataa = 1'b1; + // Check whether the mantissa for dataa is zero + begin : LOOP_3 + for (i4 = 0; i4 <= width_man - 1; i4 = i4 + 1) + begin + if ((dataa[i4]) == 1'b1) + begin + zero_mant_dataa = 1'b0; + disable LOOP_3; + end + end + end // LOOP_3 + zero_mant_datab = 1'b1; + // Check whether the mantissa for datab is zero + begin : LOOP_4 + for (i4 = 0; i4 <= width_man -1; i4 = i4 + 1) + begin + if ((datab[i4]) == 1'b1) + begin + zero_mant_datab = 1'b0; + disable LOOP_4; + end + end + end // LOOP_4 + zero_dataa = 1'b0; + den_dataa = 1'b0; + inf_dataa = 1'b0; + nan_dataa = 1'b0; + // Check whether dataa is special input + if (exp_dataa == 0) + begin + if ((zero_mant_dataa == 1'b1) + || (reduced_functionality != "NO")) + begin + zero_dataa = 1'b1; // dataa is zero + end + else + begin + den_dataa = 1'b1; // dataa is denormalized + end + end + else if (exp_dataa == (exponential_value(2, width_exp) - 1)) + begin + if (zero_mant_dataa == 1'b1) + begin + inf_dataa = 1'b1; // dataa is infinity + end + else + begin + nan_dataa = 1'b1; // dataa is Nan + end + end + zero_datab = 1'b0; + den_datab = 1'b0; + inf_datab = 1'b0; + nan_datab = 1'b0; + // Check whether datab is special input + if (exp_datab == 0) + begin + if ((zero_mant_datab == 1'b1) + || (reduced_functionality != "NO")) + begin + zero_datab = 1'b1; // datab is zero + end + else + begin + den_datab = 1'b1; // datab is denormalized + end + end + else if (exp_datab == (exponential_value(2, width_exp) - 1)) + begin + if (zero_mant_datab == 1'b1) + begin + inf_datab = 1'b1; // datab is infinity + end + else + begin + nan_datab = 1'b1; // datab is Nan + end + end + no_multiply = 1'b0; + // Set status flag if special input exists + if (nan_dataa || nan_datab || (inf_dataa && zero_datab) || + (inf_datab && zero_dataa)) + begin + nan_bit = 1'b1; // NaN + for (i4 = width_man - 1; i4 <= WIDTH_MAN_EXP - 1; i4 = i4 + 1) + begin + temp_result[i4] = 1'b1; + end + no_multiply = 1'b1; // no multiplication is needed. + end + else if (zero_dataa) + begin + zero_bit = 1'b1; // Zero + temp_result[WIDTH_MAN_EXP : 0] = 0; + no_multiply = 1'b1; + end + else if (zero_datab) + begin + zero_bit = 1'b1; // Zero + temp_result[WIDTH_MAN_EXP : 0] = 0; + no_multiply = 1'b1; + end + else if (inf_dataa) + begin + overflow_bit = 1'b1; // Overflow + temp_result[WIDTH_MAN_EXP : 0] = dataa; + no_multiply = 1'b1; + end + else if (inf_datab) + begin + overflow_bit = 1'b1; // Overflow + temp_result[WIDTH_MAN_EXP : 0] = datab; + no_multiply = 1'b1; + end + // if multiplication needed + if (no_multiply == 1'b0) + begin + // Perform exponent operation + exp_result = exp_dataa + exp_datab - (exponential_value(2, width_exp -1) -1); + // First operand for multiplication + mant_dataa[width_man : 0] = {1'b1, dataa[width_man -1 : 0]}; + // Second operand for multiplication + mant_datab[width_man : 0] = {1'b1, datab[width_man -1 : 0]}; + // Multiply the mantissas using add and shift algorithm + for (i4 = 0; i4 <= width_man; i4 = i4 + 1) + begin + cout = 1'b0; + if ((mant_dataa[i4]) == 1'b1) + begin + add_bits(mant_datab, mant_result, cout); + end + mant_result = mant_result >> 1; + mant_result[2*width_man + 1] = cout; + end + sticky_bit = 1'b0; + mant_result_msb = mant_result[2*width_man + 1]; + // Normalize the Result + if (mant_result_msb == 1'b1) + begin + sticky_bit = mant_result[0]; // Needed for rounding operation. + mant_result = mant_result >> 1; + exp_result = exp_result + 1; + end + round_bit = mant_result[width_man - 1]; + guard_bit = mant_result[width_man]; + no_rounding = 1'b0; + // Check whether should perform rounding or not + if (round_bit == 1'b0) + begin + no_rounding = 1'b1; // No rounding is needed + end + else + begin + if (reduced_functionality == "NO") + begin + for(i4 = 0; i4 <= width_man - 2; i4 = i4 + 1) + begin + sticky_bit = sticky_bit | mant_result[i4]; + end + end + else + begin + sticky_bit = (mant_result[width_man - 2] & + mant_result_msb); + end + if ((sticky_bit == 1'b0) && (guard_bit == 1'b0)) + begin + no_rounding = 1'b1; + end + end + // Perform rounding + if (no_rounding == 1'b0) + begin + carry = 1'b1; + for(i4 = width_man; i4 <= 2 * width_man + 1; i4 = i4 + 1) + begin + if (carry == 1'b1) + begin + if (mant_result[i4] == 1'b0) + begin + mant_result[i4] = 1'b1; + carry = 1'b0; + end + else + begin + mant_result[i4] = 1'b0; + end + end + end + // If the mantissa of the result is 10.00.. after rounding, right shift the + // mantissa of the result by 1 bit and increase the exponent of the result by 1. + if (mant_result[(2 * width_man) + 1] == 1'b1) + begin + mant_result = mant_result >> 1; + exp_result = exp_result + 1; + end + end + // Normalize the Result + if ((!bit_all_0(mant_result, 0, (2 * width_man) + 1)) && + (mant_result[2 * width_man] == 1'b0)) + begin + while ((mant_result[2 * width_man] == 1'b0) && + (exp_result != 0)) + begin + mant_result = mant_result << 1; + exp_result = exp_result - 1; + end + end + else if ((exp_result < 0) && (exp_result >= -(2*width_man))) + begin + while(exp_result != 0) + begin + mant_result = mant_result >> 1; + exp_result = exp_result + 1; + end + end + // Set status flag "indefinite" if normal * denormal + // (ignore other status port since we dont care the output + if (den_dataa || den_datab) + begin + indefinite_bit = 1'b1; // Indefinite + end + else if (exp_result >= (exponential_value(2, width_exp) -1)) + begin + overflow_bit = 1'b1; // Overflow + end + else if (exp_result < 0) + begin + underflow_bit = 1'b1; // Underflow + zero_bit = 1'b1; // Zero + end + else if (exp_result == 0) + begin + underflow_bit = 1'b1; // Underflow + + if (bit_all_0(mant_result, width_man + 1, 2 * width_man)) + begin + zero_bit = 1'b1; // Zero + end + else + begin + denormal_bit = 1'b1; // Denormal + end + end + // Get result's mantissa + if (exp_result < 0) // Result underflow + begin + for(i4 = 0; i4 <= width_man - 1; i4 = i4 + 1) + begin + temp_result[i4] = 1'b0; + end + end + else if (exp_result == 0) // Denormalized result + begin + if (reduced_functionality == "NO") + begin + temp_result[width_man - 1 : 0] = mant_result[2 * width_man : width_man + 1]; + end + else + begin + temp_result[width_man - 1 : 0] = 0; + end + end + // Result overflow + else if (exp_result >= exponential_value(2, width_exp) -1) + begin + temp_result[width_man - 1 : 0] = {width_man{1'b0}}; + end + else // Normalized result + begin + temp_result[width_man - 1 : 0] = mant_result[(2 * width_man - 1) : width_man]; + end + // Get result's exponent + if (exp_result == 0) + begin + for(i4 = width_man; i4 <= WIDTH_MAN_EXP - 1; i4 = i4 + 1) + begin + temp_result[i4] = 1'b0; + end + end + else if (exp_result >= (exponential_value(2, width_exp) -1)) + begin + for(i4 = width_man; i4 <= WIDTH_MAN_EXP - 1; i4 = i4 + 1) + begin + temp_result[i4] = 1'b1; + end + end + else + begin + // Convert integer to binary bits + for(i4 = width_man; i4 <= WIDTH_MAN_EXP - 1; i4 = i4 + 1) + begin + if ((exp_result % 2) == 1) + begin + temp_result[i4] = 1'b1; + end + else + begin + temp_result[i4] = 1'b0; + end + exp_result = exp_result / 2; + end + end + end // end of if (no_multiply == 1'b0) + // Get result's sign bit + temp_result[WIDTH_MAN_EXP] = dataa[WIDTH_MAN_EXP] ^ datab[WIDTH_MAN_EXP]; + + end // MULTIPLY_FP + + // Pipelining registers. + always @(posedge clock or posedge aclr) + begin : PIPELINE_REGS + if (aclr == 1'b1) + begin + for (i5 = LATENCY; i5 >= 0; i5 = i5 - 1) + begin + result_pipe[i5] <= {WIDTH_MAN_EXP{1'b0}}; + overflow_pipe[i5] <= 1'b0; + underflow_pipe[i5] <= 1'b0; + zero_pipe[i5] <= 1'b1; + denormal_pipe[i5] <= 1'b0; + indefinite_pipe[i5] <= 1'b0; + nan_pipe[i5] <= 1'b0; + end + // clear all the output ports to 1'b0 + end + else if (clk_en == 1'b1) + begin + result_pipe[0] <= temp_result; + overflow_pipe[0] <= overflow_bit; + underflow_pipe[0] <= underflow_bit; + zero_pipe[0] <= zero_bit; + denormal_pipe[0] <= denormal_bit; + indefinite_pipe[0] <= indefinite_bit; + nan_pipe[0] <= nan_bit; + + // Create latency for the output result + for(i5=LATENCY; i5 >= 1; i5 = i5 - 1) + begin + result_pipe[i5] <= result_pipe[i5 - 1]; + overflow_pipe[i5] <= overflow_pipe[i5 - 1]; + underflow_pipe[i5] <= underflow_pipe[i5 - 1]; + zero_pipe[i5] <= zero_pipe[i5 - 1]; + denormal_pipe[i5] <= denormal_pipe[i5 - 1]; + indefinite_pipe[i5] <= indefinite_pipe[i5 - 1]; + nan_pipe[i5] <= nan_pipe[i5 - 1]; + end + end + end // PIPELINE_REGS + +assign result = result_pipe[LATENCY]; +assign overflow = overflow_pipe[LATENCY]; +assign underflow = underflow_pipe[LATENCY]; +assign zero = (reduced_functionality == "NO") ? zero_pipe[LATENCY] : 1'b0; +assign denormal = (reduced_functionality == "NO") ? denormal_pipe[LATENCY] : 1'b0; +assign indefinite = (reduced_functionality == "NO") ? indefinite_pipe[LATENCY] : 1'b0; +assign nan = nan_pipe[LATENCY]; + +endmodule //altfp_mult + +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------- +// +// Module Name : altsqrt +// +// Description : Parameterized integer square root megafunction. +// This module computes q[] and remainder so that +// q[]^2 + remainder[] == radical[] (remainder <= 2 * q[]) +// It can support the sequential mode(pipeline > 0) or +// combinational mode (pipeline = 0). +// +// Limitation : The radical is assumed to be unsigned integer. +// +// Results expected: Square root of the radical and the remainder. +// +//END_MODULE_NAME--------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +module altsqrt ( + radical, // Input port for the radical + clk, // Clock port + ena, // Clock enable port + aclr, // Asynchronous clear port + q, // Output port for returning the square root of the radical. + remainder // Output port for returning the remainder of the square root. +); + +// GLOBAL PARAMETER DECLARATION + parameter q_port_width = 1; // The width of the q port + parameter r_port_width = 1; // The width of the remainder port + parameter width = 1; // The width of the radical + parameter pipeline = 0; // The latency for the output + parameter lpm_hint= "UNUSED"; + parameter lpm_type = "altsqrt"; + +// INPUT PORT DECLARATION + input [width - 1 : 0] radical; + input clk; + input ena; + input aclr; + +// OUTPUT PORT DECLARATION + output [q_port_width - 1 : 0] q; + output [r_port_width - 1 : 0] remainder; + +// INTERNAL REGISTERS DECLARATION + reg[q_port_width - 1 : 0] q_temp; + reg[q_port_width - 1 : 0] q_pipeline[(pipeline +1) : 0]; + reg[r_port_width - 1 : 0] r_temp; + reg[r_port_width - 1 : 0] remainder_pipeline[(pipeline +1) : 0]; + +// INTERNAL TRI DECLARATION + tri1 clk; + tri1 ena; + tri0 aclr; + +// LOCAL INTEGER DECLARATION + integer value1; + integer value2; + integer index; + integer q_index; + integer q_value_temp; + integer r_value_temp; + integer i1; + integer pipe_ptr; + + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZE + // Check for illegal mode + if(width < 1) + begin + $display("width (%d) must be greater than 0.(ERROR)", width); + $finish; + end + pipe_ptr = 0; + end // INITIALIZE + +// ALWAYS CONSTRUCT BLOCK + + // Perform square root calculation. + // In general, below are the steps to calculate the square root and the + // remainder. + // + // Start of with q = 0 and remainder= 0 + // For every iteration, do the same thing: + // 1) Shift in the next 2 bits of the radical into the remainder + // Eg. if the radical is b"101100". For the first iteration, + // the remainder will be equal to b"10". + // 2) Compare it to the 4* q + 1 + // 3) if the remainder is greater than or equal to 4*q + 1 + // remainder = remainder - (4*q + 1) + // q = 2*q + 1 + // otherwise + // q = 2*q + always @(radical) + begin : SQUARE_ROOT + // Reset variables + value1 = 0; + value2 = 0; + q_index = (width - 1) / 2; + q_value_temp = 0; + r_value_temp = 0; + q_temp = {q_port_width{1'b0}}; + r_temp = {r_port_width{1'b0}}; + + // If the number of the bits of the radical is an odd number, + // Then for the first iteration, only the 1st bit will be shifted + // into the remainder. + // Eg. if the radical is b"11111", then the remainder is b"01". + if((width % 2) == 1) + begin + index = width + 1; + value1 = 0; + value2 = (radical[index - 2] === 1'b1) ? 1'b1 : 1'b0; + end + else if (width > 1) + begin + // Otherwise, for the first iteration, the first two bits will be shifted + // into the remainder. + // Eg. if the radical is b"101111", then the remainder is b"10". + index = width; + value1 = (radical[index - 1] === 1'b1) ? 1'b1 : 1'b0; + value2 = (radical[index - 2] === 1'b1) ? 1'b1 : 1'b0; + end + + // For every iteration + for(index = index - 2; index >= 0; index = index - 2) + begin + // Get the remainder value by shifting in the next 2 bits + // of the radical into the remainder + r_value_temp = (r_value_temp * 4) + (2 * value1) + value2; + + // if remainder >= (4*q + 1) + if (r_value_temp >= ((4 * q_value_temp) + 1)) + begin + // remainder = remainder - (4*q + 1) + r_value_temp = r_value_temp - (4 * q_value_temp) - 1; + // q = 2*q + 1 + q_value_temp = (2 * q_value_temp) + 1; + // set the q[q_index] = 1 + q_temp[q_index] = 1'b1; + end + else // if remainder < (4*q + 1) + begin + // q = 2*q + q_value_temp = 2 * q_value_temp; + // set the q[q_index] = 0 + q_temp[q_index] = 1'b0; + end + + // if not the last iteration, get the next 2 bits of the radical + if(index >= 2) + begin + value1 = (radical[index - 1] === 1'b1)? 1: 0; + value2 = (radical[index - 2] === 1'b1)? 1: 0; + end + + // Reduce the current index of q by 1 + q_index = q_index - 1; + + end + + // Get the binary bits of the remainder by converting integer to + // binary bits + r_temp = r_value_temp; + end + + // store the result to a pipeline(to create the latency) + always @(posedge clk or posedge aclr) + begin + if (aclr) // clear the pipeline for result to 0 + begin + for (i1 = 0; i1 < (pipeline + 1); i1 = i1 + 1) + begin + q_pipeline[i1] <= 0; + remainder_pipeline[i1] <= 0; + end + end + else if (ena == 1) + begin + remainder_pipeline[pipe_ptr] <= r_temp; + q_pipeline[pipe_ptr] <= q_temp; + + if (pipeline > 1) + pipe_ptr <= (pipe_ptr + 1) % pipeline; + end + end + +// CONTINOUS ASSIGNMENT + assign q = (pipeline > 0) ? q_pipeline[pipe_ptr] : q_temp; + assign remainder = (pipeline > 0) ? remainder_pipeline[pipe_ptr] : r_temp; + +endmodule //altsqrt +// END OF MODULE + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTCLKLOCK +// +// Description : Phase-Locked Loop (PLL) behavioral model. Supports basic +// PLL features such as multiplication and division of input +// clock frequency and phase shift. +// +// Limitations : Model supports NORMAL operation mode only. External +// feedback mode and zero-delay-buffer mode are not simulated. +// Applicable to APEX, Mercury and FLEX10KE device families +// only. +// +// Expected results : Up to 4 clock outputs (clock0, clock1, clock2, clock_ext). +// clock2 and clock_ext are for Mercury devices only. +// locked output indicates when PLL locks. +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altclklock ( + inclock, // input reference clock + inclocken, // PLL enable signal + fbin, // feedback input for the PLL + clock0, // output clock 0 + clock1, // output clock 1 + clock2, // output clock 2 (for Mercury only) + clock_ext, // external output clock (for Mercury only) + locked // PLL lock signal +); + +// GLOBAL PARAMETER DECLARATION +parameter inclock_period = 10000; // units in ps +parameter inclock_settings = "UNUSED"; +parameter valid_lock_cycles = 5; +parameter invalid_lock_cycles = 5; +parameter valid_lock_multiplier = 5; +parameter invalid_lock_multiplier = 5; +parameter operation_mode = "NORMAL"; +parameter clock0_boost = 1; +parameter clock0_divide = 1; +parameter clock0_settings = "UNUSED"; +parameter clock0_time_delay = "0"; +parameter clock1_boost = 1; +parameter clock1_divide = 1; +parameter clock1_settings = "UNUSED"; +parameter clock1_time_delay = "0"; +parameter clock2_boost = 1; +parameter clock2_divide = 1; +parameter clock2_settings = "UNUSED"; +parameter clock2_time_delay = "0"; +parameter clock_ext_boost = 1; +parameter clock_ext_divide = 1; +parameter clock_ext_settings = "UNUSED"; +parameter clock_ext_time_delay = "0"; +parameter outclock_phase_shift = 0; // units in ps +parameter intended_device_family = "APEX20KE"; +parameter lpm_type = "altclklock"; +parameter lpm_hint = "UNUSED"; + +// INPUT PORT DECLARATION +input inclock; +input inclocken; +input fbin; + +// OUTPUT PORT DECLARATION +output clock0; +output clock1; +output clock2; +output clock_ext; +output locked; + +// INTERNAL VARIABLE/REGISTER DECLARATION +reg clock0; +reg clock1; +reg clock2; +reg clock_ext; + +reg start_outclk; +reg clk0_tmp; +reg clk1_tmp; +reg clk2_tmp; +reg extclk_tmp; +reg pll_lock; +reg clk_last_value; +reg violation; +reg clk_check; +reg [1:0] next_clk_check; + +reg init; + +real pll_last_rising_edge; +real pll_last_falling_edge; +real actual_clk_cycle; +real expected_clk_cycle; +real pll_duty_cycle; +real inclk_period; +real expected_next_clk_edge; +integer pll_rising_edge_count; +integer stop_lock_count; +integer start_lock_count; +integer clk_per_tolerance; + +time clk0_phase_delay; +time clk1_phase_delay; +time clk2_phase_delay; +time extclk_phase_delay; + +ALTERA_DEVICE_FAMILIES dev (); + +// variables for clock synchronizing +time last_synchronizing_rising_edge_for_clk0; +time last_synchronizing_rising_edge_for_clk1; +time last_synchronizing_rising_edge_for_clk2; +time last_synchronizing_rising_edge_for_extclk; +time clk0_synchronizing_period; +time clk1_synchronizing_period; +time clk2_synchronizing_period; +time extclk_synchronizing_period; +integer input_cycles_per_clk0; +integer input_cycles_per_clk1; +integer input_cycles_per_clk2; +integer input_cycles_per_extclk; +integer clk0_cycles_per_sync_period; +integer clk1_cycles_per_sync_period; +integer clk2_cycles_per_sync_period; +integer extclk_cycles_per_sync_period; +integer input_cycle_count_to_sync0; +integer input_cycle_count_to_sync1; +integer input_cycle_count_to_sync2; +integer input_cycle_count_to_sync_extclk; + +// variables for shedule_clk0-2, clk_ext +reg schedule_clk0; +reg schedule_clk1; +reg schedule_clk2; +reg schedule_extclk; +reg output_value0; +reg output_value1; +reg output_value2; +reg output_value_ext; +time sched_time0; +time sched_time1; +time sched_time2; +time sched_time_ext; +integer rem0; +integer rem1; +integer rem2; +integer rem_ext; +integer tmp_rem0; +integer tmp_rem1; +integer tmp_rem2; +integer tmp_rem_ext; +integer clk_cnt0; +integer clk_cnt1; +integer clk_cnt2; +integer clk_cnt_ext; +integer cyc0; +integer cyc1; +integer cyc2; +integer cyc_ext; +integer inc0; +integer inc1; +integer inc2; +integer inc_ext; +integer cycle_to_adjust0; +integer cycle_to_adjust1; +integer cycle_to_adjust2; +integer cycle_to_adjust_ext; +time tmp_per0; +time tmp_per1; +time tmp_per2; +time tmp_per_ext; +time ori_per0; +time ori_per1; +time ori_per2; +time ori_per_ext; +time high_time0; +time high_time1; +time high_time2; +time high_time_ext; +time low_time0; +time low_time1; +time low_time2; +time low_time_ext; + +// Default inclocken and fbin ports to 1 if unused +tri1 inclocken_int; +tri1 fbin_int; + +assign inclocken_int = inclocken; +assign fbin_int = fbin; + +// +// function time_delay - converts time_delay in string format to integer, and +// add result to outclock_phase_shift +// +function time time_delay; +input [8*16:1] s; + +reg [8*16:1] reg_s; +reg [8:1] digit; +reg [8:1] tmp; +integer m; +integer outclock_phase_shift_adj; +integer sign; + +begin + // initialize variables + sign = 1; + outclock_phase_shift_adj = 0; + reg_s = s; + + for (m = 1; m <= 16; m = m + 1) + begin + tmp = reg_s[128:121]; + digit = tmp & 8'b00001111; + reg_s = reg_s << 8; + // Accumulate ascii digits 0-9 only. + if ((tmp >= 48) && (tmp <= 57)) + outclock_phase_shift_adj = outclock_phase_shift_adj * 10 + digit; + if (tmp == 45) + sign = -1; // Found a '-' character, i.e. number is negative. + end + + // add outclock_phase_shift to time delay + outclock_phase_shift_adj = (sign*outclock_phase_shift_adj) + outclock_phase_shift; + + // adjust phase shift so that its value is between 0 and 1 full + // inclock_period + while (outclock_phase_shift_adj < 0) + outclock_phase_shift_adj = outclock_phase_shift_adj + inclock_period; + while (outclock_phase_shift_adj >= inclock_period) + outclock_phase_shift_adj = outclock_phase_shift_adj - inclock_period; + + // assign result + time_delay = outclock_phase_shift_adj; +end +endfunction + +// INITIAL BLOCK +initial +begin + + // check for invalid parameters + if (inclock_period <= 0) + begin + $display("ERROR: The period of the input clock (inclock_period) must be greater than 0"); + $stop; + end + + if ((clock0_boost <= 0) || (clock0_divide <= 0) + || (clock1_boost <= 0) || (clock1_divide <= 0) + || (clock2_boost <= 0) || (clock2_divide <= 0) + || (clock_ext_boost <= 0) || (clock_ext_divide <= 0)) + begin + if ((clock0_boost <= 0) || (clock0_divide <= 0)) + begin + $display("ERROR: The multiplication and division factors for clock0 must be greater than 0."); + end + + if ((clock1_boost <= 0) || (clock1_divide <= 0)) + begin + $display("ERROR: The multiplication and division factors for clock1 must be greater than 0."); + end + + if ((clock2_boost <= 0) || (clock2_divide <= 0)) + begin + $display("ERROR: The multiplication and division factors for clock2 must be greater than 0."); + end + + if ((clock_ext_boost <= 0) || (clock_ext_divide <= 0)) + begin + $display("ERROR: The multiplication and division factors for clock_ext must be greater than 0."); + end + $stop; + end + + if ((!dev.IS_FAMILY_FLEX10KE(intended_device_family)) + && (!dev.IS_FAMILY_ACEX1K(intended_device_family)) + && (!dev.IS_FAMILY_APEX20K(intended_device_family)) + && (!dev.IS_FAMILY_APEX20KE(intended_device_family)) + && (!dev.IS_FAMILY_APEX20KC(intended_device_family)) + && (!dev.IS_FAMILY_EXCALIBUR_ARM(intended_device_family)) + && (!dev.IS_FAMILY_APEXII(intended_device_family)) + && (!dev.IS_FAMILY_MERCURY(intended_device_family))) + begin + $display("WARNING: Device family specified by the intended_device_family parameter, %s, may not be supported by altclklock", intended_device_family); + end + + stop_lock_count = 0; + violation = 0; + + // clock synchronizing variables + last_synchronizing_rising_edge_for_clk0 = 0; + last_synchronizing_rising_edge_for_clk1 = 0; + last_synchronizing_rising_edge_for_clk2 = 0; + last_synchronizing_rising_edge_for_extclk = 0; + clk0_synchronizing_period = 0; + clk1_synchronizing_period = 0; + clk2_synchronizing_period = 0; + extclk_synchronizing_period = 0; + input_cycles_per_clk0 = clock0_divide; + input_cycles_per_clk1 = clock1_divide; + input_cycles_per_clk2 = clock2_divide; + input_cycles_per_extclk = clock_ext_divide; + clk0_cycles_per_sync_period = clock0_boost; + clk1_cycles_per_sync_period = clock1_boost; + clk2_cycles_per_sync_period = clock2_boost; + extclk_cycles_per_sync_period = clock_ext_boost; + input_cycle_count_to_sync0 = 0; + input_cycle_count_to_sync1 = 0; + input_cycle_count_to_sync2 = 0; + input_cycle_count_to_sync_extclk = 0; + inc0 = 1; + inc1 = 1; + inc2 = 1; + inc_ext = 1; + cycle_to_adjust0 = 0; + cycle_to_adjust1 = 0; + cycle_to_adjust2 = 0; + cycle_to_adjust_ext = 0; + + if ((clock0_boost % clock0_divide) == 0) + begin + clk0_cycles_per_sync_period = clock0_boost / clock0_divide; + input_cycles_per_clk0 = 1; + end + + if ((clock1_boost % clock1_divide) == 0) + begin + clk1_cycles_per_sync_period = clock1_boost / clock1_divide; + input_cycles_per_clk1 = 1; + end + + if ((clock2_boost % clock2_divide) == 0) + begin + clk2_cycles_per_sync_period = clock2_boost / clock2_divide; + input_cycles_per_clk2 = 1; + end + + if ((clock_ext_boost % clock_ext_divide) == 0) + begin + extclk_cycles_per_sync_period = clock_ext_boost / clock_ext_divide; + input_cycles_per_extclk = 1; + end + + // convert time delays from string to integer + clk0_phase_delay = time_delay(clock0_time_delay); + clk1_phase_delay = time_delay(clock1_time_delay); + clk2_phase_delay = time_delay(clock2_time_delay); + extclk_phase_delay = time_delay(clock_ext_time_delay); + + // 2.5% tolerance of input clock period variation + if(dev.IS_FAMILY_MERCURY(intended_device_family)) + clk_per_tolerance = 0.025 * inclock_period; + else + clk_per_tolerance = 0.1 * inclock_period; +end + +always @(next_clk_check) +begin + if (next_clk_check == 1) + begin + if ((clk_check === 1'b1) || (clk_check === 1'b0)) + #((inclk_period+clk_per_tolerance)/2) clk_check = ~clk_check; + else + #((inclk_period+clk_per_tolerance)/2) clk_check = 1'b1; + end + else if (next_clk_check == 2) + begin + if ((clk_check === 1'b1) || (clk_check === 1'b0)) + #(expected_next_clk_edge - $realtime) clk_check = ~clk_check; + else + #(expected_next_clk_edge - $realtime) clk_check = 1'b1; + end + next_clk_check = 0; +end + +always @(inclock or inclocken_int or clk_check) +begin + + if(init !== 1'b1) + begin + start_lock_count = 0; + pll_rising_edge_count = 0; + pll_last_rising_edge = 0; + pll_last_falling_edge = 0; + pll_lock = 0; + init = 1'b1; + end + + if (inclocken_int == 1'b0) + begin + pll_lock = 0; + pll_rising_edge_count = 0; + end + else if ((inclock == 1'b1) && (clk_last_value !== inclock)) + begin + if (pll_lock === 1) + next_clk_check = 1; + + if (pll_rising_edge_count == 0) // this is first rising edge + begin + inclk_period = inclock_period; + pll_duty_cycle = inclk_period/2; + start_outclk = 0; + end + else if (pll_rising_edge_count == 1) // this is second rising edge + begin + expected_clk_cycle = inclk_period; + actual_clk_cycle = $realtime - pll_last_rising_edge; + if (actual_clk_cycle < (expected_clk_cycle - clk_per_tolerance) || + actual_clk_cycle > (expected_clk_cycle + clk_per_tolerance)) + begin + $display($realtime, "ps Warning: Inclock_Period Violation"); + violation = 1; + if (locked == 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + if ((locked == 1'b1) && (stop_lock_count == invalid_lock_cycles)) + begin + pll_lock = 0; + $display ($realtime, "ps Warning: altclklock out of lock."); + + if(dev.IS_FAMILY_APEX20KE(intended_device_family) || dev.IS_FAMILY_APEX20KC(intended_device_family) || dev.IS_FAMILY_EXCALIBUR_ARM(intended_device_family)) + start_lock_count = 0; + else + start_lock_count = 1; + + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + clk2_tmp = 1'bx; + extclk_tmp = 1'bx; + end + end + else begin + start_lock_count = 1; + end + end + else + begin + if (($realtime - pll_last_falling_edge) < (pll_duty_cycle - clk_per_tolerance/2) || + ($realtime - pll_last_falling_edge) > (pll_duty_cycle + clk_per_tolerance/2)) + begin + $display($realtime, "ps Warning: Duty Cycle Violation"); + violation = 1; + end + else + violation = 0; + end + end + else if (($realtime - pll_last_rising_edge) < (expected_clk_cycle - clk_per_tolerance) || + ($realtime - pll_last_rising_edge) > (expected_clk_cycle + clk_per_tolerance)) + begin + $display($realtime, "ps Warning: Cycle Violation"); + violation = 1; + if (locked == 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + if (stop_lock_count == invalid_lock_cycles) + begin + pll_lock = 0; + $display ($realtime, "ps Warning: altclklock out of lock."); + + if(dev.IS_FAMILY_APEX20KE(intended_device_family) || dev.IS_FAMILY_APEX20KC(intended_device_family) || dev.IS_FAMILY_EXCALIBUR_ARM(intended_device_family)) + start_lock_count = 0; + else + start_lock_count = 1; + + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + clk2_tmp = 1'bx; + extclk_tmp = 1'bx; + end + end + else + begin + start_lock_count = 1; + end + end + else + begin + violation = 0; + actual_clk_cycle = $realtime - pll_last_rising_edge; + end + pll_last_rising_edge = $realtime; + pll_rising_edge_count = pll_rising_edge_count + 1; + if (!violation) + begin + if (pll_lock == 1'b1) + begin + input_cycle_count_to_sync0 = input_cycle_count_to_sync0 + 1; + if (input_cycle_count_to_sync0 == input_cycles_per_clk0) + begin + clk0_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk0; + last_synchronizing_rising_edge_for_clk0 = $realtime; + schedule_clk0 = 1; + input_cycle_count_to_sync0 = 0; + end + input_cycle_count_to_sync1 = input_cycle_count_to_sync1 + 1; + if (input_cycle_count_to_sync1 == input_cycles_per_clk1) + begin + clk1_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk1; + last_synchronizing_rising_edge_for_clk1 = $realtime; + schedule_clk1 = 1; + input_cycle_count_to_sync1 = 0; + end + input_cycle_count_to_sync2 = input_cycle_count_to_sync2 + 1; + if (input_cycle_count_to_sync2 == input_cycles_per_clk2) + begin + clk2_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk2; + last_synchronizing_rising_edge_for_clk2 = $realtime; + schedule_clk2 = 1; + input_cycle_count_to_sync2 = 0; + end + input_cycle_count_to_sync_extclk = input_cycle_count_to_sync_extclk + 1; + if (input_cycle_count_to_sync_extclk == input_cycles_per_extclk) + begin + extclk_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_extclk; + last_synchronizing_rising_edge_for_extclk = $realtime; + schedule_extclk = 1; + input_cycle_count_to_sync_extclk = 0; + end + end + else + begin + if (!dev.IS_FAMILY_APEXII(intended_device_family) || (pll_rising_edge_count-1 > 0)) + begin + start_lock_count = start_lock_count + 1; + if (start_lock_count >= valid_lock_cycles) + begin + pll_lock = 1; + input_cycle_count_to_sync0 = 0; + input_cycle_count_to_sync1 = 0; + input_cycle_count_to_sync2 = 0; + input_cycle_count_to_sync_extclk = 0; + clk0_synchronizing_period = actual_clk_cycle * input_cycles_per_clk0; + clk1_synchronizing_period = actual_clk_cycle * input_cycles_per_clk1; + clk2_synchronizing_period = actual_clk_cycle * input_cycles_per_clk2; + extclk_synchronizing_period = actual_clk_cycle * input_cycles_per_extclk; + last_synchronizing_rising_edge_for_clk0 = $realtime; + last_synchronizing_rising_edge_for_clk1 = $realtime; + last_synchronizing_rising_edge_for_clk2 = $realtime; + last_synchronizing_rising_edge_for_extclk = $realtime; + schedule_clk0 = 1; + schedule_clk1 = 1; + schedule_clk2 = 1; + schedule_extclk = 1; + end + end + end + end + else + if(dev.IS_FAMILY_APEXII(intended_device_family)) + start_lock_count = 0; + else + start_lock_count = 1; + end + else if ((inclock == 1'b0) && (clk_last_value !== inclock)) + begin + if (pll_lock == 1) + begin + next_clk_check = 1; + if (($realtime - pll_last_rising_edge) < (pll_duty_cycle - clk_per_tolerance/2) || + ($realtime - pll_last_rising_edge) > (pll_duty_cycle + clk_per_tolerance/2)) + begin + $display($realtime, "ps Warning: Duty Cycle Violation"); + violation = 1; + if (locked == 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + if (stop_lock_count == invalid_lock_cycles) + begin + pll_lock = 0; + $display ($realtime, "ps Warning: altclklock out of lock."); + + if(dev.IS_FAMILY_APEX20KE(intended_device_family) || dev.IS_FAMILY_APEX20KC(intended_device_family) || dev.IS_FAMILY_EXCALIBUR_ARM(intended_device_family)) + start_lock_count = 0; + else + start_lock_count = 1; + + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + clk2_tmp = 1'bx; + extclk_tmp = 1'bx; + end + end + end + else + violation = 0; + end + else if (!dev.IS_FAMILY_APEXII(intended_device_family) || (pll_rising_edge_count > 0)) + start_lock_count = start_lock_count + 1; + pll_last_falling_edge = $realtime; + end + else if (pll_lock == 1) + begin + if (inclock == 1'b1) + expected_next_clk_edge = pll_last_rising_edge + (inclk_period+clk_per_tolerance)/2; + else if (inclock == 'b0) + expected_next_clk_edge = pll_last_falling_edge + (inclk_period+clk_per_tolerance)/2; + else + expected_next_clk_edge = 0; + violation = 0; + if ($realtime < expected_next_clk_edge) + next_clk_check = 2; + else if ($realtime == expected_next_clk_edge) + next_clk_check = 1; + else + begin + $display($realtime, "ps Warning: Inclock_Period Violation"); + violation = 1; + + if (locked == 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + expected_next_clk_edge = $realtime + (inclk_period/2); + if (stop_lock_count == invalid_lock_cycles) + begin + pll_lock = 0; + $display ($realtime, "ps Warning: altclklock out of lock."); + + if(dev.IS_FAMILY_APEX20KE(intended_device_family) || dev.IS_FAMILY_APEX20KC(intended_device_family) || dev.IS_FAMILY_EXCALIBUR_ARM(intended_device_family)) + start_lock_count = 0; + else + start_lock_count = 1; + + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + clk2_tmp = 1'bx; + extclk_tmp = 1'bx; + end + else + next_clk_check = 2; + end + end + end + clk_last_value = inclock; +end + +// clock0 output +always @(posedge schedule_clk0) +begin + // initialise variables + inc0 = 1; + cycle_to_adjust0 = 0; + output_value0 = 1'b1; + sched_time0 = 0; + rem0 = clk0_synchronizing_period % clk0_cycles_per_sync_period; + ori_per0 = clk0_synchronizing_period / clk0_cycles_per_sync_period; + + // schedule number of clock0 cycles in this + // loop - in order to synchronize the output clock always to the input clock + // to get rid of clock drift for cases where the input clock period is + // not evenly divisible + for (clk_cnt0 = 1; clk_cnt0 <= clk0_cycles_per_sync_period; + clk_cnt0 = clk_cnt0 + 1) + begin + tmp_per0 = ori_per0; + if ((rem0 != 0) && (inc0 <= rem0)) + begin + tmp_rem0 = (clk0_cycles_per_sync_period * inc0) % rem0; + cycle_to_adjust0 = (clk0_cycles_per_sync_period * inc0) / rem0; + if (tmp_rem0 != 0) + cycle_to_adjust0 = cycle_to_adjust0 + 1; + end + + // if this cycle is the one to adjust the output clock period, then + // increment the period by 1 unit + if (cycle_to_adjust0 == clk_cnt0) + begin + tmp_per0 = tmp_per0 + 1; + inc0 = inc0 + 1; + end + + // adjust the high and low cycle period + high_time0 = tmp_per0 / 2; + if ((tmp_per0 % 2) != 0) + high_time0 = high_time0 + 1; + + low_time0 = tmp_per0 - high_time0; + + // schedule the high and low cycle of 1 output clock period + for (cyc0 = 0; cyc0 <= 1; cyc0 = cyc0 + 1) + begin + // Avoid glitch in vcs when high_time0 and low_time0 is 0 + // (due to clk0_synchronizing_period is 0) + if (clk0_synchronizing_period != 0) + clk0_tmp = #(sched_time0) output_value0; + else + clk0_tmp = #(sched_time0) 1'b0; + output_value0 = ~output_value0; + if (output_value0 == 1'b0) + begin + sched_time0 = high_time0; + end + else if (output_value0 == 1'b1) + begin + sched_time0 = low_time0; + end + end + end + + // drop the schedule_clk0 to 0 so that the "always@(inclock)" block can + // trigger this block again when the correct time comes + schedule_clk0 = #1 1'b0; +end + +always @(clk0_tmp) +begin + if (clk0_phase_delay == 0) + clock0 <= clk0_tmp; + else + clock0 <= #(clk0_phase_delay) clk0_tmp; +end + +// clock1 output +always @(posedge schedule_clk1) +begin + // initialize variables + inc1 = 1; + cycle_to_adjust1 = 0; + output_value1 = 1'b1; + sched_time1 = 0; + rem1 = clk1_synchronizing_period % clk1_cycles_per_sync_period; + ori_per1 = clk1_synchronizing_period / clk1_cycles_per_sync_period; + + // schedule number of clock1 cycles in this + // loop - in order to synchronize the output clock always to the input clock, + // to get rid of clock drift for cases where the input clock period is + // not evenly divisible + for (clk_cnt1 = 1; clk_cnt1 <= clk1_cycles_per_sync_period; + clk_cnt1 = clk_cnt1 + 1) + begin + tmp_per1 = ori_per1; + if ((rem1 != 0) && (inc1 <= rem1)) + begin + tmp_rem1 = (clk1_cycles_per_sync_period * inc1) % rem1; + cycle_to_adjust1 = (clk1_cycles_per_sync_period * inc1) / rem1; + if (tmp_rem1 != 0) + cycle_to_adjust1 = cycle_to_adjust1 + 1; + end + + // if this cycle is the one to adjust the output clock period, then + // increment the period by 1 unit + if (cycle_to_adjust1 == clk_cnt1) + begin + tmp_per1 = tmp_per1 + 1; + inc1 = inc1 + 1; + end + + // adjust the high and low cycle period + high_time1 = tmp_per1 / 2; + if ((tmp_per1 % 2) != 0) + high_time1 = high_time1 + 1; + + low_time1 = tmp_per1 - high_time1; + + // schedule the high and low cycle of 1 output clock period + for (cyc1 = 0; cyc1 <= 1; cyc1 = cyc1 + 1) + begin + // Avoid glitch in vcs when high_time1 and low_time1 is 0 + // (due to clk1_synchronizing_period is 0) + if (clk1_synchronizing_period != 0) + clk1_tmp = #(sched_time1) output_value1; + else + clk1_tmp = #(sched_time1) 1'b0; + output_value1 = ~output_value1; + if (output_value1 == 1'b0) + sched_time1 = high_time1; + else if (output_value1 == 1'b1) + sched_time1 = low_time1; + end + end + // drop the schedule_clk1 to 0 so that the "always@(inclock)" block can + // trigger this block again when the correct time comes + schedule_clk1 = #1 1'b0; +end + +always @(clk1_tmp) +begin + if (clk1_phase_delay == 0) + clock1 <= clk1_tmp; + else + clock1 <= #(clk1_phase_delay) clk1_tmp; +end + +// clock2 output +always @(posedge schedule_clk2) +begin + // clock2 is only available for Mercury + if (dev.IS_FAMILY_MERCURY(intended_device_family)) + begin + // initialize variables + inc2 = 1; + cycle_to_adjust2 = 0; + output_value2 = 1'b1; + sched_time2 = 0; + rem2 = clk2_synchronizing_period % clk2_cycles_per_sync_period; + ori_per2 = clk2_synchronizing_period / clk2_cycles_per_sync_period; + + // schedule number of clock2 cycles in this + // loop - in order to synchronize the output clock always to the input clock, + // to get rid of clock drift for cases where the input clock period is + // not evenly divisible + for (clk_cnt2 = 1; clk_cnt2 <= clk2_cycles_per_sync_period; + clk_cnt2 = clk_cnt2 + 1) + begin + tmp_per2 = ori_per2; + if ((rem2 != 0) && (inc2 <= rem2)) + begin + tmp_rem2 = (clk2_cycles_per_sync_period * inc2) % rem2; + cycle_to_adjust2 = (clk2_cycles_per_sync_period * inc2) / rem2; + if (tmp_rem2 != 0) + cycle_to_adjust2 = cycle_to_adjust2 + 1; + end + + // if this cycle is the one to adjust the output clock period, then + // increment the period by 1 unit + if (cycle_to_adjust2 == clk_cnt2) + begin + tmp_per2 = tmp_per2 + 1; + inc2 = inc2 + 1; + end + + // adjust the high and low cycle period + high_time2 = tmp_per2 / 2; + if ((tmp_per2 % 2) != 0) + high_time2 = high_time2 + 1; + + low_time2 = tmp_per2 - high_time2; + + // schedule the high and low cycle of 1 output clock period + for (cyc2 = 0; cyc2 <= 1; cyc2 = cyc2 + 1) + begin + // Avoid glitch in vcs when high_time2 and low_time2 is 0 + // (due to clk2_synchronizing_period is 0) + if (clk2_synchronizing_period != 0) + clk2_tmp = #(sched_time2) output_value2; + else + clk2_tmp = #(sched_time2) 1'b0; + output_value2 = ~output_value2; + if (output_value2 == 1'b0) + sched_time2 = high_time2; + else if (output_value2 == 1'b1) + sched_time2 = low_time2; + end + end + // drop the schedule_clk2 to 0 so that the "always@(inclock)" block can + // trigger this block again when the correct time comes + schedule_clk2 = #1 1'b0; + end +end + +always @(clk2_tmp) +begin + if (clk2_phase_delay == 0) + clock2 <= clk2_tmp; + else + clock2 <= #(clk2_phase_delay) clk2_tmp; +end + +// clock_ext output +always @(posedge schedule_extclk) +begin + // clock_ext is only available for Mercury + if (dev.IS_FAMILY_MERCURY(intended_device_family)) + begin + // initialize variables + inc_ext = 1; + cycle_to_adjust_ext = 0; + output_value_ext = 1'b1; + sched_time_ext = 0; + rem_ext = extclk_synchronizing_period % extclk_cycles_per_sync_period; + ori_per_ext = extclk_synchronizing_period/extclk_cycles_per_sync_period; + + // schedule number of clock_ext cycles in this + // loop - in order to synchronize the output clock always to the input clock, + // to get rid of clock drift for cases where the input clock period is + // not evenly divisible + for (clk_cnt_ext = 1; clk_cnt_ext <= extclk_cycles_per_sync_period; + clk_cnt_ext = clk_cnt_ext + 1) + begin + tmp_per_ext = ori_per_ext; + if ((rem_ext != 0) && (inc_ext <= rem_ext)) + begin + tmp_rem_ext = (extclk_cycles_per_sync_period * inc_ext) % rem_ext; + cycle_to_adjust_ext = (extclk_cycles_per_sync_period * inc_ext) / rem_ext; + if (tmp_rem_ext != 0) + cycle_to_adjust_ext = cycle_to_adjust_ext + 1; + end + + // if this cycle is the one to adjust the output clock period, then + // increment the period by 1 unit + if (cycle_to_adjust_ext == clk_cnt_ext) + begin + tmp_per_ext = tmp_per_ext + 1; + inc_ext = inc_ext + 1; + end + + // adjust the high and low cycle period + high_time_ext = tmp_per_ext/2; + if ((tmp_per_ext % 2) != 0) + high_time_ext = high_time_ext + 1; + + low_time_ext = tmp_per_ext - high_time_ext; + + // schedule the high and low cycle of 1 output clock period + for (cyc_ext = 0; cyc_ext <= 1; cyc_ext = cyc_ext + 1) + begin + // Avoid glitch in vcs when high_time_ext and low_time_ext is 0 + // (due to extclk_synchronizing_period is 0) + if (extclk_synchronizing_period != 0) + extclk_tmp = #(sched_time_ext) output_value_ext; + else + extclk_tmp = #(sched_time_ext) 1'b0; + output_value_ext = ~output_value_ext; + if (output_value_ext == 1'b0) + sched_time_ext = high_time_ext; + else if (output_value_ext == 1'b1) + sched_time_ext = low_time_ext; + end + end + // drop the schedule_extclk to 0 so that the "always@(inclock)" block + // can trigger this block again when the correct time comes + schedule_extclk = #1 1'b0; + end +end + +always @(extclk_tmp) +begin + if (extclk_phase_delay == 0) + clock_ext <= extclk_tmp; + else + clock_ext <= #(extclk_phase_delay) extclk_tmp; +end + +// ACCELERATE OUTPUTS +buf (locked, pll_lock); + +endmodule // altclklock +// END OF MODULE ALTCLKLOCK + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTDDIO_IN +// +// Description : Double Data Rate (DDR) input behavioural model. Receives +// data on both edges of the reference clock. +// +// Limitations : Not available for FLEX, MAX, APEX20K and APEX20KE device +// families. +// +// Expected results : Data sampled from the datain port at the rising edge of +// the reference clock (dataout_h) and at the falling edge of +// the reference clock (dataout_l). +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altddio_in ( + datain, // required port, DDR input data + inclock, // required port, input reference clock to sample data by + inclocken, // enable data clock + aset, // asynchronous set + aclr, // asynchronous clear + dataout_h, // data sampled at the rising edge of inclock + dataout_l // data sampled at the falling edge of inclock +); + +// GLOBAL PARAMETER DECLARATION +parameter width = 1; // required parameter +parameter power_up_high = "OFF"; +parameter invert_input_clocks = "OFF"; +parameter intended_device_family = "MERCURY"; +parameter lpm_type = "altddio_in"; +parameter lpm_hint = "UNUSED"; + +// INPUT PORT DECLARATION +input [width-1:0] datain; +input inclock; +input inclocken; +input aset; +input aclr; + +// OUTPUT PORT DECLARATION +output [width-1:0] dataout_h; +output [width-1:0] dataout_l; + +// REGISTER AND VARIABLE DECLARATION +reg [width-1:0] dataout_h_tmp; +reg [width-1:0] dataout_l_tmp; +reg [width-1:0] datain_latched; + +ALTERA_DEVICE_FAMILIES dev (); + +// pulldown/pullup +tri0 aset; // default aset to 0 +tri0 aclr; // default aclr to 0 +tri1 inclocken; // default inclocken to 1 + +// INITIAL BLOCK +initial +begin + + // Begin of parameter checking + if (width <= 0) + begin + $display("ERROR: The width parameter must be greater than 0"); + $stop; + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $stop; + end + + if (!(dev.IS_FAMILY_MERCURY(intended_device_family) || + dev.IS_FAMILY_APEXII(intended_device_family) || + dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family) || + dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_HARDCOPYSTRATIX(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family))) + begin + $display("ERROR: Megafunction altddio_out is not supported in %s.", intended_device_family); + $stop; + end + // End of parameter checking + + // if power_up_high parameter is turned on, registers power up + // to '1', otherwise '0' + dataout_h_tmp = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; + dataout_l_tmp = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; + datain_latched = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; +end + +// input reference clock, sample data +always @ (posedge inclock or posedge aclr or posedge aset) +begin + if (aclr) + begin + dataout_h_tmp <= {width{1'b0}}; + dataout_l_tmp <= {width{1'b0}}; + end + else if (aset) + begin + dataout_h_tmp <= {width{1'b1}}; + dataout_l_tmp <= {width{1'b1}}; + end + // if not being set or cleared + else if (inclocken == 1'b1) + begin + if (invert_input_clocks == "ON") + datain_latched <= datain; + else + begin + dataout_h_tmp <= datain; + dataout_l_tmp <= datain_latched; + end + end +end + +always @ (negedge inclock or posedge aclr or posedge aset) +begin + if (aclr) + begin + datain_latched <= {width{1'b0}}; + end + else if (aset) + begin + datain_latched <= {width{1'b1}}; + end + // if not being set or cleared + else + begin + if (dev.IS_FAMILY_APEXII(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family) || + dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_HARDCOPYSTRATIX(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) + begin + if (inclocken == 1'b1) + if (invert_input_clocks == "ON") + begin + dataout_h_tmp <= datain; + dataout_l_tmp <= datain_latched; + end + else + datain_latched <= datain; + + end + else if (dev.IS_FAMILY_MERCURY(intended_device_family)) + begin + if (invert_input_clocks == "ON") + begin + dataout_h_tmp <= datain; + dataout_l_tmp <= datain_latched; + end + else + datain_latched <= datain; + end + else + begin + if (invert_input_clocks == "ON") + begin + dataout_h_tmp <= datain; + dataout_l_tmp <= datain_latched; + end + else + datain_latched <= datain; + end + end +end + +// assign registers to output ports +assign dataout_l = dataout_l_tmp; +assign dataout_h = dataout_h_tmp; + +endmodule // altddio_in +// END MODULE ALTDDIO_IN + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTDDIO_OUT +// +// Description : Double Data Rate (DDR) output behavioural model. +// Transmits data on both edges of the reference clock. +// +// Limitations : Not available for FLEX, MAX, APEX20K and APEX20KE device +// families. +// +// Expected results : Double data rate output on dataout. +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altddio_out ( + datain_h, // required port, data input for the rising edge of outclock + datain_l, // required port, data input for the falling edge of outclock + outclock, // required port, input reference clock to output data by + outclocken, // clock enable signal for outclock + aset, // asynchronous set + aclr, // asynchronous clear + oe, // output enable for dataout + dataout // DDR data output +); + +// GLOBAL PARAMETER DECLARATION +parameter width = 1; // required parameter +parameter power_up_high = "OFF"; +parameter oe_reg = "UNUSED"; +parameter extend_oe_disable = "UNUSED"; +parameter intended_device_family = "MERCURY"; +parameter invert_output = "OFF"; +parameter lpm_type = "altddio_out"; +parameter lpm_hint = "UNUSED"; + +// INPUT PORT DECLARATION +input [width-1:0] datain_h; +input [width-1:0] datain_l; +input outclock; +input outclocken; +input aset; +input aclr; +input oe; + +// OUTPUT PORT DECLARATION +output [width-1:0] dataout; + +// REGISTER, NET AND VARIABLE DECLARATION +wire apexii_oe; +wire output_enable; +reg oe_rgd; +reg oe_reg_ext; +reg [width-1:0] dataout; +reg [width-1:0] dataout_h; +reg [width-1:0] dataout_l; +reg [width-1:0] dataout_tmp; + +ALTERA_DEVICE_FAMILIES dev (); + +// pulldown/pullup +tri0 aset; // default aset to 0 +tri0 aclr; // default aclr to 0 +tri1 outclocken; // default outclocken to 1 +tri1 oe; // default oe to 1 + +// INITIAL BLOCK +initial +begin + // Begin of parameter checking + if (width <= 0) + begin + $display("ERROR: The width parameter must be greater than 0"); + $stop; + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $stop; + end + + if (!(dev.IS_FAMILY_MERCURY(intended_device_family) || + dev.IS_FAMILY_APEXII(intended_device_family) || + dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family) || + dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_HARDCOPYSTRATIX(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family))) + begin + $display("ERROR: Megafunction altddio_out is not supported in %s.", intended_device_family); + $stop; + end + // End of parameter checking + + // if power_up_high parameter is turned on, registers power up to '1' + // else to '0' + dataout_h = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; + dataout_l = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; + dataout_tmp = (power_up_high == "ON") ? {width{1'b1}} : {width{1'b0}}; + + if (power_up_high == "ON") + begin + oe_rgd = 1'b1; + oe_reg_ext = 1'b1; + end + else + begin + oe_rgd = 1'b0; + oe_reg_ext = 1'b0; + end +end + + +// input reference clock +always @ (posedge outclock or posedge aclr or posedge aset) +begin + if (aclr) + begin + dataout_h <= {width{1'b0}}; + dataout_l <= {width{1'b0}}; + dataout_tmp <= {width{1'b0}}; + + oe_rgd <= 1'b0; + end + else if (aset) + begin + dataout_h <= {width{1'b1}}; + dataout_l <= {width{1'b1}}; + dataout_tmp <= {width{1'b1}}; + + oe_rgd <= 1'b1; + end + // if clock is enabled + else if (outclocken == 1'b1) + begin + dataout_h <= datain_h; + dataout_l <= datain_l; + dataout_tmp <= datain_h; + + // register the output enable signal + oe_rgd <= oe; + end + else + dataout_tmp <= dataout_h; + +end + +// input reference clock +always @ (negedge outclock or posedge aclr or posedge aset) +begin + if (aclr) + begin + oe_reg_ext <= 1'b0; + end + else if (aset) + begin + oe_reg_ext <= 1'b1; + end + // if not being set or cleared + else + begin + // if clock is enabled + if (outclocken == 1'b1) + begin + // additional register for output enable signal + oe_reg_ext <= oe_rgd; + end + + dataout_tmp <= dataout_l; + end +end + +// data output +always @(dataout_tmp or output_enable) +begin + // if output is enabled + if (output_enable == 1'b1) + begin + if (dev.FEATURE_FAMILY_HAS_INVERTED_OUTPUT_DDIO(intended_device_family) && + (invert_output == "ON")) + dataout = ~dataout_tmp; + else + dataout = dataout_tmp; + end + else // output is disabled + dataout = {width{1'bZ}}; +end + +// output enable signal +// Mercury does not support extend_oe_disable and oe_reg parameters +assign output_enable = (dev.IS_FAMILY_APEXII(intended_device_family) || + dev.IS_FAMILY_STRATIX(intended_device_family)|| + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family) || + dev.FEATURE_FAMILY_STRATIXII(intended_device_family) || + dev.IS_FAMILY_HARDCOPYSTRATIX(intended_device_family) || + dev.IS_FAMILY_CYCLONEII(intended_device_family)) + ? apexii_oe + : oe; + +assign apexii_oe = (extend_oe_disable == "ON") + ? (oe_reg_ext & oe_rgd) + : ((oe_reg == "REGISTERED") && (extend_oe_disable != "ON")) + ? oe_rgd + : oe; + +endmodule // altddio_out +// END MODULE ALTDDIO_OUT + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTDDIO_BIDIR +// +// Description : Double Data Rate (DDR) bi-directional behavioural model. +// Transmits and receives data on both edges of the reference +// clock. +// +// Limitations : Not available for FLEX, MAX, APEX20K and APEX20KE device +// families. +// +// Expected results : Data output sampled from padio port on rising edge of +// inclock signal (dataout_h) and falling edge of inclock +// signal (dataout_l). Combinatorial output fed by padio +// directly (combout). +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altddio_bidir ( + datain_h, // required port, input data to be output of padio port at the + // rising edge of outclock + datain_l, // required port, input data to be output of padio port at the + // falling edge of outclock + inclock, // required port, input reference clock to sample data by + inclocken, // inclock enable + outclock, // required port, input reference clock to register data output + outclocken, // outclock enable + aset, // asynchronour set + aclr, // asynchronous clear + oe, // output enable for padio port + dataout_h, // data sampled from the padio port at the rising edge of inclock + dataout_l, // data sampled from the padio port at the falling edge of + // inclock + combout, // combinatorial output directly fed by padio + dqsundelayedout, // undelayed DQS signal to the PLD core + padio // bidirectional DDR port +); + +// GLOBAL PARAMETER DECLARATION +parameter width = 1; // required parameter +parameter power_up_high = "OFF"; +parameter oe_reg = "UNUSED"; +parameter extend_oe_disable = "UNUSED"; +parameter implement_input_in_lcell = "UNUSED"; +parameter invert_output = "OFF"; +parameter intended_device_family = "MERCURY"; +parameter lpm_type = "altddio_bidir"; +parameter lpm_hint = "UNUSED"; + +// INPUT PORT DECLARATION +input [width-1:0] datain_h; +input [width-1:0] datain_l; +input inclock; +input inclocken; +input outclock; +input outclocken; +input aset; +input aclr; +input oe; + +// OUTPUT PORT DECLARATION +output [width-1:0] dataout_h; +output [width-1:0] dataout_l; +output [width-1:0] combout; +output [width-1:0] dqsundelayedout; +// BIDIRECTIONAL PORT DECLARATION +inout [width-1:0] padio; + +// pulldown/pullup +tri0 inclock; +tri0 aset; +tri0 aclr; +tri1 outclocken; +tri1 inclocken; +tri1 oe; + +// INITIAL BLOCK +initial +begin + + // Begin of parameter checking + if (width <= 0) + begin + $display("ERROR: The width parameter must be greater than 0"); + $stop; + end + // End of parameter checking + +end + +// COMPONENT INSTANTIATION +// ALTDDIO_IN +altddio_in u1 ( + .datain(padio), + .inclock(inclock), + .inclocken(inclocken), + .aset(aset), + .aclr(aclr), + .dataout_h(dataout_h), + .dataout_l(dataout_l) +); +defparam u1.width = width, + u1.intended_device_family = intended_device_family, + u1.power_up_high = power_up_high; + +// ALTDDIO_OUT +altddio_out u2 ( + .datain_h(datain_h), + .datain_l(datain_l), + .outclock(outclock), + .oe(oe), + .outclocken(outclocken), + .aset(aset), + .aclr(aclr), + .dataout(padio) +); +defparam u2.width = width, + u2.power_up_high = power_up_high, + u2.intended_device_family = intended_device_family, + u2.oe_reg = oe_reg, + u2.extend_oe_disable = extend_oe_disable, + u2.invert_output = invert_output; + +// padio feeds combout port directly +assign combout = padio; +assign dqsundelayedout = padio; +endmodule // altddio_bidir +// END MODULE ALTDDIO_BIDIR + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : HSSI_PLL +// +// Description : This is the Phase Locked Loop (PLL) model used by altcdr_rx +// and altcdr_tx. Simple PLL model with 1 clock input (clk) and +// 2 clock outputs (clk0 & clk1). +// +// Limitations : Only capable of multiplying and dividing the input clock +// frequency. There is no support for phase shifts, uneven duty +// cycles or other fancy PLL features, since the Mercury CDR +// does not need these features. +// +// Expected results : 2 output clocks - clk0 and clk1. Locked output indicates +// when the PLL locks. +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module hssi_pll ( + clk, // input clock + areset, // asynchronous reset + clk0, // output clock0 + clk1, // output clock1 + locked // PLL lock signal +); + +// GLOBAL PARAMETER DECLARATION +parameter clk0_multiply_by = 1; +parameter clk1_divide_by = 1; +parameter input_frequency = 1000; // period in ps + +// INPUT PORT DECLARATION +input clk; +input areset; + +// OUTPUT PORT DECLARATION +output clk0; +output clk1; +output locked; + +// INTERNAL SIGNAL/REGISTER DECLARATION +reg start_outclk; +reg clk0_tmp; +reg clk1_tmp; +reg clk0; +reg clk1; +reg pll_lock; +reg clk_last_value; +reg violation; +reg clk_check; +reg [1:0] next_clk_check; +wire clk_in; + +// INTERNAL VARIABLE DECLARATION +real pll_last_rising_edge; +real pll_last_falling_edge; +real actual_clk_cycle; +real expected_clk_cycle; +real pll_duty_cycle; +real inclk_period; +real clk0_period; +real clk1_period; +real expected_next_clk_edge; + +integer pll_rising_edge_count; +integer stop_lock_count; +integer start_lock_count; +integer first_clk0_cycle; +integer first_clk1_cycle; +integer lock_on_rise; +integer lock_on_fall; +integer clk_per_tolerance; +integer lock_low; +integer lock_high; + +// variables for clock synchronizing +integer last_synchronizing_rising_edge_for_clk0; +integer last_synchronizing_rising_edge_for_clk1; +integer clk0_synchronizing_period; +integer clk1_synchronizing_period; +reg schedule_clk0; +reg schedule_clk1; +reg output_value0; +reg output_value1; + +integer input_cycles_per_clk0; +integer input_cycles_per_clk1; +integer clk0_cycles_per_sync_period; +integer clk1_cycles_per_sync_period; +integer input_cycle_count_to_sync0; +integer input_cycle_count_to_sync1; + +integer sched_time0; +integer sched_time1; +integer rem0; +integer rem1; +integer tmp_rem0; +integer tmp_rem1; +integer i0; +integer i1; +integer j0; +integer j1; +integer l0; +integer l1; +integer cycle_to_adjust0; +integer cycle_to_adjust1; +integer tmp_per0; +integer tmp_per1; +integer high_time0; +integer high_time1; +integer low_time0; +integer low_time1; + +buf (clk_in, clk); + +initial +begin + pll_rising_edge_count = 0; + pll_lock = 1'b0; + stop_lock_count = 0; + start_lock_count = 0; + clk_last_value = clk_in; + first_clk0_cycle = 1; + first_clk1_cycle = 1; + violation = 0; + lock_on_rise = 0; + lock_on_fall = 0; + pll_last_rising_edge = 0; + pll_last_falling_edge = 0; + lock_low = 2; + lock_high = 2; + clk_check = 0; + + last_synchronizing_rising_edge_for_clk0 = 0; + last_synchronizing_rising_edge_for_clk1 = 0; + clk0_synchronizing_period = 0; + clk1_synchronizing_period = 0; + schedule_clk0 = 0; + schedule_clk1 = 0; + input_cycles_per_clk0 = 1; + input_cycles_per_clk1 = clk1_divide_by; + clk0_cycles_per_sync_period = clk0_multiply_by; + clk1_cycles_per_sync_period = clk0_multiply_by; + input_cycle_count_to_sync0 = 0; + input_cycle_count_to_sync1 = 0; + l0 = 1; + l1 = 1; + cycle_to_adjust0 = 0; + cycle_to_adjust1 = 0; +end + +// trigger input clock checking for the purpose of detecting an input clock that +// has flatlined or that violates the specified input frequency or 50% duty +// cycle - with tolerance +always @(next_clk_check) +begin + if (next_clk_check == 1) + begin + #((inclk_period+clk_per_tolerance)/2) clk_check = ~clk_check; + end + else if (next_clk_check == 2) + begin + #(expected_next_clk_edge - $realtime) clk_check = ~clk_check; + end + next_clk_check = 0; +end + +// same as initial block +// reset all variables, registers and signals on positive edge of areset +always @(posedge areset) +begin + pll_rising_edge_count = 0; + pll_lock = 1'b0; + stop_lock_count = 0; + start_lock_count = 1; + clk_last_value = 0; + first_clk0_cycle = 1; + first_clk1_cycle = 1; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + violation = 0; + lock_on_rise = 0; + lock_on_fall = 0; + pll_last_rising_edge = 0; + pll_last_falling_edge = 0; + lock_low = 1; + lock_high = 2; +end + +// on change of input clock or clock check trigger, +// monitor for duty cycle/input frequency violation +// schedule clk0 and clk1 output, handles the PLL locking +always @(clk_in or clk_check) +begin + if (areset !== 1'b1) + begin + // rising edge of the clock + if ((clk_in === 1'b1) && (clk_last_value !== clk_in)) + begin + if (pll_lock === 1'b1) + next_clk_check = 1; + if (pll_rising_edge_count == 0) // this is first rising edge + begin + inclk_period = input_frequency; + pll_duty_cycle = inclk_period/2; + clk_per_tolerance = 0.025 * inclk_period; + + clk0_period = inclk_period / clk0_multiply_by; + clk1_period = inclk_period / clk0_multiply_by * clk1_divide_by; + start_outclk = 0; + pll_last_rising_edge = $realtime; + end + else if (pll_rising_edge_count == 1) // this is second rising edge + begin + expected_clk_cycle = inclk_period; + actual_clk_cycle = $realtime - pll_last_rising_edge; + + // input frequency violation check + if (actual_clk_cycle < (expected_clk_cycle - clk_per_tolerance) || + actual_clk_cycle > (expected_clk_cycle + clk_per_tolerance)) + begin + $display($realtime, "Warning: Input frequency Violation"); + violation = 1; + if (locked === 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + // PLL breaks its lock + if ((locked === 1'b1) && (stop_lock_count == lock_low)) + begin + pll_lock = 1'b0; + start_lock_count = 1; + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + end + end + end + else + begin + // Duty cycle violation check + if (($realtime - pll_last_falling_edge) < (pll_duty_cycle - (clk_per_tolerance/2)) || + ($realtime - pll_last_falling_edge) > (pll_duty_cycle + (clk_per_tolerance/2))) + begin + $display($realtime, "Warning: Duty Cycle Violation"); + violation = 1; + end + else + violation = 0; + end + end + // input frequency violation check + else if (($realtime - pll_last_rising_edge) < (expected_clk_cycle - clk_per_tolerance) || + ($realtime - pll_last_rising_edge) > (expected_clk_cycle + clk_per_tolerance)) + begin + $display($realtime, "Warning: Cycle Violation"); + violation = 1; + if (locked === 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + // PLL breaks its lock + if (stop_lock_count == lock_low) + begin + pll_lock = 1'b0; + start_lock_count = 1; + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + end + end + end + else begin + violation = 0; + actual_clk_cycle = $realtime - pll_last_rising_edge; + end + pll_last_rising_edge = $realtime; + pll_rising_edge_count = pll_rising_edge_count + 1; + + // if no violation is detected, schedule clk0 and clk1 + if (!violation) + begin + if (pll_lock === 1'b1) + begin + input_cycle_count_to_sync0 = input_cycle_count_to_sync0 + 1; + if (input_cycle_count_to_sync0 == input_cycles_per_clk0) + begin + clk0_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk0; + last_synchronizing_rising_edge_for_clk0 = $realtime; + schedule_clk0 = 1; + input_cycle_count_to_sync0 = 0; + end + + input_cycle_count_to_sync1 = input_cycle_count_to_sync1 + 1; + if (input_cycle_count_to_sync1 == input_cycles_per_clk1) + begin + clk1_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk1; + last_synchronizing_rising_edge_for_clk1 = $realtime; + schedule_clk1 = 1; + input_cycle_count_to_sync1 = 0; + end + end + else begin + start_lock_count = start_lock_count + 1; + if (start_lock_count >= (lock_high + 1)) + begin + pll_lock = 1'b1; + input_cycle_count_to_sync0 = 0; + input_cycle_count_to_sync1 = 0; + lock_on_rise = 1; + if (last_synchronizing_rising_edge_for_clk0 == 0) + begin + clk0_synchronizing_period = actual_clk_cycle; + end + else + clk0_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk0; + + if (last_synchronizing_rising_edge_for_clk1 == 0) + clk1_synchronizing_period = actual_clk_cycle * clk1_divide_by; + else + clk1_synchronizing_period = $realtime - last_synchronizing_rising_edge_for_clk1; + + last_synchronizing_rising_edge_for_clk0 = $realtime; + last_synchronizing_rising_edge_for_clk1 = $realtime; + schedule_clk0 = 1; + schedule_clk1 = 1; + end + end + end + else + start_lock_count = 1; + end + // falling edge of input clock + else if ((clk_in === 1'b0) && (clk_last_value !== clk_in)) + begin + if (pll_lock === 1'b1) + begin + next_clk_check = 1; + if (($realtime - pll_last_rising_edge) < (pll_duty_cycle - (clk_per_tolerance/2)) || + ($realtime - pll_last_rising_edge) > (pll_duty_cycle + (clk_per_tolerance/2))) + begin + $display($realtime, "Warning: Duty Cycle Violation"); + violation = 1; + if (locked === 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + if (stop_lock_count == lock_low) + begin + pll_lock = 1'b0; + start_lock_count = 1; + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + end + end + end + else + violation = 0; + end + else + start_lock_count = start_lock_count + 1; + + pll_last_falling_edge = $realtime; + end + else if (pll_lock === 1'b1) // perform clock check + begin + if (clk_in === 1'b1) + expected_next_clk_edge = pll_last_rising_edge + ((inclk_period+clk_per_tolerance)/2); + else if (clk_in === 1'b0) + expected_next_clk_edge = pll_last_falling_edge + ((inclk_period+clk_per_tolerance)/2); + else + expected_next_clk_edge = 0; + + violation = 0; + if ($realtime < expected_next_clk_edge) + next_clk_check = 2; + else if ($realtime == expected_next_clk_edge) + next_clk_check = 1; + else + begin + $display($realtime, "Warning: Input frequency Violation"); + violation = 1; + if (locked === 1'b1) + begin + stop_lock_count = stop_lock_count + 1; + expected_next_clk_edge = $realtime + (inclk_period/2); + // PLL breaks its lock + if (stop_lock_count == lock_low) + begin + pll_lock = 1'b0; + start_lock_count = 1; + stop_lock_count = 0; + clk0_tmp = 1'bx; + clk1_tmp = 1'bx; + end + else + next_clk_check = 2; + end + end + end + clk_last_value = clk_in; + end +end + +// schedule clk0 output +always @(posedge schedule_clk0) +begin + l0 = 1; + cycle_to_adjust0 = 0; + output_value0 = 1'b1; + sched_time0 = 0; + rem0 = clk0_synchronizing_period % clk0_cycles_per_sync_period; + for (i0 = 1; i0 <= clk0_cycles_per_sync_period; i0 = i0 + 1) + begin + tmp_per0 = clk0_synchronizing_period/clk0_cycles_per_sync_period; + if (rem0 != 0 && l0 <= rem0) + begin + tmp_rem0 = (clk0_cycles_per_sync_period * l0) % rem0; + cycle_to_adjust0 = (clk0_cycles_per_sync_period * l0) / rem0; + if (tmp_rem0 != 0) + cycle_to_adjust0 = cycle_to_adjust0 + 1; + end + if (cycle_to_adjust0 == i0) + begin + tmp_per0 = tmp_per0 + 1; + l0 = l0 + 1; + end + high_time0 = tmp_per0/2; + if (tmp_per0 % 2 != 0) + high_time0 = high_time0 + 1; + low_time0 = tmp_per0 - high_time0; + for (j0 = 0; j0 <= 1; j0 = j0 + 1) + begin + clk0_tmp = #(sched_time0) output_value0; + output_value0 = ~output_value0; + if (output_value0 === 1'b0) + sched_time0 = high_time0; + else if (output_value0 === 1'b1) + sched_time0 = low_time0; + end + end + schedule_clk0 = #1 1'b0; +end + +always @(clk0_tmp) +begin + clk0 <= clk0_tmp; +end + +// schedule clk1 output +always @(posedge schedule_clk1) +begin + l1 = 1; + cycle_to_adjust1 = 0; + output_value1 = 1'b1; + sched_time1 = 0; + rem1 = clk1_synchronizing_period % clk1_cycles_per_sync_period; + for (i1 = 1; i1 <= clk1_cycles_per_sync_period; i1 = i1 + 1) + begin + tmp_per1 = clk1_synchronizing_period/clk1_cycles_per_sync_period; + if (rem1 != 0 && l1 <= rem1) + begin + tmp_rem1 = (clk1_cycles_per_sync_period * l1) % rem1; + cycle_to_adjust1 = (clk1_cycles_per_sync_period * l1) / rem1; + if (tmp_rem1 != 0) + cycle_to_adjust1 = cycle_to_adjust1 + 1; + end + if (cycle_to_adjust1 == i1) + begin + tmp_per1 = tmp_per1 + 1; + l1 = l1 + 1; + end + high_time1 = tmp_per1/2; + if (tmp_per1 % 2 != 0) + high_time1 = high_time1 + 1; + low_time1 = tmp_per1 - high_time1; + for (j1 = 0; j1 <= 1; j1 = j1 + 1) + begin + clk1_tmp = #(sched_time1) output_value1; + output_value1 = ~output_value1; + if (output_value1 === 1'b0) + sched_time1 = high_time1; + else if (output_value1 === 1'b1) + sched_time1 = low_time1; + end + end + schedule_clk1 <= #1 1'b0; +end + +always @(clk1_tmp) +begin + clk1 <= clk1_tmp; +end + +buf (locked, pll_lock); + +endmodule // hssi_pll + + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : MF_RAM7X20_SYN +// +// Description : This is the RAM model used by HSSI_FIFO for writing and reading +// into the FIFO +// +// Limitations : Reading from the RAM is address-triggered, +// writing is clock-triggered +// RAM depth is fixed to 7, maximum width is 20 +// +// Expected results : data output from the RAM +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1ps / 1ps + +module MF_ram7x20_syn ( + wclk, // write clock + rst_l, // active low asynchronous reset + addr_wr, // write address + addr_rd, // read address + data_in, // data input to the RAM + we, // write enable + re, // read enable + data_out // data output from the RAM +); + +// GLOBAL PARAMETER DECLARATION +parameter ram_width = 20; + +// INPUT PORT DECLARATION +input wclk; +input rst_l; // active low +input [2:0] addr_wr; +input [2:0] addr_rd; +input [19:0] data_in; +input we; +input re; + +// OUTPUT PORT DECLARATION +output [19:0] data_out; + +// INTERNAL REGISTER/SIGNAL DECLARATION +reg [ram_width-1:0] data_out_i; +reg [ram_width-1:0] ram_array_d_0, ram_array_d_1, ram_array_d_2, + ram_array_d_3, ram_array_d_4, ram_array_d_5, + ram_array_d_6, + ram_array_q_0, ram_array_q_1, ram_array_q_2, + ram_array_q_3, ram_array_q_4, ram_array_q_5, + ram_array_q_6; +wire [ram_width-1:0] data_reg_0, data_reg_1, data_reg_2, + data_reg_3, data_reg_4, data_reg_5, data_reg_6; + +// Modelling the read port +// Assuming address triggered operation only +assign + data_reg_0 = ( addr_wr == 3'b000 ) ? data_in : ram_array_q_0, + data_reg_1 = ( addr_wr == 3'b001 ) ? data_in : ram_array_q_1, + data_reg_2 = ( addr_wr == 3'b010 ) ? data_in : ram_array_q_2, + data_reg_3 = ( addr_wr == 3'b011 ) ? data_in : ram_array_q_3, + data_reg_4 = ( addr_wr == 3'b100 ) ? data_in : ram_array_q_4, + data_reg_5 = ( addr_wr == 3'b101 ) ? data_in : ram_array_q_5, + data_reg_6 = ( addr_wr == 3'b110 ) ? data_in : ram_array_q_6; + +assign data_out = re ? data_out_i : 20'b0; + +always @(ram_array_q_0 or ram_array_q_1 or + ram_array_q_2 or ram_array_q_3 or + ram_array_q_4 or ram_array_q_5 or + ram_array_q_6 or addr_rd or we or addr_wr) +begin + case ( addr_rd ) + 3'b000 : data_out_i = ram_array_q_0; + 3'b001 : data_out_i = ram_array_q_1; + 3'b010 : data_out_i = ram_array_q_2; + 3'b011 : data_out_i = ram_array_q_3; + 3'b100 : data_out_i = ram_array_q_4; + 3'b101 : data_out_i = ram_array_q_5; + 3'b110 : data_out_i = ram_array_q_6; + default: data_out_i = data_out_i; + endcase +end + +// Modelling the write port +always @(posedge wclk or negedge rst_l) +begin + if(~rst_l) // reset + begin + ram_array_q_0 <= 0; + ram_array_q_1 <= 0; + ram_array_q_2 <= 0; + ram_array_q_3 <= 0; + ram_array_q_4 <= 0; + ram_array_q_5 <= 0; + ram_array_q_6 <= 0; + end + else + begin + ram_array_q_0 <= ram_array_d_0; + ram_array_q_1 <= ram_array_d_1; + ram_array_q_2 <= ram_array_d_2; + ram_array_q_3 <= ram_array_d_3; + ram_array_q_4 <= ram_array_d_4; + ram_array_q_5 <= ram_array_d_5; + ram_array_q_6 <= ram_array_d_6; + end +end + +always @(we or + data_reg_0 or data_reg_1 or + data_reg_2 or data_reg_3 or + data_reg_4 or data_reg_5 or + data_reg_6 or + ram_array_q_0 or ram_array_q_1 or + ram_array_q_2 or ram_array_q_3 or + ram_array_q_4 or ram_array_q_5 or + ram_array_q_6) + begin + if (we) // write enabled + begin + ram_array_d_0 <= data_reg_0; + ram_array_d_1 <= data_reg_1; + ram_array_d_2 <= data_reg_2; + ram_array_d_3 <= data_reg_3; + ram_array_d_4 <= data_reg_4; + ram_array_d_5 <= data_reg_5; + ram_array_d_6 <= data_reg_6; + end + else + begin + ram_array_d_0 <= ram_array_q_0; + ram_array_d_1 <= ram_array_q_1; + ram_array_d_2 <= ram_array_q_2; + ram_array_d_3 <= ram_array_q_3; + ram_array_d_4 <= ram_array_q_4; + ram_array_d_5 <= ram_array_q_5; + ram_array_d_6 <= ram_array_q_6; + end +end + +endmodule // MF_ram7x20_syn + + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : HSSI_FIFO +// +// Description : The FIFO model used by altcdr_rx and altcdr_tx to synchronize +// data between 2 clock domains +// +// Limitations : FIFO depth is limited to 7 words only, +// the overflow and empty signals are active low in this model +// +// Expected results : data read from the FIFO, empty and overflow signals +// (active low) to indicate when FIFO is empty or full +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps +`define CNTBIT 3 // 3 bit counter for FIFO read/write addresses + +module hssi_fifo ( + datain, // data input to the FIFO + clk0, // FIFO write clock + clk1, // FIFO read clock + we, // FIFO write enable + re, // FIFO read enable + reset, // FIFO asynchronous reset + dataout, // data output from the FIFO + empty, // active low FIFO empty signal + overflow // active low FIFO full signal +); + +// GLOBAL PARAMETER DECLARATION +parameter channel_width = 1; + +// INPUT PORT DECLARATION +input [channel_width-1:0] datain; +input clk0; +input clk1; +input we; +input re; +input reset; + +// OUTPUT PORT DECLARATION +output [channel_width-1:0] dataout; +output empty; +output overflow; + +// INTERNAL REGISTER/SIGNAL DECLARATION +wire [19:0] ram_dataout; +wire [19:0] data_out; +reg [19:0] ram_datain; +reg [19:0] dataout_tmp; +wire clk0_in; +wire clk1_in; +wire we_in; +wire re_in; +wire reset_in; + +// The following are for asynchronous fifo use +reg [`CNTBIT-1:0] wrPtr0; // write pointer synchronizer +reg [`CNTBIT-1:0] wrPtr1; // write pointer synchronizer +reg [`CNTBIT-1:0] wrPtr2; // write pointer synchronizer +reg [`CNTBIT-1:0] wrPtr,rdPtr; // writer pointer, read pointer +reg [`CNTBIT-1:0] wrAddr; // writer address +reg [`CNTBIT-1:0] preRdPtr,preRdPtr1,preRdPtr2; +wire [`CNTBIT-1:0] rdAddr = rdPtr; // read address +reg ram_we; // we for ram + +// Empty/Full checking +wire fullFlag = (wrPtr0 == preRdPtr2)? 1 : 0; +wire emptyFlag = (rdPtr == wrPtr2 && fullFlag == 'b0)? 1: 0; +wire overflow_tmp_b; +wire empty_tmp_b = !emptyFlag; + +// pullup/pulldown +tri1 we, re; +tri0 reset; + +integer i; + +buf (clk0_in, clk0); +buf (clk1_in, clk1); +buf (we_in, we); +buf (re_in, re); +buf (reset_in, reset); + +assign overflow_tmp_b = (reset_in)? 1'b0 : !fullFlag; + +// instantiate the 7x20 RAM for reading and writing data +MF_ram7x20_syn ram_7x20_syn( + .wclk (clk0_in), + .rst_l (!reset_in), + .addr_wr (wrAddr), + .addr_rd (rdAddr), + .data_in (ram_datain), + .we (ram_we), + .re (re && empty_tmp_b), + .data_out (ram_dataout) +); +defparam ram_7x20_syn.ram_width = channel_width; + +// initialize the FIFO read and write pointers +initial +begin + dataout_tmp = 20'b0; + for (i = 0; i < `CNTBIT; i = i + 1) + begin + wrPtr0[i] = 1'b0; + wrPtr1[i] = 1'b0; + wrPtr2[i] = 1'b0; + wrPtr[i] = 1'b0; + rdPtr[i] = 1'b0; + preRdPtr[i] = 1'b0; + preRdPtr1[i] = 1'b0; + preRdPtr2[i] = 1'b0; + end + preRdPtr1 = 6; + preRdPtr2 = 6; +end + +// output data on postive edge of read clock (clk1) +always @(posedge clk1_in or posedge reset_in ) +begin + if (reset_in === 1'b1) + dataout_tmp <= 0; + else if ((re_in === 1'b1) && (empty_tmp_b === 1'b1)) + dataout_tmp <= ram_dataout; // memory output latch + else + dataout_tmp <= dataout_tmp; +end + +// Update the write pointer and send input data to the RAM +// Delay the write pointer update until we have given the RAM the +// write strobe. This prevents the not empty flag from going true +// before the data actually makes it safely into the RAM +always @(posedge clk0_in or posedge reset_in) +begin + if(reset_in === 1'b1) // reset + begin + wrAddr <= 0; + ram_datain <= 20'b0; + wrPtr0 <= 0; + end + else if ((we_in === 1'b1) && (overflow_tmp_b === 1'b1)) + begin + ram_datain <= datain; + wrAddr <= wrPtr0; // wrLow for memory + wrPtr0 <= wrPtr0 + 1; + if (wrPtr0 == 6) + wrPtr0 <= 0; + end + else + begin + wrAddr <= wrAddr; + ram_datain <= ram_datain; + wrPtr0 <= wrPtr0; + end +end + +// write pointer +always @(posedge clk0_in or posedge reset_in) +begin + if(reset_in === 1'b1) + wrPtr <= 0; + else + wrPtr <= wrPtr0; +end + +// write enable +always @(posedge clk0_in or posedge reset_in) +begin + if (reset_in === 1'b1) + ram_we <= 1'b0; + else if ((we_in === 1'b1) && (overflow_tmp_b === 1'b1)) + ram_we <= 1'b1; + else + ram_we <= 1'b0; +end + +// update read pointer +always @(posedge clk1_in or posedge reset_in) +begin + if(reset_in === 1'b1) + begin + rdPtr <= 0; + preRdPtr <= 0; + end + else if ((re_in === 1'b1) && (empty_tmp_b === 1'b1)) + begin + rdPtr <= rdPtr + 1; + if (rdPtr == 6) + rdPtr <= 0; + preRdPtr <= rdPtr; + end +end + +// the following lines are for async. fifo. +always @(posedge clk1_in or posedge reset_in) +begin + if (reset_in === 1'b1) + begin + wrPtr1 <= 0; + wrPtr2 <= 0; + end + else + begin + wrPtr1 <= wrPtr; // sync. wrPtr to read clock + wrPtr2 <= wrPtr1; + end +end + +always @(posedge clk0_in or posedge reset_in) +begin + if (reset_in === 1'b1) + begin + preRdPtr1 <= 6; + preRdPtr2 <= 6; + end + else + begin + preRdPtr1 <= preRdPtr; // sync. RdPtr to write clock + preRdPtr2 <= preRdPtr1; + end +end + +assign dataout = dataout_tmp; + +and (empty, empty_tmp_b, 1'b1); +and (overflow, overflow_tmp_b, 1'b1); + +endmodule // hssi_fifo + + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : HSSI_RX +// +// Description : This is the receiver model used by altcdr_rx. Performs +// deserialization of input data. +// +// Limitations : Assumes that the clock is already perfectly synchronized to the +// incoming data +// +// Expected results: data output from the deserializer, slow clock (clkout) +// generated by the RX, run length violation flag (rlv), and +// locked output to indicate when the RX has failed to lock +// onto the input data signal (not simulated) +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module hssi_rx ( + clk, // fast clock + coreclk, // slow (core) clock + datain, // data input to the RX + areset, // asynchronous reset + feedback,// data feedback port + fbkcntl, // feedback control port + dataout, // data output from the RX + clkout, // slow clock generated by the RX + rlv, // run length violation flag + locked // RX lost of lock indicator +); + +// GLOBAL PARAMETER DECLARATION +parameter channel_width = 1; +parameter operation_mode = "CDR"; +parameter run_length = 1; + +// INPUT PORT DECLARATION +input clk; +input coreclk; +input datain; +input areset; +input feedback; +input fbkcntl; + +// OUTPUT PORT DECLARATION +output [channel_width-1:0] dataout; +output clkout; +output rlv; +output locked; + +// INTERNAL VARIABLE/SIGNAL/REGISTER DECLARATION +integer i; +integer clk_count; +integer rlv_count; +reg clk_last_value; +reg coreclk_last_value; +reg clkout_last_value; +reg [channel_width-1:0] deser_data_arr; +reg clkout_tmp; +reg rlv_tmp; +reg locked_tmp; +reg rlv_flag; +reg rlv_set; +reg [19:0] dataout_tmp; +reg datain_in; +reg last_datain; +reg data_changed; +wire [19:0] data_out; +wire clk_in; +wire coreclk_in; +wire datain_buf; +wire fbin_in; +wire fbena_in; +wire areset_in; + +// pulldown +tri0 areset, feedback, fbkcntl; + +buf (clk_in, clk); +buf (coreclk_in, coreclk); +buf (datain_buf, datain); +buf (fbin_in, feedback); +buf (fbena_in, fbkcntl); +buf (areset_in, areset); + +initial +begin + i = 0; + rlv_count = 0; + clk_count = channel_width; + clk_last_value = 0; + coreclk_last_value = 0; + clkout_tmp = 1'b0; + rlv_tmp = 1'b0; + rlv_flag = 1'b0; + rlv_set = 1'b0; + locked_tmp = 1'b0; + dataout_tmp = 20'b0; + last_datain = 1'bx; + data_changed = 1'b0; +end + +// deserialize incoming data, generate clkout and check for run length violation +always @(clk_in or coreclk_in or areset_in or fbena_in) +begin + if (areset_in === 1'b1) // reset + begin + rlv_set = 1'b0; + dataout_tmp = 20'b0; + clkout_tmp = 1'b0; + rlv_tmp = 1'b0; + rlv_flag = 1'b0; + last_datain = 1'bx; + rlv_count = 0; + data_changed = 1'b0; + clk_count = channel_width; + for (i = channel_width - 1; i >= 0; i = i - 1) + deser_data_arr[i] = 1'b0; + end + else + begin + if (fbena_in === 1'b1) + datain_in = fbin_in; + else + datain_in = datain_buf; + if ((clk_in === 1'b1) && (clk_last_value !== clk_in)) + begin + if (clk_count == channel_width) + begin + clk_count = 0; + clkout_tmp = !clkout_last_value; + end + else if (clk_count == (channel_width+1)/2) + clkout_tmp = !clkout_last_value; + else if (clk_count < channel_width) + clkout_tmp = clkout_last_value; + clk_count = clk_count + 1; + + //rlv (run length violation) checking + if (operation_mode == "CDR") + begin + if (last_datain !== datain_in) + begin + data_changed = 1'b1; + last_datain = datain_in; + end + else // data not changed - increment rlv_count + begin + rlv_count = rlv_count + 1; + data_changed = 1'b0; + end + + if (rlv_count > run_length) + begin + rlv_flag = 1'b1; + rlv_set = 1'b1; + end + else + rlv_set = 1'b0; + + if (data_changed) + rlv_count = 1; + end + end + if ((coreclk_in === 1'b1) && (coreclk_last_value !== coreclk_in)) + begin + // output the rlv status with the rising edge of the coreclk + if (operation_mode == "CDR") + begin + if (rlv_flag === 1'b1) + begin + rlv_tmp = 1'b1; + if (rlv_set === 1'b0) + rlv_flag = 1'b0; + end + else + rlv_tmp = 1'b0; + end + end + + // deserialize the data + if ((clk_in === 1'b0) && (clk_last_value !== clk_in)) + begin + if ((clk_count == 3)) + dataout_tmp[channel_width-1:0] = deser_data_arr; + + for (i = channel_width - 1; i >= 1; i = i - 1) + deser_data_arr[i] = deser_data_arr[i-1]; + + deser_data_arr[0] = datain_in; + end + end + clk_last_value = clk_in; + coreclk_last_value = coreclk_in; + clkout_last_value = clkout_tmp; +end + +assign dataout = dataout_tmp; + +and (rlv, rlv_tmp, 1'b1); +and (locked, locked_tmp, 1'b1); +and (clkout, clkout_tmp, 1'b1); + +endmodule // hssi_rx + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : HSSI_TX +// +// Description : The transmitter module used by altcdr_tx. Performs +// serialization of output data. +// +// Limitations : +// +// Expected results : Serial data output (dataout) and generated slow clock +// (clkout) +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module hssi_tx ( + clk, // fast clock + datain, // parallel input data + areset, // asynchronous reset + dataout, // serial data output + clkout // generated clock +); + +// GLOBAL PARAMETER DECLARATION +parameter channel_width = 1; + +// INPUT PORT DECLARATION +input clk; +input [channel_width-1:0] datain; +input areset; + +// OUTPUT PORT DECLARATION +output dataout; +output clkout; + +// INTERNAL VARIABLE/REGISTER DECLARATION +integer i; +integer fast_clk_count; +reg clk_in_last_value; +reg dataout_tmp; +reg clkout_last_value; +reg clkout_tmp; +reg [19:0] indata; +reg [19:0] regdata; +wire clk_in; +wire areset_in; + +buf (clk_in, clk); +buf (areset_in, areset); + +initial +begin + i = 0; + fast_clk_count = channel_width; + clk_in_last_value = 0; + dataout_tmp = 1'b0; + clkout_last_value = 0; + clkout_tmp = 0; +end + +always @(clk_in or areset_in) +begin + // reset logic + if (areset_in == 1'b1) + begin + dataout_tmp = 1'b0; + clkout_tmp = 1'b0; + fast_clk_count = channel_width; + for (i = channel_width-1; i >= 0; i = i - 1) // resets register + indata[i] = 1'b0; + for (i = channel_width-1; i >= 0; i = i - 1) // resets register + regdata[i] = 1'b0; + end + else // serialize incoming parallel data and generate slow clock + begin + // rising edge of fast clock + if ((clk_in === 1'b1) && (clk_in_last_value !== clk_in)) + begin + // slow clock generation + if (fast_clk_count == channel_width) + begin + fast_clk_count = 0; + clkout_tmp = !clkout_last_value; + end + else if (fast_clk_count == (channel_width+1)/2) + clkout_tmp = !clkout_last_value; + else if (fast_clk_count < channel_width) + clkout_tmp = clkout_last_value; + + fast_clk_count = fast_clk_count + 1; + + // 3rd rising edge, start to shift out + if (fast_clk_count == 3) + begin + for (i = channel_width-1; i >= 0; i = i - 1) + regdata[i] = indata[i]; + end + + // send the MSB of regdata out + dataout_tmp = regdata[channel_width-1]; + // shift data up + for (i = channel_width-1; i > 0; i = i - 1) + regdata[i] = regdata[i-1]; + end + // falling edge of fast clock + if ((clk_in === 1'b0) && (clk_in_last_value !== clk_in)) + begin + if (fast_clk_count == 3) // loading at the 3rd falling edge + begin + indata = datain; + end + end + end + clk_in_last_value = clk_in; + clkout_last_value = clkout_tmp; +end + +and (dataout, dataout_tmp, 1'b1); +and (clkout, clkout_tmp, 1'b1); + +endmodule // hssi_tx + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTCDR_RX +// +// Description : Clock Data Recovery (CDR) Receiver behavioral model. Consists +// of CDR receiver for deserialization, a Phase Locked Loop (PLL) +// and FIFO. +// +// Limitations : Available for the Mercury device family only +// +// Expected results : Deserialized data output (rx_out), recovered global data +// clock (rx_outclock), PLL lock signal, RX lost of lock signal, +// RX run length violation signal, RX FIFO full and empty +// signals (active high), recovered clock per channel +// (rx_rec_clk) +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altcdr_rx ( + rx_in, // required port, data input + rx_inclock, // required port, input reference clock + rx_coreclock, // required port, core clock + rx_aclr, // asynchronous reset for the RX and FIFO + rx_pll_aclr, // asynchronous reset for the PLL + rx_fifo_rden, // FIFO read enable + rx_out, // data output + rx_outclock, // global clock recovered from channel 0 + rx_pll_locked,// PLL lock signal + rx_locklost, // RX lock of lost wrt input data + rx_rlv, // data run length violation flag + rx_full, // FIFO full signal + rx_empty, // FIFO empty signal + rx_rec_clk // recovered clock from each channel +); + +// GLOBAL PARAMETER DECLARATION +parameter number_of_channels = 1; +parameter deserialization_factor = 3; // Valid deserialization_factor +parameter inclock_period = 20000; // 20000ps = 50MHz +parameter inclock_boost = 1; +parameter run_length = 62; // default based on SONET requirements +parameter bypass_fifo = "OFF"; +parameter intended_device_family = "MERCURY"; +parameter lpm_type = "altcdr_rx"; +parameter lpm_hint = "UNUSED"; + +// Constant Declaration + +parameter RUN_LENGTH_MAX = 62; // Mercury Max.Run Length + + +// INPUT PORT DECLARATION +input [number_of_channels-1:0] rx_in; +input rx_inclock; +input rx_coreclock; +input rx_aclr; +input rx_pll_aclr; +input [number_of_channels-1:0] rx_fifo_rden; + +// OUTPUT PORT DECLARATION +output [deserialization_factor*number_of_channels-1:0] rx_out; +output rx_outclock; +output rx_pll_locked; +output [number_of_channels-1:0] rx_locklost; +output [number_of_channels-1:0] rx_rlv; +output [number_of_channels-1:0] rx_full; +output [number_of_channels-1:0] rx_empty; +output [number_of_channels-1:0] rx_rec_clk; + +// INTERNAL SIGNAL/VARIABLE DECLARATION +wire w_rx_inclk0; +wire [17:0] i_rx_full; +wire [17:0] i_rx_empty; +wire [17:0] i_rx_locked; +wire [17:0] w_rx_clkout; +wire [17:0] i_rx_rlv; +wire i_pll_locked; +wire [deserialization_factor-1:0] w_rx_out00; +wire [deserialization_factor-1:0] w_rx_out01; +wire [deserialization_factor-1:0] w_rx_out02; +wire [deserialization_factor-1:0] w_rx_out03; +wire [deserialization_factor-1:0] w_rx_out04; +wire [deserialization_factor-1:0] w_rx_out05; +wire [deserialization_factor-1:0] w_rx_out06; +wire [deserialization_factor-1:0] w_rx_out07; +wire [deserialization_factor-1:0] w_rx_out08; +wire [deserialization_factor-1:0] w_rx_out09; +wire [deserialization_factor-1:0] w_rx_out10; +wire [deserialization_factor-1:0] w_rx_out11; +wire [deserialization_factor-1:0] w_rx_out12; +wire [deserialization_factor-1:0] w_rx_out13; +wire [deserialization_factor-1:0] w_rx_out14; +wire [deserialization_factor-1:0] w_rx_out15; +wire [deserialization_factor-1:0] w_rx_out16; +wire [deserialization_factor-1:0] w_rx_out17; +wire [deserialization_factor-1:0] i_fifo_out00; +wire [deserialization_factor-1:0] i_fifo_out01; +wire [deserialization_factor-1:0] i_fifo_out02; +wire [deserialization_factor-1:0] i_fifo_out03; +wire [deserialization_factor-1:0] i_fifo_out04; +wire [deserialization_factor-1:0] i_fifo_out05; +wire [deserialization_factor-1:0] i_fifo_out06; +wire [deserialization_factor-1:0] i_fifo_out07; +wire [deserialization_factor-1:0] i_fifo_out08; +wire [deserialization_factor-1:0] i_fifo_out09; +wire [deserialization_factor-1:0] i_fifo_out10; +wire [deserialization_factor-1:0] i_fifo_out11; +wire [deserialization_factor-1:0] i_fifo_out12; +wire [deserialization_factor-1:0] i_fifo_out13; +wire [deserialization_factor-1:0] i_fifo_out14; +wire [deserialization_factor-1:0] i_fifo_out15; +wire [deserialization_factor-1:0] i_fifo_out16; +wire [deserialization_factor-1:0] i_fifo_out17; + +tri0 [17:0] i_rx_in; +wire [deserialization_factor*18-1:0] i_rx_out; +wire [deserialization_factor*18-1:0] i_w_rx_out; + +supply0 gnd; +supply1 vcc; + +integer i; + +// pullup/pulldown +// Default values for inputs +tri0 rx_aclr_pulldown, rx_aclr; +tri0 rx_pll_aclr_pulldown, rx_pll_aclr; +tri1 [17:0] rx_fifo_rden_pullup; + +// INITIAL BLOCK +initial +begin + + // Begin of parameter checking + if (number_of_channels <= 0) + begin + $display("ERROR: The number_of_channels parameter must be greater than 0"); + $stop; + end + + if (run_length > RUN_LENGTH_MAX) + begin + $display("ERROR: The run_length parameter must be greater than %d", RUN_LENGTH_MAX); + $stop; + end + + if (!(((deserialization_factor >= 3 ) && (deserialization_factor <= 12)) || + (deserialization_factor == 14) || (deserialization_factor == 16) || + (deserialization_factor == 18) || (deserialization_factor == 20))) + begin + $display("ERROR: Illegal value for deserialization_factor parameter (%d) -- value ", deserialization_factor); + $display(" must be in the range 3 to 12, inclusive, or must be one of 14, 16, 18, or 20"); + $stop; + end + + + // End of parameter checking + +end + + +assign rx_aclr_pulldown = rx_aclr; +assign rx_pll_aclr_pulldown = rx_pll_aclr; +assign rx_fifo_rden_pullup = rx_fifo_rden; + + //----------------------------------------------------------------------- + // Instantiate the HSSI_RX to deserialize data - maximum of 18 channels + hssi_rx rx00 ( + .datain (i_rx_in[00]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[00]), + .dataout (w_rx_out00), .clkout (w_rx_clkout[00]), .rlv (i_rx_rlv[00]) ); + defparam + rx00.channel_width = deserialization_factor, + rx00.operation_mode = "CDR", + rx00.run_length = run_length; + + hssi_rx rx01 ( + .datain (i_rx_in[01]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[01]), + .dataout (w_rx_out01), .clkout (w_rx_clkout[01]), .rlv (i_rx_rlv[01]) ); + defparam + rx01.channel_width = deserialization_factor, + rx01.operation_mode = "CDR", + rx01.run_length = run_length; + + hssi_rx rx02 ( + .datain (i_rx_in[02]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[02]), + .dataout (w_rx_out02), .clkout (w_rx_clkout[02]), .rlv (i_rx_rlv[02]) ); + defparam + rx02.channel_width = deserialization_factor, + rx02.operation_mode = "CDR", + rx02.run_length = run_length; + + hssi_rx rx03 ( + .datain (i_rx_in[03]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[03]), + .dataout (w_rx_out03), .clkout (w_rx_clkout[03]), .rlv (i_rx_rlv[03]) ); + defparam + rx03.channel_width = deserialization_factor, + rx03.operation_mode = "CDR", + rx03.run_length = run_length; + + hssi_rx rx04 ( + .datain (i_rx_in[04]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[04]), + .dataout (w_rx_out04), .clkout (w_rx_clkout[04]), .rlv (i_rx_rlv[04]) ); + defparam + rx04.channel_width = deserialization_factor, + rx04.operation_mode = "CDR", + rx04.run_length = run_length; + + hssi_rx rx05 ( + .datain (i_rx_in[05]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[05]), + .dataout (w_rx_out05), .clkout (w_rx_clkout[05]), .rlv (i_rx_rlv[05]) ); + defparam + rx05.channel_width = deserialization_factor, + rx05.operation_mode = "CDR", + rx05.run_length = run_length; + + hssi_rx rx06 ( + .datain (i_rx_in[06]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[06]), + .dataout (w_rx_out06), .clkout (w_rx_clkout[06]), .rlv (i_rx_rlv[06]) ); + defparam + rx06.channel_width = deserialization_factor, + rx06.operation_mode = "CDR", + rx06.run_length = run_length; + + hssi_rx rx07 ( + .datain (i_rx_in[07]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[07]), + .dataout (w_rx_out07), .clkout (w_rx_clkout[07]), .rlv (i_rx_rlv[07]) ); + defparam + rx07.channel_width = deserialization_factor, + rx07.operation_mode = "CDR", + rx07.run_length = run_length; + + hssi_rx rx08 ( + .datain (i_rx_in[08]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[08]), + .dataout (w_rx_out08), .clkout (w_rx_clkout[08]), .rlv (i_rx_rlv[08]) ); + defparam + rx08.channel_width = deserialization_factor, + rx08.operation_mode = "CDR", + rx08.run_length = run_length; + + hssi_rx rx09 ( + .datain (i_rx_in[09]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[09]), + .dataout (w_rx_out09), .clkout (w_rx_clkout[09]), .rlv (i_rx_rlv[09]) ); + defparam + rx09.channel_width = deserialization_factor, + rx09.operation_mode = "CDR", + rx09.run_length = run_length; + + hssi_rx rx10 ( + .datain (i_rx_in[10]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[10]), + .dataout (w_rx_out10), .clkout (w_rx_clkout[10]), .rlv (i_rx_rlv[10]) ); + defparam + rx10.channel_width = deserialization_factor, + rx10.operation_mode = "CDR", + rx10.run_length = run_length; + + hssi_rx rx11 ( + .datain (i_rx_in[11]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[11]), + .dataout (w_rx_out11), .clkout (w_rx_clkout[11]), .rlv (i_rx_rlv[11]) ); + defparam + rx11.channel_width = deserialization_factor, + rx11.operation_mode = "CDR", + rx11.run_length = run_length; + + hssi_rx rx12 ( + .datain (i_rx_in[12]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[12]), + .dataout (w_rx_out12), .clkout (w_rx_clkout[12]), .rlv (i_rx_rlv[12]) ); + defparam + rx12.channel_width = deserialization_factor, + rx12.operation_mode = "CDR", + rx12.run_length = run_length; + + hssi_rx rx13 ( + .datain (i_rx_in[13]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[13]), + .dataout (w_rx_out13), .clkout (w_rx_clkout[13]), .rlv (i_rx_rlv[13]) ); + defparam + rx13.channel_width = deserialization_factor, + rx13.operation_mode = "CDR", + rx13.run_length = run_length; + + hssi_rx rx14 ( + .datain (i_rx_in[14]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[14]), + .dataout (w_rx_out14), .clkout (w_rx_clkout[14]), .rlv (i_rx_rlv[14]) ); + defparam + rx14.channel_width = deserialization_factor, + rx14.operation_mode = "CDR", + rx14.run_length = run_length; + + hssi_rx rx15 ( + .datain (i_rx_in[15]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[15]), + .dataout (w_rx_out15), .clkout (w_rx_clkout[15]), .rlv (i_rx_rlv[15]) ); + defparam + rx15.channel_width = deserialization_factor, + rx15.operation_mode = "CDR", + rx15.run_length = run_length; + + hssi_rx rx16 ( + .datain (i_rx_in[16]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[16]), + .dataout (w_rx_out16), .clkout (w_rx_clkout[16]), .rlv (i_rx_rlv[16]) ); + defparam + rx16.channel_width = deserialization_factor, + rx16.operation_mode = "CDR", + rx16.run_length = run_length; + + hssi_rx rx17 ( + .datain (i_rx_in[17]), .clk (w_rx_inclk0), .areset (rx_aclr_pulldown), + .feedback (gnd), .fbkcntl (gnd), .coreclk (rx_coreclock), + .locked (i_rx_locked[17]), + .dataout (w_rx_out17), .clkout (w_rx_clkout[17]), .rlv (i_rx_rlv[17]) ); + defparam + rx17.channel_width = deserialization_factor, + rx17.operation_mode = "CDR", + rx17.run_length = run_length; + + + //---------------------------------------------------------- + // Instantiate HSSI_PLL - use the same PLL for all channels + + hssi_pll pll ( + .clk (rx_inclock), .areset (rx_pll_aclr_pulldown), + .clk0 (w_rx_inclk0), .clk1 (), .locked (i_pll_locked) ); + defparam + pll.clk0_multiply_by = inclock_boost, + pll.input_frequency = inclock_period; + + //---------------------------------------------------------- + // Instantiate HSSI_FIFOs + + hssi_fifo fifo00 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[00]), + .clk0 (w_rx_clkout[00]), .datain (w_rx_out00), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[00]), .empty (i_rx_empty[00]), + .dataout (i_fifo_out00) ); + defparam + fifo00.channel_width = deserialization_factor; + + hssi_fifo fifo01 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[01]), + .clk0 (w_rx_clkout[01]), .datain (w_rx_out01), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[01]), .empty (i_rx_empty[01]), + .dataout (i_fifo_out01) ); + defparam + fifo01.channel_width = deserialization_factor; + + hssi_fifo fifo02 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[02]), + .clk0 (w_rx_clkout[02]), .datain (w_rx_out02), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[02]), .empty (i_rx_empty[02]), + .dataout (i_fifo_out02) ); + defparam + fifo02.channel_width = deserialization_factor; + + hssi_fifo fifo03 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[03]), + .clk0 (w_rx_clkout[03]), .datain (w_rx_out03), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[03]), .empty (i_rx_empty[03]), + .dataout (i_fifo_out03) ); + defparam + fifo03.channel_width = deserialization_factor; + + hssi_fifo fifo04 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[04]), + .clk0 (w_rx_clkout[04]), .datain (w_rx_out04), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[04]), .empty (i_rx_empty[04]), + .dataout (i_fifo_out04) ); + defparam + fifo04.channel_width = deserialization_factor; + + hssi_fifo fifo05 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[05]), + .clk0 (w_rx_clkout[05]), .datain (w_rx_out05), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[05]), .empty (i_rx_empty[05]), + .dataout (i_fifo_out05) ); + defparam + fifo05.channel_width = deserialization_factor; + + hssi_fifo fifo06 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[06]), + .clk0 (w_rx_clkout[06]), .datain (w_rx_out06), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[06]), .empty (i_rx_empty[06]), + .dataout (i_fifo_out06) ); + defparam + fifo06.channel_width = deserialization_factor; + + hssi_fifo fifo07 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[07]), + .clk0 (w_rx_clkout[07]), .datain (w_rx_out07), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[07]), .empty (i_rx_empty[07]), + .dataout (i_fifo_out07) ); + defparam + fifo07.channel_width = deserialization_factor; + + hssi_fifo fifo08 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[08]), + .clk0 (w_rx_clkout[08]), .datain (w_rx_out08), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[08]), .empty (i_rx_empty[08]), + .dataout (i_fifo_out08) ); + defparam + fifo08.channel_width = deserialization_factor; + + hssi_fifo fifo09 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[09]), + .clk0 (w_rx_clkout[09]), .datain (w_rx_out09), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[09]), .empty (i_rx_empty[09]), + .dataout (i_fifo_out09) ); + defparam + fifo09.channel_width = deserialization_factor; + + hssi_fifo fifo10 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[10]), + .clk0 (w_rx_clkout[10]), .datain (w_rx_out10), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[10]), .empty (i_rx_empty[10]), + .dataout (i_fifo_out10) ); + defparam + fifo10.channel_width = deserialization_factor; + + hssi_fifo fifo11 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[11]), + .clk0 (w_rx_clkout[11]), .datain (w_rx_out11), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[11]), .empty (i_rx_empty[11]), + .dataout (i_fifo_out11) ); + defparam + fifo11.channel_width = deserialization_factor; + + hssi_fifo fifo12 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[12]), + .clk0 (w_rx_clkout[12]), .datain (w_rx_out12), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[12]), .empty (i_rx_empty[12]), + .dataout (i_fifo_out12) ); + defparam + fifo12.channel_width = deserialization_factor; + + hssi_fifo fifo13 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[13]), + .clk0 (w_rx_clkout[13]), .datain (w_rx_out13), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[13]), .empty (i_rx_empty[13]), + .dataout (i_fifo_out13) ); + defparam + fifo13.channel_width = deserialization_factor; + + hssi_fifo fifo14 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[14]), + .clk0 (w_rx_clkout[14]), .datain (w_rx_out14), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[14]), .empty (i_rx_empty[14]), + .dataout (i_fifo_out14) ); + defparam + fifo14.channel_width = deserialization_factor; + + hssi_fifo fifo15 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[15]), + .clk0 (w_rx_clkout[15]), .datain (w_rx_out15), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[15]), .empty (i_rx_empty[15]), + .dataout (i_fifo_out15) ); + defparam + fifo15.channel_width = deserialization_factor; + + hssi_fifo fifo16 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[16]), + .clk0 (w_rx_clkout[16]), .datain (w_rx_out16), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[16]), .empty (i_rx_empty[16]), + .dataout (i_fifo_out16) ); + defparam + fifo16.channel_width = deserialization_factor; + + hssi_fifo fifo17 ( + .clk1 (rx_coreclock), .re (rx_fifo_rden_pullup[17]), + .clk0 (w_rx_clkout[17]), .datain (w_rx_out17), + .we (vcc), .reset (rx_aclr_pulldown), + .overflow (i_rx_full[17]), .empty (i_rx_empty[17]), + .dataout (i_fifo_out17) ); + defparam + fifo17.channel_width = deserialization_factor; + + +//-------------------------- +// Inputs +assign i_rx_in[number_of_channels - 1 : 0] = rx_in[number_of_channels - 1 : 0]; + +//-------------------------- +// Outputs +// assign FIFO outputs to i_rx_out wire - for the case when FIFO is not bypassed +assign i_rx_out[01*deserialization_factor-1:00*deserialization_factor] + = i_fifo_out00; +assign i_rx_out[02*deserialization_factor-1:01*deserialization_factor] + = i_fifo_out01; +assign i_rx_out[03*deserialization_factor-1:02*deserialization_factor] + = i_fifo_out02; +assign i_rx_out[04*deserialization_factor-1:03*deserialization_factor] + = i_fifo_out03; +assign i_rx_out[05*deserialization_factor-1:04*deserialization_factor] + = i_fifo_out04; +assign i_rx_out[06*deserialization_factor-1:05*deserialization_factor] + = i_fifo_out05; +assign i_rx_out[07*deserialization_factor-1:06*deserialization_factor] + = i_fifo_out06; +assign i_rx_out[08*deserialization_factor-1:07*deserialization_factor] + = i_fifo_out07; +assign i_rx_out[09*deserialization_factor-1:08*deserialization_factor] + = i_fifo_out08; +assign i_rx_out[10*deserialization_factor-1:09*deserialization_factor] + = i_fifo_out09; +assign i_rx_out[11*deserialization_factor-1:10*deserialization_factor] + = i_fifo_out10; +assign i_rx_out[12*deserialization_factor-1:11*deserialization_factor] + = i_fifo_out11; +assign i_rx_out[13*deserialization_factor-1:12*deserialization_factor] + = i_fifo_out12; +assign i_rx_out[14*deserialization_factor-1:13*deserialization_factor] + = i_fifo_out13; +assign i_rx_out[15*deserialization_factor-1:14*deserialization_factor] + = i_fifo_out14; +assign i_rx_out[16*deserialization_factor-1:15*deserialization_factor] + = i_fifo_out15; +assign i_rx_out[17*deserialization_factor-1:16*deserialization_factor] + = i_fifo_out16; +assign i_rx_out[18*deserialization_factor-1:17*deserialization_factor] + = i_fifo_out17; + +// assign RX outputs to i_w_rx_out wire - for the case when FIFO is bypassed +assign i_w_rx_out[01*deserialization_factor-1:00*deserialization_factor] + = w_rx_out00; +assign i_w_rx_out[02*deserialization_factor-1:01*deserialization_factor] + = w_rx_out01; +assign i_w_rx_out[03*deserialization_factor-1:02*deserialization_factor] + = w_rx_out02; +assign i_w_rx_out[04*deserialization_factor-1:03*deserialization_factor] + = w_rx_out03; +assign i_w_rx_out[05*deserialization_factor-1:04*deserialization_factor] + = w_rx_out04; +assign i_w_rx_out[06*deserialization_factor-1:05*deserialization_factor] + = w_rx_out05; +assign i_w_rx_out[07*deserialization_factor-1:06*deserialization_factor] + = w_rx_out06; +assign i_w_rx_out[08*deserialization_factor-1:07*deserialization_factor] + = w_rx_out07; +assign i_w_rx_out[09*deserialization_factor-1:08*deserialization_factor] + = w_rx_out08; +assign i_w_rx_out[10*deserialization_factor-1:09*deserialization_factor] + = w_rx_out09; +assign i_w_rx_out[11*deserialization_factor-1:10*deserialization_factor] + = w_rx_out10; +assign i_w_rx_out[12*deserialization_factor-1:11*deserialization_factor] + = w_rx_out11; +assign i_w_rx_out[13*deserialization_factor-1:12*deserialization_factor] + = w_rx_out12; +assign i_w_rx_out[14*deserialization_factor-1:13*deserialization_factor] + = w_rx_out13; +assign i_w_rx_out[15*deserialization_factor-1:14*deserialization_factor] + = w_rx_out14; +assign i_w_rx_out[16*deserialization_factor-1:15*deserialization_factor] + = w_rx_out15; +assign i_w_rx_out[17*deserialization_factor-1:16*deserialization_factor] + = w_rx_out16; +assign i_w_rx_out[18*deserialization_factor-1:17*deserialization_factor] + = w_rx_out17; + +// assign the correct signals to the output ports +assign rx_out = (deserialization_factor == 1) ? rx_in : + (bypass_fifo == "OFF") ? i_rx_out[deserialization_factor*number_of_channels-1:0] : i_w_rx_out; +assign rx_outclock = (deserialization_factor > 1) ? w_rx_clkout[00] : rx_inclock; +assign rx_locklost = (deserialization_factor > 1) ? i_rx_locked[number_of_channels-1:0] : {(number_of_channels){1'b1}}; +assign rx_full = (deserialization_factor == 1) ? 0 : + (bypass_fifo == "OFF") ? ~ i_rx_full[number_of_channels-1:0] : {number_of_channels{1'bX}}; +assign rx_empty = (deserialization_factor == 1) ? 0 : + (bypass_fifo == "OFF") ? ~ i_rx_empty[number_of_channels-1:0] : {number_of_channels{1'bX}}; +assign rx_rlv = (deserialization_factor > 1) ? i_rx_rlv : 0; +assign rx_pll_locked = i_pll_locked; +assign rx_rec_clk = w_rx_clkout; + +endmodule // altcdr_rx + +// START MODULE NAME ----------------------------------------------------------- +// +// Module Name : ALTCDR_TX +// +// Description : The Clock Data Recovery (CDR) transmitter behavioral +// model. Consists of CDR transmitter for serialization, +// a PLL and FIFO. +// +// Limitations : Available for the Mercury device family only +// +// Expected results : Serial data output (tx_out), generated slow clock +// (tx_clkout), FIFO full signal (tx_full), FIFO empty signal +// (tx_empty), PLL lock signal (tx_pll_locked) +// +//END MODULE NAME -------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altcdr_tx ( + tx_in, // required port, parallel data input + tx_inclock, // required port, input reference clock + tx_coreclock, // required port, input core clock + tx_aclr, // asynchronous clear for TX and FIFO + tx_pll_aclr, // asynchronous clear for the PLL + tx_fifo_wren, // write enable for the FIFO + tx_out, // serial data output + tx_outclock, // generated slow clock + tx_pll_locked, // PLL lock signal + tx_full, // FIFO full indicator + tx_empty // FIFO empty indicator +); + +// GLOBAL PARAMETER DECLARATION +parameter number_of_channels = 1; +parameter deserialization_factor = 3; // Valid deserialization_factor +parameter inclock_period = 0; // units in ps +parameter inclock_boost = 1; +parameter bypass_fifo = "OFF"; +parameter intended_device_family = "MERCURY"; +parameter lpm_type = "altcdr_tx"; +parameter lpm_hint = "UNUSED"; + +// LOCAL PARAMETER DECLARATION +parameter MAX_DATA_WIDTH = deserialization_factor - 1; + +// INPUT PORT DECLARATION +input [deserialization_factor*number_of_channels-1:0] tx_in; +input tx_inclock; +input tx_coreclock; +input tx_aclr; +input tx_pll_aclr; +input [number_of_channels-1:0] tx_fifo_wren; + +// OUTPUT PORT DECLARATION +output [number_of_channels-1:0] tx_out; +output tx_outclock; +output tx_pll_locked; +output [number_of_channels-1:0] tx_full; +output [number_of_channels-1:0] tx_empty; + +// Default values for inputs -- pullup/pulldown +tri0 tx_aclr_pulldown; +tri0 tx_pll_aclr_pulldown; +tri1 [17:0] tx_fifo_wren_pullup; + +// INTERNAL VARIABLE/REGISTER DECLARATION +wire w_tx_clk; +wire w_tx_clk1; +wire i_tx_pll_locked; +wire [17:0] i_tx_full; +wire [17:0] i_tx_empty; +wire [17:0] w_tx_out; +wire [17:0] w_tx_clkout; +wire [MAX_DATA_WIDTH:0] txin00; +wire [MAX_DATA_WIDTH:0] txin01; +wire [MAX_DATA_WIDTH:0] txin02; +wire [MAX_DATA_WIDTH:0] txin03; +wire [MAX_DATA_WIDTH:0] txin04; +wire [MAX_DATA_WIDTH:0] txin05; +wire [MAX_DATA_WIDTH:0] txin06; +wire [MAX_DATA_WIDTH:0] txin07; +wire [MAX_DATA_WIDTH:0] txin08; +wire [MAX_DATA_WIDTH:0] txin09; +wire [MAX_DATA_WIDTH:0] txin10; +wire [MAX_DATA_WIDTH:0] txin11; +wire [MAX_DATA_WIDTH:0] txin12; +wire [MAX_DATA_WIDTH:0] txin13; +wire [MAX_DATA_WIDTH:0] txin14; +wire [MAX_DATA_WIDTH:0] txin15; +wire [MAX_DATA_WIDTH:0] txin16; +wire [MAX_DATA_WIDTH:0] txin17; +wire [MAX_DATA_WIDTH:0] i_fifo_out00; +wire [MAX_DATA_WIDTH:0] i_fifo_out01; +wire [MAX_DATA_WIDTH:0] i_fifo_out02; +wire [MAX_DATA_WIDTH:0] i_fifo_out03; +wire [MAX_DATA_WIDTH:0] i_fifo_out04; +wire [MAX_DATA_WIDTH:0] i_fifo_out05; +wire [MAX_DATA_WIDTH:0] i_fifo_out06; +wire [MAX_DATA_WIDTH:0] i_fifo_out07; +wire [MAX_DATA_WIDTH:0] i_fifo_out08; +wire [MAX_DATA_WIDTH:0] i_fifo_out09; +wire [MAX_DATA_WIDTH:0] i_fifo_out10; +wire [MAX_DATA_WIDTH:0] i_fifo_out11; +wire [MAX_DATA_WIDTH:0] i_fifo_out12; +wire [MAX_DATA_WIDTH:0] i_fifo_out13; +wire [MAX_DATA_WIDTH:0] i_fifo_out14; +wire [MAX_DATA_WIDTH:0] i_fifo_out15; +wire [MAX_DATA_WIDTH:0] i_fifo_out16; +wire [MAX_DATA_WIDTH:0] i_fifo_out17; +wire [MAX_DATA_WIDTH:0] i_tx_in00; +wire [MAX_DATA_WIDTH:0] i_tx_in01; +wire [MAX_DATA_WIDTH:0] i_tx_in02; +wire [MAX_DATA_WIDTH:0] i_tx_in03; +wire [MAX_DATA_WIDTH:0] i_tx_in04; +wire [MAX_DATA_WIDTH:0] i_tx_in05; +wire [MAX_DATA_WIDTH:0] i_tx_in06; +wire [MAX_DATA_WIDTH:0] i_tx_in07; +wire [MAX_DATA_WIDTH:0] i_tx_in08; +wire [MAX_DATA_WIDTH:0] i_tx_in09; +wire [MAX_DATA_WIDTH:0] i_tx_in10; +wire [MAX_DATA_WIDTH:0] i_tx_in11; +wire [MAX_DATA_WIDTH:0] i_tx_in12; +wire [MAX_DATA_WIDTH:0] i_tx_in13; +wire [MAX_DATA_WIDTH:0] i_tx_in14; +wire [MAX_DATA_WIDTH:0] i_tx_in15; +wire [MAX_DATA_WIDTH:0] i_tx_in16; +wire [MAX_DATA_WIDTH:0] i_tx_in17; +wire [359:0] tx_in_int; // 360 = 18 channels * 20 bits (18=maximum number of channels, 20=maximum channel width) + +// INITIAL BLOCK +initial +begin + + // Begin of parameter checking + if (number_of_channels <= 0) + begin + $display("ERROR: The number_of_channels parameter must be greater than 0"); + $stop; + end + + if (!(((deserialization_factor >= 3 ) && (deserialization_factor <= 12)) || + (deserialization_factor == 14) || (deserialization_factor == 16) || + (deserialization_factor == 18) || (deserialization_factor == 20))) + begin + $display("ERROR: Illegal value for deserialization_factor parameter (%d) -- value ", deserialization_factor); + $display(" must be in the range 3 to 12, inclusive, or must be one of 14, 16, 18, or 20"); + $stop; + end + + + // End of parameter checking + +end + + + +assign tx_aclr_pulldown = tx_aclr; +assign tx_pll_aclr_pulldown = tx_pll_aclr; +assign tx_fifo_wren_pullup = tx_fifo_wren; + +// COMPONENT INSTANTIATION + + //------------------------------------------------------------- + // Instantiate HSSI_TX - maximum of 18 channels + hssi_tx tx00 ( + .datain (txin00), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[00]), .clkout (w_tx_clkout[00]) ); + defparam + tx00.channel_width = deserialization_factor; + + hssi_tx tx01 ( + .datain (txin01), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[01]), .clkout (w_tx_clkout[01]) ); + defparam + tx01.channel_width = deserialization_factor; + + hssi_tx tx02 ( + .datain (txin02), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[02]), .clkout (w_tx_clkout[02]) ); + defparam + tx02.channel_width = deserialization_factor; + + hssi_tx tx03 ( + .datain (txin03), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[03]), .clkout (w_tx_clkout[03]) ); + defparam + tx03.channel_width = deserialization_factor; + + hssi_tx tx04 ( + .datain (txin04), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[04]), .clkout (w_tx_clkout[04]) ); + defparam + tx04.channel_width = deserialization_factor; + + hssi_tx tx05 ( + .datain (txin05), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[05]), .clkout (w_tx_clkout[05]) ); + defparam + tx05.channel_width = deserialization_factor; + + hssi_tx tx06 ( + .datain (txin06), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[06]), .clkout (w_tx_clkout[06]) ); + defparam + tx06.channel_width = deserialization_factor; + + hssi_tx tx07 ( + .datain (txin07), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[07]), .clkout (w_tx_clkout[07]) ); + defparam + tx07.channel_width = deserialization_factor; + + hssi_tx tx08 ( + .datain (txin08), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[08]), .clkout (w_tx_clkout[08]) ); + defparam + tx08.channel_width = deserialization_factor; + + hssi_tx tx09 ( + .datain (txin09), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[09]), .clkout (w_tx_clkout[09]) ); + defparam + tx09.channel_width = deserialization_factor; + + hssi_tx tx10 ( + .datain (txin10), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[10]), .clkout (w_tx_clkout[10]) ); + defparam + tx10.channel_width = deserialization_factor; + + hssi_tx tx11 ( + .datain (txin11), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[11]), .clkout (w_tx_clkout[11]) ); + defparam + tx11.channel_width = deserialization_factor; + + hssi_tx tx12 ( + .datain (txin12), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[12]), .clkout (w_tx_clkout[12]) ); + defparam + tx12.channel_width = deserialization_factor; + + hssi_tx tx13 ( + .datain (txin13), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[13]), .clkout (w_tx_clkout[13]) ); + defparam + tx13.channel_width = deserialization_factor; + + hssi_tx tx14 ( + .datain (txin14), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[14]), .clkout (w_tx_clkout[14]) ); + defparam + tx14.channel_width = deserialization_factor; + + hssi_tx tx15 ( + .datain (txin15), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[15]), .clkout (w_tx_clkout[15]) ); + defparam + tx15.channel_width = deserialization_factor; + + hssi_tx tx16 ( + .datain (txin16), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[16]), .clkout (w_tx_clkout[16]) ); + defparam + tx16.channel_width = deserialization_factor; + + hssi_tx tx17 ( + .datain (txin17), .clk (w_tx_clk), .areset (tx_aclr_pulldown), + .dataout (w_tx_out[17]), .clkout (w_tx_clkout[17]) ); + defparam + tx17.channel_width = deserialization_factor; + + + //--------------------------------------------------------- + // Instantiate HSSI_PLL - use the same PLL for all channels + + hssi_pll pll0 ( + .clk (tx_inclock), .areset (tx_pll_aclr_pulldown), + .clk0 (w_tx_clk), .clk1 (w_tx_clk1), .locked (i_tx_pll_locked) ); + defparam + pll0.clk0_multiply_by = inclock_boost, + pll0.input_frequency = inclock_period; + + + //-------------------------------------------------------- + // Instantiate HSSI_FIFO - maximum of 18 channels + + hssi_fifo fifo00 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[00]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[00]), .datain (i_tx_in00), + .overflow (i_tx_full[00]), .empty (i_tx_empty[00]), + .dataout (i_fifo_out00) ); + defparam + fifo00.channel_width = deserialization_factor; + + hssi_fifo fifo01 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[01]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[01]), .datain (i_tx_in01), + .overflow (i_tx_full[01]), .empty (i_tx_empty[01]), + .dataout (i_fifo_out01) ); + defparam + fifo01.channel_width = deserialization_factor; + + hssi_fifo fifo02 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[02]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[02]), .datain (i_tx_in02), + .overflow (i_tx_full[02]), .empty (i_tx_empty[02]), + .dataout (i_fifo_out02) ); + defparam + fifo02.channel_width = deserialization_factor; + + hssi_fifo fifo03 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[03]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[03]), .datain (i_tx_in03), + .overflow (i_tx_full[03]), .empty (i_tx_empty[03]), + .dataout (i_fifo_out03) ); + defparam + fifo03.channel_width = deserialization_factor; + + hssi_fifo fifo04 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[04]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[04]), .datain (i_tx_in04), + .overflow (i_tx_full[04]), .empty (i_tx_empty[04]), + .dataout (i_fifo_out04) ); + defparam + fifo04.channel_width = deserialization_factor; + + hssi_fifo fifo05 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[05]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[05]), .datain (i_tx_in05), + .overflow (i_tx_full[05]), .empty (i_tx_empty[05]), + .dataout (i_fifo_out05) ); + defparam + fifo05.channel_width = deserialization_factor; + + hssi_fifo fifo06 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[06]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[06]), .datain (i_tx_in06), + .overflow (i_tx_full[06]), .empty (i_tx_empty[06]), + .dataout (i_fifo_out06) ); + defparam + fifo06.channel_width = deserialization_factor; + + hssi_fifo fifo07 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[07]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[07]), .datain (i_tx_in07), + .overflow (i_tx_full[07]), .empty (i_tx_empty[07]), + .dataout (i_fifo_out07) ); + defparam + fifo07.channel_width = deserialization_factor; + + hssi_fifo fifo08 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[08]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[08]), .datain (i_tx_in08), + .overflow (i_tx_full[08]), .empty (i_tx_empty[08]), + .dataout (i_fifo_out08) ); + defparam + fifo08.channel_width = deserialization_factor; + + hssi_fifo fifo09 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[09]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[09]), .datain (i_tx_in09), + .overflow (i_tx_full[09]), .empty (i_tx_empty[09]), + .dataout (i_fifo_out09) ); + defparam + fifo09.channel_width = deserialization_factor; + + hssi_fifo fifo10 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[10]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[10]), .datain (i_tx_in10), + .overflow (i_tx_full[10]), .empty (i_tx_empty[10]), + .dataout (i_fifo_out10) ); + defparam + fifo10.channel_width = deserialization_factor; + + hssi_fifo fifo11 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[11]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[11]), .datain (i_tx_in11), + .overflow (i_tx_full[11]), .empty (i_tx_empty[11]), + .dataout (i_fifo_out11) ); + defparam + fifo11.channel_width = deserialization_factor; + + hssi_fifo fifo12 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[12]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[12]), .datain (i_tx_in12), + .overflow (i_tx_full[12]), .empty (i_tx_empty[12]), + .dataout (i_fifo_out12) ); + defparam + fifo12.channel_width = deserialization_factor; + + hssi_fifo fifo13 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[13]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[13]), .datain (i_tx_in13), + .overflow (i_tx_full[13]), .empty (i_tx_empty[13]), + .dataout (i_fifo_out13) ); + defparam + fifo13.channel_width = deserialization_factor; + + hssi_fifo fifo14 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[14]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[14]), .datain (i_tx_in14), + .overflow (i_tx_full[14]), .empty (i_tx_empty[14]), + .dataout (i_fifo_out14) ); + defparam + fifo14.channel_width = deserialization_factor; + + hssi_fifo fifo15 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[15]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[15]), .datain (i_tx_in15), + .overflow (i_tx_full[15]), .empty (i_tx_empty[15]), + .dataout (i_fifo_out15) ); + defparam + fifo15.channel_width = deserialization_factor; + + hssi_fifo fifo16 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[16]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[16]), .datain (i_tx_in16), + .overflow (i_tx_full[16]), .empty (i_tx_empty[16]), + .dataout (i_fifo_out16) ); + defparam + fifo16.channel_width = deserialization_factor; + + hssi_fifo fifo17 ( + .clk0 (tx_coreclock), .we (tx_fifo_wren_pullup[17]), + .reset (tx_aclr_pulldown), .re (1'b1), + .clk1 (w_tx_clkout[17]), .datain (i_tx_in17), + .overflow (i_tx_full[17]), .empty (i_tx_empty[17]), + .dataout (i_fifo_out17) ); + defparam + fifo17.channel_width = deserialization_factor; + + + //-------------------------- + // Inputs + + assign tx_in_int[deserialization_factor*number_of_channels-1: 0] = + tx_in[deserialization_factor*number_of_channels-1:0]; + + assign i_tx_in00 = + tx_in_int[(01*deserialization_factor)-1:00*deserialization_factor]; + assign i_tx_in01 = + tx_in_int[(02*deserialization_factor)-1:01*deserialization_factor]; + assign i_tx_in02 = + tx_in_int[(03*deserialization_factor)-1:02*deserialization_factor]; + assign i_tx_in03 = + tx_in_int[(04*deserialization_factor)-1:03*deserialization_factor]; + assign i_tx_in04 = + tx_in_int[(05*deserialization_factor)-1:04*deserialization_factor]; + assign i_tx_in05 = + tx_in_int[(06*deserialization_factor)-1:05*deserialization_factor]; + assign i_tx_in06 = + tx_in_int[(07*deserialization_factor)-1:06*deserialization_factor]; + assign i_tx_in07 = + tx_in_int[(08*deserialization_factor)-1:07*deserialization_factor]; + assign i_tx_in08 = + tx_in_int[(09*deserialization_factor)-1:08*deserialization_factor]; + assign i_tx_in09 = + tx_in_int[(10*deserialization_factor)-1:09*deserialization_factor]; + assign i_tx_in10 = + tx_in_int[(11*deserialization_factor)-1:10*deserialization_factor]; + assign i_tx_in11 = + tx_in_int[(12*deserialization_factor)-1:11*deserialization_factor]; + assign i_tx_in12 = + tx_in_int[(13*deserialization_factor)-1:12*deserialization_factor]; + assign i_tx_in13 = + tx_in_int[(14*deserialization_factor)-1:13*deserialization_factor]; + assign i_tx_in14 = + tx_in_int[(15*deserialization_factor)-1:14*deserialization_factor]; + assign i_tx_in15 = + tx_in_int[(16*deserialization_factor)-1:15*deserialization_factor]; + assign i_tx_in16 = + tx_in_int[(17*deserialization_factor)-1:16*deserialization_factor]; + assign i_tx_in17 = + tx_in_int[(18*deserialization_factor)-1:17*deserialization_factor]; + + +//------------------------------------------------------------------ +// select the input for hssi_tx - from FIFO or from data input directly +assign txin00 = (bypass_fifo == "OFF") ? i_fifo_out00 : i_tx_in00; +assign txin01 = (bypass_fifo == "OFF") ? i_fifo_out01 : i_tx_in01; +assign txin02 = (bypass_fifo == "OFF") ? i_fifo_out02 : i_tx_in02; +assign txin03 = (bypass_fifo == "OFF") ? i_fifo_out03 : i_tx_in03; +assign txin04 = (bypass_fifo == "OFF") ? i_fifo_out04 : i_tx_in04; +assign txin05 = (bypass_fifo == "OFF") ? i_fifo_out05 : i_tx_in05; +assign txin06 = (bypass_fifo == "OFF") ? i_fifo_out06 : i_tx_in06; +assign txin07 = (bypass_fifo == "OFF") ? i_fifo_out07 : i_tx_in07; +assign txin08 = (bypass_fifo == "OFF") ? i_fifo_out08 : i_tx_in08; +assign txin09 = (bypass_fifo == "OFF") ? i_fifo_out09 : i_tx_in09; +assign txin10 = (bypass_fifo == "OFF") ? i_fifo_out10 : i_tx_in10; +assign txin11 = (bypass_fifo == "OFF") ? i_fifo_out11 : i_tx_in11; +assign txin12 = (bypass_fifo == "OFF") ? i_fifo_out12 : i_tx_in12; +assign txin13 = (bypass_fifo == "OFF") ? i_fifo_out13 : i_tx_in13; +assign txin14 = (bypass_fifo == "OFF") ? i_fifo_out14 : i_tx_in14; +assign txin15 = (bypass_fifo == "OFF") ? i_fifo_out15 : i_tx_in15; +assign txin16 = (bypass_fifo == "OFF") ? i_fifo_out16 : i_tx_in16; +assign txin17 = (bypass_fifo == "OFF") ? i_fifo_out17 : i_tx_in17; + +//----------------------------------------------- +// assign the correct signals to the output ports + +assign tx_out = (deserialization_factor > 1) ? + w_tx_out[number_of_channels-1:0] + : tx_in; + +assign tx_outclock = (deserialization_factor > 1) ? + w_tx_clkout[00] + : tx_inclock; + +assign tx_full = (deserialization_factor == 1) ? + 0 + : (bypass_fifo == "OFF") ? + ~i_tx_full[number_of_channels-1:0] + : {number_of_channels{1'bX}}; + +assign tx_empty = (deserialization_factor == 1) ? + 0 + : (bypass_fifo == "OFF") ? + ~i_tx_empty[number_of_channels-1:0] + : {number_of_channels{1'bX}}; + +assign tx_pll_locked = i_tx_pll_locked; + +endmodule // altcdr_tx + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : altlvds_rx +// +// Description : Low Voltage Differential Signaling (LVDS) receiver +// megafunction. The altlvds_rx megafunction implements a +// deserialization receiver. LVDS is a high speed IO interface +// that uses inputs without a reference voltage. LVDS uses +// two wires carrying differential values to create a single +// channel. These wires are connected to two pins on +// supported device to create a single LVDS channel +// +// Limitation : Only available for APEX20KE, APEXII, MERCURY, STRATIX, +// STRATIX GX, Stratix II, Cyclone and Cyclone II families. +// +// Results expected: output clock, deserialized output data and pll locked +// signal. +// +//END_MODULE_NAME---------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altlvds_rx ( + rx_in, + rx_inclock, + rx_enable, + rx_deskew, + rx_pll_enable, + rx_data_align, + rx_reset, + rx_dpll_reset, + rx_dpll_hold, + rx_dpll_enable, + rx_fifo_reset, + rx_channel_data_align, + rx_cda_reset, + rx_coreclk, + pll_areset, + rx_out, + rx_outclock, + rx_locked, + rx_dpa_locked, + rx_cda_max +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + parameter registered_output = "ON"; + parameter inclock_period = 10000; + parameter inclock_boost = deserialization_factor; + parameter cds_mode = "UNUSED"; + parameter intended_device_family = "APEX20KE"; + parameter input_data_rate =0; + parameter inclock_data_alignment = "EDGE_ALIGNED"; + parameter registered_data_align_input = "ON"; + parameter common_rx_tx_pll = "ON"; + parameter enable_dpa_mode = "OFF"; + parameter enable_dpa_fifo = "ON"; + parameter use_dpll_rawperror = "OFF"; + parameter use_coreclock_input = "OFF"; + parameter dpll_lock_count = 0; + parameter dpll_lock_window = 0; + parameter outclock_resource = "AUTO"; + parameter data_align_rollover = deserialization_factor; + parameter lose_lock_on_one_change ="OFF" ; + parameter reset_fifo_at_first_lock ="ON" ; + parameter use_external_pll = "OFF"; + parameter implement_in_les = "OFF"; + parameter port_rx_data_align = "PORT_CONNECTIVITY"; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "altlvds_rx"; + + // Specifies whether the source of the input clock is from a PLL + parameter clk_src_is_pll = "off"; + +// LOCAL PARAMETER DECLARATION + + // A APEX20KE type of LVDS? + parameter APEX20KE_RX_STYLE = ((intended_device_family == "APEX20KE") || + (intended_device_family == "APEX20KC") || + (intended_device_family == "EXCALIBUR_ARM") || + (intended_device_family == "EXCALIBUR_MIPS")) + ? 1 : 0; + + // A APEXII type of LVDS? + parameter APEXII_RX_STYLE = ((intended_device_family == "APEXII") || + (intended_device_family == "APEX II")) + ? 1 : 0; + + // A MERCURY type of LVDS? + parameter MERCURY_RX_STYLE = ((intended_device_family == "MERCURY") || + (intended_device_family == "Mercury")) + ? 1 : 0; + + // A STRATIX type of LVDS? + parameter STRATIX_RX_STYLE = (((intended_device_family == "Stratix") || + (intended_device_family == "STRATIX") || + (intended_device_family == "HardCopy Stratix") || + (intended_device_family == "HARDCOPY STRATIX") || + (intended_device_family == "hardcopy stratix") || + (intended_device_family == "HardcopyStratix") || + (intended_device_family == "HARDCOPYSTRATIX") || + (intended_device_family == "hardcopystratix")) || + (((intended_device_family == "STRATIXGX") || + (intended_device_family == "STRATIX-GX") || + (intended_device_family == "Stratix GX")) && + (enable_dpa_mode == "OFF"))) + ? 1 : 0; + + // A STRATIXGX DPA type of LVDS? + parameter STRATIXGX_DPA_RX_STYLE = + (((intended_device_family == "STRATIXGX") || + (intended_device_family == "STRATIX-GX") || + (intended_device_family == "Stratix GX")) && + (enable_dpa_mode == "ON")) + ? 1 : 0; + + // A STRATIX II type of LVDS? + parameter STRATIXII_RX_STYLE = ((intended_device_family == "Stratix II") || + (intended_device_family == "StratixII") || + (intended_device_family == "HardCopy II") || + (intended_device_family == "HardCopyII") || + (intended_device_family == "HARDCOPY II") || + (intended_device_family == "HARDCOPYII") || + (intended_device_family == "hardcopy ii") || + (intended_device_family == "hardcopyii") || + (intended_device_family == "Stratix II GX") || + (intended_device_family == "STRATIX II GX") || + (intended_device_family == "stratix ii gx") || + (intended_device_family == "StratixIIGX") || + (intended_device_family == "STRATIXIIGX") || + (intended_device_family == "stratixiigx")) + ? 1 : 0; + + // A Cyclone type of LVDS? + parameter CYCLONE_RX_STYLE = ((intended_device_family == "Cyclone") || + (intended_device_family == "CYCLONE") || + (intended_device_family == "cyclone")) + ? 1 : 0; + + // A Cyclone II type of LVDS? + parameter CYCLONEII_RX_STYLE = ((intended_device_family == "Cyclone II") || + (intended_device_family == "CYCLONE II") || + (intended_device_family == "cyclone ii") || + (intended_device_family == "Cycloneii") || + (intended_device_family == "CYCLONEII") || + (intended_device_family == "cycloneii")) + ? 1 : 0; + + // Is the device family has flexible LVDS? + parameter FAMILY_HAS_FLEXIBLE_LVDS = ((CYCLONE_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1) || + (((STRATIX_RX_STYLE == 1) || + (STRATIXII_RX_STYLE == 1)) && + (implement_in_les == "ON"))) + ? 1 : 0; + + // Is the family has Stratix style PLL + parameter FAMILY_HAS_STRATIX_STYLE_PLL = ((STRATIX_RX_STYLE == 1) || + (STRATIXGX_DPA_RX_STYLE == 1) || + (CYCLONE_RX_STYLE == 1)) + ? 1 : 0; + + // Is the family has Stratix style PLL + parameter FAMILY_HAS_STRATIXII_STYLE_PLL = ((STRATIXII_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1)) + ? 1 : 0; + + // Parameter to check whether the selected lvds trasmitter use hold register + // or not + parameter RX_NEED_HOLD_REG = (((APEX20KE_RX_STYLE == 1) && + (deserialization_factor == 4 )) || + ((APEXII_RX_STYLE == 1) && + (deserialization_factor == 4)) || + ((MERCURY_RX_STYLE == 1) && + (deserialization_factor > 2) && + (deserialization_factor < 7))) + ? 1 : 0; + + // calculate clock boost for device family other than STRATIX, STRATIX GX + // and STRATIX II + parameter INT_CLOCK_BOOST = (APEX20KE_RX_STYLE == 1) + ? deserialization_factor : + ( (inclock_boost == 0) + ? deserialization_factor + : inclock_boost); + + // M value for stratix/stratix II/Cyclone/Cyclone II PLL + parameter PLL_M_VALUE = (((input_data_rate * inclock_period) + + (5 * 100000)) / 1000000); + + // D value for Stratix/Stratix II/Cyclone/Cyclone II PLL + parameter PLL_D_VALUE = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((input_data_rate !=0) && (inclock_period !=0) + ? 2 + : 1) + : 1; + + // calculate clock boost for STRATIX, STRATIX GX and STRATIX II + parameter STRATIX_INCLOCK_BOOST = ((input_data_rate !=0) && + (inclock_period !=0)) + ? PLL_M_VALUE : + ((inclock_boost == 0) + ? deserialization_factor + : inclock_boost); + + // phase_shift delay. Add 0.5 to the calculated result to round up result to + // the nearest integer. + parameter PHASE_SHIFT = + (inclock_data_alignment == "EDGE_ALIGNED") + ? 0 : + (inclock_data_alignment == "CENTER_ALIGNED") + ? (0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "45_DEGREES") + ? (0.125 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "90_DEGREES") + ? (0.25 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "135_DEGREES") + ? (0.375 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "180_DEGREES") + ? (0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "225_DEGREES") + ? (0.625 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "270_DEGREES") + ? (0.75 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 : + (inclock_data_alignment == "315_DEGREES") + ? (0.875 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5 + : 0; + + // parameter for Stratix II inclock phase shift. + parameter STXII_PHASE_SHIFT = PHASE_SHIFT - + (0.5 * inclock_period / STRATIX_INCLOCK_BOOST); + + parameter REGISTER_WIDTH = deserialization_factor * number_of_channels; + + // input clock period for PLL. + parameter CLOCK_PERIOD = (deserialization_factor > 2) + ? inclock_period + : 10000; + +// INPUT PORT DECLARATION + input [number_of_channels -1 :0] rx_in; + input rx_inclock; + input rx_enable; + input rx_deskew; + input rx_pll_enable; + input rx_data_align; + input [number_of_channels -1 :0] rx_reset; + input [number_of_channels -1 :0] rx_dpll_reset; + input [number_of_channels -1 :0] rx_dpll_hold; + input [number_of_channels -1 :0] rx_dpll_enable; + input [number_of_channels -1 :0] rx_fifo_reset; + input [number_of_channels -1 :0] rx_channel_data_align; + input [number_of_channels -1 :0] rx_cda_reset; + input [number_of_channels -1 :0] rx_coreclk; + input pll_areset; + +// OUTPUT PORT DECLARATION + output [REGISTER_WIDTH -1: 0] rx_out; + output rx_outclock; + output rx_locked; + output [number_of_channels -1: 0] rx_dpa_locked; + output [number_of_channels -1: 0] rx_cda_max; + + +// INTERNAL REGISTERS DECLARATION + reg [REGISTER_WIDTH -1 : 0] pattern; + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] rx_parallel_load_reg; + reg [REGISTER_WIDTH -1 : 0] rx_out_reg; + reg [REGISTER_WIDTH -1 : 0] rx_out_hold; + reg [number_of_channels-1 : 0] deskew_done; + reg calibrate; + reg fb; + reg rx_mercury_slow_clock; + reg [deserialization_factor-1 : 0] temp; + reg [9 : 0] deskew_pattern; + reg [number_of_channels -1 : 0] rx_reg_clk_pre; + reg rx_data_align_reg; + + // for x2 mode (deserialization_factor = 2) + reg [REGISTER_WIDTH -1 : 0] rx_ddio_in; + reg [number_of_channels -1 : 0] rx_in_latched; + +// INTERNAL WIRE DECLARATION + wire [REGISTER_WIDTH -1 : 0] rx_out_int; + wire [REGISTER_WIDTH -1 : 0] stratix_dataout; + wire [REGISTER_WIDTH -1 : 0] stratixgx_dataout; + wire [REGISTER_WIDTH -1 : 0] stratixii_dataout; + wire [REGISTER_WIDTH -1 : 0] flvds_dataout; + wire [number_of_channels -1 : 0] stratixgx_dpa_locked; + wire [number_of_channels -1 : 0] stratixii_dpa_locked; + wire rx_fastclk; + wire rx_slowclk; + wire rx_locked_int; + wire rx_outclk_int; + wire rx_hold_clk; + wire rx_data_align_clk; + wire [number_of_channels -1 : 0] rx_reg_clk; + wire altclklock_inclock; + wire altclklock_fastclk; + wire altclklock_slowclk; + wire altclklock_locked; + wire[1:0] stratix_pll_inclock; + wire[1:0] stratixii_pll_inclock; + wire[5:0] stratix_pll_outclock; + wire[5:0] stratixii_pll_outclock; + wire stratix_pll_enable; + wire stratixii_pll_enable; + wire stratix_pll_areset; + wire stratixii_pll_areset; + wire stratixii_sclkout0; + wire stratixii_sclkout1; + wire stratix_locked; + wire stratixii_locked; + wire stratix_enable0; + wire stratix_enable1; + wire stratixii_enable0; + wire stratixii_enable1; + wire unused_clk2; + wire unused_clk_ext; + wire stratix_fastclk; + wire stratix_slowclk; + wire stratixgx_fastclk; + wire stratixgx_slowclk; + wire[number_of_channels -1 :0] stratixgx_coreclk; + wire stratixii_fastclk; + wire stratixii_enable; + wire flvds_fastclk; + wire flvds_slowclk; + wire flvds_syncclk; + wire rx_data_align_int; + wire rx_data_align_pulldown; + wire[number_of_channels -1 :0] rx_channel_data_align_int; + +// INTERNAL TRI DECLARATION + tri0 rx_deskew; + tri1 rx_pll_enable; + tri0[number_of_channels -1 :0] rx_reset; + tri0[number_of_channels -1 :0] rx_dpll_reset; + tri0[number_of_channels -1 :0] rx_dpll_hold; + tri1[number_of_channels -1 :0] rx_dpll_enable; + tri0[number_of_channels -1 :0] rx_fifo_reset; + tri0[number_of_channels -1 :0] rx_cda_reset; + tri0[number_of_channels -1 :0] rx_coreclk; + tri0 pll_areset; + +// LOCAL INTEGER DECLARATION + integer count [number_of_channels-1 : 0]; + integer sample; + integer i; + integer i1; + integer i2; + integer i3; + integer i4; + integer i5; + integer j; + integer j1; + integer x; + integer posedge_count; + integer negedge_count; + integer rxin_cnt; + integer start_data; + integer check_deskew_pattern; + +// COMPONENT INSTANTIATIONS + ALTERA_DEVICE_FAMILIES dev (); + +// FUNCTION DECLARATIONS + + // check whether the same pattern is found in the given input data + // for a particular channel. + function pattern_match; + input input_data, deskrew_pattern, dfactor, channel_num; + integer dfactor, channel_num; + reg[(20*10)-1 : 0] input_data; + reg[9 : 0] deskrew_pattern; + reg[9 : 0] input_pattern; + integer i; + begin + + pattern_match = 1; + + for (i = 0; i < deserialization_factor; i = i + 1) + begin + if(input_data[dfactor*channel_num +i] !== deskrew_pattern[i]) + begin + pattern_match = 0; + end + end + end + endfunction // pattern_match + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + fb = 1'b1; + rxin_cnt = 0; + negedge_count = 0; + posedge_count = 0; + start_data = 0; + rx_data_align_reg = 1'b0; + rx_mercury_slow_clock = 1'b0; + calibrate = 0; + deskew_done = {number_of_channels{1'b1}}; + rx_in_latched = {number_of_channels{1'b0}}; + + for (i = 0; i < number_of_channels; i = i + 1) + count[i] = 0; + + rx_out_reg = {REGISTER_WIDTH{1'b0}}; + rx_out_hold = {REGISTER_WIDTH{1'b0}}; + rx_shift_reg = {REGISTER_WIDTH{1'b0}}; + rx_parallel_load_reg = {REGISTER_WIDTH{1'b0}}; + rx_ddio_in = {REGISTER_WIDTH{1'b0}}; + + // Check for illegal mode settings + if ((APEX20KE_RX_STYLE == 1) && (deserialization_factor != 1) && + (deserialization_factor != 4) && (deserialization_factor != 7) && + (deserialization_factor != 8)) + begin + $display ($time, "ps Error: APEX20KE does not support the specified deserialization factor!"); + $finish; + end + else if ((MERCURY_RX_STYLE == 1) && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (((deserialization_factor > 12) && (deserialization_factor != 14) && + (deserialization_factor != 16) && (deserialization_factor != 18) && + (deserialization_factor != 20)) || (deserialization_factor<3))) + begin + $display ($time, "ps Error: MERCURY does not support the specified deserialization factor!"); + $finish; + end + else if ((APEXII_RX_STYLE == 1) && + ((deserialization_factor > 10) || (deserialization_factor < 4)) && + (deserialization_factor != 1) && (deserialization_factor != 2)) + begin + $display ($time, "ps Error: APEXII does not support the specified deserialization factor!"); + $finish; + end + else if ((STRATIX_RX_STYLE == 1) && + (deserialization_factor != 1) && (deserialization_factor != 2) && + ((deserialization_factor > 10) || (deserialization_factor < 4))) + begin + $display ($time, "ps Error: STRATIX or STRATIXGX in non DPA mode does not support the specified deserialization factor!"); + $finish; + end + else if ((STRATIXGX_DPA_RX_STYLE == 1) && (deserialization_factor != 8) && (deserialization_factor != 10)) + begin + $display ($time, "ps Error: STRATIXGX in DPA mode does not support the specified deserialization factor!"); + $finish; + end + + if ((STRATIXII_RX_STYLE == 1) && + (deserialization_factor > 10)) + begin + $display ($time, "ps Error: STRATIX II does not support the specified deserialization factor!"); + $finish; + end + + if ((STRATIXII_RX_STYLE == 1) && + (data_align_rollover > 11)) + begin + $display ($time, "ps Error: STRATIX II does not support data align rollover values > 11 !"); + $finish; + end + + if (CYCLONE_RX_STYLE == 1) + begin + if ((use_external_pll == "ON") && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (deserialization_factor != 4) && (deserialization_factor != 6) && + (deserialization_factor != 8) && (deserialization_factor != 10)) + begin + $display ($time, "ps Error: Cyclone does not support the specified deserialization factor when use_external_pll is 'ON'!"); + $finish; + end + else if ((deserialization_factor > 10) || (deserialization_factor == 3)) + begin + $display ($time, "ps Error: Cyclone does not support the specified deserialization factor when use_external_pll is 'OFF'!"); + $finish; + end + end + + if (CYCLONEII_RX_STYLE == 1) + begin + if ((use_external_pll == "ON") && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (deserialization_factor != 4) && (deserialization_factor != 6) && + (deserialization_factor != 8) && (deserialization_factor != 10)) + begin + $display ($time, "ps Error: Cyclone II does not support the specified deserialization factor when use_external_pll is 'ON'!"); + $finish; + end + else if ((deserialization_factor > 10) || (deserialization_factor == 3)) + begin + $display ($time, "ps Error: Cyclone II does not support the specified deserialization factor when use_external_pll is 'OFF'!"); + $finish; + end + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $finish; + end + + // Initialise calibration pattern variables. Only for APEX20KE and APEXII + if ((APEX20KE_RX_STYLE == 1) && + ((deserialization_factor == 4) || (deserialization_factor == 7) || + (deserialization_factor == 8))) + begin + check_deskew_pattern = 1; + case (deserialization_factor) + 8: deskew_pattern[7:0] = 8'b00111100; + 7: deskew_pattern[6:0] = 7'b0011100; + 4: deskew_pattern[3:0] = 4'b1100; + default ; + endcase + end + else + if (((APEXII_RX_STYLE == 1)) && (deserialization_factor <= 10) && + (deserialization_factor >= 4)) + begin + check_deskew_pattern = 1; + if (cds_mode == "SINGLE_BIT") + begin + case (deserialization_factor) + 10: deskew_pattern[9:0] = 10'b0000011111; + 9: deskew_pattern[8:0] = 9'b000001111; + 8: deskew_pattern[7:0] = 8'b00001111; + 7: deskew_pattern[6:0] = 7'b0000111; + 6: deskew_pattern[5:0] = 6'b000111; + 5: deskew_pattern[4:0] = 5'b00011; + 4: deskew_pattern[3:0] = 4'b0011; + default ; + endcase + end + else + begin + case (deserialization_factor) + 10: deskew_pattern[9:0] = 10'b0101010101; + 9: deskew_pattern[8:0] = 9'b010101010; + 8: deskew_pattern[7:0] = 8'b01010101; + 7: deskew_pattern[6:0] = 7'b0101010; + 6: deskew_pattern[5:0] = 6'b010101; + 5: deskew_pattern[4:0] = 5'b01010; + 4: deskew_pattern[3:0] = 4'b0101; + default ; + endcase + end + end + else check_deskew_pattern = 0; + + end //INITIALIZATION + + // NCSIM will only assigns 1'bZ to unconnected port at time 0fs + 1 + initial #0 + begin + if ((STRATIXII_RX_STYLE == 1) && + (rx_channel_data_align === {number_of_channels{1'bZ}}) && + (rx_data_align !== 1'bZ)) + begin + $display("Warning : Data alignment on Stratix II devices introduces one bit of latency for each assertion of the data alignment signal. In comparison, Stratix and Stratix GX devices remove one bit of latency for each assertion."); + end + end + +// COMPONENT INSTANTIATIONS + + // pll for device families other than Stratix, Stratix GX and Stratix II + altclklock u0 ( + .inclock(altclklock_inclock), + .inclocken(rx_pll_enable), + .fbin(fb), + .clock0(altclklock_fastclk), + .clock1(altclklock_slowclk), + .clock2(unused_clk2), + .clock_ext(unused_clk_ext), + .locked(altclklock_locked)); + + defparam + u0.inclock_period = CLOCK_PERIOD, + u0.clock0_boost = INT_CLOCK_BOOST, + u0.clock1_boost = INT_CLOCK_BOOST, + u0.clock1_divide = deserialization_factor, + u0.valid_lock_cycles = (APEXII_RX_STYLE == 1) ? 1 : + (MERCURY_RX_STYLE == 1) ? 3 : 5, + u0.intended_device_family = ((APEX20KE_RX_STYLE == 1 ) || + (APEXII_RX_STYLE == 1 ) || + (MERCURY_RX_STYLE == 1 )) + ? intended_device_family + : "APEX20KE"; + + // pll for Stratix and Stratix GX + MF_stratix_pll u1 ( + .inclk(stratix_pll_inclock), // Required + .ena(stratix_pll_enable), + .areset(stratix_pll_areset), + .clkena(6'b111111), + .clk (stratix_pll_outclock), + .locked(stratix_locked), + .fbin(1'b1), + .clkswitch(1'b0), + .pfdena(1'b1), + .extclkena(4'b0), + .scanclk(1'b0), + .scanaclr(1'b0), + .scandata(1'b0), + .comparator(rx_data_align_int), + .extclk(), + .clkbad(), + .enable0(stratix_enable0), + .enable1(stratix_enable1), + .activeclock(), + .clkloss(), + .scandataout()); + + defparam + u1.pll_type = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? "flvds" + : "lvds", + u1.inclk0_input_frequency = CLOCK_PERIOD, + u1.inclk1_input_frequency = CLOCK_PERIOD, + u1.valid_lock_multiplier = 1, + u1.clk0_multiply_by = STRATIX_INCLOCK_BOOST, + u1.clk0_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE + : 1, + u1.clk1_multiply_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? STRATIX_INCLOCK_BOOST + : 1, + u1.clk1_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? PLL_D_VALUE*deserialization_factor + : 1, + u1.clk2_multiply_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? STRATIX_INCLOCK_BOOST *2 + : STRATIX_INCLOCK_BOOST, + u1.clk2_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((deserialization_factor%2 == 0) + ? PLL_D_VALUE*deserialization_factor/2 + : PLL_D_VALUE*deserialization_factor) + : deserialization_factor, + u1.clk0_phase_shift_num = PHASE_SHIFT, + u1.clk1_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? PHASE_SHIFT + : 1, + u1.clk2_phase_shift_num = PHASE_SHIFT, + u1.simulation_type = "functional", + u1.m = 0; + + + // pll for Stratix II + MF_stratixii_pll u2 ( + .inclk(stratixii_pll_inclock), // Required + .ena(stratixii_pll_enable), + .areset(stratixii_pll_areset), + .clk (stratixii_pll_outclock ), + .locked(stratixii_locked), + .fbin(1'b1), + .clkswitch(1'b0), + .pfdena(1'b1), + .scanclk(1'b0), + .scanread(1'b0), + .scanwrite(1'b0), + .scandata(1'b0), + .testin(4'b0), + .clkbad(), + .enable0(stratixii_enable0), + .enable1(stratixii_enable1), + .activeclock(), + .clkloss(), + .scandataout(), + .scandone(), + .sclkout({stratixii_sclkout1, stratixii_sclkout0}), + .testupout(), + .testdownout()); + + defparam + u2.pll_type = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? "flvds" + : "lvds", + u2.vco_multiply_by = STRATIX_INCLOCK_BOOST, + u2.vco_divide_by = 1, + u2.inclk0_input_frequency = CLOCK_PERIOD, + u2.inclk1_input_frequency = CLOCK_PERIOD, + u2.clk0_multiply_by = STRATIX_INCLOCK_BOOST, + u2.clk0_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE + : deserialization_factor, + u2.clk1_multiply_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? STRATIX_INCLOCK_BOOST + : 1, + u2.clk1_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? PLL_D_VALUE*deserialization_factor + : 1, + u2.clk2_multiply_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? STRATIX_INCLOCK_BOOST *2 + : STRATIX_INCLOCK_BOOST, + u2.clk2_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((deserialization_factor%2 == 0) + ? PLL_D_VALUE*deserialization_factor/2 + : PLL_D_VALUE*deserialization_factor) + : deserialization_factor, + u2.clk0_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_SHIFT + : STXII_PHASE_SHIFT, + u2.clk1_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (deserialization_factor%2 == 1) + ? PHASE_SHIFT + : 1, + u2.clk2_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_SHIFT + : STXII_PHASE_SHIFT, + u2.sclkout0_phase_shift = STXII_PHASE_SHIFT, + u2.simulation_type = "functional", + u2.m = 0; + + + // Stratix lvds receiver + stratix_lvds_rx u3 ( + .rx_in(rx_in), + .rx_fastclk(stratix_fastclk), + .rx_enable0(stratix_enable0), + .rx_enable1(stratix_enable1), + .rx_out(stratix_dataout)); + + defparam + u3.number_of_channels = number_of_channels, + u3.deserialization_factor = deserialization_factor; + + // Stratixgx lvds receiver with DPA mode + stratixgx_dpa_lvds_rx u4 ( + .rx_in(rx_in), + .rx_fastclk(stratixgx_fastclk), + .rx_slowclk(stratixgx_slowclk), + .rx_coreclk(stratixgx_coreclk), + .rx_locked(stratix_locked), + .rx_reset(rx_reset), + .rx_dpll_reset(rx_dpll_reset), + .rx_channel_data_align(rx_channel_data_align_int), + .rx_out(stratixgx_dataout), + .rx_dpa_locked(stratixgx_dpa_locked)); + + defparam + u4.number_of_channels = number_of_channels, + u4.deserialization_factor = deserialization_factor, + u4.use_coreclock_input = use_coreclock_input, + u4.enable_dpa_fifo = enable_dpa_fifo, + u4.registered_output = registered_output; + + + // Stratix II lvds receiver + stratixii_lvds_rx u5 ( + .rx_in(rx_in), + .rx_reset(rx_reset), + .rx_fastclk(stratixii_fastclk), + .rx_enable(stratixii_enable), + .rx_locked(stratixii_locked), + .rx_dpll_reset(rx_dpll_reset), + .rx_dpll_hold(rx_dpll_hold), + .rx_dpll_enable(rx_dpll_enable), + .rx_fifo_reset(rx_fifo_reset), + .rx_channel_data_align(rx_channel_data_align_int), + .rx_cda_reset(rx_cda_reset), + .rx_out(stratixii_dataout), + .rx_dpa_locked(stratixii_dpa_locked), + .rx_cda_max(rx_cda_max)); + + defparam + u5.number_of_channels = number_of_channels, + u5.deserialization_factor = deserialization_factor, + u5.enable_dpa_mode = enable_dpa_mode, + u5.data_align_rollover = data_align_rollover, + u5.lose_lock_on_one_change = lose_lock_on_one_change, + u5.reset_fifo_at_first_lock = reset_fifo_at_first_lock; + + // flexible lvds receiver + flexible_lvds_rx u6 ( + .rx_in(rx_in), + .rx_fastclk(flvds_fastclk), + .rx_slowclk(flvds_slowclk), + .rx_syncclk(flvds_syncclk), + .rx_locked(rx_locked_int), + .rx_out(flvds_dataout)); + + defparam + u6.number_of_channels = number_of_channels, + u6.deserialization_factor = deserialization_factor, + u6.use_extra_ddio_register = (CYCLONE_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1) ? "YES" : "NO", + u6.use_extra_pll_clk = (CYCLONE_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1) ? "NO" : "YES"; + + + +// ALWAYS CONSTRUCT BLOCK + + // For x2 mode. Data input is sampled in both the rising edge and falling + // edge of input clock. + always @(posedge rx_inclock) + begin : DDIO_IN + if (deserialization_factor == 2) + begin + for (i1 = 0; i1 <= number_of_channels-1; i1 = i1+1) + begin + rx_ddio_in[(i1*2)+1] <= rx_in[i1]; + rx_ddio_in[(i1*2)] <= rx_in_latched[i1]; + end + end + end // DDIO_IN + + always @(negedge rx_inclock) + begin : DDIO_IN_LATCH + if ((deserialization_factor == 2) && ($time > 0)) + begin + rx_in_latched <= rx_in; + end + end // DDIO_IN_LATCH + + // Activate calibration mode + always @ (posedge rx_deskew) + begin : CALIBRATION + deskew_done <= {number_of_channels{1'b0}}; + calibrate <= 1'b1; + end // CALIBRATION + + // slow clock + always @ (posedge rx_slowclk) + begin : SLOW_CLOCK + negedge_count <= 0; + + // In order to assure that the circuit is capturing data accurately + // the user must calibrate the LVDS data channels by asserting a + // deskew signal and applying the appropriate calibration value for + // 3 clock cycles to deskew the channel after 3 clock cycles. + if (check_deskew_pattern == 1) + begin + if (calibrate == 1'b1) + begin + for (j = 0; j <= number_of_channels-1; j = j+1) + begin + if (pattern_match(pattern, deskew_pattern[deserialization_factor-1:0], deserialization_factor, j) || + (pattern_match(pattern, ~deskew_pattern[deserialization_factor-1:0], deserialization_factor, j) && + (APEXII_RX_STYLE == 1) && + (cds_mode == "MULTIPLE_BIT"))) + begin + count[j] <= count[j] + 1; + + if (count[j] >= 2) + deskew_done[j] <= 1'b1; + + end + else + count[j] <= 0; + + end + end + end + + if (rx_deskew == 0) + calibrate <= 1'b0; + + end // SLOW_CLOCK + + // Fast clock (on falling edge) + always @ (negedge rx_fastclk) + begin : FAST_CLOCK_NEGEDGE + if(rx_locked_int == 1) + begin + + negedge_count <= negedge_count + 1; + + // For APEX and Mercury families, load data on the + // 3rd negative edge of the fast clock + if (negedge_count == 2) + begin + + if (rx_deskew == 0) + rx_parallel_load_reg <= rx_shift_reg; + + sample <= 1; + + for (i4= 0; i4 < number_of_channels; i4 = i4+1) + begin + if (deskew_done[i4] == 1) + begin + if(APEXII_RX_STYLE == 1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + rx_shift_reg[x + (i4 * deserialization_factor)] <= rx_shift_reg [x-1 + (i4 * deserialization_factor)]; + rx_shift_reg[i4 * deserialization_factor] <= rx_in[i4]; + end + else + // Data gets shifted into MSB first + rx_shift_reg[(i4+1)*deserialization_factor-1] <= rx_in[i4]; + end + else + begin + if(APEXII_RX_STYLE == 1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + pattern[x + (i4 * deserialization_factor)] <= pattern [x-1 + (i4 * deserialization_factor)]; + pattern[i4 * deserialization_factor] <= rx_in[i4]; + end + else + pattern[(i4+1)*deserialization_factor-1] <= rx_in[i4]; + rx_shift_reg[(i4+1)*deserialization_factor-1] <= 'bx; + end + end + + end + else + begin + // Loading input data to shift register + sample <= (sample + 1) % deserialization_factor; + + for (i4= 0; i4 < number_of_channels; i4 = i4+1) + begin + if (deskew_done[i4] == 1) + begin + if(APEXII_RX_STYLE == 1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + rx_shift_reg[x + (i4 * deserialization_factor)] <= rx_shift_reg [x-1 + (i4 * deserialization_factor)]; + rx_shift_reg[i4 * deserialization_factor] <= rx_in[i4]; + end + else + // Data gets shifted into MSB first + rx_shift_reg[(i4+1)*deserialization_factor-sample-1] <= rx_in[i4]; + end + else + begin + if(APEXII_RX_STYLE == 1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + pattern[x + (i4 * deserialization_factor)] <= pattern [x-1 + (i4 * deserialization_factor)]; + pattern[i4 * deserialization_factor] <= rx_in[i4]; + end + else + pattern[(i4+1)*deserialization_factor-sample-1] <= rx_in[i4]; + rx_shift_reg[(i4+1)*deserialization_factor-sample-1] <= 'bx; + end + end + end + end + end + + // Fast clock (on rising edge) + always @ (posedge rx_fastclk) + begin : FAST_CLOCK_POSEDGE + if(rx_locked_int == 1) + begin + if (deserialization_factor > 2) + begin + posedge_count <= (posedge_count+1) % deserialization_factor; + + // Generating slow clock for MERCURY + if (posedge_count % ((deserialization_factor+1)/2) == 0) + begin + rx_mercury_slow_clock <= ~rx_mercury_slow_clock; + end + end + end + end // FAST_CLOCK + + // synchronization register + always @ (posedge rx_reg_clk) + begin : SYNC_REGISTER + rx_out_reg <= rx_out_int; + end // SYNC_REGISTER + + // hold register + always @ (negedge rx_hold_clk) + begin : HOLD_REGISTER + if (deserialization_factor > 1) + begin + rx_out_hold <= rx_parallel_load_reg; + end + end // HOLD_REGISTER + + // Registering rx_data_align signal for stratix II lvds_rx. + always @ (posedge rx_data_align_clk) + begin + rx_data_align_reg <= rx_data_align_pulldown; + end + +// CONTINOUS ASSIGNMENT + assign rx_out = (STRATIXGX_DPA_RX_STYLE == 1) + ? stratixgx_dataout : + (registered_output == "ON") + ? rx_out_reg + : rx_out_int; + + assign rx_out_int = (deserialization_factor == 1) + ? rx_in : + (deserialization_factor == 2) + ? rx_ddio_in : + (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? flvds_dataout : + (STRATIX_RX_STYLE == 1) + ? stratix_dataout : + (STRATIXII_RX_STYLE == 1) + ? stratixii_dataout : + (RX_NEED_HOLD_REG == 1) + ? rx_out_hold + : rx_parallel_load_reg; + + assign rx_reg_clk = (use_external_pll == "ON") + ? rx_inclock + : {number_of_channels{rx_outclk_int}}; + + assign rx_hold_clk = rx_outclk_int; + + assign rx_outclock = rx_outclk_int; + + assign rx_outclk_int = (deserialization_factor <= 2) + ? rx_inclock : + (MERCURY_RX_STYLE == 1) + ? rx_mercury_slow_clock + : rx_slowclk; + + assign rx_slowclk = ((STRATIX_RX_STYLE == 1) || + (STRATIXGX_DPA_RX_STYLE == 1) || + (CYCLONE_RX_STYLE == 1)) + ? stratix_pll_outclock[2] : + ((STRATIXII_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1)) + ? stratixii_pll_outclock[2] + : altclklock_slowclk; + + assign rx_fastclk = altclklock_fastclk; + + assign rx_locked = (deserialization_factor > 2) + ? rx_locked_int + : 1'b1; + + assign rx_locked_int = ((STRATIX_RX_STYLE == 1) || + (STRATIXGX_DPA_RX_STYLE == 1) || + (CYCLONE_RX_STYLE == 1)) + ? stratix_locked : + ((STRATIXII_RX_STYLE == 1) || + (CYCLONEII_RX_STYLE == 1)) + ? stratixii_locked + : altclklock_locked; + + assign rx_dpa_locked = (STRATIXGX_DPA_RX_STYLE == 1) + ? stratixgx_dpa_locked : + (STRATIXII_RX_STYLE == 1) + ? stratixii_dpa_locked + : {number_of_channels{1'b1}}; + + assign rx_data_align_pulldown = (port_rx_data_align == "PORT_USED") + ? rx_data_align : + (port_rx_data_align == "PORT_UNUSED") + ? 1'b0 : + (rx_data_align !== 1'bz) + ? rx_data_align : + 1'b0; + + assign rx_data_align_int = (registered_data_align_input == "ON") + ? rx_data_align_reg + : rx_data_align_pulldown; + + assign rx_channel_data_align_int = + (rx_channel_data_align !== {number_of_channels{1'bZ}}) + ? rx_channel_data_align : + (STRATIXII_RX_STYLE == 1) + ? {number_of_channels{rx_data_align_int}} + : {number_of_channels{1'b0}}; + + assign rx_data_align_clk = ((STRATIX_RX_STYLE == 1) || + (STRATIXGX_DPA_RX_STYLE == 1)) + ? stratix_pll_outclock[2] : + (STRATIXII_RX_STYLE == 1) + ? stratixii_pll_outclock[2] + : 1'b0; + + assign altclklock_inclock = (APEX20KE_RX_STYLE == 1) || + (APEXII_RX_STYLE == 1) || + (MERCURY_RX_STYLE == 1) + ? rx_inclock + : 1'b0; + + assign stratix_pll_inclock[1:0] = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? {1'b0, rx_inclock} + : {2{1'b0}}; + + assign stratix_pll_enable = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? rx_pll_enable + : 1'b0; + + assign stratix_pll_areset = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? pll_areset + : 1'b0; + + assign stratix_fastclk = (STRATIX_RX_STYLE == 1) && (implement_in_les == "OFF") + ? stratix_pll_outclock[0] + : 1'b0; + + assign stratix_slowclk = (STRATIX_RX_STYLE == 1) && (implement_in_les == "OFF") + ? stratix_pll_outclock[2] + : 1'b0; + + assign stratixgx_fastclk = (STRATIXGX_DPA_RX_STYLE == 1) && (implement_in_les == "OFF") + ? stratix_pll_outclock[0] + : 1'b0; + + assign stratixgx_slowclk = (STRATIXGX_DPA_RX_STYLE == 1) && (implement_in_les == "OFF") + ? stratix_pll_outclock[2] + : 1'b0; + + assign stratixgx_coreclk = (STRATIXGX_DPA_RX_STYLE == 1) && (implement_in_les == "OFF") + ? rx_coreclk + : {number_of_channels{1'b0}}; + + assign stratixii_pll_inclock[1:0] = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? {1'b0, rx_inclock} + : {2{1'b0}}; + + assign stratixii_pll_enable = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? rx_pll_enable + : 1'b0; + + assign stratixii_pll_areset = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? pll_areset + : 1'b0; + assign stratixii_fastclk = (STRATIXII_RX_STYLE == 0) && (implement_in_les == "OFF") + ? 1'b0 : + (use_external_pll == "ON") + ? rx_inclock + : stratixii_sclkout0; + + assign stratixii_enable = (STRATIXII_RX_STYLE == 0) && (implement_in_les == "OFF") + ? 1'b0 : + (use_external_pll == "ON") + ? rx_enable + : stratixii_enable0; + + assign flvds_fastclk = ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? rx_inclock + : stratix_pll_outclock[0]) : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? rx_inclock + : stratixii_pll_outclock[0]) + : 1'b0; + + assign flvds_slowclk = ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratix_pll_outclock[2]) : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratixii_pll_outclock[2]) + : 1'b0; + + assign flvds_syncclk = ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratix_pll_outclock[1]) : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratixii_pll_outclock[1]) + : 1'b0; + +endmodule // altlvds_rx +// END OF MODULE + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : stratix_lvds_rx +// +// Description : Stratix lvds receiver +// +// Limitation : Only available to Stratix and stratix GX (NON DPA mode) +// families. +// +// Results expected: Deserialized output data. +// +//END_MODULE_NAME---------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module stratix_lvds_rx ( + rx_in, // input serial data + rx_fastclk, // fast clock from pll + rx_enable0, + rx_enable1, + rx_out // deserialized output data +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + +// LOCAL PARAMETER DECLARATION + parameter REGISTER_WIDTH = deserialization_factor*number_of_channels; + +// INPUT PORT DECLARATION + input [number_of_channels -1 :0] rx_in; + input rx_fastclk; + input rx_enable0; + input rx_enable1; + +// OUTPUT PORT DECLARATION + output [REGISTER_WIDTH -1: 0] rx_out; + +// INTERNAL REGISTERS DECLARATION + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] rx_parallel_load_reg; + reg [REGISTER_WIDTH -1 : 0] rx_out_hold; + reg enable0_reg; + reg enable0_reg1; + reg enable0_neg; + reg enable1_reg; + +// INTERNAL WIRE DECLARATION + wire rx_hold_clk; + +// LOCAL INTEGER DECLARATION + integer i1; + integer x; + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + rx_shift_reg = {REGISTER_WIDTH{1'b0}}; + rx_parallel_load_reg = {REGISTER_WIDTH{1'b0}}; + rx_out_hold = {REGISTER_WIDTH{1'b0}}; + end //INITIALIZATION + +// ALWAYS CONSTRUCT BLOCK + + // registering load enable signal + always @ (posedge rx_fastclk) + begin : LOAD_ENABLE + enable0_reg1 <= enable0_reg; + enable0_reg <= rx_enable0; + enable1_reg <= rx_enable1; + end // LOAD_ENABLE + + // Fast clock (on falling edge) + always @ (negedge rx_fastclk) + begin : NEGEDGE_FAST_CLOCK + + // load data when the registered load enable signal is high + if (enable0_neg == 1) + rx_parallel_load_reg <= rx_shift_reg; + + // Loading input data to shift register + for (i1= 0; i1 < number_of_channels; i1 = i1+1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + rx_shift_reg[x + (i1 * deserialization_factor)] <= rx_shift_reg [x-1 + (i1 * deserialization_factor)]; + rx_shift_reg[i1 * deserialization_factor] <= rx_in[i1]; + end + + enable0_neg <= enable0_reg1; + + end // NEGEDGE_FAST_CLOCK + + // Holding register + always @ (posedge rx_hold_clk) + begin : HOLD_REGISTER + rx_out_hold <= rx_parallel_load_reg; + end // HOLD_REGISTER + +// CONTINOUS ASSIGNMENT + assign rx_out = rx_out_hold; + assign rx_hold_clk = enable1_reg; + + +endmodule // stratix_lvds_rx +// END OF MODULE + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : stratixgx_dpa_lvds_rx +// +// Description : Stratix GX lvds receiver. +// +// Limitation : Only available in Stratix GX families. +// +// Results expected: Deserialized output data and dpa locked signal. +// +//END_MODULE_NAME---------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module stratixgx_dpa_lvds_rx ( + rx_in, + rx_fastclk, + rx_slowclk, + rx_locked, + rx_coreclk, + rx_reset, + rx_dpll_reset, + rx_channel_data_align, + rx_out, + rx_dpa_locked +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + parameter use_coreclock_input = "OFF"; + parameter enable_dpa_fifo = "ON"; + parameter registered_output = "ON"; + +// LOCAL PARAMETER DECLARATION + parameter REGISTER_WIDTH = deserialization_factor*number_of_channels; + +// INPUT PORT DECLARATION + input [number_of_channels -1 :0] rx_in; + input rx_fastclk; + input rx_slowclk; + input rx_locked; + input [number_of_channels -1 :0] rx_coreclk; + input [number_of_channels -1 :0] rx_reset; + input [number_of_channels -1 :0] rx_dpll_reset; + input [number_of_channels -1 :0] rx_channel_data_align; + +// OUTPUT PORT DECLARATION + output [REGISTER_WIDTH -1: 0] rx_out; + output [number_of_channels -1: 0] rx_dpa_locked; + +// INTERNAL REGISTERS DECLARATION + + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] rx_parallel_load_reg; + reg [number_of_channels -1 : 0] rx_in_reg; + reg [number_of_channels -1 : 0] dpa_in; + reg [number_of_channels -1 : 0] retime_data; + + reg [REGISTER_WIDTH -1 : 0] ram_array0; + reg [REGISTER_WIDTH -1 : 0] ram_array1; + reg [REGISTER_WIDTH -1 : 0] ram_array2; + reg [REGISTER_WIDTH -1 : 0] ram_array3; + reg [2 : 0] wrPtr [number_of_channels -1 : 0]; + reg [2 : 0] rdPtr [number_of_channels -1 : 0]; + reg [3 : 0] bitslip_count [number_of_channels -1 : 0]; + reg [3 : 0] bitslip_count_pre [number_of_channels -1 : 0]; + + reg [REGISTER_WIDTH -1 : 0] rxpdat2; + reg [REGISTER_WIDTH -1 : 0] rxpdat3; + reg [REGISTER_WIDTH -1 : 0] rxpdatout; + reg [REGISTER_WIDTH -1 : 0] fifo_data_out; + reg [REGISTER_WIDTH -1 : 0] rx_out_reg; + reg [number_of_channels -1 : 0] dpagclk_pre; + reg [number_of_channels -1 : 0] rx_channel_data_align_pre; + reg [number_of_channels -1 : 0] fifo_write_clk_pre; + reg [number_of_channels -1 : 0] clkout_tmp; + reg [number_of_channels -1 : 0] sync_reset; + +// INTERNAL WIRE DECLARATION + wire [number_of_channels -1:0] dpagclk; + wire[number_of_channels -1:0] fifo_write_clk; + wire [REGISTER_WIDTH -1 : 0] rx_out_int; + wire [REGISTER_WIDTH -1 : 0] serdes_data_out; + wire [REGISTER_WIDTH -1 : 0] fifo_data_in; + wire [REGISTER_WIDTH -1 : 0] rxpdat1; + +// INTERNAL TRI DECLARATION + tri0[number_of_channels -1 :0] rx_reset; + tri0[number_of_channels -1 :0] rx_dpll_reset; + tri0[number_of_channels -1 :0] rx_channel_data_align; + tri0[number_of_channels -1 :0] rx_coreclk; + +// LOCAL INTEGER DECLARATION + integer i; + integer i0; + integer i1; + integer i2; + integer i3; + integer i4; + integer i5; + integer i6; + integer i7; + integer i8; + integer j; + integer j1; + integer j2; + integer j3; + integer k; + integer x; + integer negedge_count; + + integer fastclk_posedge_count [number_of_channels -1: 0]; + integer fastclk_negedge_count [number_of_channels - 1 : 0]; + integer bitslip_count_reg [number_of_channels -1: 0]; + + +// COMPONENT INSTANTIATIONS +// ALTERA_DEVICE_FAMILIES dev (); + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + rxpdat2 = {REGISTER_WIDTH{1'b0}}; + rxpdat3 = {REGISTER_WIDTH{1'b0}}; + rxpdatout = {REGISTER_WIDTH{1'b0}}; + rx_out_reg = {REGISTER_WIDTH{1'b0}}; + + ram_array0 = {REGISTER_WIDTH{1'b0}}; + ram_array1 = {REGISTER_WIDTH{1'b0}}; + ram_array2 = {REGISTER_WIDTH{1'b0}}; + ram_array3 = {REGISTER_WIDTH{1'b0}}; + + rx_in_reg = {number_of_channels{1'b0}}; + dpa_in = {number_of_channels{1'b0}}; + retime_data = {number_of_channels{1'b0}}; + + rx_channel_data_align_pre = {number_of_channels{1'b0}}; + clkout_tmp = {number_of_channels{1'b0}}; + sync_reset = {number_of_channels{1'b0}}; + + rx_shift_reg = {REGISTER_WIDTH{1'b0}}; + rx_parallel_load_reg = {REGISTER_WIDTH{1'b0}}; + fifo_data_out = {REGISTER_WIDTH{1'b0}}; + + for (i = 0; i < number_of_channels; i = i + 1) + begin + wrPtr[i] = 0; + rdPtr[i] = 2; + bitslip_count[i] = 0; + bitslip_count_reg[i] = 0; + fastclk_posedge_count[i] = 0; + fastclk_negedge_count[i] = 0; + end + + end //INITIALIZATION + + +// ALWAYS CONSTRUCT BLOCK + + //deserializer logic + always @ (posedge dpagclk) + begin : DPA_SERDES_SLOWCLK + + for(i0 = 0; i0 <=number_of_channels -1; i0=i0+1) + begin + if ((dpagclk[i0] == 1'b1) && (dpagclk_pre[i0] == 1'b0)) + begin + + if ((rx_reset[i0] == 1'b1) || (rx_dpll_reset[i0] == 1'b1)) + sync_reset[i0] <= 1'b1; + else + sync_reset[i0] <= 1'b0; + + // add 1 ps delay to ensure that when the rising edge of + // global clock(core clock) happens at the same time of falling + // edge of fast clock, the count for the next falling edge of + // fast clock is start at 1. + fastclk_negedge_count[i0] <= #1 0; + end + end + end // DPA_SERDES_SLOW_CLOCK + + + always @ (posedge rx_fastclk) + begin : DPA_SERDES_POSEDGE_FASTCLK + for(i1 = 0; i1 <=number_of_channels -1; i1=i1+1) + begin + if (fastclk_negedge_count[i1] == 2) + rx_parallel_load_reg <= rx_shift_reg; + + if (sync_reset[i1] == 1'b1) + begin + fastclk_posedge_count[i1] <= 0; + clkout_tmp[i1] <= 1'b0; + end + else + begin + if (fastclk_posedge_count[i1] % (deserialization_factor / 2) == 0) + begin + fastclk_posedge_count[i1] <= 1; + clkout_tmp[i1] <= !clkout_tmp[i1]; + end + + fastclk_posedge_count[i1] <= (fastclk_posedge_count[i1] + 1) % deserialization_factor; + end + end + end // DPA_SERDES_POSEDGE_FAST_CLOCK + + always @ (negedge rx_fastclk) + begin : DPA_SERDES_NEGEDGE_FAST_CLOCK + if (rx_fastclk == 1'b0) + begin + for (i2 = 0; i2 <= number_of_channels -1; i2 = i2+1) + begin + // Data gets shifted into MSB first. + for (x=deserialization_factor-1; x > 0; x=x-1) + rx_shift_reg[x + (i2 * deserialization_factor)] <= rx_shift_reg [x-1 + (i2 * deserialization_factor)]; + + rx_shift_reg[i2 * deserialization_factor] <= retime_data[i2]; + retime_data <= rx_in; + + fastclk_negedge_count[i2] <= (fastclk_negedge_count[i2] + 1) ; + end + end + end // DPA_SERDES_NEGEDGE_FAST_CLOCK + + //phase compensation FIFO + always @ (posedge fifo_write_clk) + begin : DPA_FIFO_WRITE_CLOCK + if ((enable_dpa_fifo == "ON") && (rx_locked == 1'b1)) + begin + for (i3 = 0; i3 <= number_of_channels-1; i3 = i3+1) + begin + if(sync_reset[i3] == 1'b1) + wrPtr[i3] <= 0; + else if ((fifo_write_clk[i3] == 1'b1) && (fifo_write_clk_pre[i3] == 1'b0)) + begin + case (wrPtr[i3]) + 3'b000: + for (j = i3*deserialization_factor; j <= (i3+1)*deserialization_factor -1; j=j+1) + ram_array0[j] <= fifo_data_in[j]; + + 3'b001: + for (j = i3*deserialization_factor; j <= (i3+1)*deserialization_factor -1; j=j+1) + ram_array1[j] <= fifo_data_in[j]; + 3'b010: + for (j = i3*deserialization_factor; j <= (i3+1)*deserialization_factor -1; j=j+1) + ram_array2[j] <= fifo_data_in[j]; + 3'b011: + for (j = i3*deserialization_factor; j <= (i3+1)*deserialization_factor -1; j=j+1) + ram_array3[j] <= fifo_data_in[j]; + default: + $display ("Error! Invalid wrPtr value."); + endcase + wrPtr[i3] <= (wrPtr[i3] + 1) % 4; + end + end + end + end // DPA_FIFO_WRITE_CLOCK + + always @ (negedge fifo_write_clk) + begin + for (i6 = 0; i6 <= number_of_channels-1; i6 = i6+1) + begin + if (fifo_write_clk[i6] == 1'b0) + fifo_write_clk_pre[i6] <= fifo_write_clk[i6]; + end + end + + always @ (posedge dpagclk) + begin : DPA_FIFO_SLOW_CLOCK + + if((enable_dpa_fifo == "ON") ) + begin + for (i4 = 0; i4 <= number_of_channels-1; i4 = i4+1) + begin + if ((dpagclk[i4] == 1'b1) && (dpagclk_pre[i4] == 1'b0)) + begin + if ((rx_reset[i4] == 1'b1) || (rx_dpll_reset[i4] == 1'b1) || (sync_reset[i4] == 1'b1)) + begin + for (j1 = i4*deserialization_factor; j1 <= (i4+1)*deserialization_factor -1; j1=j1+1) + begin + fifo_data_out[j1] <= 1'b0; + ram_array0[j1] <= 1'b0; + ram_array1[j1] <= 1'b0; + ram_array2[j1] <= 1'b0; + ram_array3[j1] <= 1'b0; + end + + wrPtr[i4] <= 0; + rdPtr[i4] <= 2; + end + else + begin + case (rdPtr[i4]) + 3'b000: + for (j1 = i4*deserialization_factor; j1 <= (i4+1)*deserialization_factor -1; j1=j1+1) + fifo_data_out[j1] <= ram_array0[j1]; + 3'b001: + for (j1 = i4*deserialization_factor; j1 <= (i4+1)*deserialization_factor -1; j1=j1+1) + fifo_data_out[j1] <= ram_array1[j1]; + 3'b010: + for (j1 = i4*deserialization_factor; j1 <= (i4+1)*deserialization_factor -1; j1=j1+1) + fifo_data_out[j1] <= ram_array2[j1]; + 3'b011: + for (j1 = i4*deserialization_factor; j1 <= (i4+1)*deserialization_factor -1; j1=j1+1) + fifo_data_out[j1] <= ram_array3[j1]; + default: + $display ("Error! Invalid rdPtr value."); + endcase + + rdPtr[i4] <= (rdPtr[i4] + 1) % 4; + end + end + end + end + end // DPA_FIFO_SLOW_CLOCK + + + //bit-slipping logic + always @ (posedge dpagclk) + begin : DPA_BIT_SLIP + + for (i5 = 0; i5 <= number_of_channels-1; i5 = i5 + 1) + begin + if ((dpagclk[i5] == 1'b1) && (dpagclk_pre[i5] == 1'b0)) + begin + if ((sync_reset[i5] == 1'b1) || (rx_reset[i5] == 1'b1) || + (rx_dpll_reset[i5] == 1'b1)) + begin + for(j2 = deserialization_factor*i5; j2 <= deserialization_factor*(i5+1) -1; j2=j2+1) + begin + rxpdat2[j2] <= 1'b0; + rxpdat3[j2] <= 1'b0; + rxpdatout[j2] <= 1'b0; + end + bitslip_count[i5] <= 0; + bitslip_count_reg[i5] <= 0; + end + else + begin + if ((rx_channel_data_align[i5] == 1'b1) && (rx_channel_data_align_pre[i5] == 1'b0)) + bitslip_count[i5] <= (bitslip_count[i5] + 1) % deserialization_factor; + + bitslip_count_reg[i5] <= bitslip_count[i5]; + + rxpdat2 <= rxpdat1; + rxpdat3 <= rxpdat2; + + for(j2 = deserialization_factor*i5 + bitslip_count_reg[i5]; j2 <= deserialization_factor*(i5+1) -1; j2=j2+1) + rxpdatout[j2] <= rxpdat3[j2-bitslip_count_reg[i5]]; + + for(j2 = deserialization_factor*i5 ; j2 <= deserialization_factor*i5 + bitslip_count_reg[i5] -1; j2=j2+1) + rxpdatout[j2] <= rxpdat2[j2+ deserialization_factor -bitslip_count_reg[i5]]; + end + rx_channel_data_align_pre[i5] <= rx_channel_data_align[i5]; + end + end + end // DPA_BIT_SLIP + + // synchronization register + always @ (posedge dpagclk) + begin : SYNC_REGISTER + for (i8 = 0; i8 < number_of_channels; i8 = i8+1) + begin + if ((dpagclk[i8] == 1'b1) && (dpagclk_pre[i8] == 1'b0)) + begin + for (j3 = 0; j3 < deserialization_factor; j3 = j3+1) + rx_out_reg[i8*deserialization_factor + j3] <= rxpdatout[i8*deserialization_factor + j3]; + end + end + end // SYNC_REGISTER + + // store previous value of the global clocks + always @ (dpagclk) + begin + dpagclk_pre <= dpagclk; + end + + // CONTINOUS ASSIGNMENT + assign dpagclk = (use_coreclock_input == "ON") ? rx_coreclk : {number_of_channels{rx_slowclk}}; + assign rxpdat1 = (enable_dpa_fifo == "ON") ? fifo_data_out : serdes_data_out; + assign serdes_data_out = rx_parallel_load_reg; + assign fifo_data_in = serdes_data_out; + assign fifo_write_clk = clkout_tmp; + assign rx_dpa_locked = {number_of_channels {1'b1}}; + assign rx_out = (registered_output == "ON") ? rx_out_reg : rxpdatout; + + +endmodule // stratixgx_dpa_lvds_rx +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------- +// +// Module Name : stratixii_lvds_rx +// +// Description : Stratix II lvds receiver. Support both the dpa and non-dpa +// mode. +// +// Limitation : Only available to Stratix II. +// +// Results expected: Deserialized output data, dpa lock signal and status bit +// indicating whether maximum bitslip has been reached. +// +//END_MODULE_NAME--------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module stratixii_lvds_rx ( + rx_in, + rx_reset, + rx_fastclk, + rx_enable, + rx_locked, + rx_dpll_reset, + rx_dpll_hold, + rx_dpll_enable, + rx_fifo_reset, + rx_channel_data_align, + rx_cda_reset, + rx_out, + rx_dpa_locked, + rx_cda_max +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + parameter enable_dpa_mode = "OFF"; + parameter data_align_rollover = deserialization_factor; + parameter lose_lock_on_one_change = "OFF"; + parameter reset_fifo_at_first_lock = "ON"; + +// LOCAL PARAMETER DECLARATION + parameter REGISTER_WIDTH = deserialization_factor*number_of_channels; + parameter MUX_WIDTH = 12; + parameter RAM_WIDTH = 6; + +// INPUT PORT DECLARATION + input [number_of_channels -1 :0] rx_in; + input rx_fastclk; + input rx_enable; + input rx_locked; + input [number_of_channels -1 :0] rx_reset; + input [number_of_channels -1 :0] rx_dpll_reset; + input [number_of_channels -1 :0] rx_dpll_hold; + input [number_of_channels -1 :0] rx_dpll_enable; + input [number_of_channels -1 :0] rx_fifo_reset; + input [number_of_channels -1 :0] rx_channel_data_align; + input [number_of_channels -1 :0] rx_cda_reset; + +// OUTPUT PORT DECLARATION + output [REGISTER_WIDTH -1: 0] rx_out; + output [number_of_channels -1: 0] rx_dpa_locked; + output [number_of_channels -1: 0] rx_cda_max; + + +// INTERNAL REGISTERS DECLARATION + + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] rx_parallel_load_reg; + reg [number_of_channels -1 : 0] rx_in_reg; + reg [number_of_channels -1 : 0] fifo_in_sync_reg; + reg [number_of_channels -1 : 0] fifo_out_sync_reg; + reg [number_of_channels -1 : 0] bitslip_mux_out; + reg [number_of_channels -1 : 0] dpa_in; + reg [number_of_channels -1 : 0] retime_data; + reg [number_of_channels -1 : 0] dpll_lock; + reg [number_of_channels -1 : 0] dpll_first_lock; + reg [number_of_channels -1 : 0] rx_channel_data_align_pre; + reg [number_of_channels -1 : 0] write_side_sync_reset; + reg [number_of_channels -1 : 0] read_side_sync_reset; + + reg ram_array [(RAM_WIDTH*number_of_channels) -1 : 0]; + reg [2 : 0] wrPtr [number_of_channels -1 : 0]; + reg [2 : 0] rdPtr [number_of_channels -1 : 0]; + reg [3 : 0] bitslip_count [number_of_channels -1 : 0]; + reg [number_of_channels -1 : 0] start_corrupt_bits; + reg [1 : 0] num_corrupt_bits [number_of_channels -1 : 0]; + reg [number_of_channels -1 : 0] rx_cda_max; + reg [(MUX_WIDTH*number_of_channels) -1 : 0] shift_reg_chain; + reg enable0_reg; + +// INTERNAL WIRE DECLARATION + wire fifo_write_clk; + wire fifo_read_clk; + wire [number_of_channels -1 : 0] dpa_fifo_in; + wire [number_of_channels -1 : 0] dpa_fifo_out; + wire rx_in_reg_clk; + wire rx_bload; + +// INTERNAL TRI DECLARATION + tri0[number_of_channels -1 :0] rx_reset; + tri0[number_of_channels -1 :0] rx_dpll_reset; + tri0[number_of_channels -1 :0] rx_dpll_hold; + tri1[number_of_channels -1 :0] rx_dpll_enable; + tri0[number_of_channels -1 :0] rx_fifo_reset; + tri0[number_of_channels -1 :0] rx_channel_data_align; + tri0[number_of_channels -1 :0] rx_cda_reset; + +// LOCAL INTEGER DECLARATION + integer i; + integer i1; + integer i2; + integer i3; + integer i4; + integer i5; + integer i6; + integer i7; + integer i8; + integer j; + integer j1; + integer j2; + integer j3; + integer j4; + integer x; + integer dpll_clk_count[number_of_channels -1: 0]; + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + enable0_reg=0; + + for (i = 0; i < number_of_channels; i = i + 1) + begin + rx_in_reg[i] = 1'b0; + rx_cda_max[i] = 1'b0; + fifo_in_sync_reg[i] = 1'b0; + fifo_out_sync_reg[i] = 1'b0; + bitslip_mux_out[i] = 1'b0; + dpa_in[i] = 1'b0; + retime_data[i] = 1'b0; + wrPtr[i] = 0; + rdPtr[i] = 3; + bitslip_count[i] = 0; + dpll_clk_count[i] = 0; + dpll_lock[i] = 1'b0; + dpll_first_lock[i] = 1'b0; + start_corrupt_bits[i] = 0; + num_corrupt_bits[i] = 0; + + for (j = 0; j < RAM_WIDTH; j = j + 1) + ram_array[(i*RAM_WIDTH) + j] = 1'b0; + + for (j = 0; j < MUX_WIDTH; j = j + 1) + shift_reg_chain[(i*MUX_WIDTH) + j] = 1'b0; + end + + for (i = 0; i < REGISTER_WIDTH; i = i + 1) + begin + rx_shift_reg[i] = 0; + rx_parallel_load_reg[i] = 0; + end + + + end //INITIALIZATION + +// ALWAYS CONSTRUCT BLOCK + + // Fast clock (on rising edge) + always @ (posedge rx_fastclk) + begin : STRATIXII_DESER + if (rx_bload == 1) + rx_parallel_load_reg <= rx_shift_reg; + + for (i1 = 0; i1 < number_of_channels; i1 = i1+1) + begin + for (x=deserialization_factor-1; x >0; x=x-1) + rx_shift_reg[x + (i1 * deserialization_factor)] <= rx_shift_reg [x-1 + (i1 * deserialization_factor)]; + + rx_shift_reg[i1 * deserialization_factor] <= bitslip_mux_out[i1]; + end + + // Registering enable0 signal + enable0_reg <= rx_enable; + end // STRATIXII_DESER + + // input synchronization register + always @ (posedge rx_in_reg_clk) + begin : IN_SYNC_REGISTER + rx_in_reg <= rx_in; + end // IN_SYNC_REGISTER + + // Stratix II bitslip logic + always @ (posedge rx_cda_reset) + begin + for (i2 = 0; i2 <= number_of_channels-1; i2 = i2 + 1) + begin + if (rx_cda_reset[i2] == 1'b1) + begin + // reset the bitslipping circuitry. + bitslip_count[i2] <= 0; + rx_cda_max[i2] <= 1'b0; + end + end + end + + always @ (posedge rx_fastclk) + begin : STRATIXII_BITSLIP + for (i3 = 0; i3 <= number_of_channels-1; i3 = i3 + 1) + begin + if (rx_cda_reset[i3] !== 1'b1) + begin + if ((rx_channel_data_align[i3] === 1'b1) && + (rx_channel_data_align_pre[i3] === 1'b0)) + begin + // slipped data byte is corrupted. + start_corrupt_bits[i3] <= 1; + num_corrupt_bits[i3] <= 1; + + // Rollover has occurred. Serial data stream is reset back to 0 latency. + if (bitslip_count[i3] == data_align_rollover) + begin + bitslip_count[i3] <= 0; + rx_cda_max[i3] <= 1'b0; + end + else + begin + // increase the bit slip count. + bitslip_count[i3] <= bitslip_count[i3] + 1; + + // if maximum of bitslip limit has been reach, set rx_cda_max to high. + // Rollover will occur on the next bit slip. + if (bitslip_count[i3] == data_align_rollover - 1) + rx_cda_max[i3] <= 1'b1; + end + end + else if ((rx_channel_data_align[i3] === 1'b0) && + (rx_channel_data_align_pre[i3] === 1'b1)) + begin + start_corrupt_bits[i3] <= 0; + num_corrupt_bits[i3] <= 0; + end + end + + if (start_corrupt_bits[i3] == 1'b1) + begin + if (num_corrupt_bits[i3]+1 == 3) + start_corrupt_bits[i3] <= 0; + else + num_corrupt_bits[i3] <= num_corrupt_bits[i3] + 1; + end + + // load serial data stream into the shift register chain. + if ((enable_dpa_mode == "ON") && (rx_dpll_enable[i3] == 1'b1)) + shift_reg_chain[(i3*MUX_WIDTH) + 0] <= dpa_fifo_out[i3]; + else + shift_reg_chain[(i3*MUX_WIDTH) + 0] <= rx_in_reg[i3]; + + // propagate the serial data stream through the bitslip register chain. + for (j1 = 0; j1 < data_align_rollover; j1 = j1 + 1) + shift_reg_chain[(i3*MUX_WIDTH) + j1 + 1] <= shift_reg_chain[(i3*MUX_WIDTH) + j1]; + + // set the output to 'X' for 3 fast clock cycles after receiving the bitslip signal. + if (((rx_channel_data_align[i3] === 1'b1) && (rx_channel_data_align_pre[i3] === 1'b0)) || + ((start_corrupt_bits[i3] == 1'b1) && (num_corrupt_bits[i3] < 3) && + (rx_channel_data_align[i3] === 1'b1))) + bitslip_mux_out[i3] <= 1'bx; + else + bitslip_mux_out[i3] <= shift_reg_chain[(i3*MUX_WIDTH) + bitslip_count[i3]]; + + rx_channel_data_align_pre[i3] <= rx_channel_data_align[i3]; + end + end //STRATIXII_BITSLIP + + // Stratix II Phase Compensation FIFO + always @ (posedge fifo_write_clk or posedge rx_reset or posedge rx_fifo_reset) + begin : STRATIXII_DPA_FIFO_WRITE + for (i4 = 0; i4 <= number_of_channels-1; i4 = i4 + 1) + begin + if ((rx_reset[i4] == 1'b1) || (rx_fifo_reset[i4] == 1'b1) || + ((reset_fifo_at_first_lock == "ON") && + (dpll_first_lock[i4] == 1'b0))) + begin + wrPtr[i4] <= 0; + for (j2 = 0; j2 < RAM_WIDTH; j2 = j2 + 1) + ram_array[(i4*RAM_WIDTH) + j2] <= 1'b0; + fifo_in_sync_reg[i4] <= 1'b0; + write_side_sync_reset[i4] <= 1'b1; + end + else + begin + if (write_side_sync_reset[i4] <= 1'b0) + begin + wrPtr[i4] <= wrPtr[i4] + 1; + fifo_in_sync_reg[i4] <= dpa_fifo_in[i4]; + ram_array[(i4*RAM_WIDTH) + wrPtr[i4]] <= fifo_in_sync_reg[i4]; + if (wrPtr[i4] == 5) + wrPtr[i4] <= 0; + end + write_side_sync_reset[i4] <= 1'b0; + end + end + end //STRATIXII_DPA_FIFO_WRITE + + // Stratix II Phase Compensation FIFO + always @ (posedge fifo_read_clk or posedge rx_reset or posedge rx_fifo_reset) + begin : STRATIXII_DPA_FIFO_READ + for (i5 = 0; i5 <= number_of_channels-1; i5 = i5 + 1) + begin + if ((rx_reset[i5] == 1'b1) || (rx_fifo_reset[i5] == 1'b1) || + ((reset_fifo_at_first_lock == "ON") && + (dpll_first_lock[i5] == 1'b0))) + begin + rdPtr[i5] <= 3; + fifo_out_sync_reg[i5] <= 1'b0; + read_side_sync_reset[i5] <= 1'b1; + end + else + begin + if (read_side_sync_reset[i5] == 1'b0) + begin + rdPtr[i5] <= rdPtr[i5] + 1; + fifo_out_sync_reg[i5] <= ram_array[(i5*RAM_WIDTH) + rdPtr[i5]]; + if (rdPtr[i5] == 5) + rdPtr[i5] <= 0; + end + read_side_sync_reset[i5] <= 1'b0; + end + end + end //STRATIXII_DPA_FIFO_READ + + // Stratix II DPA Block + always @ (posedge rx_fastclk or posedge rx_reset) + begin : STRATIXII_DPA_BLOCK + for (i6 = 0; i6 <= number_of_channels-1; i6 = i6 + 1) + begin + if (rx_reset[i6] == 1'b1) + begin + dpll_clk_count[i6] <= 0; + dpll_lock[i6] <= 1'b0; + end + else + begin + dpll_clk_count[i6] <= dpll_clk_count[i6] + 1; + + if (dpll_clk_count[i6] == 2) + begin + dpll_lock[i6] <= 1'b1; + dpll_first_lock[i6] <= 1'b1; + end + end + end + end //STRATIXII_DPA_BLOCK + + always @ (posedge rx_fastclk) + begin + dpa_in <= rx_in; + retime_data <= dpa_in; + end + + + // CONTINOUS ASSIGNMENT + assign rx_out = rx_parallel_load_reg; + assign dpa_fifo_in = retime_data; + assign dpa_fifo_out = fifo_out_sync_reg; + assign fifo_write_clk = rx_fastclk; + assign fifo_read_clk = rx_fastclk; + assign rx_in_reg_clk = rx_fastclk; + assign rx_dpa_locked = dpll_lock; + assign rx_bload = enable0_reg; + +endmodule // stratixii_lvds_rx +// END OF MODULE + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : flexible_lvds_rx +// +// Description : flexible lvds receiver +// +// Limitation : Only available to Cyclone and Cyclone II +// families. +// +// Results expected: Deserialized output data. +// +//END_MODULE_NAME---------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module flexible_lvds_rx ( + rx_in, // input serial data + rx_fastclk, // fast clock from PLL + rx_slowclk, // slow clock from PLL + rx_syncclk, // sync clock from PLL + rx_locked, // lock signal from PLL + rx_out // deserialized output data +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + parameter use_extra_ddio_register = "YES"; + parameter use_extra_pll_clk = "NO"; + +// LOCAL PARAMETER DECLARATION + parameter REGISTER_WIDTH = deserialization_factor*number_of_channels; + +// INPUT PORT DECLARATION + input [number_of_channels -1 :0] rx_in; + input rx_fastclk; + input rx_slowclk; + input rx_syncclk; + input rx_locked; + +// OUTPUT PORT DECLARATION + output [REGISTER_WIDTH -1: 0] rx_out; + +// INTERNAL REGISTERS DECLARATION + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg1; + reg [REGISTER_WIDTH -1 : 0] rx_shift_reg2; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg1; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg2; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg1_buf1; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg1_buf1_pipe; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg2_buf1; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg1_buf2; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg1_buf2_pipe; + reg [REGISTER_WIDTH -1 : 0] rx_sync_reg2_buf2; + reg [REGISTER_WIDTH -1 : 0] rx_out_odd; + reg [REGISTER_WIDTH -1 : 0] rx_out_odd_mode; + reg [number_of_channels -1 :0] ddio_h_reg; + reg [number_of_channels -1 :0] ddio_l_reg; + reg [number_of_channels -1 :0] datain_h_reg; + reg [number_of_channels -1 :0] datain_l_reg; + reg [number_of_channels -1 :0] datain_l_latch; + reg select_bit; + reg sync_clock; + +// INTERNAL WIRE DECLARATION + wire [REGISTER_WIDTH -1 : 0] rx_out; + +// LOCAL INTEGER DECLARATION + integer i; + integer x; + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + + rx_shift_reg = {REGISTER_WIDTH{1'b0}}; + rx_shift_reg1 = {REGISTER_WIDTH{1'b0}}; + rx_shift_reg2 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg1 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg2 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg1_buf1 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg1_buf1_pipe = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg2_buf1 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg1_buf2 = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg1_buf2_pipe = {REGISTER_WIDTH{1'b0}}; + rx_sync_reg2_buf2 = {REGISTER_WIDTH{1'b0}}; + rx_out_odd = {REGISTER_WIDTH{1'b0}}; + rx_out_odd_mode = {REGISTER_WIDTH{1'b0}}; + ddio_h_reg = {number_of_channels{1'b0}}; + ddio_l_reg = {number_of_channels{1'b0}}; + datain_h_reg = {number_of_channels{1'b0}}; + datain_l_reg = {number_of_channels{1'b0}}; + datain_l_latch = {number_of_channels{1'b0}}; + + select_bit = 1'b0; + sync_clock = 1'b0; + end //INITIALIZATION + + +// ALWAYS CONSTRUCT BLOCK + + // This always block implements the altddio_in that takes in the input serial + // data of each channel and deserialized it into two parallel data stream + // (ddio_h_reg and ddio_l_reg). Each parallel data stream will be registered + // before send to shift registers. + always @(posedge rx_fastclk) + begin : DDIO_IN + if (use_extra_ddio_register == "YES") + begin + ddio_h_reg <= rx_in; + datain_h_reg <= ddio_h_reg; + end + else + datain_h_reg <= rx_in; + + datain_l_reg <= datain_l_latch; + end // DDIO_IN + + always @(negedge rx_fastclk) + begin : DDIO_IN_LATCH + if (use_extra_ddio_register == "YES") + begin + ddio_l_reg <= rx_in; + datain_l_latch <= ddio_l_reg; + end + else + datain_l_latch <= rx_in; + end // DDIO_IN_LATCH + + // Loading input data to shift register + always @ (posedge rx_fastclk) + begin : SHIFTREG + + // Implementation for even deserialization factor. + if ((deserialization_factor % 2) == 0) + begin + for (i= 0; i < number_of_channels; i = i+1) + begin + for (x=deserialization_factor-1; x >1; x=x-1) + rx_shift_reg[x + (i * deserialization_factor)] <= + rx_shift_reg [x-2 + (i * deserialization_factor)]; + + rx_shift_reg[i * deserialization_factor] <= datain_h_reg[i]; + rx_shift_reg[(i * deserialization_factor)+1] <= datain_l_reg[i]; + end + end + else // Implementation for odd deserialization factor. + begin + for (i= 0; i < number_of_channels; i = i+1) + begin + for (x=deserialization_factor-1; x >1; x=x-1) + begin + rx_shift_reg1[x + (i * deserialization_factor)] <= + rx_shift_reg1[x-2 + (i * deserialization_factor)]; + + rx_shift_reg2[x + (i * deserialization_factor)] <= + rx_shift_reg2[x-2 + (i * deserialization_factor)]; + end + rx_shift_reg1[i * deserialization_factor] <= datain_h_reg[i]; + rx_shift_reg1[(i * deserialization_factor)+1] <= datain_l_reg[i]; + rx_shift_reg2[i * deserialization_factor] <= rx_shift_reg1[((i+1)* deserialization_factor)-2]; + rx_shift_reg2[(i * deserialization_factor)+1] <= rx_shift_reg1[((i+1)* deserialization_factor)-1]; + end + end + end // SHIFTREG + + always @ (posedge rx_slowclk) + begin : BIT_SELECT + rx_sync_reg1 <= rx_shift_reg1; + rx_sync_reg2 <= rx_shift_reg2; + rx_sync_reg1_buf2_pipe <= rx_sync_reg1_buf2; + + if (rx_locked == 1'b1) + begin + sync_clock <= ~sync_clock; + select_bit <= ~select_bit; + end + + if(use_extra_pll_clk == "NO") + begin + if (select_bit) + rx_out_odd_mode <= rx_sync_reg1_buf1_pipe; + else + rx_out_odd_mode <= rx_sync_reg2_buf1; + end + else + begin + if (select_bit) + rx_out_odd_mode <= rx_sync_reg1_buf2_pipe; + else + rx_out_odd_mode <= rx_sync_reg2_buf2; + end + + rx_out_odd <= rx_out_odd_mode; + end // BIT_SELECT + + always @ (posedge sync_clock) + begin : SYNC_REG + rx_sync_reg1_buf1 <= rx_sync_reg1; + rx_sync_reg2_buf1 <= rx_sync_reg2; + rx_sync_reg1_buf1_pipe <= rx_sync_reg1_buf1; + end // SYNC_REG + + always @ (posedge rx_syncclk) + begin : SYNC_REG2 + rx_sync_reg1_buf2 <= rx_sync_reg1; + rx_sync_reg2_buf2 <= rx_sync_reg2; + end // SYNC_REG2 + +// CONTINOUS ASSIGNMENT + assign rx_out = ((deserialization_factor % 2) == 0) ? rx_shift_reg : + rx_out_odd; +endmodule // flexible_lvds_rx +// END OF MODULE + + +//START_MODULE_NAME-------------------------------------------------------------- +// +// Module Name : altlvds_tx + +// Description : Low Voltage Differential Signaling (LVDS) transmitter +// megafunction. The altlvds_tx megafunction implements a +// serialization transmitter. LVDS is a high speed IO +// interface that uses inputs without a reference voltage. +// LVDS uses two wires carrying differential values to +// create a single channel. These wires are connected to two +// pins on supported device to create a single LVDS channel + +// Limitation : Only available for APEX20KE, APEXII, MERCURY, STRATIX, +// STRATIX GX, STRATIX II, CYCLONE and CYCLONEII families. +// +// Results expected: Output clock, serialized output data and pll locked signal. +// +//END_MODULE_NAME---------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +module altlvds_tx ( + tx_in, + tx_inclock, + tx_enable, + sync_inclock, + tx_pll_enable, + pll_areset, + + tx_out, + tx_outclock, + tx_coreclock, + tx_locked +); + + +// GLOBAL PARAMETER DECLARATION + + // No. of LVDS channels (required) + parameter number_of_channels = 1; + + // No. of bits per channel (required) + parameter deserialization_factor = 4; + + // Indicates whether the tx_in[] and tx_outclock ports should be registered. + parameter registered_input = "ON"; + + // "ON" means that sync_inclock is also used + // (not used for Stratix and Stratix GX devices.) + parameter multi_clock = "OFF"; + + // The period of the input clock in ps (Required) + parameter inclock_period = 10000; + + // Specifies the period of the tx_outclock port as + // [INCLOCK_PERIOD * OUTCLOCK_DIVIDE_BY] + parameter outclock_divide_by = deserialization_factor; + + // The effective clock period to sample output data + parameter inclock_boost = deserialization_factor; + + // Aligns the Most Significant Bit(MSB) to the falling edge of the clock + // instead of the rising edge. (only for APEX II devices) + parameter center_align_msb = "OFF"; + + // The device family to be used. + parameter intended_device_family = "APEX20KE"; + + // Data rate out of the PLL. (required and only for Stratix and + // Stratix GX devices) + parameter output_data_rate = 0; + + // The alignment of the input data with respect to the tx_inclock port. + // (required and only for Stratix and Stratix GX devices) + parameter inclock_data_alignment = "EDGE_ALIGNED"; + + // The alignment of the output data with respect to the tx_outclock port. + // (required and only for Stratix and Stratix GX devices) + parameter outclock_alignment = "EDGE_ALIGNED"; + + // Specifies whether the compiler uses the same PLL for both the LVDS + // receiver and the LVDS transmitter + parameter common_rx_tx_pll = "ON"; + + parameter outclock_resource = "AUTO"; + parameter use_external_pll = "OFF"; + parameter implement_in_les = "OFF"; + parameter preemphasis_setting = 0; + parameter vod_setting = 0; + parameter differential_drive = 0; + + parameter lpm_type = "altlvds_tx"; + parameter lpm_hint = "UNUSED"; + + // Specifies whether the source of the input clock is from a PLL + parameter clk_src_is_pll = "off"; + + +// LOCAL PARAMETER DECLARATION + + // A APEX20KE type of LVDS? + parameter APEX20KE_TX_STYLE = (intended_device_family == "APEX20KE") || + (intended_device_family == "EXCALIBUR_ARM") || + (intended_device_family == "EXCALIBUR_MIPS") || + (intended_device_family == "APEX20KC") + ? 1 : 0; + + // A APEXII type of LVDS? + parameter APEXII_TX_STYLE = (intended_device_family == "APEXII") || + (intended_device_family == "APEX II") + ? 1 : 0; + + // A MERCURY type of LVDS? + parameter MERCURY_TX_STYLE = (intended_device_family == "MERCURY") || + (intended_device_family == "Mercury") + ? 1 : 0; + + // A STRATIX type of LVDS? + parameter STRATIX_TX_STYLE = (intended_device_family == "Stratix") || + (intended_device_family == "STRATIX") || + (intended_device_family == "STRATIXGX") || + (intended_device_family == "STRATIX-GX") || + (intended_device_family == "Stratix GX") || + (intended_device_family == "HardCopy Stratix") || + (intended_device_family == "HARDCOPY STRATIX") || + (intended_device_family == "hardcopy stratix") || + (intended_device_family == "HardcopyStratix") || + (intended_device_family == "HARDCOPYSTRATIX") || + (intended_device_family == "hardcopystratix") + ? 1 : 0; + + // A STRATIXII type of LVDS? + parameter STRATIXII_TX_STYLE = ((intended_device_family == "Stratix II") || + (intended_device_family == "StratixII") || + (intended_device_family == "HardCopy II") || + (intended_device_family == "HardCopyII") || + (intended_device_family == "HARDCOPY II") || + (intended_device_family == "HARDCOPYII") || + (intended_device_family == "hardcopy ii") || + (intended_device_family == "hardcopyii") || + (intended_device_family == "Stratix II GX") || + (intended_device_family == "STRATIX II GX") || + (intended_device_family == "stratix ii gx") || + (intended_device_family == "StratixIIGX") || + (intended_device_family == "STRATIXIIGX") || + (intended_device_family == "stratixiigx")) + ? 1 : 0; + + // A Cyclone type of LVDS? + parameter CYCLONE_TX_STYLE = ((intended_device_family == "Cyclone") || + (intended_device_family == "CYCLONE") || + (intended_device_family == "cyclone")) + ? 1 : 0; + + // A Cyclone II type of LVDS? + parameter CYCLONEII_TX_STYLE = ((intended_device_family == "Cyclone II") || + (intended_device_family == "CYCLONE II") || + (intended_device_family == "cyclone ii") || + (intended_device_family == "Cycloneii") || + (intended_device_family == "CYCLONEII") || + (intended_device_family == "cycloneii")) + ? 1 : 0; + + // Is the device family has flexible LVDS? +parameter FAMILY_HAS_FLEXIBLE_LVDS = ((CYCLONE_TX_STYLE == 1) || + (CYCLONEII_TX_STYLE == 1) || + (((STRATIX_TX_STYLE == 1) || (STRATIXII_TX_STYLE == 1)) && + (implement_in_les == "ON"))) + ? 1 : 0; + + // Is the family has Stratix style PLL + parameter FAMILY_HAS_STRATIX_STYLE_PLL = ((STRATIX_TX_STYLE == 1) || + (CYCLONE_TX_STYLE == 1)) + ? 1 : 0; + + // Is the family has Stratix style PLL + parameter FAMILY_HAS_STRATIXII_STYLE_PLL = ((STRATIXII_TX_STYLE == 1) || + (CYCLONEII_TX_STYLE == 1)) + ? 1 : 0; + + // Parameter to check whether the selected lvds trasmitter use + // holding register or not. + parameter TX_NEED_HOLD = (((APEX20KE_TX_STYLE == 1) && + (deserialization_factor >= 7)) || + ((APEXII_TX_STYLE == 1) && + (deserialization_factor >= 5)) || + ((MERCURY_TX_STYLE == 1) && + (deserialization_factor >= 7))) + ? 1 : 0; + + // calculate clock boost for device family other than STRATIX and STRATIX GX + parameter INT_CLOCK_BOOST = (APEX20KE_TX_STYLE == 1) + ? deserialization_factor : + ((inclock_boost == 0) + ? deserialization_factor + : inclock_boost); + + // M value for Stratix/Stratix II/Cyclone/Cyclone II PLL + parameter PLL_M_VALUE = (((output_data_rate * inclock_period) + + (5 * 100000)) / 1000000); + + // D value for Stratix/Stratix II/Cyclone/Cyclone II PLL + parameter PLL_D_VALUE = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((output_data_rate !=0) && (inclock_period !=0) + ? 2 + : 1) + : 1; + + // calculate clock boost for STRATIX, STRATIX GX and STRATIX II + parameter STRATIX_INCLOCK_BOOST = + ((output_data_rate !=0) && (inclock_period !=0)) + ? PLL_M_VALUE : + ((inclock_boost == 0) + ? deserialization_factor + : inclock_boost); + + // parameter for inclock phase shift. Add 0.5 to the calculated result to + // round up result to the nearest integer. + // CENTER_ALIGNED means 180 degrees + parameter PHASE_INCLOCK = (inclock_data_alignment == "EDGE_ALIGNED")? + 0 : + (inclock_data_alignment == "CENTER_ALIGNED") ? + (0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "45_DEGREES") ? + (0.125 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "90_DEGREES") ? + (0.25 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "135_DEGREES") ? + (0.375 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "180_DEGREES") ? + (0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "225_DEGREES") ? + (0.625 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "270_DEGREES") ? + (0.75 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: + (inclock_data_alignment == "315_DEGREES") ? + (0.875 * inclock_period / STRATIX_INCLOCK_BOOST) + 0.5: 0; + + // parameter for Stratix II inclock phase shift. + parameter STXII_PHASE_INCLOCK = PHASE_INCLOCK - (0.5 * inclock_period / STRATIX_INCLOCK_BOOST); + + // parameter for outclock phase shift. Add 0.5 to the calculated result to + // round up result to the nearest integer. + parameter PHASE_OUTCLOCK = (outclock_alignment == "EDGE_ALIGNED") ? + 0: + (outclock_alignment == "CENTER_ALIGNED") ? + ((0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "45_DEGREES") ? + ((0.125 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "90_DEGREES") ? + ((0.25 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "135_DEGREES") ? + ((0.375 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "180_DEGREES") ? + ((0.5 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "225_DEGREES") ? + ((0.625 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "270_DEGREES") ? + ((0.75 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): + (outclock_alignment == "315_DEGREES") ? + ((0.875 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5): 0; + + // parameter for Stratix and Stratix GX outclock phase shift. + // Add 0.5 to the calculated result to round up result to the nearest integer. + parameter STX_PHASE_OUTCLOCK = ((outclock_divide_by == 1) || + (outclock_alignment == "45_DEGREES") || + (outclock_alignment == "90_DEGREES") || + (outclock_alignment == "135_DEGREES")) ? + PHASE_OUTCLOCK + PHASE_INCLOCK: + ((outclock_alignment == "180_DEGREES") || + (outclock_alignment == "CENTER_ALIGNED")) ? + PHASE_INCLOCK : + (outclock_alignment == "225_DEGREES") ? + ((0.125 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5 + PHASE_INCLOCK): + (outclock_alignment == "270_DEGREES") ? + ((0.25 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5 + PHASE_INCLOCK): + (outclock_alignment == "315_DEGREES") ? + ((0.375 * inclock_period / STRATIX_INCLOCK_BOOST) + + 0.5 + PHASE_INCLOCK): PHASE_INCLOCK; + + // parameter for Stratix II outclock phase shift. + parameter STXII_PHASE_OUTCLOCK = STX_PHASE_OUTCLOCK - (0.5 * inclock_period / STRATIX_INCLOCK_BOOST); + + parameter REGISTER_WIDTH = deserialization_factor * number_of_channels; + + // input clock period for PLL. + parameter CLOCK_PERIOD = (deserialization_factor > 2) ? inclock_period : 10000; + + +// INPUT PORT DECLARATION + + // Input data (required) + input [REGISTER_WIDTH -1 : 0] tx_in; + + // Input clock (required) + input tx_inclock; + + input tx_enable; + + // Optional clock for input registers (Required if "multi_clock" parameters + // is turned on) + input sync_inclock; + + // Enable control for the LVDS PLL + input tx_pll_enable; + + // Asynchronously resets all counters to initial values (only for Stratix + // and Stratix GX devices) + input pll_areset; + + + +// OUTPUT PORT DECLARATION + + // Serialized data signal(required) + output [number_of_channels-1 :0] tx_out; + + // External reference clock + output tx_outclock; + + // Output clock used to feed non-peripheral logic. + // Only available for Mercury, Stratix, and Stratix GX devices only. + output tx_coreclock; + + // Gives the status of the LVDS PLL + // (when the PLL is locked, this signal is VCC. GND otherwise) + output tx_locked; + + +// INTERNAL REGISTERS DECLARATION + + reg [REGISTER_WIDTH -1 : 0] tx_hold_reg; + reg [REGISTER_WIDTH -1 : 0] tx_in_reg; + reg [REGISTER_WIDTH -1 : 0] tx_shift_reg; + reg [REGISTER_WIDTH -1 : 0] tx_parallel_load_reg; + reg tx_mercury_core_clock; + reg fb; + reg [number_of_channels-1 :0] tx_out_apex; + reg [number_of_channels-1 :0] tx_out_stratix; + reg [number_of_channels-1 :0] tx_ddio_out; + reg [number_of_channels-1 :0] dataout_l; + reg [number_of_channels-1 :0] dataout_h; + reg enable0_reg1; + reg enable0_reg2; + reg enable0_neg; + reg tx_fastclk_pre; + reg [9 : 0] stx_phase_shift_txdata; + reg [9 : 0] phase_shift_txdata; + + +// INTERNAL WIRE DECLARATION + + wire [REGISTER_WIDTH -1 : 0] tx_in_int; + wire tx_fastclk; + wire tx_slowclk; + wire tx_reg_clk; + wire tx_hold_clk; + wire tx_coreclock_int; + wire tx_locked_int; + wire unused_clk_ext; + wire [2:0] altclklock_clk; + wire altclklock_locked; + wire altclklock_inclock; + wire altclklock_clkena; + wire [1:0] stratix_pll_inclock; + wire [1:0] stratixii_pll_inclock; + wire [5:0] stratix_pll_outclock; + wire [5:0] stratixii_pll_outclock; + wire stratix_pll_enable; + wire stratixii_pll_enable; + wire stratix_pll_areset; + wire stratixii_pll_areset; + wire stratix_locked; + wire stratixii_locked; + wire stratix_enable0; + wire stratixii_enable0; + wire stratix_enable1; + wire stratixii_enable1; + wire stratix_outclock; + wire stratixii_outclock; + wire stratixii_sclkout0; + wire stratixii_sclkout1; + wire stratix_inclock; + wire stratix_enable; + wire stratixii_inclock; + wire stratixii_enable; + wire flvds_fastclk; + wire flvds_slowclk; + wire flvds_regclk; + wire[number_of_channels-1 :0] flvds_dataout; + +// INTERNAL TRI DECLARATION + + tri1 tx_enable; + tri0 sync_inclock; + tri1 tx_pll_enable; + tri0 pll_areset; + +// LOCAL INTEGER DECLARATION + + integer count; + integer i; + integer i1; + integer i2; + integer posedge_count; + integer negedge_count; + integer shift_data; + +// LOCAL TIME DECLARATION + + time tx_out_delay; + +// COMPONENT INSTANTIATIONS + ALTERA_DEVICE_FAMILIES dev (); + +// INITIAL CONSTRUCT BLOCK + + initial + begin : INITIALIZATION + tx_in_reg = {REGISTER_WIDTH{1'b0}}; + tx_hold_reg = {REGISTER_WIDTH{1'b0}}; + tx_parallel_load_reg = {REGISTER_WIDTH{1'b0}}; + tx_shift_reg = {REGISTER_WIDTH{1'b0}}; + + tx_out_apex = {number_of_channels{1'b0}}; + tx_out_stratix = {number_of_channels{1'b0}}; + tx_ddio_out = {number_of_channels{1'b0}}; + dataout_l = {number_of_channels{1'b0}}; + dataout_h = {number_of_channels{1'b0}}; + + fb = 'b1; + count = 0; + shift_data = 0; + negedge_count = 0; + posedge_count = 0; + + tx_out_delay = inclock_period/(deserialization_factor*2); + + // Input data needed by stratix_tx_outclk in order to generate the tx_outclock. + stx_phase_shift_txdata = 0; + if (outclock_divide_by > 1) + begin + if (deserialization_factor == 4) + begin + if ( outclock_divide_by == 2) + stx_phase_shift_txdata[3:0] = 4'b1010; + else if (outclock_divide_by == 4) + stx_phase_shift_txdata[3:0] = 4'b0011; + end + else if (deserialization_factor == 8) + begin + if (outclock_divide_by == 2) + stx_phase_shift_txdata[7:0] = 8'b10101010; + else if (outclock_divide_by == 4) + stx_phase_shift_txdata[7:0] = 8'b00110011; + else if (outclock_divide_by == 8) + stx_phase_shift_txdata[7:0] = 8'b11000011; + end + else if (deserialization_factor == 10) + begin + if (outclock_divide_by == 2) + stx_phase_shift_txdata[9:0] = 10'b1010101010; + else if (outclock_divide_by == 10) + stx_phase_shift_txdata[9:0] = 10'b1110000011; + end + else if (deserialization_factor == 7) + if (outclock_divide_by == 7) + stx_phase_shift_txdata[6:0] = 7'b1100011; + end + + // Input data needed by stratixii_tx_outclk in order to generate the tx_outclock. + phase_shift_txdata = 0; + if (outclock_divide_by > 1) + begin + if (deserialization_factor == 4) + begin + if ( outclock_divide_by == 2) + phase_shift_txdata[3:0] = 4'b1010; + else if (outclock_divide_by == 4) + phase_shift_txdata[3:0] = 4'b1100; + end + else if (deserialization_factor == 6) + begin + if (outclock_divide_by == 2) + phase_shift_txdata[5:0] = 6'b101010; + else if (outclock_divide_by == 6) + phase_shift_txdata[5:0] = 6'b111000; + end + else if (deserialization_factor == 8) + begin + if (outclock_divide_by == 2) + phase_shift_txdata[7:0] = 8'b10101010; + else if (outclock_divide_by == 4) + phase_shift_txdata[7:0] = 8'b11001100; + else if (outclock_divide_by == 8) + phase_shift_txdata[7:0] = 8'b11110000; + end + else if (deserialization_factor == 10) + begin + if (outclock_divide_by == 2) + phase_shift_txdata[9:0] = 10'b1010101010; + else if (outclock_divide_by == 10) + phase_shift_txdata[9:0] = 10'b1111100000; + end + else if (deserialization_factor == 7) + if (outclock_divide_by == 7) + phase_shift_txdata[6:0] = 7'b1111000; + end + + // Check for illegal mode settings + if ((APEX20KE_TX_STYLE == 1) && (deserialization_factor != 1) && + (deserialization_factor != 4) && (deserialization_factor != 7) && + (deserialization_factor != 8)) + begin + $display ($time, "ps Error: APEX20KE does not support the specified deserialization factor!"); + $finish; + end + else if ((MERCURY_TX_STYLE == 1) && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (((deserialization_factor > 12) && + (deserialization_factor != 14) && + (deserialization_factor != 16) && + (deserialization_factor != 18) && + (deserialization_factor != 20)) ||(deserialization_factor < 3))) + begin + $display ($time, "ps Error: MERCURY does not support the specified deserialization factor!"); + $finish; + end + else if (((APEXII_TX_STYLE == 1)) && + ((deserialization_factor > 10) || (deserialization_factor < 4)) && + (deserialization_factor != 1) && (deserialization_factor != 2)) + begin + $display ($time, "ps Error: APEXII does not support the specified deserialization factor!"); + $finish; + end + else if ((STRATIX_TX_STYLE == 1) && + (deserialization_factor != 1) && (deserialization_factor != 2) && + ((deserialization_factor > 10) || (deserialization_factor < 4))) + begin + $display ($time, "ps Error: STRATIX does not support the specified deserialization factor!"); + $finish; + end + else if ((STRATIXII_TX_STYLE == 1) && + (deserialization_factor > 10)) + begin + $display ($time, "ps Error: STRATIX II does not support the specified deserialization factor!"); + $finish; + end + + if (CYCLONE_TX_STYLE == 1) + begin + if ((use_external_pll == "ON") && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (deserialization_factor != 4) && (deserialization_factor != 6) && + (deserialization_factor != 8) && (deserialization_factor != 10)) + begin + $display ($time, "ps Error: Cyclone does not support the specified deserialization factor when use_external_pll is 'ON'!"); + $finish; + end + else if ((deserialization_factor > 10) || (deserialization_factor == 3)) + begin + $display ($time, "ps Error: Cyclone does not support the specified deserialization factor when use_external_pll is 'OFF'!"); + $finish; + end + end + + if (CYCLONEII_TX_STYLE == 1) + begin + if ((use_external_pll == "ON") && + (deserialization_factor != 1) && (deserialization_factor != 2) && + (deserialization_factor != 4) && (deserialization_factor != 6) && + (deserialization_factor != 8) && (deserialization_factor != 10)) + begin + $display ($time, "ps Error: Cyclone II does not support the specified deserialization factor when use_external_pll is 'ON'!"); + $finish; + end + else if ((deserialization_factor > 10) || (deserialization_factor == 3)) + begin + $display ($time, "ps Error: Cyclone II does not support the specified deserialization factor when use_external_pll is 'OFF'!"); + $finish; + end + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $finish; + end + + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + begin + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + $finish; + end + + end // INITIALIZATION + + +// COMPONENT INSTANTIATIONS + + // PLL for device family other than Stratix, Stratix GX and Stratix II + altclklock u0 ( + .inclock(altclklock_inclock), // Required + .inclocken(altclklock_clkena), + .fbin(fb), + .clock0(altclklock_clk[0]), + .clock1(altclklock_clk[1]), + .clock2(altclklock_clk[2]), + .clock_ext(unused_clk_ext), + .locked(altclklock_locked)); + + defparam + u0.inclock_period = CLOCK_PERIOD, + u0.clock0_boost = INT_CLOCK_BOOST, + u0.clock1_boost = INT_CLOCK_BOOST, + u0.clock1_divide = deserialization_factor, + u0.clock2_boost = (MERCURY_TX_STYLE == 1) ? + INT_CLOCK_BOOST : 1, + u0.clock2_divide = (MERCURY_TX_STYLE == 1) ? + outclock_divide_by : 1, + u0.valid_lock_cycles = (APEXII_TX_STYLE == 1) ? 1 : + (MERCURY_TX_STYLE == 1) ? 3 : 5, + u0.intended_device_family = ((APEX20KE_TX_STYLE == 1 ) || + (APEXII_TX_STYLE == 1 ) || + (MERCURY_TX_STYLE == 1 )) + ? intended_device_family + : "APEX20KE"; + + + // PLL for Stratix and Stratix GX + MF_stratix_pll u1 ( + .inclk(stratix_pll_inclock), // Required + .ena(stratix_pll_enable), + .areset(stratix_pll_areset), + .clkena(6'b111111), + .clk (stratix_pll_outclock), + .locked(stratix_locked), + .fbin(1'b1), + .clkswitch(1'b0), + .pfdena(1'b1), + .extclkena(4'b0), + .scanclk(1'b0), + .scanaclr(1'b0), + .scandata(1'b0), + .comparator(1'b0), + .extclk(), + .clkbad(), + .enable0(stratix_enable0), + .enable1(stratix_enable1), + .activeclock(), + .clkloss(), + .scandataout() ); + + defparam + u1.primary_clock = "inclk0", + u1.pll_type = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? "flvds" + : "lvds", + u1.inclk0_input_frequency = CLOCK_PERIOD, + u1.valid_lock_multiplier = 1, + u1.clk0_multiply_by = STRATIX_INCLOCK_BOOST, + u1.clk0_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE + : 1, + u1.clk0_phase_shift_num = PHASE_INCLOCK, + u1.clk1_multiply_by = STRATIX_INCLOCK_BOOST, + u1.clk1_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE*outclock_divide_by + : 1, + u1.clk1_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_OUTCLOCK + : STX_PHASE_OUTCLOCK, + u1.clk2_multiply_by = STRATIX_INCLOCK_BOOST, + u1.clk2_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((deserialization_factor%2 == 0) + ? PLL_D_VALUE*deserialization_factor/2 + : PLL_D_VALUE*deserialization_factor) + : deserialization_factor, + u1.clk2_phase_shift_num = PHASE_INCLOCK, + u1.simulation_type = "functional", + u1.m = 0; + + // PLL for Stratix II + MF_stratixii_pll u2 ( + .inclk(stratixii_pll_inclock), // Required + .ena(stratixii_pll_enable), + .areset(stratixii_pll_areset), + .clk (stratixii_pll_outclock ), + .locked(stratixii_locked), + .fbin(1'b1), + .clkswitch(1'b0), + .pfdena(1'b1), + .scanclk(1'b0), + .scanread(1'b0), + .scanwrite(1'b0), + .scandata(1'b0), + .testin(4'b0), + .clkbad(), + .enable0(stratixii_enable0), + .enable1(stratixii_enable1), + .activeclock(), + .clkloss(), + .scandataout(), + .scandone(), + .sclkout({stratixii_sclkout1, stratixii_sclkout0}), + .testupout(), + .testdownout()); + + defparam + u2.primary_clock = "inclk0", + u2.pll_type = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? "flvds" + : "lvds", + u2.vco_multiply_by = STRATIX_INCLOCK_BOOST, + u2.vco_divide_by = 1, + u2.inclk0_input_frequency = CLOCK_PERIOD, + u2.clk0_multiply_by = STRATIX_INCLOCK_BOOST, + u2.clk0_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE + : deserialization_factor, + u2.clk0_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_INCLOCK + : STXII_PHASE_INCLOCK, + u2.clk1_multiply_by = STRATIX_INCLOCK_BOOST, + u2.clk1_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PLL_D_VALUE*outclock_divide_by + : deserialization_factor, + u2.clk1_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_OUTCLOCK + : STXII_PHASE_OUTCLOCK, + u2.clk2_multiply_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? STRATIX_INCLOCK_BOOST + : 1, + u2.clk2_divide_by = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? ((deserialization_factor%2 == 0) + ? PLL_D_VALUE*deserialization_factor/2 + : PLL_D_VALUE*deserialization_factor) + : 1, + u2.clk2_phase_shift_num = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? PHASE_INCLOCK + : 1, + u2.sclkout0_phase_shift = STXII_PHASE_INCLOCK, + u2.sclkout1_phase_shift = STXII_PHASE_OUTCLOCK, + u2.simulation_type = "functional", + u2.m = 0; + + + // This module produces output clock for Stratix and Stratix GX. + stratix_tx_outclk u3 ( + .tx_in(stx_phase_shift_txdata), + .tx_fastclk(stratix_inclock), + .tx_enable(stratix_enable), + .tx_out(stratix_outclock)); + defparam + u3.deserialization_factor = deserialization_factor, + u3.bypass_serializer = (outclock_divide_by == 1) ? + "TRUE" : "'FALSE", + u3.use_falling_clock_edge = ((outclock_alignment == "180_DEGREES") || + (outclock_alignment == "CENTER_ALIGNED") || + (outclock_alignment == "225_DEGREES") || + (outclock_alignment == "270_DEGREES") || + (outclock_alignment == "315_DEGREES")) ? + "TRUE" : "FALSE"; + + + // This module produces output clock for StratixII. + stratixii_tx_outclk u4 ( + .tx_in(phase_shift_txdata), + .tx_fastclk(stratixii_inclock), + .tx_enable(stratixii_enable), + .tx_out(stratixii_outclock)); + + defparam + u4.deserialization_factor = deserialization_factor, + u4.bypass_serializer = (outclock_divide_by == 1) ? + "TRUE" : "'FALSE", + u4.use_falling_clock_edge = ((outclock_alignment == "180_DEGREES") || + (outclock_alignment == "CENTER_ALIGNED") || + (outclock_alignment == "225_DEGREES") || + (outclock_alignment == "270_DEGREES") || + (outclock_alignment == "315_DEGREES")) ? + "TRUE" : "FALSE"; + + // This module produces output clock for StratixII. + flexible_lvds_tx u5 ( + .tx_in(tx_in), + .tx_fastclk(flvds_fastclk), + .tx_slowclk(flvds_slowclk), + .tx_regclk(flvds_regclk), + .tx_locked(tx_locked_int), + .tx_out(flvds_dataout)); + + defparam + u5.number_of_channels = number_of_channels, + u5.deserialization_factor = deserialization_factor, + u5.registered_input = registered_input; + +// ALWAYS CONSTRUCT BLOCK + + // For x2 mode. For each data channel, input data are separated into 2 data + // stream which will be transmitted on different edge of input clock. + always @ (posedge tx_inclock) + begin : DDIO_OUT_RECEIVE + if (deserialization_factor == 2) + begin + for (i1 = 0; i1 < number_of_channels; i1 = i1 +1) + begin + dataout_l[i1] <= tx_in_int[i1*2]; + dataout_h[i1] <= tx_in_int[i1*2+1]; + end + end + end // DDIO_OUT_RECEIVE + + // Fast Clock + always @ (posedge tx_fastclk) + begin : FAST_CLOCK_POS + if (deserialization_factor > 2) + begin + + // registering load enable signal + enable0_reg2 <= enable0_reg1; + enable0_reg1 <= (use_external_pll == "ON") ? tx_enable : + (STRATIX_TX_STYLE == 1) ? stratix_enable0 : + stratixii_enable0; + + if ((STRATIX_TX_STYLE == 0) && (STRATIXII_TX_STYLE == 0)) + begin + posedge_count <= (posedge_count+1) % deserialization_factor; + if (posedge_count == 2) + begin + // register incoming data on the third edge + tx_shift_reg <= tx_parallel_load_reg; + count <= 2; + for (i = 0; i < number_of_channels; i = i +1) + // Data in MSB gets shifted out first. + // NB: This happens 1/2clk cycle later for APEXII (MSB + // only) when center_align_msb is ON. + begin + if ((i == number_of_channels-1) && + ((APEXII_TX_STYLE == 1)) && + (center_align_msb == "ON")) + begin + tx_out_apex[i] <= #tx_out_delay + tx_parallel_load_reg[(i+1)*deserialization_factor - 1]; + end + else + begin + tx_out_apex[i] <= tx_parallel_load_reg[(i+1)*deserialization_factor - 1]; + end + end + + end + else + begin + count <= count + 1; + for (i = 0; i < number_of_channels; i = i +1) + // Data in MSB gets shifted out first. + // NB: This happens 1/2clk cycle later for APEXII (MSB + // only) when center_align_msb is ON. + begin + if ((i == number_of_channels-1) && + ((APEXII_TX_STYLE == 1)) && + (center_align_msb == "ON")) + begin + tx_out_apex[i] <= #tx_out_delay + tx_shift_reg[(i+1)*deserialization_factor - count]; + end + else + begin + tx_out_apex[i] <= tx_shift_reg[(i+1)*deserialization_factor - count]; + end + end + end + + // Mercury core clock is assymmetrical for odd deserialization + // factor values. + if (posedge_count == ((deserialization_factor+1)/2+1)) + begin + tx_mercury_core_clock <= ~tx_mercury_core_clock; + end + end + else + begin + if(((STRATIX_TX_STYLE == 1) && (enable0_neg == 1)) || + ((STRATIXII_TX_STYLE == 1) && (enable0_reg1 == 1))) + begin + tx_shift_reg <= tx_parallel_load_reg; + count <= 2; + + for (i = 0; i < number_of_channels; i = i +1) + begin + tx_out_stratix[i] <= tx_parallel_load_reg[(i+1)*deserialization_factor - 1]; + end + end + else + begin + count <= (count % deserialization_factor) + 1; + for (i = 0; i < number_of_channels; i = i +1) + begin + tx_out_stratix[i] <= tx_shift_reg[(i+1)*deserialization_factor - count]; + end + end + + // Loading data to parallel load register for Stratix and + // Stratix GX + if (((STRATIX_TX_STYLE == 1) && (stratix_enable0 == 1)) || + (STRATIXII_TX_STYLE == 1)) + begin + tx_parallel_load_reg <= tx_in_int; + end + end + end + end // FAST_CLOCK_POS + + always @ (negedge tx_fastclk) + begin : FAST_CLOCK_NEG + if (deserialization_factor > 2) + begin + // registering load enable signal + enable0_neg <= enable0_reg2; + + negedge_count <= negedge_count + 1; + + // Loading data to parallel load register for non-STRATIX family + if ((negedge_count == 2) && (STRATIX_TX_STYLE == 0) && + (STRATIXII_TX_STYLE == 0) && + (tx_locked_int == 1)) + begin + if (TX_NEED_HOLD == 1) + begin + tx_parallel_load_reg <= tx_hold_reg; + end + else + begin + tx_parallel_load_reg <= tx_in_int; + end + end + end + end // FAST_CLOCK_NEG + + // Slow Clock + always @ (posedge tx_slowclk) + begin : SLOW_CLOCK + negedge_count <= 0; + tx_mercury_core_clock <= tx_slowclk; + end // SLOW_CLOCK + + // synchronization register + always @ (posedge tx_reg_clk) + begin : SYNC_REGISTER + tx_in_reg <= #5 tx_in; + end // SYNC_REGISTER + + // hold register + always @ (negedge tx_hold_clk) + begin : HOLD_REGISTER + if (deserialization_factor > 1) + begin + tx_hold_reg <= tx_in_int; + end + end // HOLD_REGISTER + + + // CONTINOUS ASSIGNMENT + assign tx_out = (deserialization_factor == 1) + ? tx_in_int : + (deserialization_factor == 2) + ? ((tx_inclock == 1) ? dataout_h : dataout_l) : + (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? flvds_dataout : + ((STRATIX_TX_STYLE == 1) || (STRATIXII_TX_STYLE == 1)) + ? tx_out_stratix + : tx_out_apex; + + assign tx_in_int = (registered_input != "OFF") + ? tx_in_reg + : tx_in; + + assign tx_reg_clk = ((STRATIX_TX_STYLE == 1) || + (((STRATIXII_TX_STYLE == 1) || + (CYCLONE_TX_STYLE == 1) || + (CYCLONEII_TX_STYLE == 1)) && + (use_external_pll == "OFF"))) + ? ((registered_input == "TX_CLKIN") + ? tx_inclock + : tx_coreclock_int) : + (((registered_input == "ON") && + (multi_clock == "ON")) + ? sync_inclock + : tx_inclock); + + assign tx_hold_clk = (multi_clock == "ON") + ? sync_inclock : + ((MERCURY_TX_STYLE == 1) + ? tx_coreclock_int + : tx_inclock); + + assign tx_outclock = (deserialization_factor < 3) + ? tx_inclock : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? stratix_pll_outclock[1] : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? stratixii_pll_outclock[1] : + (STRATIX_TX_STYLE == 1) + ? stratix_outclock : + (STRATIXII_TX_STYLE == 1) + ? stratixii_outclock : + (MERCURY_TX_STYLE == 1) + ? altclklock_clk[2] : + (APEXII_TX_STYLE == 1) + ? tx_inclock + : tx_slowclk; + + assign tx_coreclock = tx_coreclock_int; + + assign tx_coreclock_int = (deserialization_factor < 3) + ? 1'b0 : + (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? flvds_slowclk : + (((deserialization_factor % 2) != 0) && + (MERCURY_TX_STYLE == 1)) + ? tx_mercury_core_clock + : tx_slowclk; + + assign tx_locked = (deserialization_factor > 2) + ? tx_locked_int + : 1'b1; + + assign tx_locked_int = ((STRATIX_TX_STYLE == 1) || + (CYCLONE_TX_STYLE == 1)) + ? stratix_locked : + ((STRATIXII_TX_STYLE == 1) || + (CYCLONEII_TX_STYLE == 1)) + ? stratixii_locked + : altclklock_locked; + + assign tx_fastclk = ((deserialization_factor < 3) || + (FAMILY_HAS_FLEXIBLE_LVDS == 1)) + ? 1'b0 : + (use_external_pll == "ON") + ? tx_inclock : + (STRATIX_TX_STYLE == 1) + ? stratix_pll_outclock[0] : + (STRATIXII_TX_STYLE == 1) + ? stratixii_sclkout0 + : altclklock_clk[0]; + + assign tx_slowclk = ((use_external_pll == "ON") || + (FAMILY_HAS_FLEXIBLE_LVDS == 1)) + ? 1'b0 : + (STRATIX_TX_STYLE == 1) + ? stratix_pll_outclock[2] : + (STRATIXII_TX_STYLE == 1) + ? stratixii_pll_outclock[0] + : altclklock_clk[1]; + + assign altclklock_inclock = ((STRATIX_TX_STYLE == 1) || + (STRATIXII_TX_STYLE == 1) || + (FAMILY_HAS_FLEXIBLE_LVDS == 1)) + ? 0 + : tx_inclock; + + assign altclklock_clkena = ((FAMILY_HAS_STRATIX_STYLE_PLL == 1) || + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? 0 + : tx_pll_enable; + + assign stratix_pll_inclock[1:0] = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? {1'b0, tx_inclock} + : 2'b00; + + assign stratixii_pll_inclock[1:0] = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? {1'b0, tx_inclock} + : 2'b00; + + assign stratix_pll_enable = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? tx_pll_enable + : 1'b0; + + assign stratixii_pll_enable = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? tx_pll_enable + : 1'b0; + + assign stratix_pll_areset = (FAMILY_HAS_STRATIX_STYLE_PLL == 1) + ? pll_areset + : 1'b0; + + assign stratixii_pll_areset = (FAMILY_HAS_STRATIXII_STYLE_PLL == 1) + ? pll_areset + : 1'b0; + + assign stratix_inclock = ((STRATIX_TX_STYLE == 1) && + (implement_in_les == "OFF")) + ? stratix_pll_outclock[1] + : 1'b0; + + assign stratix_enable = ((STRATIX_TX_STYLE == 1) && + (implement_in_les == "OFF")) + ? stratix_enable1 + : 1'b0; + + assign stratixii_inclock = ((STRATIXII_TX_STYLE == 1) && + (implement_in_les == "OFF")) + ? ((use_external_pll == "ON") + ? tx_inclock + : stratixii_sclkout1) + : 1'b0; + + assign stratixii_enable = ((STRATIXII_TX_STYLE == 1) && + (implement_in_les == "OFF")) + ? ((use_external_pll == "ON") + ? tx_enable + : stratixii_enable1) + : 1'b0; + + assign flvds_fastclk = ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? tx_inclock + : stratix_pll_outclock[0]) : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? tx_inclock + : stratixii_pll_outclock[0]) + : 1'b0; + + assign flvds_slowclk = ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIX_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratix_pll_outclock[2]) : + ((FAMILY_HAS_FLEXIBLE_LVDS == 1) && + (FAMILY_HAS_STRATIXII_STYLE_PLL == 1)) + ? ((use_external_pll == "ON") + ? 1'b0 + : stratixii_pll_outclock[2]) + : 1'b0; + + assign flvds_regclk = (FAMILY_HAS_FLEXIBLE_LVDS == 1) + ? tx_reg_clk + : 1'b0; + +endmodule // altlvds_tx +// END OF MODULE + + +//START_MODULE_NAME-------------------------------------------------------------- +// +// Module Name : stratix_tx_outclk + +// Description : This module is used to generate the tx_outclock for Stratix +// family. + +// Limitation : Only available STRATIX family. +// +// Results expected: Output clock. +// +//END_MODULE_NAME---------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +module stratix_tx_outclk ( + tx_in, + tx_fastclk, + tx_enable, + tx_out +); + +// GLOBAL PARAMETER DECLARATION + // No. of bits per channel (required) + parameter deserialization_factor = 4; + parameter bypass_serializer = "FALSE"; + parameter use_falling_clock_edge = "FALSE"; + +// INPUT PORT DECLARATION + // Input data (required) + input [9 : 0] tx_in; + // Input clock (required) + input tx_fastclk; + input tx_enable; + +// OUTPUT PORT DECLARATION + // Serialized data signal(required) + output tx_out; + +// INTERNAL REGISTERS DECLARATION + reg [deserialization_factor -1 : 0] tx_shift_reg; + reg [deserialization_factor -1 : 0] tx_parallel_load_reg; + reg tx_out_neg; + reg enable1_reg0; + reg enable1_reg1; + reg enable1_reg2; + +// INTERNAL TRI DECLARATION + tri1 tx_enable; + +// LOCAL INTEGER DECLARATION + integer x; + +// INITIAL CONSTRUCT BLOCK + + initial + begin : INITIALIZATION + tx_parallel_load_reg = {deserialization_factor{1'b0}}; + tx_shift_reg = {deserialization_factor{1'b0}}; + end // INITIALIZATION + +// ALWAYS CONSTRUCT BLOCK + + // registering load enable signal + always @ (posedge tx_fastclk) + begin : LOAD_ENABLE_POS + if (tx_fastclk === 1'b1) + begin + enable1_reg1 <= enable1_reg0; + enable1_reg0 <= tx_enable; + end + end // LOAD_ENABLE_POS + + always @ (negedge tx_fastclk) + begin : LOAD_ENABLE_NEG + enable1_reg2 <= enable1_reg1; + end // LOAD_ENABLE_NEG + + // Fast Clock + always @ (posedge tx_fastclk) + begin : POSEDGE_FAST_CLOCK + if (enable1_reg2 == 1'b1) + tx_shift_reg <= tx_parallel_load_reg; + else// Shift data from shift register to tx_out + begin + for (x=deserialization_factor-1; x >0; x=x-1) + tx_shift_reg[x] <= tx_shift_reg [x-1]; + end + + tx_parallel_load_reg <= tx_in[deserialization_factor-1 : 0]; + end // POSEDGE_FAST_CLOCK + + always @ (negedge tx_fastclk) + begin : NEGEDGE_FAST_CLOCK + tx_out_neg <= tx_shift_reg[deserialization_factor-1]; + end // NEGEDGE_FAST_CLOCK + +// CONTINUOUS ASSIGNMENT + assign tx_out = (bypass_serializer == "TRUE") ? tx_fastclk : + (use_falling_clock_edge == "TRUE") ? tx_out_neg : + tx_shift_reg[deserialization_factor-1]; + +endmodule // stratix_tx_outclk +// END OF MODULE + +//START_MODULE_NAME-------------------------------------------------------------- +// +// Module Name : stratixii_tx_outclk + +// Description : This module is used to generate the tx_outclock for StratixII +// family. + +// Limitation : Only available STRATIX II family. +// +// Results expected: Output clock. +// +//END_MODULE_NAME---------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +module stratixii_tx_outclk ( + tx_in, + tx_fastclk, + tx_enable, + tx_out +); + +// GLOBAL PARAMETER DECLARATION + // No. of bits per channel (required) + parameter deserialization_factor = 4; + parameter bypass_serializer = "FALSE"; + parameter use_falling_clock_edge = "FALSE"; + +// INPUT PORT DECLARATION + // Input data (required) + input [9 : 0] tx_in; + // Input clock (required) + input tx_fastclk; + input tx_enable; + +// OUTPUT PORT DECLARATION + // Serialized data signal(required) + output tx_out; + +// INTERNAL REGISTERS DECLARATION + reg [deserialization_factor -1 : 0] tx_shift_reg; + reg [deserialization_factor -1 : 0] tx_parallel_load_reg; + reg tx_out_reg; + reg tx_out_neg; + reg enable1_reg; + +// INTERNAL TRI DECLARATION + tri1 tx_enable; + +// LOCAL INTEGER DECLARATION + integer i1; + integer i2; + integer x; + +// INITIAL CONSTRUCT BLOCK + + initial + begin : INITIALIZATION + tx_parallel_load_reg = {deserialization_factor{1'b0}}; + tx_shift_reg = {deserialization_factor{1'b0}}; + + enable1_reg = 0; + + end // INITIALIZATION + +// ALWAYS CONSTRUCT BLOCK + + // Fast Clock + always @ (posedge tx_fastclk) + begin : POSEDGE_FAST_CLOCK + // registering enable1 signal + enable1_reg <= tx_enable; + + if (enable1_reg == 1'b1) + tx_shift_reg <= tx_parallel_load_reg; + else// Shift data from shift register to tx_out + begin + for (x=deserialization_factor-1; x >0; x=x-1) + tx_shift_reg[x] <= tx_shift_reg [x-1]; + end + + tx_parallel_load_reg <= tx_in[deserialization_factor-1 : 0]; + end // POSEDGE_FAST_CLOCK + + always @ (negedge tx_fastclk) + begin : NEGEDGE_FAST_CLOCK + tx_out_neg <= tx_shift_reg[deserialization_factor-1]; + end // NEGEDGE_FAST_CLOCK + +// CONTINUOUS ASSIGNMENT + assign tx_out = (bypass_serializer == "TRUE") ? tx_fastclk : + (use_falling_clock_edge == "TRUE") ? tx_out_neg : + tx_shift_reg[deserialization_factor-1]; + +endmodule // stratixii_tx_outclk +// END OF MODULE + + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : flexible_lvds_tx +// +// Description : flexible lvds transmitter +// +// Limitation : Only available to Cyclone and Cyclone II +// families. +// +// Results expected: Serialized output data. +// +//END_MODULE_NAME---------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module flexible_lvds_tx ( + tx_in, // input serial data + tx_fastclk, // fast clock from pll + tx_slowclk, // slow clock from pll + tx_regclk, // clock for registering input data + tx_locked, // locked signal from PLL + tx_out // deserialized output data +); + +// GLOBAL PARAMETER DECLARATION + parameter number_of_channels = 1; + parameter deserialization_factor = 4; + parameter registered_input = "ON"; + +// LOCAL PARAMETER DECLARATION + parameter REGISTER_WIDTH = deserialization_factor*number_of_channels; + parameter DOUBLE_DESER = deserialization_factor*2; + +// INPUT PORT DECLARATION + input [REGISTER_WIDTH -1: 0] tx_in; + input tx_fastclk; + input tx_slowclk; + input tx_regclk; + input tx_locked; + +// OUTPUT PORT DECLARATION + output [number_of_channels -1 :0] tx_out; + +// INTERNAL REGISTERS DECLARATION + reg [REGISTER_WIDTH -1 : 0] tx_reg; + reg [(REGISTER_WIDTH*2) -1 : 0] tx_reg2; + reg [REGISTER_WIDTH -1 : 0] tx_shift_reg; + reg [(REGISTER_WIDTH*2) -1 : 0] tx_shift_reg2; + reg [REGISTER_WIDTH -1 :0] h_sync_a; + reg [(REGISTER_WIDTH*2) -1 :0] sync_b_reg; + reg [number_of_channels -1 :0] dataout_h; + reg [number_of_channels -1 :0] dataout_l; + reg [number_of_channels -1 :0] dataout_tmp; + reg [number_of_channels -1 :0] tx_ddio_out; + +// INTERNAL WIRE DECLARATION + wire [REGISTER_WIDTH -1 : 0] tx_in_int; + wire [(REGISTER_WIDTH*2) -1 : 0] tx_in_int2; + + +// LOCAL INTEGER DECLARATION + integer i1; + integer i2; + integer i3; + integer x; + integer x2; + integer loadcnt; + +// INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZATION + tx_reg = {REGISTER_WIDTH{1'b0}}; + tx_reg2 = {(REGISTER_WIDTH*2){1'b0}}; + + tx_shift_reg = {REGISTER_WIDTH{1'b0}}; + tx_shift_reg2 = {(REGISTER_WIDTH*2){1'b0}}; + + dataout_h = {number_of_channels{1'b0}}; + dataout_l = {number_of_channels{1'b0}}; + dataout_tmp = {number_of_channels{1'b0}}; + tx_ddio_out = {number_of_channels{1'b0}}; + + h_sync_a = {REGISTER_WIDTH{1'b0}}; + sync_b_reg = {(REGISTER_WIDTH*2){1'b0}}; + + loadcnt = 0; + end //INITIALIZATION + + +// ALWAYS CONSTRUCT BLOCK + + // For each data channel, input data are separated into 2 data + // stream which will be transmitted on different edge of input clock. + always @ (posedge tx_fastclk) + begin : DDIO_OUT_POS + if ((deserialization_factor % 2) == 0) + begin + for (i1 = 0; i1 < number_of_channels; i1 = i1 +1) + begin + dataout_h[i1] <= tx_shift_reg[(i1+1)*deserialization_factor-1]; + dataout_l[i1] <= tx_shift_reg[(i1+1)*deserialization_factor-2]; + dataout_tmp[i1] <= tx_shift_reg[(i1+1)*deserialization_factor-1]; + end + end + else + begin + for (i1 = 0; i1 < number_of_channels; i1 = i1 +1) + begin + dataout_h[i1] <= tx_shift_reg2[(i1+1)*DOUBLE_DESER-1]; + dataout_l[i1] <= tx_shift_reg2[(i1+1)*DOUBLE_DESER-2]; + dataout_tmp[i1] <= tx_shift_reg2[(i1+1)*DOUBLE_DESER-1]; + end + end + end // DDIO_OUT_POS + + always @ (negedge tx_fastclk) + begin : DDIO_OUT_NEG + dataout_tmp <= dataout_l; + end // DDIO_OUT_NEG + + // Loading input data to shift register + always @ (posedge tx_fastclk) + begin : SHIFTREG + + // if(tx_locked == 1'b1) + begin + // Implementation for even deserialization factor. + if ((deserialization_factor % 2) == 0) + begin + loadcnt <= (loadcnt + 1) % (deserialization_factor/2); + + if(loadcnt == 0) + tx_shift_reg <= tx_in_int; + else + begin + for (i2= 0; i2 < number_of_channels; i2 = i2+1) + begin + for (x=deserialization_factor-1; x >1; x=x-1) + tx_shift_reg[x + (i2 * deserialization_factor)] <= + tx_shift_reg [x-2 + (i2 * deserialization_factor)]; + end + end + end + else // Implementation for odd deserialization factor. + begin + loadcnt <= (loadcnt + 1) % deserialization_factor; + + if(loadcnt == 0) + tx_shift_reg2 <= tx_in_int2; + else + begin + for (i2= 0; i2 < number_of_channels; i2 = i2+1) + begin + for (x=DOUBLE_DESER-1; x >1; x=x-1) + tx_shift_reg2[x + (i2 * DOUBLE_DESER)] <= + tx_shift_reg2 [x-2 + (i2 * DOUBLE_DESER)]; + end + end + end + end + end // SHIFTREG + + // loading data to synchronization register + always @ (posedge tx_slowclk) + begin : SYNC_REG_POS + h_sync_a <= tx_in; + end // SYNC_REG_POS + + always @ (negedge tx_slowclk) + begin : SYNC_REG_NEG + + for (i3= 0; i3 < number_of_channels; i3 = i3+1) + begin + for (x2=0; x2 < deserialization_factor; x2=x2+1) + begin + sync_b_reg[x2 + (((i3 * 2) + 1) * deserialization_factor)] <= + h_sync_a[x2 + (i3 * deserialization_factor)]; + sync_b_reg[x2 + (i3 * DOUBLE_DESER)] <= + tx_in[x2 + (i3 * deserialization_factor)]; + end + end + end // SYNC_REG_NEG + + // loading data to input register + always @ (posedge tx_regclk) + begin : IN_REG + if ((deserialization_factor % 2) == 0) + tx_reg <= tx_in; + else + tx_reg2 <= sync_b_reg; + end // IN_REG + +// CONTINOUS ASSIGNMENT + assign tx_in_int = (registered_input == "OFF") ? tx_in : tx_reg; + assign tx_in_int2 = (registered_input == "OFF") ? sync_b_reg : tx_reg2; + assign tx_out = dataout_tmp; + + +endmodule // flexible_lvds_tx +// END OF MODULE + + +//START_MODULE_NAME---------------------------------------------------- +// +// Module Name : altcam +// +// Description : Content-addressable memory (CAM) Megafunction. The +// data contained in a CAM is a set of patterns that can be searched in a +// single-clock cycle. The altcam megafunction allows each stored pattern +// bit to be specified as a binary "1" bit, binary "0" bit, or a don't care bit. +// Comparing a stored pattern bit that is specified as don't care with its +// corresponding input pattern bit will always result in a match. +// +// Limitation : Input patterns cannot contain don't care bits. +// +// Results expected: If the input pattern given to the CAM matches one +// of the patterns stored in the CAM, the address of the matching stored +// pattern is generated. +// +//END_MODULE_NAME---------------------------------------------------- + +`timescale 1 ps / 1 ps + + +module altcam (pattern, wrx, wrxused, wrdelete, wraddress, wren, + inclock, inclocken, inaclr, outclock, + outclocken, outaclr, mstart, mnext, maddress, mbits, mfound, mcount, + rdbusy, wrbusy); + + parameter width = 1; + parameter widthad = 1; + parameter numwords = 1; + parameter lpm_file = "UNUSED"; + parameter lpm_filex = "UNUSED"; + parameter lpm_hint = "UNUSED"; + parameter match_mode = "MULTIPLE"; + parameter output_reg = "UNREGISTERED"; + parameter output_aclr = "ON"; + parameter pattern_reg = "INCLOCK"; + parameter pattern_aclr = "ON"; + parameter wraddress_aclr = "ON"; + parameter wrx_reg = "INCLOCK"; + parameter wrx_aclr = "ON"; + parameter wrcontrol_aclr = "ON"; + parameter use_eab = "ON"; + parameter lpm_type = "altcam"; + + // Input ports + input [width-1 : 0] pattern; // Required port + input [width-1 : 0] wrx; + input wrxused; + input wrdelete; + input [widthad-1 : 0] wraddress; + input wren; + input inclock; // Required port + input inclocken; + input inaclr; + input outclock; + input outclocken; + input outaclr; + input mstart; + input mnext; + + // Output ports + output [widthad-1 : 0] maddress; + output [numwords-1 : 0] mbits; + output mfound; + output [widthad-1 : 0] mcount; + output rdbusy; + output wrbusy; + + // Nets + tri1 wrxused_pullup; + tri1 inclocken_pullup; + tri1 outclocken_pullup; + tri0 wrdelete_pulldown; + tri0 inaclr_pulldown; + wire [width-1 : 0] pattern_int; + wire [width-1 : 0] wrx_int; + wire wrxused_int; + wire outclock_int; + wire outaclr_int; + wire rdbusy_delayed; + + // Registers + reg [width-1 : 0] pattern_rgd; + reg [width-1 : 0] wrx_rgd; + reg wrxused_rgd; + reg [widthad-1 : 0] wraddress_rgd; + reg wren_rgd; + reg wrdelete_rgd; + reg [widthad-1 : 0] maddress_rgd; + reg [widthad-1 : 0] maddress_int; + reg [numwords-1 : 0] mbits_rgd; + reg [numwords-1 : 0] mbits_int; + reg mfound_rgd; + reg mfound_int; + reg [widthad-1 : 0] mcount_rgd; + reg [widthad-1 : 0] mcount_int; + reg wrbusy_int; + reg rdbusy_int; + + // CAM registers + reg [width-1 : 0] cam_array [numwords-1 : 0]; + reg [width-1 : 0] x_array [numwords-1 : 0]; + + // Read control registers + reg first_read_clock; + reg get_first_match; + reg get_next_match; + reg mstart_rgd1; + reg mstart_rgd2; + reg first_read_in_write; + + // Write control registers + reg write_start; + reg write_start_rgd; + reg write_start_1; + reg write_incomplete; + reg write0; + reg write1; + reg writex; + reg write0_done; + reg write1_done; + reg writex_done; + + // Variables + reg [8*256:1] cam_initf; + reg [8*256:1] cam_initx; + reg [width-1 : 0] word_0; + reg [width-1 : 0] word_1; + reg [widthad-1 : 0] address_0; + reg [widthad-1 : 0] address_1; + reg [numwords-1 : 0] numwords_0; + integer count; + integer index; + integer i, j, k, addr; + integer next_search; + reg restart_read; + reg reset_read; + reg mstart_used; + reg [width-1:0] ipattern; + reg [widthad-1:0] iwraddress; + reg [width-1:0] iwrx; + reg iwren; + reg iwrxused; + reg [numwords-1 : 0] mbits_tmp; + + function read_cam_array; + input [widthad-1 : 0] i; + input [width-1 : 0] j; + begin: READ_CAM + reg [width-1 : 0] tmp; + tmp = cam_array[i]; + read_cam_array = tmp[j]; + end // end READ_CAM + endfunction // end of read_cam_array + + task write_cam_array; + input [widthad-1 : 0] i; + input [width-1 : 0] j; + input value; + begin: WRITE_CAM + reg [width-1 : 0] tmp; + tmp = cam_array[i]; + tmp[j] = value; + cam_array[i] = tmp; + end // end of WRITE_CAM + endtask // end of write_cam_array + + function read_x_array; + input [widthad-1 : 0] i; + input [width-1 : 0] j; + begin: READ_X + reg [width-1 : 0] tmp; + tmp = x_array[i]; + read_x_array = tmp[j]; + end // end of READ_X + endfunction // end of read_x_array + + task write_x_array; + input [widthad-1 : 0] i; + input [width-1 : 0] j; + input value; + begin: WRITE_X + reg [width-1 : 0] tmp; + tmp = x_array[i]; + tmp[j] = value; + x_array[i] = tmp; + end // end of WRITE_X + endtask // end of write_x_array + + ALTERA_MF_MEMORY_INITIALIZATION mem (); + + initial + begin + + // Checking for invalid parameters + if( width <= 0 ) + begin + $display("Error! Value of width parameter must be greater than 0."); + $stop; + end + + if( widthad <= 0 ) + begin + $display("Error! Value of widthad parameter must be greater than 0."); + $stop; + end + + if( (match_mode != "SINGLE") && (match_mode != "MULTIPLE") && (match_mode != "FAST_MULTIPLE") ) + begin + $display("Error! Illegal value for match_mode parameter. The value must be SINGLE, MULTIPLE (the default), or FAST_MULTIPLE."); + $stop; + end + + for (i=0; i=0; i=i-1) + if ((i % 2) == 1 ) + begin + mbits_tmp[i-1] = mbits_tmp[i]; + end + else + begin + begin: WWORD_MM1 for (j=0; j "0" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + end + end else if (ipattern[i] == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + end + end + end + write0_done <= 1'b1; + write1_done <= 1'b0; + writex_done <= 1'b0; + end + //////////////// + // WRITE_ONES // + //////////////// + if (write1 == 1'b1) + begin + for (i =0; i "0" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "1" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + // "X" ==> "0" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + end + end + else if (ipattern[i] == 1'b1) + begin + // "0" ==> "U" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "X" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + end + end + end + write0_done <= 1'b0; + write1_done <= 1'b1; + writex_done <= 1'b0; + end + ///////////// + // WRITE_X // + ///////////// + if (writex == 1'b1) + begin + for (i =0; i "0" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + end + end + else if ((ipattern[i] ^ wrx_int[i]) == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + end + end + end + writex_done <= 1'b1; + write0_done <= 1'b0; + write1_done <= 1'b0; + end + if (wrbusy_int == 1'b1) + begin + write_start_1 <= 1'b1; + write_start <= write_start_1; + end + else + begin + write_start_1 <= 1'b0; + write_start <= 1'b0; + end + end + else + begin // 2 Cycle write + /////////////////// + // 2 CYCLE WRITE // + /////////////////// + ///////////////// + // WRITE_ZEROS // + ///////////////// + if (write0 == 1'b1) + begin + for (i =0; i "0" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + end + end + else if (ipattern[i] == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + end + end + end + write0_done <= 1'b1; + write1_done <= 1'b0; + writex_done <= 1'b0; + end + //////////////// + // WRITE_ONES // + //////////////// + if (write1 == 1'b1) + begin + for (i =0; i "0" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "1" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + // "X" ==> "0" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b0); + write_x_array(addr,i,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + end + end + else if (ipattern[i] == 1'b1) + begin + // "0" ==> "U" + if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b0)) + begin // "0" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b0)) + begin // "1" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "X" ==> "1" + end + else if ((read_cam_array(addr,i)==1'b0 && read_x_array(addr,i)==1'b1)) + begin // "X" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,i)==1'b1 && read_x_array(addr,i)==1'b1)) + begin // "U" + write_cam_array(addr,i,1'b1); + write_x_array(addr,i,1'b1); + end + end + end + write0_done <= 1'b0; + write1_done <= 1'b1; + writex_done <= 1'b0; + end + + if (wrbusy_int == 1'b1) + begin + write_start <= 1'b1; + end + else + begin + write_start <= 1'b0; + end + end // wrxused_int + end + else + begin // if (wrdelete == 1'b1) begin + //////////////////// + // 2 CYCLE DELETE // + //////////////////// + // Delete is a 2-cycle write + //////////////// + // WRITE_ONES // + //////////////// + if (write0 == 1'b1) + begin + for (i =0; i=0; i=i-1) + if ((i % 2) == 1 ) + begin + mbits_tmp[i-1] = mbits_tmp[i]; + end + else + begin + begin: WWORD_MM3 for (j=0; j 0) + begin + mfound_int <= 1'b1; + end + else + begin + mfound_int <= 1'b0; + maddress_int <= word_1; + end + end + mcount_int <= count; + mbits_int <= mbits_tmp; + end + + ///////////////////////////////// + // SINGLE: READ ON NEW PATTERN // + ///////////////////////////////// + if (match_mode == "SINGLE") + begin + mbits_tmp = numwords_0; + index = 0; + count = 0; + begin: MADDR_SM1 for (k=0; k "0" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + end + end + else if (pattern[k] == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + end + end + end + end + //////////////// + // WRITE_ONES // + //////////////// + if (write1_done == 1'b1) + begin + for (k =0; k "0" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "1" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + // "X" ==> "0" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + end + end + else if (pattern[k] == 1'b1) + begin + // "0" ==> "U" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "X" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + end + end + end + end + ///////////// + // WRITE_X // + ///////////// + if (writex_done == 1'b1) + begin + for (k =0; k "0" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + end + end + else if ((pattern[k] ^ wrx_int[k]) == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + end + end + end + end + end + else + begin // 2 Cycle write + /////////////////// + // 2 CYCLE WRITE // + /////////////////// + ///////////////// + // WRITE_ZEROS // + ///////////////// + if (write0_done == 1'b1) + begin + for (k =0; k "0" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "1" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "0" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + end + end + else if (pattern[k] == 1'b1) + begin + // "0" ==> "X" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "X" ==> "X" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b1); + // "U" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + end + end + end + end + //////////////// + // WRITE_ONES // + //////////////// + if (write1_done == 1'b1) + begin + for (k =0; k "0" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "1" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + // "X" ==> "0" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b0); + write_x_array(addr,k,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + end + end + else if (pattern[k] == 1'b1) + begin + // "0" ==> "U" + if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b0)) + begin // "0" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + // "1" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b0)) + begin // "1" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "X" ==> "1" + end + else if ((read_cam_array(addr,k)==1'b0 && read_x_array(addr,k)==1'b1)) + begin // "X" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b0); + // "U" ==> "U" + end + else if ((read_cam_array(addr,k)==1'b1 && read_x_array(addr,k)==1'b1)) + begin // "U" + write_cam_array(addr,k,1'b1); + write_x_array(addr,k,1'b1); + end + end + end + end + end // wrxused_int + end + else + begin // if (wrdelete == 1'b1) begin + //////////////////// + // 2 CYCLE DELETE // + //////////////////// + // Delete is a 2-cycle write + //////////////// + // WRITE_ONES // + //////////////// + if (write0_done == 1'b1) + begin + for (k =0; k=0; k=k-1) + if ((k % 2) == 1 ) + begin + mbits_tmp[k-1] = mbits_tmp[k]; + end + else + begin + begin: WWORD_MM_3 for (j=0; j= + add_reg_b_mult_wb) && + (add_reg_a_mult_wa <= + (add_reg_b_mult_wb_pl_wb - 1))) + + || + + (((add_reg_a_mult_wa_pl_wa - 1) >= + add_reg_b_mult_wb) && + ((add_reg_a_mult_wa_pl_wa - 1) <= + (add_reg_b_mult_wb_pl_wb - 1))) + ) + for (i3 = add_reg_a_mult_wa; + i3 < add_reg_a_mult_wa_pl_wa; + i3 = i3 + 1) + begin + if ((i3 >= add_reg_b_mult_wb) && + (i3 <= (add_reg_b_mult_wb_pl_wb - 1))) + begin + i_q_tmp2_a_idx = (i3 - add_reg_a_mult_wa); + i_q_tmp2_a[i_q_tmp2_a_idx] = 1'bx; + end + end + + end + end + end + end + end + + + // assigning the correct output values for i_q_tmp_a (non-registered output) + always @(i_q_tmp2_a or i_wren_reg_a or i_data_reg_a or + i_address_reg_a or i_byteena_mask_reg_a_out) + begin + + if (i_wren_reg_a) + begin + i_q_tmp_a <= i_data_reg_a ^ i_byteena_mask_reg_a_out; + + end + else + i_q_tmp_a <= i_q_tmp2_a; + + end + + + // Port A outdata output registered + always @(posedge i_outdata_clk_a or posedge i_outdata_aclr_a) + begin + if (i_outdata_aclr_a) + i_q_reg_a <= 0; + else if (i_outdata_clken_a) + i_q_reg_a <= i_q_tmp_a; + end + + + // Port A : assigning the correct output values for q_a + assign q_a = (operation_mode == "DUAL_PORT") ? + {width_a{1'b0}} : (((outdata_reg_a == "CLOCK0") || + (outdata_reg_a == "CLOCK1")) ? + i_q_reg_a : i_q_tmp_a); + + + // Port B reading + always @(posedge i_read_flag_b or negedge i_read_flag_b) + begin + if ((operation_mode == "BIDIR_DUAL_PORT") || + (operation_mode == "DUAL_PORT")) + begin + if (~good_to_go_b) + begin + + if (check_simultaneous_read_write) + i_q_tmp2_b = {width_b{1'bx}}; + else + i_q_tmp2_b = 0; + end + else + begin + if (i_rden_reg_b) + begin + //If width_a is equal to b, no address calculation is needed + if (width_a == width_b) + begin + if (check_simultaneous_read_write) + begin + if ((rdcontrol_reg_b == "CLOCK0") || + (wrcontrol_wraddress_reg_b == "CLOCK0")) + begin + // A write, B read + if (i_wren_reg_a & ~i_wren_reg_b) + begin + // if A write to the same Ram address B is reading from + if (i_address_reg_b == i_address_reg_a) + temp_wb = {width_b{1'bx}}; + else + temp_wb = mem_data[i_address_reg_b]; + + end + else + temp_wb = mem_data[i_address_reg_b]; + end + else + temp_wb = mem_data[i_address_reg_b]; + end + else + temp_wb = mem_data[i_address_reg_b]; + + end + else + begin + j2 = i_address_reg_b * width_b; + + if (check_simultaneous_read_write) + begin + if ((rdcontrol_reg_b == "CLOCK0") || + (wrcontrol_wraddress_reg_b == "CLOCK0")) + begin + // A write, B read + if (i_wren_reg_a & ~i_wren_reg_b) + begin + + for (i5=0; i5 i_*_lo) during falling edge. + always @(negedge i_apex_inclock) + begin + if (indata_reg == "INCLOCK") + begin + if ((aclr == 1) && (indata_aclr_int == "ON")) + i_data_lo <= 0; + else + i_data_lo <= i_data_hi; + end + + if (write_reg == "INCLOCK") + begin + if ((aclr == 1) && (write_aclr_int == "ON")) + begin + i_wraddress_lo <= 0; + i_wren_lo <= 0; + end + else + begin + i_wraddress_lo <= i_wraddress_hi; + i_wren_lo <= i_wren_hi; + end + end + end // End of always block: @(negedge inclock) + + + // At posedge of read clock: + // Read Cycle: This block is valid only if the operating mode is + // in "Seperate Clock Mode". All read data, address + // and control are clocked out from internal vars + // (i_*_reg) to output port. + always @(posedge i_apex_outclock) + begin + if (outdata_reg_a == "OUTCLOCK") + begin + if ((aclr == 1) && (outdata_aclr_a == "ON")) + i_qa_reg <= 0; + else if (outclocken == 1) + i_qa_reg <= i_qa_tmp; + end + + if (outdata_reg_b == "OUTCLOCK") + begin + if ((aclr == 1) && (outdata_aclr_b == "ON")) + i_qb_reg <= 0; + else if (outclocken == 1) + i_qb_reg <= i_qb_tmp; + end + + if (rdaddress_reg_a == "OUTCLOCK") + begin + if ((aclr == 1) && (rdaddress_aclr_a_int == "ON")) + i_rdaddress_reg_a <= 0; + else if (outclocken == 1) + i_rdaddress_reg_a <= rdaddress_a; + end + + if (rdcontrol_reg_a == "OUTCLOCK") + begin + if ((aclr == 1) && (rdcontrol_aclr_a_int == "ON")) + i_rden_reg_a <= 0; + else if (outclocken == 1) + i_rden_reg_a <= rden_a; + end + + if (rdaddress_reg_b == "OUTCLOCK") + begin + if ((aclr == 1) && (rdaddress_aclr_b_int == "ON")) + i_rdaddress_reg_b <= 0; + else if (outclocken == 1) + i_rdaddress_reg_b <= rdaddress_b; + end + + if (rdcontrol_reg_b == "OUTCLOCK") + begin + if ((aclr == 1) && (rdcontrol_aclr_b_int == "ON")) + i_rden_reg_b <= 0; + else if (outclocken == 1) + i_rden_reg_b <= rden_b; + end + end // End of always block: @(posedge outclock) + + always @(i_rdaddress_reg_a) + begin + i_rdaddress_reg_a_dly <= i_rdaddress_reg_a; + end + + always @(i_rdaddress_reg_b) + begin + i_rdaddress_reg_b_dly <= i_rdaddress_reg_b; + end + + //========= + // Memory + //========= + + always @(i_data_tmp or i_wren_tmp or i_wraddress_tmp) + begin + new_data <= 1; + end + + always @(posedge new_data or negedge new_data) + begin + if (new_data == 1) + begin + // + // This is where data is being write to the internal memory: mem_data[] + // + if (i_wren_tmp == 1) + begin + mem_data[i_wraddress_tmp] <= i_data_tmp; + end + + tmp_new_data <= ~tmp_new_data; + + end + end + + always @(tmp_new_data) + begin + + new_data <= 0; + end + + // Triple-Port Ram (alt3pram) has one write port and two read ports (a and b) + // Below is the operation to read data from internal memory (mem_data[]) + // to the output port (i_qa_tmp or i_qb_tmp) + // Note: i_q*_tmp will serve as the var directly link to the physical + // output port q* if alt3pram is operate in "Shared Clock Mode", + // else data read from i_q*_tmp will need to be latched to i_q*_reg + // through outclock before it is fed to the output port q* (qa or qb). + + always @(posedge new_data or negedge new_data or + posedge i_rden_tmp_a or negedge i_rden_tmp_a or + i_rdaddress_tmp_a) + begin + + if (i_rden_tmp_a == 1) + i_qa_tmp <= mem_data[i_rdaddress_tmp_a]; + else if (rden_low_output_0 == 1) + i_qa_tmp <= 0; + + end + + always @(posedge new_data or negedge new_data or + posedge i_rden_tmp_b or negedge i_rden_tmp_b or + i_rdaddress_tmp_b) + begin + + if (i_rden_tmp_b == 1) + i_qb_tmp <= mem_data[i_rdaddress_tmp_b]; + else if (rden_low_output_0 == 1) + i_qb_tmp <= 0; + + end + + + //======= + // Sync + //======= + + assign i_wraddress_reg = ((aclr == 1) && (write_aclr_int == "ON")) ? + 0 : (write_at_low_clock ? + i_wraddress_lo : i_wraddress_hi); + + assign i_wren_reg = ((aclr == 1) && (write_aclr_int == "ON")) ? + 0 : ((write_at_low_clock) ? + i_wren_lo : i_wren_hi); + + assign i_data_reg = ((aclr == 1) && (indata_aclr_int == "ON")) ? + 0 : ((write_at_low_clock) ? + i_data_lo : i_data_hi); + + assign i_wraddress_tmp = ((aclr == 1) && (write_aclr_int == "ON")) ? + 0 : ((write_reg == "INCLOCK") ? + i_wraddress_reg : wraddress); + + assign i_rdaddress_tmp_a = ((aclr == 1) && (rdaddress_aclr_a_int == "ON")) ? + 0 : (((rdaddress_reg_a == "INCLOCK") || + (rdaddress_reg_a == "OUTCLOCK")) ? + i_rdaddress_reg_a_dly : rdaddress_a); + + assign i_rdaddress_tmp_b = ((aclr == 1) && (rdaddress_aclr_b_int == "ON")) ? + 0 : (((rdaddress_reg_b == "INCLOCK") || + (rdaddress_reg_b == "OUTCLOCK")) ? + i_rdaddress_reg_b_dly : rdaddress_b); + + assign i_wren_tmp = ((aclr == 1) && (write_aclr_int == "ON")) ? + 0 : ((write_reg == "INCLOCK") ? + i_wren_reg : wren); + + assign i_rden_tmp_a = ((aclr == 1) && (rdcontrol_aclr_a_int == "ON")) ? + 0 : (((rdcontrol_reg_a == "INCLOCK") || + (rdcontrol_reg_a == "OUTCLOCK")) ? + i_rden_reg_a : rden_a); + + assign i_rden_tmp_b = ((aclr == 1) && (rdcontrol_aclr_b_int == "ON")) ? + 0 : (((rdcontrol_reg_b == "INCLOCK") || + (rdcontrol_reg_b == "OUTCLOCK")) ? + i_rden_reg_b : rden_b); + + assign i_data_tmp = ((aclr == 1) && (indata_aclr_int == "ON")) ? + 0 : ((indata_reg == "INCLOCK") ? + i_data_reg : data); + + assign qa = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 1) || + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 1)) ? + i_qa_stratix : + (((aclr == 1) && (outdata_aclr_a == "ON")) ? + 0 : ((outdata_reg_a == "OUTCLOCK") ? + i_qa_reg : i_qa_tmp)); + + assign qb = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 1) || + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 1)) ? + i_qb_stratix : + (((aclr == 1) && (outdata_aclr_b == "ON")) ? + 0 : ((outdata_reg_b == "OUTCLOCK") ? + i_qb_reg : i_qb_tmp)); + + assign i_apex_inclock = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 0) && + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 0) && + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 0) && + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 0)) ? + inclock : 1'b0; + + assign i_apex_outclock = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 0) && + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 0) && + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 0) && + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 0)) ? + outclock : 1'b0; + + assign i_stratix_inclock = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 1) || + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 1)) ? + inclock : 1'b0; + + assign i_stratix_outclock = ((dev.IS_FAMILY_STRATIX(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXII(intended_device_family) == 1) || + (dev.IS_FAMILY_STRATIXGX(intended_device_family) == 1) || + (dev.IS_FAMILY_CYCLONE(intended_device_family) == 1)) ? + outclock : 1'b0; + + +endmodule // end of ALT3PRAM + +// START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : ALTQPRAM +// +// Description : Asynchronous quad ports memory or memory with synchronous +// inputs and/or outputs +// +// Limitation : +// +// Results expected : [Synchronous Write to Memory (all inputs registered)] +// inclock inclocken wren Function +// X L L No change +// not H H No change +// posedge L X No change +// posedge H H Memory content updated +// +// [Synchronous Read from Memory] +// inclock inclocken rden Function +// X L L No change +// not H H No change +// posedge L X No change. +// posedge H H Memory content showed +// at the output port +// +// [Asynchronous Memory Operations] +// wren Function +// L No change +// H Memory content updated +// Memory content showed +// at the output port +// +// END_MODULE_NAME-------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +// BEGINNING OF MODULE + +// MODULE DECLARATION + +module altqpram ( + wren_a, + wren_b, + data_a, + data_b, + wraddress_a, + wraddress_b, + inclock_a, + inclock_b, + inclocken_a, + inclocken_b, + rden_a, + rden_b, + rdaddress_a, + rdaddress_b, + outclock_a, + outclock_b, + outclocken_a, + outclocken_b, + inaclr_a, + inaclr_b, + outaclr_a, + outaclr_b, + q_a, + q_b + ); + +// GLOBAL PARAMETER DECLARATION + + parameter operation_mode = "QUAD_PORT"; + + // Port A write parameters + parameter width_write_a = 1; + parameter widthad_write_a = 1; + parameter numwords_write_a = 0; + parameter indata_reg_a = "INCLOCK_A"; + parameter indata_aclr_a = "INACLR_A"; + parameter wrcontrol_wraddress_reg_a = "INCLOCK_A"; + parameter wrcontrol_aclr_a = "INACLR_A"; + parameter wraddress_aclr_a = "INACLR_A"; + + // Port B write parameters + parameter width_write_b = 1; + parameter widthad_write_b = 1; + parameter numwords_write_b = 0; + parameter indata_reg_b = "INCLOCK_B"; + parameter indata_aclr_b = "INACLR_B"; + parameter wrcontrol_wraddress_reg_b = "INCLOCK_B"; + parameter wrcontrol_aclr_b = "INACLR_B"; + parameter wraddress_aclr_b = "INACLR_B"; + + // Port A read parameters + parameter width_read_a = 1; + parameter widthad_read_a = 1; + parameter numwords_read_a = 0; + parameter rdcontrol_reg_a = "OUTCLOCK_A"; + parameter rdcontrol_aclr_a = "OUTACLR_A"; + parameter rdaddress_reg_a = "OUTCLOCK_A"; + parameter rdaddress_aclr_a = "OUTACLR_A"; + parameter outdata_reg_a = "UNREGISTERED"; + parameter outdata_aclr_a = "OUTACLR_A"; + + // Port B read parameters + parameter width_read_b = 1; + parameter widthad_read_b = 1; + parameter numwords_read_b = 0; + parameter rdcontrol_reg_b = "OUTCLOCK_B"; + parameter rdcontrol_aclr_b = "OUTACLR_B"; + parameter rdaddress_reg_b = "OUTCLOCK_B"; + parameter rdaddress_aclr_b = "OUTACLR_B"; + parameter outdata_reg_b = "UNREGISTERED"; + parameter outdata_aclr_b = "OUTACLR_B"; + + parameter init_file = "UNUSED"; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "altqpram"; + +// INPUT PORT DECLARATION + + input wren_a; + input wren_b; + input rden_a; + input rden_b; + input [width_write_a - 1 : 0] data_a; + input [width_write_b - 1 : 0] data_b; + input [widthad_write_a - 1 : 0] wraddress_a; + input [widthad_write_b - 1 : 0] wraddress_b; + input inclock_a; + input inclock_b; + input inclocken_a; + input inclocken_b; + input [widthad_read_a - 1 : 0] rdaddress_a; + input [widthad_read_b - 1 : 0] rdaddress_b; + input outclock_a; + input outclock_b; + input outclocken_a; + input outclocken_b; + input inaclr_a; + input inaclr_b; + input outaclr_a; + input outaclr_b; + +// OUTPUT PORT DECLARATION + + output [width_read_a - 1 : 0] q_a; + output [width_read_b - 1 : 0] q_b; + +// INTERNAL REGISTERS DECLARATION + + reg [width_read_a - 1 : 0] mem_data [0 : (1 << widthad_read_a) - 1]; + reg [width_write_a - 1 : 0] mem_data_w [0 : (1 << widthad_write_a) - 1]; + reg [width_write_a - 1 : 0] i_data_reg_a; + reg [width_write_a - 1 : 0] i_data_tmp_a; + reg [width_write_a - 1 : 0] i_data2_a; + reg [width_write_a - 1 : 0] temp_wa; + reg [width_write_a - 1 : 0] temp_wa2; + reg [width_write_a - 1 : 0] temp_wa3; + reg [width_write_b - 1 : 0] i_data_reg_b; + reg [width_write_b - 1 : 0] i_data_tmp_b; + reg [width_write_b - 1 : 0] i_data2_b; + reg [width_write_a - 1 : 0] i_data_hi_a; + reg [width_write_a - 1 : 0] i_data_lo_a; + reg [width_write_b - 1 : 0] i_data_hi_b; + reg [width_write_b - 1 : 0] i_data_lo_b; + reg [width_read_a - 1 : 0] i_q_reg_a; + reg [width_read_a - 1 : 0] i_q_tmp_a; + reg [width_read_a - 1 : 0] temp_ra; + reg [width_read_a - 1 : 0] temp_ra2; + reg [width_read_a - 1 : 0] temp_ra3; + reg [width_read_a - 1 : 0] temp_ra4; + reg [width_read_b - 1 : 0] i_q_reg_b; + reg [width_read_b - 1 : 0] i_q_tmp_b; + reg [widthad_write_a - 1 : 0] i_wraddress_reg_a; + reg [widthad_write_a - 1 : 0] i_wraddress_tmp_a; + reg [widthad_write_a - 1 : 0] i_wraddress2_a; + reg [widthad_write_b - 1 : 0] i_wraddress_reg_b; + reg [widthad_write_b - 1 : 0] i_wraddress_tmp_b; + reg [widthad_write_b - 1 : 0] i_wraddress2_b; + reg [widthad_write_a - 1 : 0] i_wraddress_hi_a; + reg [widthad_write_a - 1 : 0] i_wraddress_lo_a; + reg [widthad_write_b - 1 : 0] i_wraddress_hi_b; + reg [widthad_write_b - 1 : 0] i_wraddress_lo_b; + reg [widthad_read_a - 1 : 0] i_rdaddress_reg_a; + reg [widthad_read_a - 1 : 0] i_rdaddress_tmp_a; + reg [widthad_read_b - 1 : 0] i_rdaddress_reg_b; + reg [widthad_read_b - 1 : 0] i_rdaddress_tmp_b; + reg [8*256 : 1] ram_initf; + reg i_wren_reg_a; + reg i_wren_tmp_a; + reg i_wren2_a; + reg i_rden_reg_a; + reg i_rden_tmp_a; + reg i_wren_reg_b; + reg i_wren_tmp_b; + reg i_wren2_b; + reg i_rden_reg_b; + reg i_rden_tmp_b; + reg i_wren_hi_a; + reg i_wren_lo_a; + reg i_wren_hi_b; + reg i_wren_lo_b; + reg mem_updated; + reg clk_a_trigger; + reg clk_b_trigger; + reg write_at_low_clock_a; + reg write_at_low_clock_b; + + + wire i_indata_aclr_a; + wire i_wraddress_aclr_a; + wire i_wrcontrol_aclr_a; + wire i_outdata_aclr_a; + wire i_rdaddress_aclr_a; + wire i_rdcontrol_aclr_a; + wire i_indata_aclr_b; + wire i_wraddress_aclr_b; + wire i_wrcontrol_aclr_b; + wire i_outdata_aclr_b; + wire i_rdaddress_aclr_b; + wire i_rdcontrol_aclr_b; + + +// LOCAL INTEGER DECLARATION + + integer i_numwords_read_a; + integer i_numwords_read_b; + integer i_numwords_write_a; + integer i_numwords_write_b; + integer write_ratio; + integer read_ratio; + integer read_write_ratio; + integer i; + integer i2; + integer i3; + integer i4; + integer j; + integer j2; + integer j3; + integer j4; + + integer ja; + integer jb; + integer Pa; + integer Pa1; + integer Pa2; + integer Pb; + integer Pb1; + integer Pb2; + + integer simultaneous_write; + +// INTERNAL TRI DECLARATION + + tri0 wren_a; + tri0 wren_b; + tri1 rden_a; + tri1 rden_b; + tri0 inclock_a; + tri0 inclock_b; + tri0 outclock_a; + tri0 outclock_b; + tri1 inclocken_a; + tri1 inclocken_b; + tri1 outclocken_a; + tri1 outclocken_b; + tri0 inaclr_a; + tri0 inaclr_b; + tri0 outaclr_a; + tri0 outaclr_b; + +// INTERNAL WIRE + + wire i_wren_a; + wire i_wren_b; + wire i_rden_a; + wire i_rden_b; + wire i_inclock_a; + wire i_inclock_b; + wire i_inclocken_a; + wire i_inclocken_b; + wire i_outclock_a; + wire i_outclock_b; + wire i_outclocken_a; + wire i_outclocken_b; + wire i_inaclr_a; + wire i_inaclr_b; + wire i_outaclr_a; + wire i_outaclr_b; + +// INTERNAL BUF + + buf (i_wren_a, wren_a); + buf (i_wren_b, wren_b); + buf (i_rden_a, rden_a); + buf (i_rden_b, rden_b); + buf (i_inclock_a, inclock_a); + buf (i_inclock_b, inclock_b); + buf (i_inclocken_a, inclocken_a); + buf (i_inclocken_b, inclocken_b); + buf (i_outclock_a, outclock_a); + buf (i_outclock_b, outclock_b); + buf (i_outclocken_a, outclocken_a); + buf (i_outclocken_b, outclocken_b); + buf (i_inaclr_a, inaclr_a); + buf (i_inaclr_b, inaclr_b); + buf (i_outaclr_a, outaclr_a); + buf (i_outaclr_b, outaclr_b); + + +// COMPONENT INSTANTIATIONS + ALTERA_MF_MEMORY_INITIALIZATION mem (); + +// INITIAL CONSTRUCT BLOCK + + initial + begin + + // Check for invalid parameters + + if ((operation_mode != "QUAD_PORT") && (operation_mode != "BIDIR_DUAL_PORT") && + (operation_mode != "DUAL_PORT") && (operation_mode != "SINGLE_PORT") && + (operation_mode != "ROM")) + begin + $display("Error! operation_mode parameter is invalid."); + $stop; + end + + if ((width_write_a <= 0) && (operation_mode != "ROM")) + begin + $display("Error! width_write_a parameter must be greater than 0."); + $stop; + end + + if ((width_write_b <= 0) && ((operation_mode == "QUAD_PORT") || (operation_mode == "BIDIR_DUAL_PORT"))) + begin + $display("Error! width_write_b parameter must be greater than 0."); + $stop; + end + + if ((widthad_write_a <= 0) && (operation_mode != "ROM")) + begin + $display("Error! widthad_write_a parameter must be greater than 0."); + $stop; + end + + if ((widthad_write_b <= 0) && ((operation_mode == "QUAD_PORT") || (operation_mode == "BIDIR_DUAL_PORT"))) + begin + $display("Error! widthad_write_b parameter must be greater than 0."); + $stop; + end + + if ((width_read_a <= 0) && ((operation_mode == "QUAD_PORT") || (operation_mode == "DUAL_PORT"))) + begin + $display("Error! width_read_a parameter must be greater than 0."); + $stop; + end + + if ((width_read_b <= 0) && (operation_mode == "QUAD_PORT")) + begin + $display("Error! width_read_b parameter must be greater than 0."); + $stop; + end + + if ((widthad_read_a <= 0) && ((operation_mode == "QUAD_PORT") || (operation_mode == "DUAL_PORT"))) + begin + $display("Error! widthad_read_a parameter must be greater than 0."); + $stop; + end + + if ((widthad_read_b <= 0) && (operation_mode == "QUAD_PORT")) + begin + $display("Error! widthad_read_b parameter must be greater than 0."); + $stop; + end + + if (((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "SINGLE_PORT")) && (width_read_a != width_write_a)) + begin + $display("Error! width_read_a must equal width_write_a."); + $stop; + end + + if ((operation_mode == "BIDIR_DUAL_PORT") && (width_read_b != width_write_b)) + begin + $display("Error! width_read_b must equal width_write_b."); + $stop; + end + + i_numwords_read_a = (numwords_read_a) ? numwords_read_a : (1 << widthad_read_a); + i_numwords_read_b = (numwords_read_b) ? numwords_read_b : (1 << widthad_read_b); + i_numwords_write_a =(numwords_write_a) ? + numwords_write_a : (1 << widthad_write_a); + i_numwords_write_b =(numwords_write_b) ? + numwords_write_b : (1 << widthad_write_b); + + if ((width_read_a*i_numwords_read_a != width_write_a*i_numwords_write_a) && + ((operation_mode == "QUAD_PORT") || (operation_mode == "DUAL_PORT"))) + begin + $display("Error! RAM size for port A is inconsistant."); + $stop; + end + + if ((operation_mode == "QUAD_PORT") || (operation_mode == "BIDIR_DUAL_PORT")) + begin + if ((width_read_b * i_numwords_read_b) != (width_write_b * i_numwords_write_b)) + begin + $display("Error! RAM size for port B is inconsistant."); + $stop; + end + + if (width_read_a*i_numwords_read_a != width_read_b*i_numwords_read_b) + begin + $display("Error! RAM size between port A and port B is inconsistant."); + $stop; + end + end + + read_ratio = (width_read_a > width_read_b) ? + (width_read_a / width_read_b) + : (width_read_b / width_read_a); + write_ratio = (width_write_a > width_write_b) ? + (width_write_a / width_write_b) + : (width_write_b / width_write_a); + read_write_ratio = (width_read_a > width_write_a) ? + (width_read_a / width_write_a) + : (width_write_a / width_read_a); + + // reset unused ratios to avoid incorrect checking + if ((operation_mode != "QUAD_PORT") || (operation_mode != "BIDIR_DUAL_MODE")) + begin + read_ratio = 1; + write_ratio = 1; + end + + if (((read_ratio != 1) && (read_ratio != 2) && (read_ratio != 4) && + (read_ratio != 8) && (read_ratio != 16)) || + ((write_ratio != 1) && (write_ratio != 2) && (write_ratio != 4) && + (write_ratio != 8) && (write_ratio != 16)) || + ((read_write_ratio != 1) && (read_write_ratio != 2) && + (read_write_ratio != 4) && (read_write_ratio != 8) && + (read_write_ratio != 16))) + begin + $display("Error! RAM size for port A and / or port B is invalid."); + $stop; + end + + // Initialize mem_data + if ((init_file == "UNUSED") || (init_file == "")) + begin + if (operation_mode == "ROM") + begin + $display("Error! altqpram needs data file for memory initialization.\n"); + $stop; + end + else if ((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "SINGLE_PORT")) + for (i = 0; i < i_numwords_write_a; i = i + 1) + mem_data_w[i] = 0; + else // if QP or DP mode + for (i = 0; i < i_numwords_read_a; i = i + 1) + mem_data[i] = 0; + end + else + begin + if ((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "SINGLE_PORT")) + begin +`ifdef NO_PLI + $readmemh(init_file, mem_data_w); +`else + `ifdef USE_RIF + $readmemh(init_file, mem_data_w); + `else + mem.convert_hex2ver(init_file, width_write_a, ram_initf); + $readmemh(ram_initf, mem_data_w); + `endif +`endif + end + else // if ROM, QP or DP mode + begin +`ifdef NO_PLI + $readmemh(init_file, mem_data); +`else + `ifdef USE_RIF + $readmemh(init_file, mem_data); + `else + mem.convert_hex2ver(init_file, width_read_a, ram_initf); + $readmemh(ram_initf, mem_data); + `endif +`endif + end + end + + mem_updated <= 0; + write_at_low_clock_a <= (wrcontrol_wraddress_reg_a != "UNREGISTERED") ? + 1 : 0; + write_at_low_clock_b <= (wrcontrol_wraddress_reg_b != "UNREGISTERED") ? + 1 : 0; + + // Initialize registers + i_data_reg_a <= 0; + i_data_tmp_a <= 0; + i_data_reg_b <= 0; + i_data_tmp_b <= 0; + i_data_hi_a <= 0; + i_data_lo_a <= 0; + i_data_hi_b <= 0; + i_data_lo_b <= 0; + i_wraddress_reg_a <= 0; + i_wraddress_tmp_a <= 0; + i_wraddress_reg_b <= 0; + i_wraddress_tmp_b <= 0; + i_wraddress_reg_b <= 0; + i_wraddress_tmp_b <= 0; + i_wraddress_hi_a <= 0; + i_wraddress_lo_a <= 0; + i_wraddress_hi_b <= 0; + i_wraddress_lo_b <= 0; + i_rdaddress_reg_a <= 0; + i_rdaddress_tmp_a <= 0; + i_rdaddress_reg_b <= 0; + i_rdaddress_tmp_b <= 0; + i_wren_reg_a <= 0; + i_wren_tmp_a <= 0; + i_wren_hi_a <= 0; + i_wren_lo_a <= 0; + i_rden_reg_a <= 0; + i_rden_tmp_a <= 0; + i_wren_reg_b <= 0; + i_wren_tmp_b <= 0; + i_wren_hi_b <= 0; + i_wren_lo_b <= 0; + i_rden_reg_b <= 0; + i_rden_tmp_b <= 0; + i_q_reg_a <= 0; + i_q_tmp_a <= 0; + i_q_reg_b <= 0; + i_q_tmp_b <= 0; + + i_data2_a <= 0; + i_wren2_a <= 0; + i_wraddress2_a <= 0; + i_data2_b <= 0; + i_wren2_b <= 0; + i_wraddress2_b <= 0; + clk_a_trigger <= 0; + clk_b_trigger <= 0; + end + + + assign i_indata_aclr_a = (indata_aclr_a == "INACLR_A") ? + i_inaclr_a : 0; + + assign i_wraddress_aclr_a = (wraddress_aclr_a == "INACLR_A") ? + i_inaclr_a : 0; + + assign i_wrcontrol_aclr_a = (wrcontrol_aclr_a == "INACLR_A") ? + i_inaclr_a : 0; + + assign i_outdata_aclr_a = ((outdata_aclr_a == "INACLR_A") ? i_inaclr_a : + ((outdata_aclr_a == "OUTACLR_A") ? i_outaclr_a : 0)); + + assign i_rdaddress_aclr_a = ((rdaddress_aclr_a == "INACLR_A") ? i_inaclr_a : + ((rdaddress_aclr_a == "OUTACLR_A") ? i_outaclr_a : 0)); + + assign i_rdcontrol_aclr_a = ((rdcontrol_aclr_a == "INACLR_A") ? i_inaclr_a : + ((rdcontrol_aclr_a == "OUTACLR_A") ? i_outaclr_a : 0)); + + assign i_indata_aclr_b = (indata_aclr_b == "INACLR_B") ? + i_inaclr_b : 0; + + assign i_wraddress_aclr_b = (wraddress_aclr_b == "INACLR_B") ? + i_inaclr_b : 0; + + assign i_wrcontrol_aclr_b = (wrcontrol_aclr_b == "INACLR_B") ? + i_inaclr_b : 0; + + assign i_outdata_aclr_b = ((outdata_aclr_b == "INACLR_B") ? i_inaclr_b : + ((outdata_aclr_b == "OUTACLR_B") ? i_outaclr_b : 0)); + + assign i_rdaddress_aclr_b = ((rdaddress_aclr_b == "INACLR_B") ? i_inaclr_b : + ((rdaddress_aclr_b == "OUTACLR_B") ? i_outaclr_b : 0)); + + assign i_rdcontrol_aclr_b = ((rdcontrol_aclr_b == "INACLR_B") ? i_inaclr_b : + ((rdcontrol_aclr_b == "OUTACLR_B") ? i_outaclr_b : 0)); + + + + // This always block is to handle registered inputs and output for port A + always @(posedge i_inclock_a) + begin + if (i_indata_aclr_a === 1) + i_data_hi_a <= 0; + else if (i_inclocken_a == 1) + i_data_hi_a <= data_a; + + if (i_wraddress_aclr_a === 1) + i_wraddress_hi_a <= 0; + else if (i_inclocken_a == 1) + i_wraddress_hi_a <= wraddress_a; + + if (i_wrcontrol_aclr_a === 1) + i_wren_hi_a <= 0; + else if (i_inclocken_a == 1) + i_wren_hi_a <= i_wren_a; + + if (outdata_reg_a == "INCLOCK_A") + begin + if (i_outdata_aclr_a === 1) + i_q_reg_a <= 0; + else if (i_inclocken_a == 1) + i_q_reg_a <= i_q_tmp_a; + end + + if (rdaddress_reg_a == "INCLOCK_A") + begin + if (i_rdaddress_aclr_a === 1) + i_rdaddress_reg_a <= 0; + else if (i_inclocken_a == 1) + i_rdaddress_reg_a <= rdaddress_a; + end + + if (rdcontrol_reg_a == "INCLOCK_A") + begin + if (i_rdcontrol_aclr_a === 1) + i_rden_reg_a <= 0; + else if (i_inclocken_a == 1) + i_rden_reg_a <= i_rden_a; + end + end + + // This always block is to handle registered inputs and output for port B + always @(posedge i_inclock_b) + begin + if (i_indata_aclr_b === 1) + i_data_hi_b <= 0; + else if (i_inclocken_b == 1) + i_data_hi_b <= data_b; + + if (i_wraddress_aclr_b === 1) + i_wraddress_hi_b <= 0; + else if (i_inclocken_b == 1) + i_wraddress_hi_b <= wraddress_b; + + if (i_wrcontrol_aclr_b === 1) + i_wren_hi_b <= 0; + else if (i_inclocken_b == 1) + i_wren_hi_b <= i_wren_b; + + if (outdata_reg_b == "INCLOCK_B") + begin + if (i_outdata_aclr_b === 1) + i_q_reg_b <= 0; + else if (i_inclocken_b == 1) + i_q_reg_b <= i_q_tmp_b; + end + + if (rdaddress_reg_b == "INCLOCK_B") + begin + if (i_rdaddress_aclr_b === 1) + i_rdaddress_reg_b <= 0; + else if (i_inclocken_b == 1) + i_rdaddress_reg_b <= rdaddress_b; + end + + if (rdcontrol_reg_b == "INCLOCK_B") + begin + if (i_rdcontrol_aclr_b === 1) + i_rden_reg_b <= 0; + else if (i_inclocken_b == 1) + i_rden_reg_b <= i_rden_b; + end + end + + + // This always block is to handle registered inputs for port A + // for negative clock edge + always @(negedge i_inclock_a) + begin + if (i_indata_aclr_a) + i_data_lo_a <= 0; + else + i_data_lo_a <= i_data_hi_a; + + if (i_wraddress_aclr_a) + i_wraddress_lo_a <= 0; + else + i_wraddress_lo_a <= i_wraddress_hi_a; + + if (i_wrcontrol_aclr_a) + i_wren_lo_a <= 0; + else + i_wren_lo_a <= i_wren_hi_a; + + clk_a_trigger <= 1; + end + + + // This process is to handle registered inputs for port B + // for negative clock edge + always @(negedge i_inclock_b) + begin + if (i_indata_aclr_b) + i_data_lo_b <= 0; + else + i_data_lo_b <= i_data_hi_b; + + if (i_wraddress_aclr_b) + i_wraddress_lo_b <= 0; + else + i_wraddress_lo_b <= i_wraddress_hi_b; + + if (i_wrcontrol_aclr_b) + i_wren_lo_b <= 0; + else + i_wren_lo_b <= i_wren_hi_b; + + clk_b_trigger <= 1; + end + + + // This process is to handle registered outputs for port A + always @(posedge i_outclock_a) + begin + if (outdata_reg_a == "OUTCLOCK_A") + begin + if (i_outdata_aclr_a) + i_q_reg_a <= 0; + else if (i_outclocken_a == 1) + i_q_reg_a <= i_q_tmp_a; + end + + if (rdaddress_reg_a == "OUTCLOCK_A") + begin + if (i_rdaddress_aclr_a) + i_rdaddress_reg_a <= 0; + else if (i_outclocken_a == 1) + i_rdaddress_reg_a <= rdaddress_a; + end + + if (rdcontrol_reg_a == "OUTCLOCK_A") + begin + if (i_rdcontrol_aclr_a) + i_rden_reg_a <= 0; + else if (i_outclocken_a == 1) + i_rden_reg_a <= i_rden_a; + end + end + + + // This process is to handle registered outputs for port B + always @(posedge i_outclock_b) + begin + if (outdata_reg_b == "OUTCLOCK_B") + begin + if (i_outdata_aclr_b) + i_q_reg_b <= 0; + else if (i_outclocken_b == 1) + i_q_reg_b <= i_q_tmp_b; + end + + if (rdaddress_reg_b == "OUTCLOCK_B") + begin + if (i_rdaddress_aclr_b) + i_rdaddress_reg_b <= 0; + else if (i_outclocken_b == 1) + i_rdaddress_reg_b <= rdaddress_b; + end + + if (rdcontrol_reg_b == "OUTCLOCK_B") + begin + if (i_rdcontrol_aclr_b) + i_rden_reg_b <= 0; + else if (i_outclocken_b == 1) + i_rden_reg_b <= i_rden_b; + end + end + + + // This always block is to update the memory contents with 'X' when both ports intend to + // write at the same location + always @(i_data_tmp_a or i_wren_tmp_a or i_wraddress_tmp_a or i_data_tmp_b or + i_wren_tmp_b or i_wraddress_tmp_b or write_at_low_clock_a or write_at_low_clock_b or + i_inclock_a or i_inclock_b) + begin + + if ((write_at_low_clock_a ==1) && (write_at_low_clock_b == 1)) + begin + if ((clk_a_trigger ==1) && (clk_b_trigger ==1)) + simultaneous_write = 1; + else + simultaneous_write = 0; + end + else + simultaneous_write = 1; + + if ((i_wren_tmp_a == 1) && (i_wren_tmp_b == 1 ) && + (i_inclock_a == 0 ) && (i_inclock_b == 0 ) && + (simultaneous_write == 1) && + ((operation_mode == "QUAD_PORT") || (operation_mode == "BIDIR_DUAL_PORT"))) + begin + simultaneous_write = 0; + + if (operation_mode == "BIDIR_DUAL_PORT") + begin + for (jb = 0; jb < width_write_b; jb = jb + 1) + begin + Pa = ((i_wraddress_tmp_a * width_write_a) + jb) % width_write_a; + Pb = ((i_wraddress_tmp_b * width_write_b) + jb) / width_write_a; + + if ((i_wraddress_tmp_a == Pb) && (Pa < width_write_a)) + begin + temp_wa3 = mem_data_w[i_wraddress_tmp_a]; + temp_wa3[Pa] = 1'bx; + mem_data_w[i_wraddress_tmp_a] = temp_wa3; + simultaneous_write = 1; + end + end + end + else // QP mode + begin + for (ja = 0; ja < width_write_a; ja = ja + 1) + begin + for (jb = 0; jb < width_write_b; jb = jb + 1) + begin + Pa1 = ((i_wraddress_tmp_a * width_write_a) + ja) / width_read_a; + Pa2 = ((i_wraddress_tmp_a * width_write_a) + ja) % width_read_a; + Pb1 = ((i_wraddress_tmp_b * width_write_b) + jb) / width_read_a; + Pb2 = ((i_wraddress_tmp_b * width_write_b) + jb) % width_read_a; + + if ((Pa1 == Pb1) && (Pa2 == Pb2)) + begin + temp_ra4 = mem_data[Pa1]; + temp_ra4[Pa2] = 1'b X; + mem_data[Pa1] = temp_ra4; + simultaneous_write = 1; + end + end + end + end + end + else + simultaneous_write = 0; + + if (simultaneous_write == 1) + mem_updated = ~mem_updated; + else + begin + i_data2_a = i_data_tmp_a; + i_wren2_a = i_wren_tmp_a; + i_wraddress2_a = i_wraddress_tmp_a; + i_data2_b = i_data_tmp_b; + i_wren2_b = i_wren_tmp_b; + i_wraddress2_b = i_wraddress_tmp_b; + end + + clk_a_trigger = 0; + clk_b_trigger = 0; + end + + + // This always block is to update the memory contents by port A + always @(i_data2_a or i_wren2_a or i_wraddress2_a or i_wraddress_lo_a or i_wren_lo_a or i_inclock_a) + begin + j4 = i_wraddress2_a * width_write_a; + + if ((i_wren2_a == 1) && (i_inclock_a == 0) && + (operation_mode != "ROM")) + begin + if ((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "SINGLE_PORT")) + mem_data_w[i_wraddress2_a] = i_data2_a; + else // QP or DP mode + for (i4 = 0; i4 < width_write_a; i4 = i4 + 1) + begin + temp_ra3 = mem_data[(j4+i4)/width_read_a]; + temp_ra3[(j4+i4)%width_read_a] = i_data2_a[i4]; + mem_data[(j4+i4)/width_read_a] = temp_ra3; + end + + mem_updated = ~mem_updated; + end + end + + + // This always block is to update the memory contents by port B + always @(i_data2_b or i_wren2_b or i_wraddress2_b or i_wraddress_lo_b or i_wren_lo_b or i_inclock_b) + begin + j3 = i_wraddress2_b * width_write_b; + + if ((i_wren2_b == 1) && (i_inclock_b == 0) && + ((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "QUAD_PORT"))) + begin + if (operation_mode == "BIDIR_DUAL_PORT") + for (i3 = 0; i3 < width_write_b; i3 = i3 + 1) + begin + temp_wa2 = mem_data_w[(j3+i3)/width_write_a]; + temp_wa2[(j3+i3)%width_write_a] = i_data2_b[i3]; + mem_data_w[(j3+i3)/width_write_a] = temp_wa2; + end + else // QP mode + for (i3 = 0; i3 < width_write_b; i3 = i3 + 1) + begin + temp_ra2 = mem_data[(j3+i3)/width_read_a]; + temp_ra2[(j3+i3)%width_read_a] = i_data2_b[i3]; + mem_data[(j3+i3)/width_read_a] = temp_ra2; + end + + mem_updated = ~mem_updated; + end + end + + + // This always block is to read the memory content for port A + always @(posedge i_rden_tmp_a or negedge i_rden_tmp_a or + i_rdaddress_tmp_a or + i_wraddress_tmp_a or mem_updated) + begin + if ((operation_mode == "DUAL_PORT") || (operation_mode == "QUAD_PORT")) + begin + if (i_rden_tmp_a == 1) + i_q_tmp_a = mem_data[i_rdaddress_tmp_a]; + end + else if ((operation_mode == "BIDIR_DUAL_PORT") || (operation_mode == "SINGLE_PORT")) + i_q_tmp_a = mem_data_w[i_wraddress_tmp_a]; + else if (operation_mode == "ROM") + i_q_tmp_a = mem_data[i_rdaddress_tmp_a]; + end + + // This always block is to read the memory content for port A + always @(posedge i_rden_tmp_b or negedge i_rden_tmp_b or + i_rdaddress_tmp_b or + i_wraddress_tmp_b or + mem_updated) + begin + if (operation_mode == "QUAD_PORT") + begin + j2 = i_rdaddress_tmp_b * width_read_b; + if (i_rden_tmp_b == 1) + for (i2 = 0; i2 < width_read_b; i2 = i2 + 1) + begin + temp_ra = mem_data[(j2+i2)/width_read_a]; + i_q_tmp_b[i2] = temp_ra[(j2+i2)%width_read_a]; + end + end + else if (operation_mode == "BIDIR_DUAL_PORT") + begin + j2 = i_wraddress_tmp_b * width_write_b; + for (i2=0; i2 `max_precision) + $display ("Error! WIDTHR must not exceed WIDTH+SIZE+SHIFT*(SIZE-1)."); + if (size < 2) + $display ("Error! SIZE must be greater than 1."); + + if (shift == 0) + begin + best_result_width = width; + if (size > 1) + best_result_width = best_result_width + ceil_log2(size); + end + else + best_result_width = ceil_log2( ((1<> (ni * width)); + + // If signed and negative, pad MSB with ones to sign extend the input data + if ((representation != "UNSIGNED") && (idata_word[width-1] == 1'b1)) + idata_extended = ({{(`max_precision-width-2){1'b1}}, idata_word} << (shift*ni)); + else + idata_extended = (idata_word << (shift*ni)); // zero padding is automatic + + // Add to total + if ((msw_subtract == "YES") && (ni == (size-1))) + tmp_result = tmp_result - idata_extended; + else + tmp_result = tmp_result + idata_extended; + end + end + + // Pipeline model + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + begin + // Clear the pipeline array + for (ni=0; ni< (pipeline +1); ni=ni+1) + resultpipe[ni] <= 0; + pipe_ptr <= 0; + end + else if (clken_int == 1'b1) + begin + resultpipe[pipe_ptr] <= aligned_result; + if (pipeline > 1) + pipe_ptr <= (pipe_ptr + 1) % pipeline; + end + end + + // Check if output needs MSB alignment + assign aligned_result = (imsb_align == 1) + ? (tmp_result >> (best_result_width-widthr)) + : tmp_result; + assign clken_int = clken; + assign result = (pipeline > 0) ? resultpipe[pipe_ptr] : aligned_result; +endmodule // end of PARALLEL_ADD +// END OF MODULE +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : scfifo +// +// Description : Single Clock FIFO +// +// Limitation : USE_EAB=OFF is not supported +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module scfifo ( data, + clock, + wrreq, + rdreq, + aclr, + sclr, + q, + usedw, + full, + empty, + almost_full, + almost_empty); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_width = 1; + parameter lpm_widthu = 1; + parameter lpm_numwords = 2; + parameter lpm_showahead = "OFF"; + parameter lpm_type = "scfifo"; + parameter lpm_hint = "USE_EAB=ON"; + parameter intended_device_family = "APEX20KE"; + parameter underflow_checking = "ON"; + parameter overflow_checking = "ON"; + parameter allow_rwcycle_when_full = "OFF"; + parameter use_eab = "ON"; + parameter add_ram_output_register = "OFF"; + parameter almost_full_value = 0; + parameter almost_empty_value = 0; + parameter maximum_depth = 0; + parameter showahead_area = ((lpm_showahead == "ON") && (add_ram_output_register == "OFF")); + parameter showahead_speed = ((lpm_showahead == "ON") && (add_ram_output_register == "ON")); + parameter legacy_speed = ((lpm_showahead == "OFF") && (add_ram_output_register == "ON")); + +// INPUT PORT DECLARATION + input [lpm_width-1:0] data; + input clock; + input wrreq; + input rdreq; + input aclr; + input sclr; + +// OUTPUT PORT DECLARATION + output [lpm_width-1:0] q; + output [lpm_widthu-1:0] usedw; + output full; + output empty; + output almost_full; + output almost_empty; + +// INTERNAL REGISTERS DECLARATION + reg [lpm_width-1:0] mem_data [(1< (1 << lpm_widthu))) + $display ("Error! LPM_NUMWORDS must equal to the ceiling of log2(LPM_WIDTHU)."); + if (lpm_numwords <= (1 << (lpm_widthu - 1))) + $display ("Error! LPM_WIDTHU is too big for the specified LPM_NUMWORDS."); + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + if((add_ram_output_register != "ON") && (add_ram_output_register != "OFF")) + $display ("Error! add_ram_output_register must be ON or OFF."); + + for (i = 0; i < (1< 0) + almost_full_flag <= 1'b0; + if (almost_empty_value > 0) + almost_empty_flag <= 1'b1; + + write_id <= 0; + + if ((use_eab == "ON") && (stratix_family) && ((showahead_speed) || (showahead_area) || (legacy_speed))) + begin + write_latency1 <= 1'bx; + write_latency2 <= 1'bx; + data_shown <= {lpm_width{1'b0}}; + tmp_q <= {lpm_width{1'bX}}; + end + end + else + begin + if (sclr) + begin + tmp_q <= {lpm_width{1'bX}}; + + read_id <= 0; + count_id <= 0; + full_flag <= 1'b0; + empty_flag <= 1'b1; + empty_latency1 <= 1'b1; + empty_latency2 <= 1'b1; + set_q_to_x <= 1'b0; + wrt_count <= 0; + + if (almost_full_value > 0) + almost_full_flag <= 1'b0; + if (almost_empty_value > 0) + almost_empty_flag <= 1'b1; + + if (!stratix_family) + begin + if (valid_wreq) + begin + write_flag <= 1'b1; + end + else + write_id <= 0; + end + else + begin + write_id <= 0; + end + + if ((use_eab == "ON") && (stratix_family) && ((showahead_speed) || (showahead_area) || (legacy_speed))) + begin + write_latency1 <= 1'bx; + write_latency2 <= 1'bx; + data_shown <= {lpm_width{1'b0}}; + tmp_q <= {lpm_width{1'bX}}; + end + end + else + begin + // WRITE operation + if (valid_wreq) + begin + if ((overflow_checking == "OFF" && full_flag && !valid_rreq) || set_q_to_x) + begin + tmp_q <= {lpm_width{1'bX}}; + set_q_to_x <= 1'b1; + end + else + begin + mem_data[write_id] <= data; + write_flag <= 1'b1; + + if (!((use_eab == "ON") && stratix_family && (showahead_speed || showahead_area || legacy_speed))) + begin + empty_flag <= 1'b0; + end + else + begin + empty_latency1 <= 1'b0; + end + + if (!valid_rreq) + wrt_count <= wrt_count + 1; + + if (!valid_rreq) + begin + if (count_id >= (1 << lpm_widthu) - 1) + count_id <= 0; + else + count_id <= count_id + 1; + end + else + begin + if (allow_rwcycle_when_full == "OFF") + full_flag <= 1'b0; + end + + if (!(stratix_family) || (stratix_family && !(showahead_speed || showahead_area || legacy_speed))) + begin + if (!valid_rreq) + if ((count_id == lpm_numwords - 1) && (empty_flag == 1'b0)) + full_flag <= 1'b1; + end + else + begin + if (!valid_rreq) + if (count_id == lpm_numwords - 1) + full_flag <= 1'b1; + end + + if (lpm_showahead == "ON") + begin + if ((use_eab == "ON") && stratix_family && (showahead_speed || showahead_area)) + begin + write_latency1 <= write_id; + data_shown[write_id] <= 1'b1; + data_ready[write_id] <= 1'bx; + end + else + begin + if ((use_eab == "OFF") && stratix_family && (count_id == 0)) + begin + tmp_q <= data; + end + else + begin + if ((!empty_flag) && (!valid_rreq)) + begin + tmp_q <= mem_data[read_id]; + end + end + end + end + else + begin + if ((use_eab == "ON") && stratix_family && legacy_speed) + begin + write_latency1 <= write_id; + data_shown[write_id] <= 1'b1; + data_ready[write_id] <= 1'bx; + end + end + end + end + //READ operation + if (valid_rreq) + begin + if (!(set_q_to_x)) + begin + if (!valid_wreq) + wrt_count <= wrt_count - 1; + + if (!valid_wreq) + begin + full_flag <= 1'b0; + + if (count_id <= 0) + count_id <= ((1 << lpm_widthu) - 1); + else + count_id <= count_id - 1; + end + + if ((use_eab == "ON") && stratix_family && (showahead_speed || showahead_area || legacy_speed)) + begin + if ((wrt_count == 1 && valid_rreq && !valid_wreq) || ((wrt_count == 1 ) && valid_wreq && valid_rreq)) + begin + empty_flag <= 1'b1; + end + else + begin + if (showahead_speed) + begin + if (data_shown[write_latency2] == 1'b0) + begin + empty_flag <= 1'b1; + end + end + else if (showahead_area || legacy_speed) + begin + if (data_shown[write_latency1] == 1'b0) + begin + empty_flag <= 1'b1; + end + end + end + end + else + begin + if (!valid_wreq) + begin + if ((count_id == 1) && !(full_flag)) + empty_flag <= 1'b1; + end + end + + if (empty_flag) + begin + tmp_q <= {lpm_width{1'bX}}; + end + else if (read_id >= ((1<= ((1< almost_full_value) + begin + if (almost_full_flag) + begin + if ((count_id == almost_full_value) && !wrreq && rdreq) + almost_full_flag <= 1'b0; + end + else + begin + if ((almost_full_value == 1) && (count_id == 0) && wrreq) + almost_full_flag <= 1'b1; + else if ((almost_full_value > 1) && (count_id == almost_full_value - 1) + && wrreq && !rdreq) + almost_full_flag <= 1'b1; + end + end + + if (almost_empty_value == 0) + almost_empty_flag <= 1'b0; + else if (lpm_numwords > almost_empty_value) + begin + if (almost_empty_flag) + begin + if ((almost_empty_value == 1) && (count_id == 0) && wrreq) + almost_empty_flag <= 1'b0; + else if ((almost_empty_value > 1) && (count_id == almost_empty_value - 1) + && wrreq && !rdreq) + almost_empty_flag <= 1'b0; + end + else + begin + if ((count_id == almost_empty_value) && !wrreq && rdreq) + almost_empty_flag <= 1'b1; + end + end + end + + if ((use_eab == "ON") && stratix_family) + begin + if (showahead_speed) + begin + write_latency2 <= write_latency1; + write_latency3 <= write_latency2; + if (write_latency3 !== write_latency2) + data_ready[write_latency2] <= 1'b1; + + empty_latency2 <= empty_latency1; + + if (data_shown[write_latency2]==1'b1) + begin + if ((read_id == write_latency2) || aclr || sclr) + begin + if (!(aclr === 1'b1) && !(sclr === 1'b1)) + begin + if (write_latency2 !== 1'bx) + begin + tmp_q <= mem_data[write_latency2]; + data_shown[write_latency2] <= 1'b0; + data_ready[write_latency2] <= 1'b0; + + if (!valid_rreq) + empty_flag <= empty_latency2; + end + end + end + end + end + else if (showahead_area) + begin + write_latency2 <= write_latency1; + if (write_latency2 !== write_latency1) + data_ready[write_latency1] <= 1'b1; + + if (data_shown[write_latency1]==1'b1) + begin + if ((read_id == write_latency1) || aclr || sclr) + begin + if (!(aclr === 1'b1) && !(sclr === 1'b1)) + begin + if (write_latency1 !== 1'bx) + begin + tmp_q <= mem_data[write_latency1]; + data_shown[write_latency1] <= 1'b0; + data_ready[write_latency1] <= 1'b0; + + if (!valid_rreq) + begin + empty_flag <= empty_latency1; + end + end + end + end + end + end + else + begin + if (legacy_speed) + begin + write_latency2 <= write_latency1; + if (write_latency2 !== write_latency1) + data_ready[write_latency1] <= 1'b1; + + empty_flag <= empty_latency1; + + if ((wrt_count == 1 && !valid_wreq && valid_rreq) || aclr || sclr) + begin + empty_flag <= 1'b1; + empty_latency1 <= 1'b1; + end + else + begin + if ((wrt_count == 1) && valid_wreq && valid_rreq) + begin + empty_flag <= 1'b1; + end + end + end + end + end + end + end + + always @(negedge clock) + begin + if (write_flag) + begin + write_flag <= 1'b0; + + if (sclr || aclr || (write_id >= ((1 << lpm_widthu) - 1))) + write_id <= 0; + else + write_id <= write_id + 1; + end + + if (!(stratix_family)) + begin + if (!empty) + begin + if ((lpm_showahead == "ON") && ($time > 0)) + tmp_q <= mem_data[read_id]; + end + end + end + + always @(full_flag) + begin + if (lpm_numwords == almost_full_value) + if (full_flag) + almost_full_flag <= 1'b1; + else + almost_full_flag <= 1'b0; + + if (lpm_numwords == almost_empty_value) + if (full_flag) + almost_empty_flag <= 1'b0; + else + almost_empty_flag <= 1'b1; + end + +// CONTINOUS ASSIGNMENT + assign q = tmp_q; + assign full = full_flag; + assign empty = empty_flag; + assign usedw = count_id; + assign almost_full = almost_full_flag; + assign almost_empty = almost_empty_flag; + +endmodule // scfifo +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : dcfifo_dffpipe +// +// Description : Dual Clocks FIFO +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module dcfifo_dffpipe ( d, clock, aclr, + q); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_delay = 1; + parameter lpm_width = 64; + +// INPUT PORT DECLARATION + input [lpm_width-1:0] d; + input clock; + input aclr; + +// OUTPUT PORT DECLARATION + output [lpm_width-1:0] q; + +// INTERNAL REGISTERS DECLARATION + reg [lpm_width-1:0] dffpipe [lpm_delay:0]; + reg [lpm_width-1:0] q; + +// LOCAL INTEGER DECLARATION + integer delay, i; + +// INITIAL CONSTRUCT BLOCK + initial + begin + delay <= lpm_delay - 1; + for (i = 0; i < lpm_delay; i = i + 1) + dffpipe[i] <= 0; + q <= 0; + end + +// ALWAYS CONSTRUCT BLOCK + always @(posedge clock or posedge aclr) + begin + if (aclr) + begin + for (i = 0; i < lpm_delay; i = i + 1) + dffpipe[i] <= 0; + q <= 0; + end + else + begin + if ((lpm_delay > 0) && ($time > 0)) + begin + if (delay > 0) + begin + for (i = delay; i > 0; i = i - 1) + dffpipe[i] <= dffpipe[i - 1]; + q <= dffpipe[delay - 1]; + end + else + q <= d; + + dffpipe[0] <= d; + end + end + end // @(posedge aclr or posedge clock) + + always @(d) + begin + if (lpm_delay == 0) + q <= d; + end // @(d) + +endmodule // dcfifo_dffpipe +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : dcfifo_fefifo +// +// Description : Dual Clock FIFO +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module dcfifo_fefifo ( usedw_in, wreq, rreq, clock, aclr, + empty, full); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_widthad = 1; + parameter lpm_numwords = 1; + parameter underflow_checking = "ON"; + parameter overflow_checking = "ON"; + parameter lpm_mode = "READ"; + +// INPUT PORT DECLARATION + input [lpm_widthad-1:0] usedw_in; + input wreq, rreq; + input clock; + input aclr; + +// OUTPUT PORT DECLARATION + output empty, full; + +// INTERNAL REGISTERS DECLARATION + reg [1:0] sm_empty; + reg lrreq; + reg i_empty, i_full; + +// LOCAL INTEGER DECLARATION + integer almostfull; + +// INITIAL CONSTRUCT BLOCK + initial + begin + if ((lpm_mode != "READ") && (lpm_mode != "WRITE")) + $display ("Error! LPM_MODE must be READ or WRITE."); + if ((underflow_checking != "ON") && (underflow_checking != "OFF")) + $display ("Error! UNDERFLOW_CHECKING must be ON or OFF."); + if ((overflow_checking != "ON") && (overflow_checking != "OFF")) + $display ("Error! OVERFLOW_CHECKING must be ON or OFF."); + + sm_empty <= 2'b00; + i_empty <= 1'b1; + i_full <= 1'b0; + + if (lpm_numwords >= 3) + almostfull <= lpm_numwords - 3; + else + almostfull <= 0; + end + +// ALWAYS CONSTRUCT BLOCK + always @(posedge aclr) + begin + sm_empty <= 2'b00; + i_empty <= 1'b1; + i_full <= 1'b0; + lrreq <= 1'b0; + end // @(posedge aclr) + + always @(posedge clock) + begin + if (underflow_checking == "OFF") + lrreq <= rreq; + else + lrreq <= rreq && ~i_empty; + + if (~aclr && $time > 0) + begin + if (lpm_mode == "READ") + begin + casex (sm_empty) + // state_empty + 2'b00: + if (usedw_in != 0) + sm_empty <= 2'b01; + // state_non_empty + 2'b01: + if (rreq && (((usedw_in == 1) && !lrreq) || ((usedw_in == 2) && lrreq))) + sm_empty <= 2'b10; + // state_emptywait + 2'b10: + if (usedw_in > 1) + sm_empty <= 2'b01; + else + sm_empty <= 2'b00; + default: + $display ("Error! Invalid sm_empty state in read mode."); + endcase + end // if (lpm_mode == "READ") + else if (lpm_mode == "WRITE") + begin + casex (sm_empty) + // state_empty + 2'b00: + if (wreq) + sm_empty <= 2'b01; + // state_one + 2'b01: + if (!wreq) + sm_empty <= 2'b11; + // state_non_empty + 2'b11: + if (wreq) + sm_empty <= 2'b01; + else if (usedw_in == 0) + sm_empty <= 2'b00; + default: + $display ("Error! Invalid sm_empty state in write mode."); + endcase + end // if (lpm_mode == "WRITE") + + if (~aclr && (usedw_in >= almostfull) && ($time > 0)) + i_full <= 1'b1; + else + i_full <= 1'b0; + end // if (~aclr && $time > 0) + end // @(posedge clock) + + always @(sm_empty) + begin + i_empty <= !sm_empty[0]; + end + // @(sm_empty) + +// CONTINOUS ASSIGNMENT + assign empty = i_empty; + assign full = i_full; +endmodule // dcfifo_fefifo +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : dcfifo_async +// +// Description : Asynchronous Dual Clocks FIFO +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module dcfifo_async (data, rdclk, wrclk, aclr, rdreq, wrreq, + rdfull, wrfull, rdempty, wrempty, rdusedw, wrusedw, q); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_width = 1; + parameter lpm_widthu = 1; + parameter lpm_numwords = 2; + parameter delay_rdusedw = 1; + parameter delay_wrusedw = 1; + parameter rdsync_delaypipe = 3; + parameter wrsync_delaypipe = 3; + parameter intended_device_family = "APEX20KE"; + parameter lpm_showahead = "OFF"; + parameter underflow_checking = "ON"; + parameter overflow_checking = "ON"; + parameter use_eab = "ON"; + parameter add_ram_output_register = "OFF"; + +// INPUT PORT DECLARATION + input [lpm_width-1:0] data; + input rdclk; + input wrclk; + input aclr; + input wrreq; + input rdreq; + +// OUTPUT PORT DECLARATION + output rdfull; + output wrfull; + output rdempty; + output wrempty; + output [lpm_widthu-1:0] rdusedw; + output [lpm_widthu-1:0] wrusedw; + output [lpm_width-1:0] q; + +// INTERNAL REGISTERS DECLARATION + reg [lpm_width-1:0] mem_data [(1< 0)) + begin + i_data_tmp <= data; + i_wrptr_tmp <= i_wrptr; + i_wren_tmp <= i_wren; + + if (i_wren) + begin + if (~aclr && ((i_wrptr < (1< 0)) + begin + if (i_wren_tmp) + begin + mem_data[i_wrptr_tmp] <= i_data_tmp; + data_ready[i_wrptr_tmp] <= 1'b0; + end + + if ((lpm_showahead == "ON") && + (!(dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family)))) + i_showahead_flag3 <= 1'b1; + end + end // @(negedge wrclk) + + always @(posedge rdclk) + begin + + if (rdclk && ($time > 0)) + begin + if ((lpm_showahead == "ON") && (add_ram_output_register == "ON") && + (dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family))) + begin + for (k = 0; k < (1< 0)) + begin + if (~aclr && ((i_rdptr < (1< (1 << lpm_widthu)) + $display ("Error! LPM_NUMWORDS must be less than or equal to 2**LPM_WIDTHU."); + if((add_ram_output_register != "ON") && (add_ram_output_register != "OFF")) + $display ("Error! add_ram_output_register must be ON or OFF."); + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + + for (i = 0; i < (1 << lpm_widthu); i = i + 1) + mem_data[i] <= 0; + i_data_tmp <= 0; + i_rdptr <= 0; + i_wrptr <= 0; + i_wrptr_tmp <= 0; + i_wren_tmp <= 0; + + i_rdempty <= 1; + i_wrempty <= 1; + i_rdfull <= 0; + i_wrfull <= 0; + i_rdusedw <= 0; + i_wrusedw <= 0; + i_q_tmp <= 0; + + if (lpm_numwords == (1 << lpm_widthu)) + cnt_mod <= 1 << (lpm_widthu + 1); + else + cnt_mod <= 1 << lpm_widthu; + end + +// COMPONENT INSTANTIATIONS + dcfifo_dffpipe RDPTR_D ( + .d (i_rdptr), + .clock (wrclk), + .aclr (aclr), + .q (w_rdptr_s)); + dcfifo_dffpipe WRPTR_D ( + .d (i_wrptr), + .clock (wrclk), + .aclr (aclr), + .q (w_wrptr_r)); + dcfifo_dffpipe WRPTR_E ( + .d (w_wrptr_r), + .clock (rdclk), + .aclr (aclr), + .q (w_wrptr_s)); + defparam + RDPTR_D.lpm_delay = 1, + RDPTR_D.lpm_width = lpm_widthu + 1, + WRPTR_D.lpm_delay = 1, + WRPTR_D.lpm_width = lpm_widthu + 1, + WRPTR_E.lpm_delay = 1, + WRPTR_E.lpm_width = lpm_widthu + 1; + +// ALWAYS CONSTRUCT BLOCK + always @(posedge aclr) + begin + i_rdptr <= 0; + i_wrptr <= 0; + if (!(dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family)) || + ((add_ram_output_register == "ON") && (use_eab == "OFF"))) + if (lpm_showahead == "ON") + i_q_tmp <= mem_data[0]; + else + i_q_tmp <= 0; + end // @(posedge aclr) + + // FIFOram + always @(rdreq or i_rdempty) + begin + if (underflow_checking == "OFF") + i_rden <= rdreq; + else + i_rden <= rdreq && !i_rdempty; + end // @(rdreq or i_rdempty) + + always @(wrreq or i_wrfull) + begin + if (overflow_checking == "OFF") + i_wren <= wrreq; + else + i_wren <= wrreq && !i_wrfull; + end // @(wrreq or i_wrfull) + + always @(posedge wrclk) + begin + if (aclr && (!(dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family)) || + ((add_ram_output_register == "ON") && (use_eab == "OFF")))) + begin + i_data_tmp <= 0; + i_wrptr_tmp <= 0; + i_wren_tmp <= 0; + end + else if (wrclk && ($time > 0)) + begin + i_data_tmp <= data; + i_wrptr_tmp <= i_wrptr[lpm_widthu-1:0]; + i_wren_tmp <= i_wren; + + if (i_wren) + begin + if (~aclr && (i_wrptr < cnt_mod - 1)) + i_wrptr <= i_wrptr + 1; + else + i_wrptr <= 0; + + if (use_eab == "OFF") + begin + mem_data[i_wrptr[lpm_widthu-1:0]] <= data; + + if (lpm_showahead == "ON") + i_showahead_flag2 <= 1'b1; + end + end + end + end // @(posedge wrclk) + + always @(negedge wrclk) + begin + if ((~wrclk && (use_eab == "ON")) && ($time > 0)) + begin + if (i_wren_tmp) + begin + mem_data[i_wrptr_tmp] <= i_data_tmp; + end + + if ((lpm_showahead == "ON") && + (!( dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family)))) + i_showahead_flag2 <= 1'b1; + end + end // @(negedge wrclk) + + always @(posedge rdclk) + begin + if (aclr && (!(dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family)) || + ((add_ram_output_register == "ON") && (use_eab == "OFF")))) + begin + if (lpm_showahead == "ON") + i_q_tmp <= mem_data[0]; + else + i_q_tmp <= 0; + end + else if (rdclk && i_rden && ($time > 0)) + begin + if (~aclr && (i_rdptr < cnt_mod - 1)) + i_rdptr <= i_rdptr + 1; + else + i_rdptr <= 0; + + if ((lpm_showahead == "ON") && (!((use_eab == "ON") && + (dev.IS_FAMILY_STRATIX(intended_device_family) || + dev.IS_FAMILY_STRATIXGX(intended_device_family) || + dev.IS_FAMILY_CYCLONE(intended_device_family))))) + i_showahead_flag2 <= 1'b1; + else + i_q_tmp <= mem_data[i_rdptr[lpm_widthu-1:0]]; + end + end // @(rdclk) + + always @(posedge i_showahead_flag) + begin + i_q_tmp <= mem_data[i_rdptr[lpm_widthu-1:0]]; + i_showahead_flag2 <= 1'b0; + end // @(posedge i_showahead_flag) + + always @(i_showahead_flag2) + begin + i_showahead_flag <= i_showahead_flag2; + end // @(i_showahead_flag2) + + // Usedw, Empty, Full + always @(i_rdptr or w_wrptr_s or cnt_mod) + begin + if (w_wrptr_s >= i_rdptr) + i_rdusedw <= w_wrptr_s - i_rdptr; + else + i_rdusedw <= w_wrptr_s + cnt_mod - i_rdptr; + end // @(i_rdptr or w_wrptr_s) + + always @(i_wrptr or w_rdptr_s or cnt_mod) + begin + if (i_wrptr >= w_rdptr_s) + i_wrusedw <= i_wrptr - w_rdptr_s; + else + i_wrusedw <= i_wrptr + cnt_mod - w_rdptr_s; + end // @(i_wrptr or w_rdptr_s) + + always @(i_rdusedw) + begin + if (i_rdusedw == 0) + i_rdempty <= 1; + else + i_rdempty <= 0; + + if (((lpm_numwords == (1 << lpm_widthu)) && i_rdusedw[lpm_widthu]) || + ((lpm_numwords < (1 << lpm_widthu)) && (i_rdusedw == lpm_numwords))) + i_rdfull <= 1; + else + i_rdfull <= 0; + end // @(i_rdusedw) + + always @(i_wrusedw) + begin + if (i_wrusedw == 0) + i_wrempty <= 1; + else + i_wrempty <= 0; + + if (((lpm_numwords == (1 << lpm_widthu)) && i_wrusedw[lpm_widthu]) || + ((lpm_numwords < (1 << lpm_widthu)) && (i_wrusedw == lpm_numwords))) + i_wrfull <= 1; + else + i_wrfull <= 0; + end // @(i_wrusedw) + +// CONTINOUS ASSIGNMENT + assign rdempty = i_rdempty; + assign wrempty = i_wrempty; + assign rdfull = i_rdfull; + assign wrfull = i_wrfull; + assign wrusedw = i_wrusedw[lpm_widthu-1:0]; + assign rdusedw = i_rdusedw[lpm_widthu-1:0]; + assign q = i_q_tmp; + +endmodule // dcfifo_sync +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : dcfifo_low_latency +// +// Description : Dual Clocks FIFO with lowest latency. This fifo implements +// the fifo behavior for Stratix II, Cyclone II and Stratix +// showahead area mode (LPM_SHOWAHEAD=ON, ADD_RAM_OUTPUT_REGISTER=OFF) +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module dcfifo_low_latency (data, rdclk, wrclk, aclr, rdreq, wrreq, + rdfull, wrfull, rdempty, wrempty, rdusedw, wrusedw, q); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_width = 1; + parameter lpm_widthu = 1; + parameter lpm_numwords = 2; + parameter delay_rdusedw = 2; + parameter delay_wrusedw = 2; + parameter rdsync_delaypipe = 1; + parameter wrsync_delaypipe = 1; + parameter intended_device_family = "Stratix"; + parameter lpm_showahead = "OFF"; + parameter underflow_checking = "ON"; + parameter overflow_checking = "ON"; + +input [lpm_width-1:0] data; + input rdclk; + input wrclk; + input aclr; + input rdreq; + input wrreq; + +// OUTPUT PORT DECLARATION + output rdfull; + output wrfull; + output rdempty; + output wrempty; + output [lpm_widthu-1:0] rdusedw; + output [lpm_widthu-1:0] wrusedw; + output [lpm_width-1:0] q; + +// INTERNAL REGISTERS DECLARATION + reg [lpm_width-1:0] mem_data [(1< (1 << lpm_widthu)) + $display ("Error! LPM_NUMWORDS must be less than or equal to 2**LPM_WIDTHU."); + if (dev.IS_VALID_FAMILY(intended_device_family) == 0) + $display ("Error! Unknown INTENDED_DEVICE_FAMILY=%s.", intended_device_family); + + for (i = 0; i < (1 << lpm_widthu); i = i + 1) + mem_data[i] <= {lpm_width{1'bx}}; + i_data_tmp <= 0; + i_wren_tmp <= 0; + i_rdptr_g <= 0; + i_rdptr_g1p <= 1; + i_wrptr_g <= 0; + i_wrptr_g_tmp <= 0; + i_wrptr_g1 <= 1; + i_delayed_wrptr_g <= 0; + i_rdempty <= 1; + i_wrempty <= 1; + i_rdempty_rreg <= 1; + i_rdfull <= 0; + i_wrfull <= 0; + i_wrfull_wreg <= 0; + i_q <= {lpm_width{1'bx}}; + + if (lpm_numwords == (1 << lpm_widthu)) + cnt_mod <= 1 << (lpm_widthu + 1); + else + cnt_mod <= 1 << lpm_widthu; + + if ((lpm_showahead == "OFF") && + ((dev.FEATURE_FAMILY_STRATIXII(intended_device_family)) || + (dev.IS_FAMILY_CYCLONEII(intended_device_family)))) + i_q_is_registered <= 1'b1; + else + i_q_is_registered <= 1'b0; + end + +// COMPONENT INSTANTIATIONS + dcfifo_dffpipe DP_WS_DGRP ( + .d (i_rdptr_g), + .clock (wrclk), + .aclr (aclr), + .q (i_ws_dgrp)); + defparam + DP_WS_DGRP.lpm_delay = wrsync_delaypipe, + DP_WS_DGRP.lpm_width = lpm_widthu; + + dcfifo_dffpipe DP_RS_DGWP ( + .d (i_delayed_wrptr_g), + .clock (rdclk), + .aclr (aclr), + .q (i_rs_dgwp)); + defparam + DP_RS_DGWP.lpm_delay = rdsync_delaypipe, + DP_RS_DGWP.lpm_width = lpm_widthu; + + dcfifo_dffpipe DP_RDUSEDW ( + .d (i_rdusedw_tmp), + .clock (rdclk), + .aclr (aclr), + .q (i_rdusedw)); + dcfifo_dffpipe DP_WRUSEDW ( + .d (i_wrusedw_tmp), + .clock (wrclk), + .aclr (aclr), + .q (i_wrusedw)); + defparam + DP_RDUSEDW.lpm_delay = (delay_rdusedw > 2) ? 2 : delay_rdusedw, + DP_RDUSEDW.lpm_width = lpm_widthu, + DP_WRUSEDW.lpm_delay = (delay_wrusedw > 2) ? 2 : delay_wrusedw, + DP_WRUSEDW.lpm_width = lpm_widthu; + +// ALWAYS CONSTRUCT BLOCK + always @(posedge aclr) + begin + i_data_tmp <= 0; + i_wren_tmp <= 0; + i_rdptr_g <= 0; + i_rdptr_g1p <= 1; + i_wrptr_g <= 0; + i_wrptr_g_tmp <= 0; + i_wrptr_g1 <= 1; + i_delayed_wrptr_g <= 0; + i_rdempty <= 1; + i_wrempty <= 1; + i_rdempty_rreg <= 1; + i_rdfull <= 0; + i_wrfull <= 0; + i_wrfull_wreg <= 0; + if(i_q_is_registered) + i_q <= 0; + end // @(posedge aclr) + + // FIFOram + always @(rdreq or i_rdempty) + begin + if (underflow_checking == "OFF") + i_rden <= rdreq; + else + i_rden <= rdreq && !i_rdempty; + end // @(rdreq or i_rdempty) + + always @(wrreq or i_wrfull) + begin + if (overflow_checking == "OFF") + i_wren <= wrreq; + else + i_wren <= wrreq && !i_wrfull; + end // @(wrreq or i_wrfull) + + always @(posedge wrclk) + begin + i_data_tmp <= data; + i_wrptr_g_tmp <= i_wrptr_g; + i_wren_tmp <= i_wren; + + if (~aclr && ($time > 0)) + begin + if (i_wren) + begin + if (i_wrptr_g1 < cnt_mod - 1) + i_wrptr_g1 <= i_wrptr_g1 + 1; + else + i_wrptr_g1 <= 0; + + i_wrptr_g <= i_wrptr_g1; + end + i_delayed_wrptr_g <= i_wrptr_g; + end + end // @(wrclk) + + always @(negedge wrclk) + begin + if (i_wren_tmp && ($time > 0)) + mem_data[i_wrptr_g_tmp] <= i_data_tmp; + + end // @(wrclk) + + always @(posedge rdclk) + begin + if(~aclr) + begin + if (i_rden && ($time > 0)) + begin + if (i_rdptr_g1p < cnt_mod - 1) + i_rdptr_g1p <= i_rdptr_g1p + 1; + else + i_rdptr_g1p <= 0; + + i_rdptr_g <= i_rdptr_g1p; + end + end + end + + always @(posedge rdclk) + begin + if ((! i_q_is_registered) && ($time > 0)) + begin + if (i_rdempty == 1'b1) + i_q <= mem_data[i_rdptr_g]; + else if (i_rden) + i_q <= mem_data[i_rdptr_g1p]; + end + else if (~aclr && i_rden && ($time > 0)) + i_q <= mem_data[i_rdptr_g]; + end + + // Usedw, Empty, Full + always @(i_wrptr_g or i_ws_dgrp or cnt_mod) + begin + if (i_wrptr_g < i_ws_dgrp) + i_wrusedw_tmp <= cnt_mod + i_wrptr_g - i_ws_dgrp; + else + i_wrusedw_tmp <= i_wrptr_g - i_ws_dgrp; + end // @(i_wrptr_g or i_ws_dgrp) + + always @(i_rdptr_g or i_rs_dgwp or cnt_mod) + begin + if (i_rs_dgwp < i_rdptr_g) + i_rdusedw_tmp <= cnt_mod + i_rs_dgwp - i_rdptr_g; + else + i_rdusedw_tmp <= i_rs_dgwp - i_rdptr_g; + end // @(i_wrptr_g or i_rs_dgwp) + + always @(i_wrptr_g1 or i_ws_dgrp) + begin + if (i_wrptr_g1 == i_ws_dgrp) + i_wrfull <= 1; + else + i_wrfull <= 0; + end // @(i_wrptr_g1 or i_ws_dgrp) + + always @(i_rdptr_g or i_rs_dgwp) + begin + if (i_rdptr_g == i_rs_dgwp) + i_rdempty <= 1; + else + i_rdempty <= 0; + end // @(i_rdptr_g or i_rs_dgwp) + + always @(posedge rdclk) + begin + i_rdfull <= i_wrfull_wreg; + i_rdempty_rreg <= i_rdempty; + end // @(posedge rdclk) + + always @(posedge wrclk) + begin + i_wrempty <= i_rdempty_rreg; + i_wrfull_wreg <= i_wrfull; + end // @(posedge wrclk) + +// CONTINOUS ASSIGNMENT + assign rdempty = i_rdempty; + assign wrempty = i_wrempty; + assign rdfull = i_rdfull; + assign wrfull = i_wrfull; + assign wrusedw = i_wrusedw[lpm_widthu-1:0]; + assign rdusedw = i_rdusedw[lpm_widthu-1:0]; + assign q = i_q; + +endmodule // dcfifo_low_latency +// END OF MODULE + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : dcfifo +// +// Description : Dual Clocks FIFO +// +// Limitation : +// +// Results expected: +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module dcfifo ( data, rdclk, wrclk, aclr, rdreq, wrreq, + rdfull, wrfull, rdempty, wrempty, rdusedw, wrusedw, q); + +// GLOBAL PARAMETER DECLARATION + parameter lpm_width = 1; + parameter lpm_widthu = 1; + parameter lpm_numwords = 2; + parameter delay_rdusedw = 1; + parameter delay_wrusedw = 1; + parameter rdsync_delaypipe = 3; + parameter wrsync_delaypipe = 3; + parameter intended_device_family = "APEX20KE"; + parameter lpm_showahead = "OFF"; + parameter underflow_checking = "ON"; + parameter overflow_checking = "ON"; + parameter clocks_are_synchronized = "FALSE"; + parameter use_eab = "ON"; + parameter add_ram_output_register = "OFF"; + parameter add_width = 1; + parameter lpm_hint = "USE_EAB=ON"; + parameter lpm_type = "dcfifo"; + +// INPUT PORT DECLARATION + input [lpm_width-1:0] data; + input rdclk; + input wrclk; + input aclr; + input rdreq; + input wrreq; + +// OUTPUT PORT DECLARATION + output rdfull; + output wrfull; + output rdempty; + output wrempty; + output [lpm_widthu-1:0] rdusedw; + output [lpm_widthu-1:0] wrusedw; + output [lpm_width-1:0] q; + +// INTERNAL WIRE DECLARATION + wire w_rdfull_s; + wire w_wrfull_s; + wire w_rdempty_s; + wire w_wrempty_s; + wire w_rdfull_a; + wire w_wrfull_a; + wire w_rdempty_a; + wire w_wrempty_a; + wire w_rdfull_l; + wire w_wrfull_l; + wire w_rdempty_l; + wire w_wrempty_l; + wire [lpm_widthu-1:0] w_rdusedw_s; + wire [lpm_widthu-1:0] w_wrusedw_s; + wire [lpm_widthu-1:0] w_rdusedw_a; + wire [lpm_widthu-1:0] w_wrusedw_a; + wire [lpm_widthu-1:0] w_rdusedw_l; + wire [lpm_widthu-1:0] w_wrusedw_l; + wire [lpm_width-1:0] w_q_s; + wire [lpm_width-1:0] w_q_a; + wire [lpm_width-1:0] w_q_l; + +// INTERNAL TRI DECLARATION + tri0 aclr; + +// COMPONENT INSTANTIATIONS + ALTERA_DEVICE_FAMILIES dev (); + + dcfifo_sync SYNC ( + .data (data), + .rdclk (rdclk), + .wrclk (wrclk), + .aclr (aclr), + .rdreq (rdreq), + .wrreq (wrreq), + .rdfull (w_rdfull_s), + .wrfull (w_wrfull_s), + .rdempty (w_rdempty_s), + .wrempty (w_wrempty_s), + .rdusedw (w_rdusedw_s), + .wrusedw (w_wrusedw_s), + .q (w_q_s)); + defparam + SYNC.lpm_width = lpm_width, + SYNC.lpm_widthu = lpm_widthu, + SYNC.lpm_numwords = lpm_numwords, + SYNC.intended_device_family = intended_device_family, + SYNC.lpm_showahead = lpm_showahead, + SYNC.underflow_checking = underflow_checking, + SYNC.overflow_checking = overflow_checking, + SYNC.use_eab = use_eab, + SYNC.add_ram_output_register = add_ram_output_register; + + dcfifo_async ASYNC ( + .data (data), + .rdclk (rdclk), + .wrclk (wrclk), + .aclr (aclr), + .rdreq (rdreq), + .wrreq (wrreq), + .rdfull (w_rdfull_a), + .wrfull (w_wrfull_a), + .rdempty (w_rdempty_a), + .wrempty (w_wrempty_a), + .rdusedw (w_rdusedw_a), + .wrusedw (w_wrusedw_a), + .q (w_q_a) ); + defparam + ASYNC.lpm_width = lpm_width, + ASYNC.lpm_widthu = lpm_widthu, + ASYNC.lpm_numwords = lpm_numwords, + ASYNC.delay_rdusedw = delay_rdusedw, + ASYNC.delay_wrusedw = delay_wrusedw, + ASYNC.rdsync_delaypipe = rdsync_delaypipe, + ASYNC.wrsync_delaypipe = wrsync_delaypipe, + ASYNC.intended_device_family = intended_device_family, + ASYNC.lpm_showahead = lpm_showahead, + ASYNC.underflow_checking = underflow_checking, + ASYNC.overflow_checking = overflow_checking, + ASYNC.use_eab = use_eab, + ASYNC.add_ram_output_register = add_ram_output_register; + + dcfifo_low_latency LOWLATENCY ( + .data (data), + .rdclk (rdclk), + .wrclk (wrclk), + .aclr (aclr), + .rdreq (rdreq), + .wrreq (wrreq), + .rdfull (w_rdfull_l), + .wrfull (w_wrfull_l), + .rdempty (w_rdempty_l), + .wrempty (w_wrempty_l), + .rdusedw (w_rdusedw_l), + .wrusedw (w_wrusedw_l), + .q (w_q_l) ); + defparam + LOWLATENCY.lpm_width = lpm_width, + LOWLATENCY.lpm_widthu = lpm_widthu, + LOWLATENCY.lpm_numwords = lpm_numwords, + LOWLATENCY.delay_rdusedw = delay_rdusedw, + LOWLATENCY.delay_wrusedw = delay_wrusedw, + LOWLATENCY.rdsync_delaypipe = (rdsync_delaypipe > 3 ? rdsync_delaypipe - 2 : 1), + LOWLATENCY.wrsync_delaypipe = (wrsync_delaypipe > 3 ? wrsync_delaypipe - 2 : 1), + LOWLATENCY.intended_device_family = intended_device_family, + LOWLATENCY.lpm_showahead = lpm_showahead, + LOWLATENCY.underflow_checking = underflow_checking, + LOWLATENCY.overflow_checking = overflow_checking; + +// CONTINOUS ASSIGNMENT + assign rdfull = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_rdfull_l : + (clocks_are_synchronized == "TRUE") ? w_rdfull_s : w_rdfull_a; + + assign wrfull = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_wrfull_l : + (clocks_are_synchronized == "TRUE") ? w_wrfull_s : w_wrfull_a; + + assign rdempty = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_rdempty_l : + (clocks_are_synchronized == "TRUE") ? w_rdempty_s : w_rdempty_a; + + assign wrempty = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_wrempty_l : + (clocks_are_synchronized == "TRUE") ? w_wrempty_s : w_wrempty_a; + + assign rdusedw = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_rdusedw_l : + (clocks_are_synchronized == "TRUE") ? w_rdusedw_s : w_rdusedw_a; + + assign wrusedw = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_wrusedw_l : + (clocks_are_synchronized == "TRUE") ? w_wrusedw_s : w_wrusedw_a; + + assign q = ((dev.FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM(intended_device_family) || + (dev.FEATURE_FAMILY_STRATIX(intended_device_family) && + (lpm_showahead == "ON") && + (add_ram_output_register == "OFF"))) && + (use_eab == "ON")) ? w_q_l : + (clocks_are_synchronized == "TRUE") ? w_q_s : w_q_a; + +endmodule // dcfifo +// END OF MODULE + +//-------------------------------------------------------------------------- +// Module Name : altshift_taps +// +// Description : Parameterized shift register with taps megafunction. +// Implements a RAM-based shift register for efficient +// creation of very large shift registers +// +// Limitation : This megafunction is provided only for backward +// compatibility in Cyclone, Stratix, and Stratix GX +// designs. +// +// Results expected : Produce output from the end of the shift register +// and from the regularly spaced taps along the +// shift register. +// +//-------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module altshift_taps (shiftin, clock, clken, shiftout, taps); + +// PARAMETER DECLARATION + parameter number_of_taps = 4; // Specifies the number of regularly spaced + // taps along the shift register + parameter tap_distance = 3; // Specifies the distance between the + // regularly spaced taps in clock cycles + // This number translates to the number of + // memory words that will be needed + parameter width = 8; // Specifies the width of the input pattern + parameter power_up_state = "CLEARED"; + parameter lpm_type = "altshift_taps"; + parameter lpm_hint = "UNUSED"; + + // Following parameters are used as constant + parameter RAM_WIDTH = width * number_of_taps; + parameter TOTAL_TAP_DISTANCE = number_of_taps * tap_distance; + +// INPUT PORT DECLARATION + input [width-1:0] shiftin; // Data input to the shifter + input clock; // Positive-edge triggered clock + input clken; // Clock enable for the clock port + +// OUTPUT PORT DECLARATION + output [width-1:0] shiftout; // Output from the end of the shift + // register + output [RAM_WIDTH-1:0] taps; // Output from the regularly spaced taps + // along the shift register + +// INTERNAL REGISTERS DECLARATION + reg [width-1:0] shiftout; + reg [RAM_WIDTH-1:0] taps; + reg [width-1:0] shiftout_tmp; + reg [RAM_WIDTH-1:0] taps_tmp; + reg [width-1:0] contents [0:TOTAL_TAP_DISTANCE-1]; + +// LOCAL INTEGER DECLARATION + integer head; // pointer to memory + integer i; // for loop index + integer j; // for loop index + integer k; // for loop index + integer place; + +// TRI STATE DECLARATION + tri1 clken; + +// INITIAL CONSTRUCT BLOCK + initial + begin + head = 0; + if (power_up_state == "CLEARED") + begin + shiftout = 0; + shiftout_tmp = 0; + for (i = 0; i < TOTAL_TAP_DISTANCE; i = i + 1) + begin + contents [i] = 0; + end + for (j = 0; j < RAM_WIDTH; j = j + 1) + begin + taps [j] = 0; + taps_tmp [j] = 0; + end + end + end + +// ALWAYS CONSTRUCT BLOCK + always @(posedge clock) + begin + if (clken == 1'b1) + begin + contents[head] = shiftin; + head = (head + 1) % TOTAL_TAP_DISTANCE; + shiftout_tmp = contents[head]; + + taps_tmp = 0; + + for (k=0; k < number_of_taps; k=k+1) + begin + place = (((number_of_taps - k - 1) * tap_distance) + head ) % + TOTAL_TAP_DISTANCE; + taps_tmp = taps_tmp | (contents[place] << (k * width)); + end + end + end + + always @(shiftout_tmp) + begin + shiftout <= shiftout_tmp; + end + + always @(taps_tmp) + begin + taps <= taps_tmp; + end + +endmodule // altshift_taps + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : a_graycounter +// +// Description : Gray counter with Count-enable, Up/Down, aclr and sclr +// +// Limitation : Sync sigal priority: clk_en (higher),sclr,cnt_en (lower) +// +// Results expected: q is graycounter output and qbin is normal counter +// +//END_MODULE_NAME-------------------------------------------------------------- + +// BEGINNING OF MODULE +`timescale 1 ps / 1 ps + +// MODULE DECLARATION +module a_graycounter (clock, cnt_en, clk_en, updown, aclr, sclr, + q, qbin); +// GLOBAL PARAMETER DECLARATION + parameter width = 3; + parameter pvalue = 0; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "a_graycounter"; + +// INPUT PORT DECLARATION + input clock; + input cnt_en; + input clk_en; + input updown; + input aclr; + input sclr; + +// OUTPUT PORT DECLARATION + output [width-1:0] q; + output [width-1:0] qbin; + +// INTERNAL REGISTERS DECLARATION + reg [width-1:0] cnt; + +// INTERNAL TRI DECLARATION + tri1 clk_en; + tri1 cnt_en; + tri1 updown; + tri0 aclr; + tri0 sclr; + +// LOCAL INTEGER DECLARATION + +// COMPONENT INSTANTIATIONS + +// INITIAL CONSTRUCT BLOCK + initial + begin + if (width <= 0) + $display ("Error! WIDTH of a_greycounter must be greater than 0."); + + cnt <= pvalue; + end + +// ALWAYS CONSTRUCT BLOCK + always @(posedge aclr or posedge clock) + begin + if (aclr) + cnt <= pvalue; + else + begin + if (clk_en) + begin + if (sclr) + cnt <= pvalue; + else if (cnt_en) + begin + if (updown == 1) + cnt <= cnt + 1; + else + cnt <= cnt - 1; + end + end + end + end + +// CONTINOUS ASSIGNMENT + assign qbin = cnt; + assign q = cnt ^ (cnt >>1); + +endmodule // a_graycounter +// END OF MODULE + + +//-------------------------------------------------------------------------- +// alt_exc_dpram +//-------------------------------------------------------------------------- +// +`timescale 1 ps / 1 ps +module alt_exc_dpram (portadatain, + portadataout, + portaaddr, + portawe, + portaena, + portaclk, + portbdatain, + portbdataout, + portbaddr, + portbwe, + portbena, + portbclk + ); + + // default parameters + parameter operation_mode = "SINGLE_PORT" ; + parameter addrwidth = 14 ; + parameter width = 32 ; + parameter depth = 16384 ; + parameter ramblock = 65535 ; + parameter output_mode = "UNREG" ; + parameter lpm_file = "NONE" ; + parameter lpm_type = "alt_exc_dpram"; + parameter lpm_hint = "UNUSED"; + + // size of memory array + + reg [width-1:0] dpram_content[depth-1:0]; + + // input/output signals + + input portawe , + portbwe , + portaena , + portbena , + portaclk , + portbclk ; + + input [width-1:0] portadatain ; + input [width-1:0] portbdatain ; + + input [addrwidth-1:0] portaaddr ; + input [addrwidth-1:0] portbaddr ; + + output [width-1:0] portadataout , + portbdataout ; + + // internal signals/registers + + reg portaclk_in_last ; + reg portbclk_in_last ; + + wire portaclk_in ; + wire portbclk_in ; + wire portawe_in ; + wire portbwe_in ; + wire portaena_in ; + wire portbena_in ; + + wire [width-1:0] portadatain_in ; + wire [width-1:0] portbdatain_in ; + wire [width-1:0] portadatain_tmp ; + wire [width-1:0] portbdatain_tmp ; + + wire [addrwidth-1:0] portaaddr_in ; + wire [addrwidth-1:0] portbaddr_in ; + + reg [width-1:0] portadataout_tmp ; + reg [width-1:0] portbdataout_tmp ; + reg [width-1:0] portadataout_reg ; + reg [width-1:0] portbdataout_reg ; + reg [width-1:0] portadataout_reg_out ; + reg [width-1:0] portbdataout_reg_out ; + wire [width-1:0] portadataout_tmp2 ; + wire [width-1:0] portbdataout_tmp2 ; + + reg portawe_latched ; + reg portbwe_latched ; + reg [addrwidth-1:0] portaaddr_latched ; + reg [addrwidth-1:0] portbaddr_latched ; + + // assign to internal signals + + assign portadatain_in = portadatain; + assign portaaddr_in = portaaddr; + assign portaena_in = portaena; + assign portaclk_in = portaclk; + assign portawe_in = portawe; + + assign portbdatain_in = portbdatain; + assign portbaddr_in = portbaddr; + assign portbena_in = portbena; + assign portbclk_in = portbclk; + assign portbwe_in = portbwe; + + + // Dual Port Contention Port A address = Port B address + // + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | Port A | Port B | A Data In | B Data In | A Data Out | B Data Out | Memory State | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | read | read | DA | DB | memory | memory | no change | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | write | read | DA | DB | unknown | unknown | memory <= DA | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | read | write | DA | DB | unknown | unknown | memory <= DB | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | write | write | DA | DB | unknown | unknown | memory <= unknown | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // + // Dual Port Contention Port A address != Port B address + // + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | Port A | Port B | A Data In | B Data In | A Data Out | B Data Out | Memory State | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | read | read | DA | DB | mem[A_addr] | mem[B_Addr] | no change | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | write | read | DA | DB | unknown | mem[B_Addr] | mem[A_Addr] <= DA | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | read | write | DA | DB | mem[A_addr] | unknown | mem[B_Addr] <= DB | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // | write | write | DA | DB | unknown | unknown | mem[A_Addr] <= DA | + // | | | | | | | mem[B_Addr] <= DB | + // +-----------+----------+-------------+-------------+--------------+--------------+---------------------+ + // + // NB: Output state is always unknown when writing. + + + initial + begin + // Initialise dpram memory contents from file (if filename specified). + if (lpm_file != "NONE" && lpm_file != "none") $readmemh(lpm_file, dpram_content); + + portaclk_in_last = 0; + portbclk_in_last = 0; + end + + always @(portaclk_in) + begin + if (portaclk_in != 0 && portaclk_in_last == 0) // rising edge port a clock + begin + + portawe_latched = portawe_in ; + portaaddr_latched = portaaddr_in ; + + if (portawe_latched == 'b0) + begin + + // reading A + + if (portaaddr_latched == portbaddr_latched && portbwe_latched != 'b0) + begin + + // B simultaneously writing to same address (effect of B write to memory handled below) + + portadataout_reg = portadataout_tmp; + portadataout_tmp = 'bx; + + end + else + begin + + // B reading from same address, or reading/writing to different address. + + portadataout_reg = portadataout_tmp; + portadataout_tmp = dpram_content[portaaddr_latched]; + + end + end + + else + + // writing to A + + begin + if (portaaddr_latched == portbaddr_latched && portawe_latched != 'b0 && portbwe_latched != 'b0) + begin + + // A and B simultaneously writing to same address + + portadataout_reg = portadataout_tmp ; + dpram_content[portaaddr_latched] = 'bx ; + portadataout_tmp = 'bx ; + + end + else + begin + + // B reading from same address or reading/writing to different address + + portadataout_reg = portadataout_tmp; + dpram_content[portaaddr_latched] = portadatain_tmp ; + portadataout_tmp = 'bx ; + + end + end // writing to A + end // rising edge port a clock + portaclk_in_last = portaclk_in; + end // portaclk_in change event + + always @(portbclk_in) + begin + if (portbclk_in != 0 && portbclk_in_last == 0 && (operation_mode == "DUAL_PORT" || operation_mode == "dual_port")) // rising edge port b clock + begin + + portbwe_latched = portbwe_in ; + portbaddr_latched = portbaddr_in ; + + if (portbwe_latched == 'b0) + begin + + // reading B + + if (portbaddr_latched == portaaddr_latched && portawe_latched != 'b0) + begin + + // A simultaneously writing to same address (effect of A write to memory handled above) + + portbdataout_reg = portbdataout_tmp; + portbdataout_tmp = 'bx; + + end + else + begin + + // A reading from same address, or reading/writing to different address. + + portbdataout_reg = portbdataout_tmp; + portbdataout_tmp = dpram_content[portbaddr_latched]; + + end + end + else + + // writing to B + + begin + if (portbaddr_latched == portaaddr_latched && portbwe_latched != 'b0 && portawe_latched != 'b0) + begin + + // B and A simultaneously writing to same address + + portbdataout_reg = portbdataout_tmp ; + dpram_content[portbaddr_latched] = 'bx ; + portbdataout_tmp = 'bx ; + + end + else + begin + + // A reading from same address or reading/writing to different address + + portbdataout_reg = portbdataout_tmp; + dpram_content[portbaddr_latched] = portbdatain_tmp ; + portbdataout_tmp = 'bx ; + + end + end // writing to B + end // rising edge port B clock + + portbclk_in_last = portbclk_in; + + end // portbclk_in change event + + // registered Port A output enabled ? + + always @(portaena_in or portadataout_reg) + begin + if (output_mode == "REG" || output_mode == "reg") + if ( portaena_in == 1'b1 ) + portadataout_reg_out = portadataout_reg ; + end + + // registered Port B output enabled ? + + always @(portbena_in or portbdataout_reg) + begin + if (output_mode == "REG" || output_mode == "reg") + if ( portbena_in == 1'b1 ) + portbdataout_reg_out = portbdataout_reg ; + end + + // Registered or Unregistered mode ? + + assign portadataout_tmp2 = (output_mode == "REG" || output_mode == "reg") ? portadataout_reg_out[width-1:0] : portadataout_tmp[width-1:0]; + assign portbdataout_tmp2 = (output_mode == "REG" || output_mode == "reg") ? portbdataout_reg_out[width-1:0] : portbdataout_tmp[width-1:0]; + + assign portadatain_tmp[width-1:0] = portadatain; + assign portbdatain_tmp[width-1:0] = portbdatain; + + assign portadataout = portadataout_tmp2; + assign portbdataout = portbdataout_tmp2; + + +endmodule // alt_exc_dpram + +//-------------------------------------------------------------------------- +// Altera UP Core +//-------------------------------------------------------------------------- +// +`timescale 1 ps / 1 ps + +module alt_exc_upcore ( + intpld, intuart, inttimer0, inttimer1, intcommtx, intcommrx, intproctimer, intprocbridge, + debugrq, debugext0, debugext1, debugiebrkpt, debugdewpt, debugextin, debugack, + debugrng0, debugrng1, debugextout, + + slavehclk, + slavehwrite, slavehreadyi, slavehselreg, slavehsel, slavehmastlock, slavehaddr, + slavehwdata, slavehtrans, slavehsize, slavehburst, slavehreadyo, slavebuserrint, + slavehrdata, slavehresp, + + masterhclk, + masterhrdata, masterhresp, masterhwrite, masterhlock, masterhbusreq, masterhaddr, + masterhwdata, masterhtrans, masterhsize, masterhready, masterhburst, masterhgrant, + + lockreqdp0, lockreqdp1, + lockgrantdp0, lockgrantdp1, + + ebiack, ebiwen, ebioen, ebiclk, ebibe, ebicsn, ebiaddr, ebidq, + + uarttxd, uartrtsn, uartdtrn, uartctsn, uartdsrn, uartrxd, uartdcdn, + uartrin, + + sdramclk, sdramclkn, sdramclke, sdramwen, sdramcasn, sdramrasn, sdramdqm, + sdramaddr, sdramdq, sdramdqs, sdramcsn, + + + intextpin, traceclk, tracesync, tracepipestat, tracepkt, clk_ref, intnmi, perreset, + npor, nreset, gpi, gpo + ); + + parameter processor = "ARM"; + parameter source = ""; + parameter sdram_width = 32; + parameter sdramdqm_width = 4; + parameter gpio_width = 4; + parameter lpm_type = "alt_exc_upcore"; + parameter lpm_hint = "UNUSED"; + +// AHB2 Master and Slave bridges +// Interupt, debug and trace ports +// DP Ram locks + + input slavehclk, masterhclk; + + input slavehwrite, slavehreadyi, slavehselreg, slavehsel, + slavehmastlock, masterhready, masterhgrant; + + input lockreqdp0, lockreqdp1, + debugrq, debugext0, debugext1, debugiebrkpt, debugdewpt; + + input [31:0] slavehaddr, slavehwdata, masterhrdata; + input [1:0] slavehtrans, slavehsize, masterhresp; + input [3:0] debugextin; + input [5:0] intpld; + input [2:0] slavehburst; + + output masterhwrite, masterhlock, masterhbusreq, slavehreadyo, slavebuserrint, + intuart, inttimer0, inttimer1, intcommtx, intcommrx, + debugack, debugrng0, debugrng1, + lockgrantdp0, lockgrantdp1; + + output [31:0] masterhaddr, masterhwdata, slavehrdata; + output [1:0] masterhtrans, masterhsize, slavehresp; + output [2:0] masterhburst; + output [3:0] debugextout; + +// Shared IO connections +// EBI Expansion bus +// SDRAM interface +// UART and trace port + + input ebiack; + output ebiwen, ebioen, ebiclk; + output [1:0] ebibe; + output [3:0] ebicsn; + output [24:0] ebiaddr; + inout [15:0] ebidq; + + input uartctsn, uartdsrn, uartrxd; + output uarttxd, uartrtsn, uartdtrn; + inout uartdcdn, uartrin; + + output sdramclk, sdramclkn, sdramclke, + sdramwen, sdramcasn, sdramrasn; + output [1:0] sdramcsn; + output [sdramdqm_width-1:0] sdramdqm; + output [14:0] sdramaddr; + + inout [sdram_width-1:0] sdramdq; + inout [sdramdqm_width-1:0] sdramdqs; + + input intextpin; + output traceclk, tracesync; + output [2:0] tracepipestat; + output [15:0] tracepkt; + + input clk_ref, npor; + inout nreset; + output intproctimer, intprocbridge; + output perreset; + input intnmi; + input [gpio_width-1:0] gpi; + output [gpio_width-1:0] gpo; + + +///////////////////////////////////////////////////////////////////////////////////////////////// +// AHB Constants +///////////////////////////////////////////////////////////////////////////////////////////////// + +// responses (HRESP) +`define H_OKAY 2'b00 +`define H_ERROR 2'b01 +`define H_RETRY 2'b10 +`define H_SPLIT 2'b11 + +// transcation types (HTRANS) +`define H_IDLE 2'b00 +`define H_BUSY 2'b01 +`define H_NONSEQ 2'b10 +`define H_SEQ 2'b11 + +// burst mode (HBURST) +`define H_SINGLE 3'b000 +`define H_INCR 3'b001 +`define H_WRAP4 3'b010 +`define H_INCR4 3'b011 +`define H_WRAP8 3'b100 +`define H_INCR8 3'b101 +`define H_WRAP16 3'b110 +`define H_INCR16 3'b111 + +// transaction sizes (HSIZE 8,16,32 bits -- larger sizes not supported) +`define H_BYTE 2'b00 +`define H_HWORD 2'b01 +`define H_WORD 2'b10 + +///////////////////////////////////////////////////////////////////////////////////////////////// +// slave port +///////////////////////////////////////////////////////////////////////////////////////////////// + + wire slavehclk_in; + wire slavehwrite_in, slavehreadyi_in, slavehselreg_in, slavehsel_in, + slavehmastlock_in; + wire [31:0] slavehaddr_in, slavehwdata_in; + wire [1:0] slavehtrans_in, slavehsize_in; + wire [2:0] slavehburst_in; + wire slavehreadyo_out, slavebuserrint_out; + wire [31:0] slavehrdata_out; + wire [1:0] slavehresp_out; + +// + assign slavehclk_in = slavehclk ; + assign slavehwrite_in = slavehwrite ; + assign slavehreadyi_in = slavehreadyi ; + assign slavehselreg_in = slavehselreg ; + assign slavehsel_in = slavehsel ; + assign slavehmastlock_in = slavehmastlock; + + assign slavehaddr_in = slavehaddr; + + assign slavehwdata_in = slavehwdata; + + assign slavehtrans_in = slavehtrans; + assign slavehsize_in = slavehsize; + assign slavehburst_in = slavehburst; + +// + assign slavehreadyo = slavehreadyo_out; + assign slavebuserrint= slavebuserrint_out; + + assign slavehrdata = slavehrdata_out; + assign slavehresp = slavehresp_out; + +///////////////////////////////////////////////////////////////////////////////////////////////// +///////////////////////////////////////////////////////////////////////////////////////////////// + +// outputs + reg slavehreadyo_out_r ; + reg [1:0] slavehresp_out_r ; + + assign slavehreadyo_out = slavehreadyo_out_r ; + assign slavehresp_out = slavehresp_out_r ; + + +// record of address and control information (latched on address phase) + reg [31:0] startReg; // start address for burst + reg [31:0] addrReg; + reg [1:0] transReg; + reg [1:0] sizeReg; + reg writeReg; + reg [2:0] burstReg; + reg selReg; + reg [7:0] waitReg; + +// Implement 6 banks of 256K = (1.5MB of address space) +// /////////////////////////////////////////////////////////////////// + reg [79:0] memCfg[0:5]; // slavememory.cfg.dat + reg [31:0] memStart[0:5]; + reg [31:0] memEnd[0:5]; + reg [7:0] memWaitStart[0:5]; + reg [7:0] memWait[0:5]; + reg [31:0] memMapA[0:65535]; // slavememory.0.dat + reg [31:0] memMapB[0:65535]; + reg [31:0] memMapC[0:65535]; + reg [31:0] memMapD[0:65535]; + reg [31:0] memMapE[0:65535]; + reg [31:0] memMapF[0:65535]; // slavememory.5.dat + + reg [2:0] memBank; + reg [79:0] temp; + + integer output_file ; + + initial begin + +// Open the results file + output_file = $fopen("output.dat") ; + if ( !output_file ) + $display("ERROR: Cannot open Output File") ; + + +// Initialise memory banks from config and map files +////////////////////////////////////////////////////////////////////// + temp=80'h00000000_00000000_00_00; + for (memBank=0;memBank<6;memBank=memBank+1) + begin + memCfg[memBank]=temp; + end + +// 79..48 start address +// 47..16 end address +// 15...8 wait states on first access +// 7...0 wait states per cycle + $readmemh("slavememory.cfg.dat", memCfg); + for (memBank=0;memBank<6;memBank=memBank+1) + begin + temp=memCfg[memBank]; + memStart[memBank] =temp[79:48]; + memEnd[memBank] =temp[47:16]; + memWaitStart[memBank] =temp[15:8]; + memWait[memBank] =temp[7:0]; + end + + if (memStart[0]!=memEnd[0]) $readmemh("slavememory.0.dat", memMapA); + if (memStart[1]!=memEnd[1]) $readmemh("slavememory.1.dat", memMapB); + if (memStart[2]!=memEnd[2]) $readmemh("slavememory.2.dat", memMapC); + if (memStart[3]!=memEnd[3]) $readmemh("slavememory.3.dat", memMapD); + if (memStart[4]!=memEnd[4]) $readmemh("slavememory.4.dat", memMapE); + if (memStart[5]!=memEnd[5]) $readmemh("slavememory.5.dat", memMapF); +////////////////////////////////////////////////////////////////////// + + addrReg=0; + transReg=`H_IDLE; + sizeReg=`H_WORD; + writeReg=0; + burstReg=`H_NONSEQ; + selReg=0; + + slavehresp_out_r=`H_OKAY; + end + + +// select signal + wire sel = slavehsel_in & slavehreadyi_in; + +// determine if the transaction includes an operation / a "busy" + wire doWork = selReg & ((transReg==`H_NONSEQ || transReg==`H_SEQ) ? 1'b1 : 1'b0); + wire doBusyWork = selReg & ( transReg==`H_BUSY ? 1'b1 : 1'b0); + + +// BURST MODE SUPPORT +/////////////////////////////////////////////////////////////////////////////// +// +// If we are in burst mode we'll compute our own address and control settings +// based on the spec. +// +// compute values SEQuential (burst) transfers + wire seqTrans = ( selReg & + ( doWork | doBusyWork) & + ( (slavehtrans_in==`H_SEQ || slavehtrans_in==`H_BUSY) ) ? 1'b1 : 1'b0 ); + + +// mask to determine which bits are retained from the start address + wire [31:0] wrapmask; + assign wrapmask = + ( burstReg==`H_WRAP4 ? {32{1'b1}} << 2: // all but 2 + ( burstReg==`H_WRAP8 ? {32{1'b1}} << 3: // all but 3 + ( burstReg==`H_WRAP16 ? {32{1'b1}} << 4: // all but 4 + {32{1'b0}} ) )); // none + wire [31:0] wrapmask_w; + assign wrapmask_w = // correct for word size + ( sizeReg==`H_WORD ? wrapmask<<2 : + ( sizeReg==`H_HWORD ? wrapmask<<1 : + /* H_BYTE */ wrapmask )); + + wire [31:0] seqPlusAddr; // work out the next sequential address + assign seqPlusAddr = + ( burstReg == `H_SINGLE) ? addrReg : + addrReg + ( sizeReg==`H_BYTE ? 1 : + ( sizeReg==`H_HWORD ? 2 : + ( sizeReg==`H_WORD ? 4 : 0) ) ) ; + + wire [31:0] seqAddr; // apply the mask to wrap at boundaries + assign seqAddr = (slavehtrans_in==`H_BUSY) ? addrReg : + ( (startReg&wrapmask_w) | (seqPlusAddr&~wrapmask_w)); + +// +// if this is a sequential transaction only sample HTRANS + wire [31:0] startNext; + wire [31:0] addrNext; + wire [1:0] transNext; + wire [1:0] sizeNext; + wire [2:0] burstNext; + wire writeNext; + + assign startNext = seqTrans ? startReg : slavehaddr_in; + assign addrNext = seqTrans ? seqAddr : slavehaddr_in; + assign transNext = slavehtrans_in; + assign sizeNext = seqTrans ? sizeReg : slavehsize_in; + assign burstNext = seqTrans ? burstReg : slavehburst_in; + assign writeNext = seqTrans ? writeReg : slavehwrite_in; + + +// Latch the control data if we are selected +/////////////////////////////////////////////////////////////////////////////////// + always @ (posedge slavehclk_in) + begin +// if readin is low another device is wait stating its +// data phase and hence extending our address phase + if (slavehreadyi_in) + begin + selReg <= sel; + if (sel) // latch the control data + begin + startReg <= startNext; + addrReg <= addrNext; + transReg <= transNext; + sizeReg <= sizeNext; + writeReg <= writeNext; + burstReg <= burstNext; + end + else + begin + startReg <= 0; + addrReg <= 0; + transReg <= `H_IDLE; + sizeReg <= `H_WORD; + writeReg <= 0; + burstReg <= `H_SINGLE; + end + end + end + + +// Implment memory banks +/////////////////////////////////////////////////////////////////////////////////// +// bank selects + wire bankA = ( addrReg>=memStart[0] && addrReg<=memEnd[0] && memStart[0]!=memEnd[0]) ? 1'b1 : 1'b0; + wire bankB = ( addrReg>=memStart[1] && addrReg<=memEnd[1] && memStart[1]!=memEnd[1]) ? 1'b1 : 1'b0; + wire bankC = ( addrReg>=memStart[2] && addrReg<=memEnd[2] && memStart[2]!=memEnd[2]) ? 1'b1 : 1'b0; + wire bankD = ( addrReg>=memStart[3] && addrReg<=memEnd[3] && memStart[3]!=memEnd[3]) ? 1'b1 : 1'b0; + wire bankE = ( addrReg>=memStart[4] && addrReg<=memEnd[4] && memStart[4]!=memEnd[4]) ? 1'b1 : 1'b0; + wire bankF = ( addrReg>=memStart[5] && addrReg<=memEnd[5] && memStart[5]!=memEnd[5]) ? 1'b1 : 1'b0; + +// byte offset into bank //word offset into bank + wire [31:0] offsetA = addrReg-memStart[0]; wire [15:0] wordA = offsetA[17:2]; + wire [31:0] offsetB = addrReg-memStart[1]; wire [15:0] wordB = offsetB[17:2]; + wire [31:0] offsetC = addrReg-memStart[2]; wire [15:0] wordC = offsetC[17:2]; + wire [31:0] offsetD = addrReg-memStart[3]; wire [15:0] wordD = offsetD[17:2]; + wire [31:0] offsetE = addrReg-memStart[4]; wire [15:0] wordE = offsetE[17:2]; + wire [31:0] offsetF = addrReg-memStart[5]; wire [15:0] wordF = offsetF[17:2]; + +// current data + wire [31:0] dataA = memMapA[wordA]; + wire [31:0] dataB = memMapB[wordB]; + wire [31:0] dataC = memMapC[wordC]; + wire [31:0] dataD = memMapD[wordD]; + wire [31:0] dataE = memMapE[wordE]; + wire [31:0] dataF = memMapF[wordF]; + + reg [31:0] currentVal; + always @(dataA or dataB or dataC or dataD or dataE or dataF or + bankA or bankB or bankC or bankD or bankE or bankF ) + begin + if (bankA) currentVal=dataA; + else if (bankB) currentVal=dataB; + else if (bankC) currentVal=dataC; + else if (bankD) currentVal=dataD; + else if (bankE) currentVal=dataE; + else if (bankF) currentVal=dataF; + end + + +// byte enables + wire be0 = (sizeReg==`H_WORD || + (sizeReg==`H_HWORD && addrReg[1]==1'b0) || + (sizeReg==`H_BYTE && addrReg[1:0]==2'b00) ) ? 1'b1 : 1'b0; + wire be1 = (sizeReg==`H_WORD || + (sizeReg==`H_HWORD && addrReg[1]==1'b0) || + (sizeReg==`H_BYTE && addrReg[1:0]==2'b01) ) ? 1'b1 : 1'b0; + wire be2 = (sizeReg==`H_WORD || + (sizeReg==`H_HWORD && addrReg[1]==1'b1) || + (sizeReg==`H_BYTE && addrReg[1:0]==2'b10) ) ? 1'b1 : 1'b0; + wire be3 = (sizeReg==`H_WORD || + (sizeReg==`H_HWORD && addrReg[1]==1'b1) || + (sizeReg==`H_BYTE && addrReg[1:0]==2'b11) ) ? 1'b1 : 1'b0; + + wire [31:0] readDataMask = {be3 ? 8'hFF : 8'h00, + be2 ? 8'hFF : 8'h00, + be1 ? 8'hFF : 8'h00, + be0 ? 8'hFF : 8'h00 }; + + + +// wait state generation +/////////////////////////////////////////////////////////////////////////////////// + + reg s_addr_latch; // address latched this cycle + reg [7:0] waitStart; + reg [7:0] waitSeq; + + initial begin + s_addr_latch=1'b0; + waitReg=8'h00; + end + + always @(bankA or bankB or bankC or bankD or bankE or bankF ) + begin + if (bankA) waitStart = memWaitStart[0]; + else if (bankB) waitStart = memWaitStart[1]; + else if (bankC) waitStart = memWaitStart[2]; + else if (bankD) waitStart = memWaitStart[3]; + else if (bankE) waitStart = memWaitStart[4]; + else if (bankF) waitStart = memWaitStart[5]; + end + + always @(bankA or bankB or bankC or bankD or bankE or bankF ) + begin + if (bankA) waitSeq = memWait[0]; + else if (bankB) waitSeq = memWait[1]; + else if (bankC) waitSeq = memWait[2]; + else if (bankD) waitSeq = memWait[3]; + else if (bankE) waitSeq = memWait[4]; + else if (bankF) waitSeq = memWait[5]; + end + + +// +// wait if +// first beat and memWaitStart and addr has just been latched +// or +// first beat and waitReg (more than 1 wait state) +// or +// seq beat and waitReg +// else ready +// + + always @(posedge slavehclk_in) + s_addr_latch <= slavehreadyi_in & slavehsel_in; + + always @(doWork or transReg or waitReg or waitStart or s_addr_latch) + begin + if ( doWork & (transReg==`H_NONSEQ) & (waitStart!=8'h00) & s_addr_latch ) + begin + slavehreadyo_out_r = 1'b0; + // $fdisplay(output_file, "SLAVE: wait on first" ); + end + else if ( doWork & (transReg==`H_NONSEQ) & waitReg!=8'h00 ) + begin + slavehreadyo_out_r = 1'b0; + // $fdisplay(output_file, "SLAVE: wait" ); + end + else if (doWork & (transReg==`H_SEQ) & (waitReg!=8'h00)) + begin + slavehreadyo_out_r = 1'b0; + // $fdisplay(output_file, "SLAVE: wait" ); + end + else + slavehreadyo_out_r = 1'b1; + end + + +// if we are waiting (waitReg>0) and not in a busy decrement the counter +// otherwise get the new value from memWait of memWaitStart according to +// the transaction type + + wire [7:0] waitStartNext; + assign waitStartNext = ( waitStart>8'h01 ? (waitStart-1) : 8'h00); + + always @ (posedge slavehclk_in) + waitReg<= (waitReg!=8'h00 & ~doBusyWork) ? (waitReg - 1'b1) : + ( doWork & (transReg==`H_NONSEQ) & (waitStart!=8'h00) & s_addr_latch ? waitStartNext : + ( seqTrans ? waitSeq : 8'h00 )); + + +// read data +/////////////////////////////////////////////////////////////////////////////////// + assign slavehrdata_out =(doWork & ~writeReg & slavehreadyo_out_r) ? + (readDataMask & currentVal) : {32{1'b0}}; + + + +// record writes in memory banks + report on screen +/////////////////////////////////////////////////////////////////////////////////// + reg [31:0] memWord; // the word to be updated / read + always @ (posedge slavehclk_in) + begin + if (doWork & slavehreadyo_out_r) + begin + memWord = currentVal; + if (writeReg) + begin + if (be0) memWord[7:0] =slavehwdata_in[7:0] ; + if (be1) memWord[15:8] =slavehwdata_in[15:8] ; + if (be2) memWord[23:16] =slavehwdata_in[23:16]; + if (be3) memWord[31:24] =slavehwdata_in[31:24]; + + if (bankA) memMapA[wordA] = memWord; + if (bankB) memMapB[wordB] = memWord; + if (bankC) memMapC[wordC] = memWord; + if (bankD) memMapD[wordD] = memWord; + if (bankE) memMapE[wordE] = memWord; + if (bankF) memMapF[wordF] = memWord; + end + if (output_file) + $fdisplay(output_file, + "SLAVE: addr=[%h] %s data=[%h] %s", + addrReg, + writeReg ? "WRITE" : "READ", + writeReg ? slavehwdata_in : slavehrdata_out , + sizeReg==`H_BYTE ? "BYTE" : + ( sizeReg==`H_HWORD ? "HALF WORD" : "WORD" ) ); + else + $display( + "SLAVE: addr=[%h] %s data=[%h] %s", + addrReg, + writeReg ? "WRITE" : "READ", + writeReg ? slavehwdata_in : slavehrdata_out , + sizeReg==`H_BYTE ? "BYTE" : + ( sizeReg==`H_HWORD ? "HALF WORD" : "WORD" ) ); + + end + end + +///////////////////////////////////////////////////////////////////////////////////////////////// +// Master Port transactor +///////////////////////////////////////////////////////////////////////////////////////////////// + +// timing data - setup and holds +//////////////////////////////// + wire masterhclk_in; + wire masterhready_in, masterhgrant_in; + wire [31:0] masterhrdata_in; + wire [1:0] masterhresp_in; + wire masterhwrite_out, masterhlock_out, masterhbusreq_out; + wire [31:0] masterhaddr_out, masterhwdata_out; + wire [1:0] masterhtrans_out, masterhsize_out; + wire [2:0] masterhburst_out; + +// + assign masterhclk_in = masterhclk; + assign masterhready_in = masterhready; + assign masterhgrant_in = masterhgrant; + + assign masterhrdata_in = masterhrdata; + assign masterhresp_in = masterhresp; + +// + assign masterhwrite = masterhwrite_out; + assign masterhlock = masterhlock_out; + assign masterhbusreq = masterhbusreq_out; + + assign masterhtrans = masterhtrans_out; + assign masterhsize = masterhsize_out; + assign masterhburst = masterhburst_out; + + assign masterhaddr = masterhaddr_out; + assign masterhwdata = masterhwdata_out; + + + ///////////////////////////////////////////////////////////////////////////////////////////////// + ///////////////////////////////////////////////////////////////////////////////////////////////// + + // Transaction Record Format + // 255..254 spare + // 253..252 response + // 251..220 read data + // 219 go busy + // 218..217 spare + // 216..208 number of beats in sequential transaction + // 207..176 start address of transaction + // 175..144 expected data + // 143..128 transaction number + // ------------------------------------- following field read from command file + // 127..112 spare + // 111..109 spare + // 108 bus command (0 => inactive) + // 107.. 76 address + // 75.. 73 spare + // 72 write + // 71.. 40 write data / expected read data + // 39.. 37 spare + // 36 lock (not implemented) + // 35.. 33 spare + // 32 check expected data (not implemented) + // 31.. 30 spare + // 29.. 28 transaction type + // 27.. 23 spare + // 21.. 20 burst type + // 19.. 18 spare + // 17.. 16 size + // 15.. 00 repeat count + + // register outputs + reg [31:0] masterhaddr_out_r; + reg [31:0] masterhwdata_out_r; + reg [2:0] masterhburst_out_r; + reg [1:0] masterhtrans_out_r; + reg masterhwrite_out_r; + + assign masterhaddr_out = masterhaddr_out_r; + assign masterhwdata_out = masterhwdata_out_r; + assign masterhburst_out = masterhburst_out_r; + assign masterhtrans_out = masterhtrans_out_r; + assign masterhwrite_out = masterhwrite_out_r; + + reg [2:0] masterhsize_outl; // the transactor implements the full 3 bit size + assign masterhsize_out=masterhsize_outl[1:0]; // field but upCore only uses 2 + + + // //////////////////////////////////////////////////////////////////////////////////////////// + // + reg [128:0] transactions[1:65535]; // space for NUMTRANS transactions + reg [128:0] tmp_transaction; + reg [8:0] tmp_beats, tmp_num; + + // Transaction records + reg [255:0] n_trans; // next + reg [255:0] c_trans; // control / address phase + reg [255:0] d_trans; // data phase + reg [255:0] retry_trans; // retrying + reg [255:0] r_trans; // reporting + + reg [255:0] i_trans; // IDLE Transaction + + reg [15:0] trans_num; // the current control phase transaction + reg [31:0] resp_data; + +/*---------------------------------------------------------------------------- +Control signals for master transactor +----------------------------------------------------------------------------*/ + reg reset; + wire start = n_trans[108]; + wire stop = 1'b0; + wire continue_after_error = 1'b1; + wire generate_data = 1'b0; + wire [1:0] insert_busy = 2'b00; + wire go_busy = n_trans[219]; + wire [8:0] beats = n_trans[216:208]; // 511 beats max + wire [2:0] burst = n_trans[23:20]; + wire [2:0] size = n_trans[18:16]; + wire write = n_trans[72]; + wire [31:0] start_address = n_trans[207:176]; + wire [31:0] data = n_trans[71:40]; + + +/*---------------------------------------------------------------------------- +Transactor state and responses +----------------------------------------------------------------------------*/ + reg [2:0] original_burst; + reg masterhgrant_in_r; + reg [1:0] busy_states; + reg [1:0] busy_counter; + reg [9:0] init_wrap_mask, wrap_mask; + reg [7:0] masterhaddr_out_r_inc, init_wrap_boundary_bit; + reg [10:0] init_next_masterhaddr_out_r, wrap_boundary_bit; + reg [9:0] next_masterhaddr_out_r; + wire break_wrap; + + reg address_bus_owned, data_bus_owned; + reg add_go, data_go; + reg reading, writing; + reg first_beat, need_retry, wrap, replay_wrap; + reg new_grant; + wire first_masterhtrans_out_r; + wire addr_ack; + wire data_ack; + +/*---------------------------------------------------------------------------- +Initialisation +----------------------------------------------------------------------------*/ + initial + begin + i_trans ={256{1'b0}}; // set up a null transaction record + i_trans[143:128]=16'hFFFF; + i_trans[29:28] =`H_IDLE; + + d_trans=i_trans; // fill pipe with null's + c_trans=i_trans; + r_trans=i_trans; + // initialise the transactions database + tmp_transaction={128{1'b0}}; + + // we're using the bus functional language so load the commands + // from a file + for (trans_num=1;trans_num<65535;trans_num=trans_num+1) + begin + transactions[trans_num]=tmp_transaction; + end + $readmemh("mastercommands.dat", transactions); + + tmp_num = 9'b000000000; + tmp_beats = 9'b000000000; + trans_num=16'h0000; + + n_trans=i_trans; + n_trans[2]=1'b1; // repeat 4 + + reset=0; // reset the transactor on start + #10 reset=1; + #20 reset=0; + + end + + + +/*---------------------------------------------------------------------------- + Report completed transactions + + We could mask and shift the received data for HALFWORD and BYTE + transactions but we won't. + ----------------------------------------------------------------------------*/ + // received data + /**** + wire [31:0] r_r_data = r_trans[72]==1'b1 ? r_trans[71:40] : r_trans[251:220]; + wire [31:0] e_r_data = r_trans[175:144]; + wire [31:0] mr_r_data, me_r_data; // byte lane masked recovered and expected + + mr_r_data = r_trans[17:16] == `H_WORD ? r_r_data : + (r_trans[17:16] == `H_HWORD ? + { 16'h0000, (r_trans[77]==1'b1 ? r_r_data[31:16] : r_r_data[15:0]) } : + // byte + { 24'h000000, ( r_trans[77:76]==2'b00 ? r_r_data[7:0] : + r_trans[77:76]==2'b01 ? r_r_data[15:8] : + r_trans[77:76]==2'b10 ? r_r_data[23:16] : + r_r_data[31:24]) } ); + + r_rdata = r_trans[17:16] == `H_WORD ? r_e_data : + (r_trans[17:16] == `H_HWORD ? + { 16'h0000, (r_trans[77]==1'b1 ? r_e_data[31:16] : r_e_data[15:0]) } : + // byte + { 24'h000000, ( r_trans[77:76]==2'b00 ? r_e_data[7:0] : + r_trans[77:76]==2'b01 ? r_e_data[15:8] : + r_trans[77:76]==2'b10 ? r_e_data[23:16] : + r_e_data[31:24]) } ); + ****/ + always @(posedge masterhclk_in) + begin + if (r_trans[108]==1'b1) // r_trans contains a valid transaction + begin + + if (output_file) + $fdisplay(output_file, + "MASTER: trans=[%d] addr=[%h] %s data=[%h] expected=[%h] %s %s", + r_trans[143:128], + r_trans[107:76], + r_trans[72]==1'b1 ? "WRITE" : "READ", + r_trans[72]==1'b1 ? r_trans[71:40] : r_trans[251:220], + r_trans[175:144], + r_trans[17:16]==`H_BYTE ? "BYTE" : + ( r_trans[17:16]==`H_HWORD ? "HALF WORD" : "WORD" ), + r_trans[253:252]==`H_OKAY ? "OKAY" : "ERROR" ); + else + $display( + "MASTER: trans=[%d] addr=[%h] %s data=[%h] expected=[%h] %s %s", + r_trans[143:128], + r_trans[107:76], + r_trans[72]==1'b1 ? "WRITE" : "READ", + r_trans[72]==1'b1 ? r_trans[71:40] : r_trans[251:220], + r_trans[175:144], + r_trans[17:16]==`H_BYTE ? "BYTE" : + ( r_trans[17:16]==`H_HWORD ? "HALF WORD" : "WORD" ), + r_trans[253:252]==`H_OKAY ? "OKAY" : "ERROR" ); + + end + end + + + + + +/*---------------------------------------------------------------------------- +Get Next Transaction +----------------------------------------------------------------------------*/ + reg [216:208] tmp_repeats; + always + begin + + while ( trans_num<16'hFFFF) + begin + + if (n_trans[15:0]==16'h0000) + begin + // get the next record + trans_num = trans_num+1; + + tmp_transaction = transactions[trans_num]; + + n_trans[175:144]<= tmp_transaction[71:40]; // expected data + n_trans[143:128]<= trans_num; + n_trans[127:0] <= tmp_transaction; + + + // check for a BUSY + n_trans[219] <= tmp_transaction[29:28]==`H_BUSY ? 1'b1 : 1'b0; + + // update the start address + if (tmp_transaction[29:28]!=`H_SEQ&&tmp_transaction[29:28]!=`H_BUSY) + begin + n_trans[207:176] <= tmp_transaction[107:76]; // start address + end + + + // compute the number of beats in burst + if (tmp_transaction[23:20]!=`H_SINGLE && tmp_transaction[29:28]==`H_NONSEQ) + begin + tmp_beats=9'b0_0000_0001; + tmp_repeats[216:208]=9'b0_0000_0001; + + // get the transaction from the database + tmp_transaction=transactions[trans_num+tmp_beats]; + + while (tmp_transaction[29:28]==`H_SEQ||tmp_transaction[29:28]==`H_BUSY) + begin + tmp_repeats[216:208]=tmp_repeats[216:208]+tmp_transaction[15:0]+1; + tmp_beats=tmp_beats+1; + + // get the transaction from the database + tmp_transaction = transactions[trans_num+tmp_beats]; + + end + n_trans[216:208]<=tmp_repeats[216:208]; + end + + + end + else + begin + n_trans[15:0]<=n_trans[15:0]-1; + end // i + + // wait for the current transaction to be accepted + @(posedge masterhclk_in); + while (~(addr_ack|data_ack) && n_trans[108]) + @(posedge masterhclk_in); + + end // while transactions in buffer + if (output_file) $fclose(output_file) ; + $finish(2); + end + + + + + +/*---------------------------------------------------------------------------- +Compute burst length + +add_go_r prevents a newly loaded length being decremented by the last data +beat of the previous transaction. +----------------------------------------------------------------------------*/ + reg [8:0] length; + reg add_go_r; + always @(posedge masterhclk_in) + if (masterhready_in) + add_go_r <= add_go; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + length <= 5'h0; + else if (add_go) + case (burst) + `H_SINGLE: length <= 9'h1; + `H_INCR: length <= beats; + `H_WRAP4, + `H_INCR4: length <= 9'h4; + `H_WRAP8, + `H_INCR8: length <= 9'h8; + `H_WRAP16, + `H_INCR16: length <= 9'h10; + endcase + else if ((reading | writing) & masterhready_in & ~add_go_r & ((masterhresp_in == `H_OKAY) | (masterhresp_in == `H_ERROR))) + length <= length - (|length); + + reg [8:0] address_length; + always @(posedge masterhclk_in or posedge reset) + if (reset) + address_length <= 5'h0; + else if (add_go) + case (burst) + `H_SINGLE: address_length <= 9'h1; + `H_INCR: address_length <= beats; + `H_WRAP4, + `H_INCR4: address_length <= 9'h4; + `H_WRAP8, + `H_INCR8: address_length <= 9'h8; + `H_WRAP16, + `H_INCR16: address_length <= 9'h10; + endcase + else if (data_bus_owned & ~masterhready_in & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT))) + address_length <= address_length + 9'h1; + else if (address_bus_owned & masterhready_in & ~(|busy_states) & (masterhtrans_out_r != `H_IDLE)) + address_length <= address_length - (|address_length); + else if (address_bus_owned & masterhready_in & (|busy_states) & (masterhtrans_out_r == `H_BUSY) & ~(|busy_counter)) + address_length <= address_length - (|address_length); + +/*---------------------------------------------------------------------------- +Bus request state machine + +Bus request machine follows the principle that the arbiter will generally +only re-assign bus grants at the end of a burst transaction. For defined +bursts masterhbusreq_out is removed as soon as we masterhave started the transaction. +Undefined (INCR) bursts will masterhold masterhbusreq_out asserted until the last beat of the +transaction. + +Locked transactions must always assert masterhlock_out for at least one cycle before +the address to be locked to allow the arbiter to see the lock. In practice, +this means inserting an idle cycle. + +Have to be careful using burst and beats from the control word. As soon as +the master address phase masterhas finished and the addr_ack is asserted the +testbench can change the control word. So don't use them after the initial +request. Use the ahb outputs instead which will tell us what sort of +transaction we're doing. +----------------------------------------------------------------------------*/ + reg [2:0] req_state; + parameter req_idle = 3'b000, + req_first = 3'b001, + req_wait = 3'b101, + req_masterhold = 3'b011, + req_using = 3'b010, + req_again = 3'b111; + assign masterhbusreq_out = start + | (req_state == req_first) + | (req_state == req_wait) + | (req_state == req_masterhold) + | (req_state == req_again); + + wire single_beat = (burst == `H_SINGLE) + | (burst == `H_INCR) & (beats == 9'b1); + + reg single_beat_r; + always @(posedge masterhclk_in) + if (addr_ack) + // save single_beat for use after it may masterhave changed + single_beat_r = single_beat; + + wire last_beat = address_bus_owned & masterhready_in & (address_length <= 9'b1); + wire retry = data_bus_owned & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT)); + wire error = data_bus_owned & masterhready_in & (masterhresp_in == `H_ERROR); + +/*---------------------------------------------------------------------------- +Bus request machine masterhas five states: +req_idle: masterhbusreq_out negated. Wmasterhen we want to do something we jump to req_first. +The last beat may get a retry response in which case we jump to +req_again. +req_first: masterhbusreq_out asserted. Wait masterhere for masterhgrant_in and until the transaction +starts. If granted and it's an undefined and not a single beat then +jump to req_masterhold. Else if it's a single beat jump to req_idle. +Otherwise jump to req_using. +req_masterhold: masterhbusreq_out asserted. Hold masterhbusreq_out asserted until last beat of an +undefined. If there's a new request then we jump to req_first, +otherwise back to req_idle. If we lose masterhgrant_in in this state then we +just stay masterhere with masterhbusreq_out asserted until the transaction can be +finished. Also masterhold in this state if retry is asserted to reduce the +chance of releaseing the bus and masterhaving to re-request it to complete +a transaction. +req_using: masterhbusreq_out negated. Wait masterhere for last beat of defined length +transaction. If there's a new request then we jump to req_first, +otherwise back to req_idle. If a posted write is errored before the +last beat or a transaction is retried or we lose masterhgrant_in then we jump +to req_again. +req_again: masterhbusreq_out asserted for completion of transaction interrupted by loss +of masterhgrant_in. Wait masterhere for masterhgrant_in and until the transaction starts then +jump to req_using if first_beat is asserted or req_masterhold if not. +*** We may see a new address toggle whilst in this state. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + req_state <= req_idle; + else + case (req_state) + req_idle: + + if (retry) + req_state <= req_again; + else if (start) + req_state <= req_first; + + else + req_state <= req_idle; + + req_first: + if (retry) + req_state <= req_again; + else if (~masterhgrant_in & ~((masterhtrans_out_r == `H_NONSEQ) & masterhready_in)) + req_state <= req_first; + else if ((masterhtrans_out_r == `H_NONSEQ) & masterhready_in) + begin + if (add_go) + req_state <= req_first; + else if ((burst == `H_INCR) & ~single_beat) + req_state <= req_masterhold; + else if (single_beat) + req_state <= req_idle; + else + req_state <= req_using; + end + else + req_state <= req_wait; + + req_wait: + if (retry) + req_state <= req_again; + else if (~masterhgrant_in & ~((masterhtrans_out_r == `H_NONSEQ) & masterhready_in)) + req_state <= req_first; + else if (masterhgrant_in & ~((masterhtrans_out_r == `H_NONSEQ) & masterhready_in)) + req_state <= req_wait; + else if (add_go) + req_state <= req_first; + else if ((burst == `H_INCR) & ~single_beat) + req_state <= req_masterhold; + else if (single_beat_r) + req_state <= req_idle; + else + req_state <= req_using; + + req_masterhold: + if (error & ~continue_after_error) + req_state <= req_idle; + else if (~masterhgrant_in & (address_length > 9'b1) + | retry) + req_state <= req_again; + else if (last_beat) + begin + if (start) + req_state <= req_first; + else + req_state <= req_idle; + end + else if (add_go) + req_state <= req_first; + else + req_state <= req_masterhold; + + req_using: + if (error & ~continue_after_error) + req_state <= req_idle; + else if (last_beat) + begin + if (start) + req_state <= req_first; + else + req_state <= req_idle; + end + else if (~masterhgrant_in & (address_length > 9'b1) + | retry) + req_state <= req_again; + else + req_state <= req_using; + + req_again: + if (error & ~continue_after_error) + req_state <= req_idle; + else if ((~data_bus_owned + | data_bus_owned & (masterhresp_in == `H_OKAY)) + & address_bus_owned & (masterhtrans_out_r == `H_IDLE) & masterhready_in & ~masterhlock_out) + req_state <= req_idle; + else if (~masterhgrant_in & (address_length > 9'b1) + | ~((masterhtrans_out_r == `H_NONSEQ) & masterhready_in)) + req_state <= req_again; + else if (last_beat | (masterhburst_out_r == `H_SINGLE) + | (masterhburst_out_r == `H_INCR) & single_beat_r) + req_state <= req_idle; + else if (first_beat) + req_state <= req_using; + else + req_state <= req_masterhold; + + default: req_state <= req_idle; + endcase + +/*---------------------------------------------------------------------------- +Address acknowledge + +Signals when an address masterhas been transferred and a new one may be presented +for the next transaction. +----------------------------------------------------------------------------*/ + assign addr_ack = add_go; + +/*---------------------------------------------------------------------------- +Data acknowledge + +Signals when an address masterhas been transferred and a new one may be presented +for the next transaction. +----------------------------------------------------------------------------*/ + assign data_ack = data_go; + +/*---------------------------------------------------------------------------- +Bus ownership + +Data bus ownership follows address by one cycle +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + begin + address_bus_owned <= 1'b0; + data_bus_owned <= 1'b0; + end + else if (masterhready_in) + begin + address_bus_owned <= masterhgrant_in; + data_bus_owned <= address_bus_owned; + end + +/*---------------------------------------------------------------------------- +add_go enables the address phase for a new transaction (not the continuation +of a retried transaction or a transaction during which we lose the bus). + +It asserts immediately on address request if we're not actively using the bus +and not waiting for it to be re-granted to complete a previous transaction, +the (masterhtrans_out_r == `IDLE) term ensuring it only asserts for one clock. +----------------------------------------------------------------------------*/ + always @(start or masterhbusreq_out or masterhgrant_in or masterhready_in or reading + or writing or masterhtrans_out_r or req_state or length or reset) + if (start & masterhbusreq_out & masterhgrant_in & masterhready_in & ~reading & ~writing + & (masterhtrans_out_r == `H_IDLE) & (req_state != req_again) & ~reset) + add_go <= 1'b1; + else if (start & masterhbusreq_out & masterhgrant_in & masterhready_in & (length < 9'h2) & ~retry + & (masterhtrans_out_r != `H_BUSY) & (masterhtrans_out_r != `H_NONSEQ) & ~reset) + add_go <= 1'b1; + else + add_go <= 1'b0; + + +/*---------------------------------------------------------------------------- +data_go indicates the completion of the data phase for a transaction + +Like add_go it asserts when the master takes control of the address lines to +start a transaction. +It also asserts on all the accepted data beats of a burst except the last. +----------------------------------------------------------------------------*/ + wire trans_end = data_bus_owned & (reading | writing) & + masterhready_in & (masterhresp_in == `H_OKAY || masterhresp_in == `H_ERROR); + + always @(start or masterhbusreq_out or masterhgrant_in or masterhready_in or reading + or writing or masterhtrans_out_r or req_state or length or reset + or need_retry or trans_end ) + if (start & masterhbusreq_out & masterhgrant_in & masterhready_in & ~reading & ~writing + & (masterhtrans_out_r == `H_IDLE) & (req_state != req_again) & ~reset & ~need_retry) + data_go <= 1'b1; + else if (start & masterhbusreq_out & masterhgrant_in & masterhready_in & (address_length > 9'h1) & ~retry + & ~reset & (~need_retry|trans_end)) + data_go <= 1'b1; + else + data_go <= 1'b0; + + +/*---------------------------------------------------------------------------- +masterhwrite_out_r + +Updated on any clock that starts a new transaction +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhwrite_out_r <= 1'b0; + else if (addr_ack) + masterhwrite_out_r <= write; + +/*---------------------------------------------------------------------------- +Transaction size + +Updated on any clock that starts a new transaction +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhsize_outl <= 3'b0; + else if (addr_ack) + masterhsize_outl <= size; + +/*---------------------------------------------------------------------------- +Busy counter + +Insert BUSY states into burst transactions. + +Capture control word. Load counter on every active phase and decrement to +zero. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + busy_states <= 1'b0; + else if (addr_ack) + busy_states <= insert_busy; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + busy_counter <= 1'b0; + else if ((masterhtrans_out_r == `H_NONSEQ) | (masterhtrans_out_r == `H_SEQ)) + busy_counter <= busy_states - 1; + else + busy_counter <= busy_counter - (|busy_counter); + +/*---------------------------------------------------------------------------- +first_masterhtrans_out_r is asserted to enable the first beat of a transaction, which is +always NONSEQ: +- The first beat of a new transaction (addr_ack). +- To restart a transaction that was interrupted by loss of masterhgrant_in if we +receive a new masterhgrant_in whilst in req_again or req_masterhold states. +- To restart a transaction after a RETRY response. +- To restart a transaction after a SPLIT response. +pwd +- Break an undefined `INCR replay of a retried or split wrapping burst at +the wrap address boundary. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in) + masterhgrant_in_r <= masterhgrant_in; + + wire masterhgrant_in_leading_edge = masterhgrant_in & ~masterhgrant_in_r; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + new_grant <= 1'b0; + else if (masterhgrant_in_leading_edge & ~first_masterhtrans_out_r) + new_grant <= 1'b1; + else if (first_masterhtrans_out_r | ~masterhgrant_in) + new_grant <= 1'b0; + + assign first_masterhtrans_out_r = addr_ack + | (masterhgrant_in_leading_edge | masterhgrant_in & new_grant) & masterhready_in & ~masterhwrite_out_r + & ((req_state == req_masterhold) | (req_state == req_again)) + | (masterhgrant_in_leading_edge | masterhgrant_in & new_grant) & masterhready_in & masterhwrite_out_r + & ((req_state == req_masterhold) | (req_state == req_again)) + | data_bus_owned & masterhready_in & (masterhresp_in == `H_RETRY) + | data_bus_owned & masterhready_in & (masterhresp_in == `H_SPLIT) + | address_bus_owned & masterhready_in & ~first_beat + & break_wrap & (length > 9'b1); + +/*---------------------------------------------------------------------------- +The only time masterhtrans_out_r changes when masterhready_in is negated is during reset or after +the first cycle of a two-cyle error response. Otherwise, masterhtrans_out_r can only +change when masterhgrant_in and masterhready_in are asserted. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhtrans_out_r <= `H_IDLE; + else if (data_bus_owned & ~masterhready_in & (masterhresp_in != `H_OKAY) + & ~continue_after_error) // ERROR'ed transactions cancelled + masterhtrans_out_r <= `H_IDLE; + else if (data_bus_owned & ~masterhready_in & (masterhresp_in != `H_OKAY) & (masterhresp_in != `H_ERROR) + & continue_after_error) // ERROR'ed transactions not cancelled + masterhtrans_out_r <= `H_IDLE; + else if (masterhgrant_in & masterhready_in) + case (masterhtrans_out_r) + `H_IDLE: + if (first_masterhtrans_out_r) + masterhtrans_out_r <= `H_NONSEQ; + else + masterhtrans_out_r <= `H_IDLE; + `H_NONSEQ,`H_SEQ: + if (first_masterhtrans_out_r) + masterhtrans_out_r <= `H_NONSEQ; + else if ((masterhburst_out_r == `H_SINGLE) | (address_length <= 9'h1)) + // Last beat + masterhtrans_out_r <= `H_IDLE; + else if (go_busy) // (|busy_states) + masterhtrans_out_r <= `H_BUSY; + else + masterhtrans_out_r <= `H_SEQ; + + `H_BUSY: + if (first_masterhtrans_out_r) + masterhtrans_out_r <= `H_NONSEQ; + else if (go_busy) //(|busy_counter) + masterhtrans_out_r <= `H_BUSY; + else + masterhtrans_out_r <= `H_SEQ; + endcase + else if (masterhready_in & ~masterhgrant_in) + masterhtrans_out_r <= `H_IDLE; + +/*---------------------------------------------------------------------------- +One of reading or writing is asserted during any data beat for which we are +actively using the bus. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + begin + reading <= 1'b0; + writing <= 1'b0; + end + else if (masterhready_in) + begin + reading <= ~masterhwrite_out_r & address_bus_owned + & (masterhtrans_out_r != `H_IDLE) & (masterhtrans_out_r != `H_BUSY); + writing <= masterhwrite_out_r & address_bus_owned + & (masterhtrans_out_r != `H_IDLE) & (masterhtrans_out_r != `H_BUSY); + end + +/*---------------------------------------------------------------------------- +Burst size + +first_beat is used to keep masterhburst_out_r unchanged when the first beat is to be +replayed. It alse controls the bus request. A transaction that is split or +retried on any other beat will be replayed as INCR and masterhbusreq_out must be masterheld +asserted. + +Tmasterhis means that a defined length read that us interrupted mid-burst will +complete as an undefined INCR and may pre-fetch past the end of the defined +length (unless, of course, no_prefetch is asserted). +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + first_beat <= 1'b0; + else if (addr_ack) + first_beat <= 1'b1; + else if (data_bus_owned & (reading | writing) & masterhready_in & (masterhresp_in == `H_OKAY)) + first_beat <= 1'b0; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhburst_out_r <= 3'b0; + else if (addr_ack) + masterhburst_out_r <= burst; + else if (first_masterhtrans_out_r & ~first_beat) + masterhburst_out_r <= `H_INCR; + +/*---------------------------------------------------------------------------- +need_retry +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + need_retry <= 1'b0; + else if (data_bus_owned & ~masterhready_in & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT))) + need_retry <= 1'b1; + else if (data_bus_owned & masterhready_in & (reading | writing) + & ((masterhresp_in == `H_OKAY) | (masterhresp_in == `H_ERROR))) + need_retry <= 1'b0; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + wrap <= 1'b0; + else if (addr_ack) + wrap <= (burst == `H_WRAP4) | (burst == `H_WRAP8) + | (burst == `H_WRAP16); + + always @(posedge masterhclk_in or posedge reset) + if (reset) + original_burst <= 3'b0; + else if (addr_ack) + original_burst <= burst; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + replay_wrap <= 3'b0; + else if (addr_ack) + replay_wrap <= 3'b0; + else if (data_bus_owned & ~masterhready_in & wrap & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT))) + replay_wrap <= 3'b1; + +/*---------------------------------------------------------------------------- +Compute wrap mask + +Used to modify next_masterhaddr_out_r during wrapping bursts. First case statement forms +a mask based on the transfer size. Tmasterhis is then shifted left with '1's +inserted to form the final mask. E.g. masterhsize_outl == word (3'b010) wrapped at a +four beat boundary results in wrap_mask set to 10'b0000001111 allowing the +four lsbs of the address to increment and wrap addressing sixteen bytes in +total. +----------------------------------------------------------------------------*/ + always @(masterhsize_outl) + case (masterhsize_outl) + 3'b000: init_wrap_mask <= 10'b0; + 3'b001: init_wrap_mask <= 10'b1; + 3'b010: init_wrap_mask <= 10'b11; + 3'b011: init_wrap_mask <= 10'b111; + 3'b100: init_wrap_mask <= 10'b1111; + 3'b101: init_wrap_mask <= 10'b11111; + 3'b110: init_wrap_mask <= 10'b111111; + 3'b111: init_wrap_mask <= 10'b1111111; + endcase + + always @(original_burst or init_wrap_mask) + case (original_burst) + `H_WRAP4: wrap_mask <= {init_wrap_mask[7:0], 2'b11}; + `H_WRAP8: wrap_mask <= {init_wrap_mask[6:0], 3'b111}; + `H_WRAP16: wrap_mask <= {init_wrap_mask[5:0], 4'b1111}; + default: wrap_mask <= 10'b0; + endcase + + always @(masterhsize_outl) + case (masterhsize_outl) + 3'b000: init_wrap_boundary_bit <= 8'b1; + 3'b001: init_wrap_boundary_bit <= 8'b10; + 3'b010: init_wrap_boundary_bit <= 8'b100; + 3'b011: init_wrap_boundary_bit <= 8'b1000; + 3'b100: init_wrap_boundary_bit <= 8'b10000; + 3'b101: init_wrap_boundary_bit <= 8'b100000; + 3'b110: init_wrap_boundary_bit <= 8'b1000000; + 3'b111: init_wrap_boundary_bit <= 8'b10000000; + endcase + + always @(original_burst or init_wrap_boundary_bit) + case (original_burst) + `H_WRAP4: wrap_boundary_bit <= {init_wrap_boundary_bit, 2'b0}; + `H_WRAP8: wrap_boundary_bit <= {init_wrap_boundary_bit, 3'b0}; + `H_WRAP16:wrap_boundary_bit <= {init_wrap_boundary_bit[6:0], 4'b0}; + default: wrap_boundary_bit <= 11'b0; + endcase + +/*---------------------------------------------------------------------------- +Compute address increment + +Tmasterhis code allows for all possibilities by inferring a 3-to-8 decoder on the +transfer size. AHB spec is unclear masterhow a burst with a transfer size greater +than the bus width should be masterhandled. +----------------------------------------------------------------------------*/ + always @(masterhsize_outl) + begin + masterhaddr_out_r_inc <= 10'b0; + masterhaddr_out_r_inc[masterhsize_outl] <= 1'b1; + end + +/*---------------------------------------------------------------------------- +Compute next address + +Next address is based on the increment computed from the transfer size, and +the burst type, which may tell us to wrap. Wrapping is achieved by preserving +some of the upper bits through use of wrap_mask. + +If beat n is retried, we're already putting out the address for beat n+1 so +we need to decrement. +----------------------------------------------------------------------------*/ + always @(data_bus_owned or masterhresp_in or masterhready_in or masterhaddr_out_r or masterhaddr_out_r_inc) + if (data_bus_owned & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT))) + init_next_masterhaddr_out_r <= {1'b0, masterhaddr_out_r[9:0]} - masterhaddr_out_r_inc; + else + init_next_masterhaddr_out_r <= {1'b0, masterhaddr_out_r[9:0]} + masterhaddr_out_r_inc; + + always @(original_burst or wrap_mask or init_next_masterhaddr_out_r or masterhaddr_out_r) + if ((original_burst == `H_WRAP4) | (original_burst == `H_WRAP8) + | (original_burst == `H_WRAP16)) + next_masterhaddr_out_r <= wrap_mask & init_next_masterhaddr_out_r | ~wrap_mask & masterhaddr_out_r; + else + next_masterhaddr_out_r <= init_next_masterhaddr_out_r; + + assign break_wrap = replay_wrap & ((|(init_next_masterhaddr_out_r & wrap_boundary_bit)) + ^ (|(masterhaddr_out_r[10:0] & wrap_boundary_bit))); + +/*---------------------------------------------------------------------------- +Address Generation + +AHB address has to track the changing address during bursts. next_masterhaddr_out_r +computes the next address. + +NOTE: It is incumbent upon the command file not to attempt a transaction that +would cross a 1Kbyte address boundary. + +Address is normally updated after each address phase. It is also updated +during the second cycle of a two cycle retry or split response to rewind the +address and allow the transaction to be replayed. +----------------------------------------------------------------------------*/ + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhaddr_out_r <= 32'b0; + else if (addr_ack) + masterhaddr_out_r <= start_address; + else if (data_bus_owned & masterhready_in & ((masterhresp_in == `H_RETRY) | (masterhresp_in == `H_SPLIT))) + masterhaddr_out_r[9:0] <= next_masterhaddr_out_r; + else if (address_bus_owned & masterhready_in + & ((masterhtrans_out_r == `H_NONSEQ) | (masterhtrans_out_r == `H_SEQ))) + masterhaddr_out_r[9:0] <= next_masterhaddr_out_r; + +/*---------------------------------------------------------------------------- +Write Data + +If generate_data is negated then initial data is taken from data input. If +generate_data is asserted then data is generated from the address offset to +match that expected by the checkers. + +The expected data and the transaction number follow the write data. + +At the end of a burst data is set to x so we can ensure nothing is relying on +invalid data. +----------------------------------------------------------------------------*/ + + reg [31:0] masterhwdata_out_r_pipe; + reg [31:0] masterhwdata_out_r_retry; + + always @(posedge masterhclk_in) + if (data_bus_owned & ~masterhready_in & (masterhresp_in==`H_RETRY||masterhresp_in==`H_SPLIT)) + begin + masterhwdata_out_r_retry <= masterhwdata_out_r; + end + else if (addr_ack || data_ack) + masterhwdata_out_r_pipe <= data; + + + wire [7:0] addr_offset = {masterhaddr_out_r[7:2], 2'b0}; + + always @(posedge masterhclk_in or posedge reset) + if (reset) + masterhwdata_out_r <= {32{1'b0}}; + else if (~address_bus_owned & masterhready_in) + masterhwdata_out_r <= {32{1'b0}}; + else if (masterhready_in & ~generate_data) + begin + if (address_bus_owned & masterhwrite_out_r & need_retry & ~trans_end) + masterhwdata_out_r <= masterhwdata_out_r_retry; + else if (address_bus_owned & masterhwrite_out_r & (masterhtrans_out_r == `H_NONSEQ)) + masterhwdata_out_r <= masterhwdata_out_r_pipe; + else if ((length == 9'b0)) + masterhwdata_out_r <= {32{1'b0}}; + else if (address_bus_owned & masterhwrite_out_r & (masterhtrans_out_r == `H_SEQ)) + masterhwdata_out_r <= masterhwdata_out_r_pipe; + else + masterhwdata_out_r <= {32{1'b0}}; + end + else if (masterhready_in & generate_data) + begin + if (address_bus_owned & masterhwrite_out_r & (masterhtrans_out_r == `H_NONSEQ)) + masterhwdata_out_r <= {addr_offset, addr_offset, addr_offset, addr_offset}; + else if ((length == 9'b0)) + masterhwdata_out_r <= {32{1'b0}}; + else if (address_bus_owned & masterhwrite_out_r & (masterhtrans_out_r == `H_SEQ)) + masterhwdata_out_r <= {addr_offset, addr_offset, addr_offset, addr_offset}; + end + +/*---------------------------------------------------------------------------- +Transaction Details + +The transactor pipeline consists of four stages + +n_trans - the next transaction from the store +c_trans - the current control / address stage transaction +d_trans - the data stage transaction +rTrans - the completed stage for reporting + + +c_trans is updated from n_trans when a new transaction begins or from d_trans in +the case of split/retry +----------------------------------------------------------------------------*/ + + always @(posedge masterhclk_in) + if (data_bus_owned & ~masterhready_in & (masterhresp_in==`H_RETRY||masterhresp_in==`H_SPLIT)) + begin +// c_trans<=d_trans; // RETRY/SPLIT causes transaction to be replayed + retry_trans<=d_trans; + end + else if (addr_ack || data_ack) + begin + c_trans<=n_trans; + end + + always @(posedge masterhclk_in or posedge reset) + if (address_bus_owned & masterhready_in & ~reset & (~need_retry|trans_end) ) + begin + d_trans <= c_trans; + d_trans[107:76] <= masterhaddr_out_r; + d_trans[72] <= masterhwrite_out_r; + d_trans[36] <= masterhlock_out; + d_trans[29:28] <= masterhtrans_out_r; + d_trans[21:20] <= masterhburst_out_r; + d_trans[18:16] <= masterhsize_out; + end + else if (address_bus_owned & masterhready_in & ~reset & need_retry ) + begin + d_trans <= retry_trans; + d_trans[107:76] <= masterhaddr_out_r; + d_trans[72] <= masterhwrite_out_r; + d_trans[36] <= masterhlock_out; + d_trans[29:28] <= masterhtrans_out_r; + d_trans[21:20] <= masterhburst_out_r; + d_trans[18:16] <= masterhsize_out; + end + else if ( ( ~address_bus_owned & masterhready_in) | reset) + d_trans<= i_trans; + + + always @(posedge masterhclk_in) + if (trans_end & ~need_retry) + begin + r_trans[253:252]<=masterhresp_in; + r_trans[251:220]<=masterhrdata_in; + r_trans[219:0] <=d_trans[219:0]; + end + else if (trans_end & need_retry) + begin + r_trans[253:252]<=masterhresp_in; + r_trans[251:220]<=masterhrdata_in; + r_trans[219:0] <=retry_trans[219:0]; + end + else + r_trans<=i_trans; + + +/*---------------------------------------------------------------------------- +masterhlock_out +----------------------------------------------------------------------------*/ + assign masterhlock_out = 1'b0; +/*---------------------------------------------------------------------------- +----------------------------------------------------------------------------*/ +endmodule // alt_exc_upcore + +//START_MODULE_NAME------------------------------------------------------------ +// +// Module Name : altsquare +// +// Description : Parameterized integer square megafunction. +// The input data can be signed or unsigned, and the output +// can be pipelined. +// +// Limitations : Minimum data width is 1. +// +// Results expected: result - The square of input data. +// +//END_MODULE_NAME-------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module altsquare ( + data, + clock, + ena, + aclr, + result +); + +// GLOBAL PARAMETER DECLARATION + parameter data_width = 1; + parameter result_width = 1; + parameter pipeline = 0; + parameter representation = "UNSIGNED"; + parameter lpm_hint = "UNUSED"; + parameter lpm_type = "altsquare"; + + // INPUT PORT DECLARATION + input [data_width - 1 : 0] data; + input clock; + input ena; + input aclr; + + // OUTPUT PORT DECLARATION + output [result_width - 1 : 0] result; + + // INTERNAL REGISTER DECLARATION + reg [result_width - 1 : 0]stage_values[pipeline : 0]; + reg [data_width - 1 : 0] pos_data_value; + + // LOCAL INTEGER DECLARATION + integer i; + + // INTERNAL WIRE DECLARATION + wire i_clock; + wire i_aclr; + wire i_clken; +// INTERNAL TRI DECLARATION + tri0 aclr; + tri1 clock; + tri1 clken; + + buf (i_clock, clock); + buf (i_aclr, aclr); + buf (i_clken, ena); + + + // INITIAL CONSTRUCT BLOCK + initial + begin : INITIALIZE + if(data_width < 1) + begin + $display("data_width (%d) must be greater than 0.(ERROR)\n", data_width); + $finish; + end + if(result_width < 1) + begin + $display("result_width (%d) must be greater than 0.(ERROR)\n", result_width); + $finish; + end + end // INITIALIZE + + // ALWAYS CONSTRUCT BLOCK + always @(data or i_aclr) + begin + if (i_aclr) // clear the pipeline + for (i = 0; i <= pipeline; i = i + 1) + stage_values[i] = 'b0; + else + begin + if ((representation == "SIGNED") && (data[data_width - 1] == 1)) + pos_data_value = (~data) + 1; + else + pos_data_value = data; + + stage_values[pipeline] = pos_data_value * pos_data_value; + end + end + + // Pipeline model + always @(posedge i_clock) + begin + if (!i_aclr && i_clken == 1) + begin + for(i = 0; i < pipeline; i = i + 1) + stage_values[i] <= stage_values[i + 1]; + end + end + + // CONTINOUS ASSIGNMENT + assign result = stage_values[0]; +endmodule // altsquare +// END OF MODULE + +module sld_signaltap ( + ir_in, + update, + acq_trigger_out, + acq_data_in, + jtag_state_udr, + shift, + trigger_in, + trigger_out, + jtag_state_cdr, + acq_trigger_in, + usr1, + clrn, + jtag_state_uir, + rti, + jtag_state_e1dr, + ena, + tdi, + crc, + irq, + tdo, + jtag_state_sdr); + + parameter SLD_NODE_CRC_LOWORD = 50132; + parameter SLD_RAM_BLOCK_TYPE = "AUTO"; + parameter SLD_ADVANCED_TRIGGER_ENTITY = "basic"; + parameter SLD_ADVANCED_TRIGGER_1 = "NONE"; + parameter SLD_MEM_ADDRESS_BITS = 7; + parameter SLD_TRIGGER_BITS = 8; + parameter SLD_ADVANCED_TRIGGER_2 = "NONE"; + parameter SLD_TRIGGER_LEVEL = 1; + parameter SLD_ADVANCED_TRIGGER_3 = "NONE"; + parameter SLD_ADVANCED_TRIGGER_4 = "NONE"; + parameter SLD_ADVANCED_TRIGGER_5 = "NONE"; + parameter SLD_ADVANCED_TRIGGER_6 = "NONE"; + parameter SLD_ENABLE_ADVANCED_TRIGGER = 0; + parameter SLD_NODE_CRC_HIWORD = 41394; + parameter SLD_ADVANCED_TRIGGER_7 = "NONE"; + parameter SLD_TRIGGER_LEVEL_PIPELINE = 1; + parameter SLD_ADVANCED_TRIGGER_8 = "NONE"; + parameter SLD_ADVANCED_TRIGGER_9 = "NONE"; + parameter SLD_INCREMENTAL_ROUTING = 0; + parameter SLD_ADVANCED_TRIGGER_10 = "NONE"; + parameter SLD_TRIGGER_IN_ENABLED = 1; + parameter SLD_NODE_CRC_BITS = 32; + parameter SLD_SAMPLE_DEPTH = 128; + parameter SLD_DATA_BIT_CNTR_BITS = 4; + parameter SLD_DATA_BITS = 8; + + parameter ELA_STATUS_BITS = 3; + parameter MAX_NUMBER_OF_BITS_FOR_TRIGGERS = 4; + parameter SLD_IR_BITS = ELA_STATUS_BITS + MAX_NUMBER_OF_BITS_FOR_TRIGGERS; + + input [SLD_IR_BITS-1:0] ir_in; + input update; + output [SLD_TRIGGER_BITS-1:0] acq_trigger_out; + input [SLD_DATA_BITS-1:0] acq_data_in; + input jtag_state_udr; + input shift; + input trigger_in; + output trigger_out; + input jtag_state_cdr; + input [SLD_TRIGGER_BITS-1:0] acq_trigger_in; + input usr1; + input clrn; + input jtag_state_uir; + input rti; + input jtag_state_e1dr; + input ena; + input tdi; + input [SLD_NODE_CRC_BITS-1:0] crc; + output irq; + output tdo; + input jtag_state_sdr; + +endmodule //sld_signaltap + +module altstratixii_oct ( + rup, + terminationclock, + terminationenable, + rdn); + + parameter lpm_type = "altstratixii_oct"; + + + input rup; + input terminationclock; + input terminationenable; + input rdn; + +endmodule //altstratixii_oct + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v new file mode 100644 index 00000000..45c25d75 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v @@ -0,0 +1,77 @@ + +module host_sim + (input Reset , + input Clk_reg , + output reg CSB , + output reg WRB , + output reg CPU_init_end , + output reg [15:0] CD_in , + input [15:0] CD_out , + output reg [7:0] CA + ); + + //////////////////////////////////////// + task CPU_init; + begin + CA =0; + CD_in =0; + WRB =1; + CSB =1; + end + endtask + + //////////////////////////////////////// + task CPU_wr; + input[6:0] Addr; + input[15:0] Data; + begin + CA ={Addr,1'b0}; + CD_in =Data; + WRB =0; + CSB =0; + #20; + CA =0; + CD_in =0; + WRB =1; + CSB =1; + #20; + end + endtask + ///////////////////////////////////////// + task CPU_rd; + input[6:0] Addr; + begin + CA ={Addr,1'b0}; + WRB =1; + CSB =0; + #20; + CA =0; + WRB =1; + CSB =1; + #20; + end + endtask + ///////////////////////////////////////// + + integer i; + + reg [31:0] CPU_data [255:0]; + reg [7:0] write_times; + reg [7:0] write_add; + reg [15:0] write_data; + + initial + begin + CPU_init; + CPU_init_end=0; + $readmemh("../data/CPU.vec",CPU_data); + {write_times,write_add,write_data}=CPU_data[0]; + #90 ; + for (i=0;i*x1b3gT!TBo?Gg52?|tMu`=0mi z`|jh9wO04^OixdDRsE`}hYvCWLX@;rbkH9eD&>S4l)C#5!7KQ*4 zNmG40YkO-W2Y|A*odJLnpkQZ8X=!a>s4eJVVrXY&=%6jh%dahOW2kRxWU8<0U}|k; zPo;0IPYO-V>*!!&Z3iIXHLx_bGPQTG({-@6d#>lVGyL@nKw@KHB&4hV{L>X6V`mL; zc6O$Et}^iHs;&yAlC7&z)1{&QT}~ z+RO9Z2lq{0LJHba=*@d9*Uu^B^TkWjejg;WA`i&H<~Sb3>ey~FDXqCequ_T}4g_!W`R=2^?+%6K z0(RJjgs~R`iG~IK9v;Vdal%;qhPd%2Zm?euCw{SKYt6U<5@VZ&S*GG^Nsug>UMdW| z3&to)2fU5rB3$r;&B(XJvN+{*xW%*%b%Qczm05BlNdJT~NA(>p*asKp%O%^0yJ@tR zO_6k4Ke#a&yuUcZ~IQP>++4%1Clp3(_{y$%od&>g{q|r z`gbR#67;FYR!SH4ax_pTDn1clJfeabBHvStPS1LaQ7hSusz7ok#n8)M=h8m}uz$%&c^p(A08<_STMe`iAx|lJUGE_2ms60P57w;zO-q=;A;vYWaLM z{@=btpHC37wsLrBD?|&R{Uud$&vkTw=L`P!lO90(TbIv^iCRTk&&*K&xAvx%v;gLp zM$bon9prz$((@hK1AZ;i=d18qSy?}qXn!j{xA@x{{&mvtqWdzy*UM7NJL);S)V$m! zG_{nj<@5NTdxEA`HZ^!&*Ypg`(9|M^rp6`?&-e9Q&1dRhFJoxOZ*6H~ZT0K8=F z_hB${(r-R)v)@$KlGiS`R^sJT!;;lbzn1UiQ_hms?tQJ;%V)~G+-8s|W8H?=lX|$s z8ssEd9e0>%k6*3a;a4VGB*Z*avwckpqa~`K(zwUwQ*$v$zt$hs;59vb%=b%IhCnUBUP!`pmrXUoToytbhWWt8iFZ$@!Uv~n%=ZN&Ma=i^Q*q^Cn!j3tWkGw-kNdA}T^=4!@iTjVwP zPTx}{E-xfHFtfN9!;kx;QsLVMHC%|L4sWNeP#frf!(32$KF3*<9tQs^uSPJD9489} zed0$qwR*kMcklER96j+J^i%|I^02*1-BRit+sb;AJ~OXB(B74`2*c%md%g2+?dQ)hceB&`W48~c zS3quk;dB>RLUOVN=2s7Ar=458%Stgw@tW6_Za)hg)Jo^Vrqz{?5)73Ep%B9|Fvql> zZ|a+t zr*1w_1);-j=7BG-vQfBhG;gY1MaTq+V^nL2j{FIQ+hhWRvR`X)0XctO50S9jTnu&y zLfp0Er^06gkF!tH2du`-3$dIE`9%|wI2}NF)rZtC-13$&W{FYipuDw~O7U~Y#bEgq z@@6gjNPuO8(lF?}o-Vb|UHCi#`J-bv)1pHML!9}yYDMtUH%h_5O67E15H>z;ABatA zRlL}z8uBhUPFznyge!PU6=@_mQo>=vl@w^I*(*Q$b=kTlS>raa2v-$9WWPKv;#8?aL)~WY-Q z>6D9x#QEZ=usvGM0TA+V$0l@whH0GxSAOJA1i9TU+TSA|mKEC<7Mh zFO|eIoE{sy$FEV|!B}+EbI>}d$sanr<(B5D*t6D$6sX-OmU$?bG~Y{c=_n_lP(C`# zZY;1C>F;KDwq^_@RcQ&1xBKC)N;u`N%GPRcIsbjZB0iC7*^%!3%8aD$gMGP#NGY7v zTg!mDp$T+^vTCR9G9A-8B8y?O@+ExNQ~$bH*kkFU;HvYeA1BC%$On|=PC5%p=1z=s zh#5MabG|odY0~9$wD1%~RlN2dX{zJ(YPneGB~n^x#1Qq4b^WPhy+M+#X>}DdF5zh{ zCimc6o}Uj(QEN%!^AEdt&LR!v$xV4HD*Zj-CX8grO-TtW>x~l5;J4&TO`>yYpZ4i@ zCbncsP4*|#9!|;bDfr5T+<)4SKGdpCnbmS=QBWxLV9!&SX{xdFt1>Orx=u0W*NLm( z)-g{Q$+^F`B&ur|-;#63vZShG9ygL-h@bhsR$bhdzq}>CKjEcTYj4buIge#RU*c$b zs2N_jLoHb3Xe$3;DOE>RJ{?enCead@X2w~2dR1Na{3S$Y)A&&^lUzgXJ*o;pQCy62 zdGuvb;*`smp!THf8R2~{=TF$5p4Ig}NNy_p^|m~|*+cCG@~TuvXf$N?}XndAlj5EJ_heka@qU0#gLAV#!G~d2@WE+5tH>P&BCdZN3z0T-o_T zKCO2Ky(Wy`>86BC>zp%weND4VMN2wgfGmQ zB641q6*c>)Ia*9u2j})#6bl0`cTnAc^;FQk<2q*v*)F(dnVRI-`w@t0<)4t~m)ZS= z2ed5A%&*As%Ur)A!>h^WmFE`~WnugW@TL8QLw`rU`1tq)^5PDv{5&Q?#sV}%RDw3| zwUoG6#6&HbsI=@^l*#bje*JlI!Tsv)@A>TIa=&_JpnJWS7oPc5rT)wjFBI`Nu6ZfG z-sNxP_JTmre_)M-sg?OJM$>;rGJ0lKDh37s9Rn>DJtG|hfRT<4Ku1SM#ll3x@JuGW z0NP(9_{>p!&)&>4=f8j+&GQiv0JZ3!T!NQouieT6s1@Zzp?`eBgGPSl8Oj z+KR_j*TmZTH&5jc7Nh+$jJ-bDf8|in{tFt4loz+|rh#q0QojBI-)M^~*=Y3{r-Ang zs04aXfRx;1`tc!-fpX5pWG_4Pob%xO4Jcjbfw8wy5E}?3EDB?u;;8O&r~6ql@h&|u ztpWI%B%cHXwjI6+&P2@8lcbknUIbW;V zL2;-!oMR7e9mEqwvXy4T3gb)T=@T!y1T!%7ck79fK8R$;u+Y?+SwdmW@~-HaG-MCH z!;e;L*a02^ar?m6_moR$%o3iDAu(vLS+w6j-%sQui}U?EK1qsGBOo8!w$*3fv$J5S zUlo}`TSp=@+mC9Khyj{csamRx6Vo;7AWo5lHO5o)Kw?zp+y;Gwa^tKG(R8DKy8X=) zBy2XytXo|xC!_8iD#F}{l)k!DTpoS2G#VIc%d?r~pL+upCC5=uUQ3TnSI60LIs>8$ zU8hzZ0wuapUO?Z~PgehV`MwGh0}cJ}>-W#X^gr%k{Bj&#@&A`EZ)*801;5Mi-?v`Wy3OYu{ zX950ACHz~*g6=mr>v?d0cou=NyGVXCumKItCbB#y?Xn8J>MKt_EQ%q`j7Ku1F2yG$ zq3>a2OBW2;m;jiP>^}ExYxVOP(OKtU1|k!uh^NR7#XbI&wv|(NP0Rf}cs=Mbxvfza zx+6#;l~582n9U(<)&NdBXx3C}zs2tpw15d|Ml#%jTOsVCQ^m5G_rMf!qi&_@rZwO+ zi3N58df*^(fV@z>TI>VhZFwdDu#!+E1)sqtFv#?d3!5^!^I)==hV{n&>=9}sM7^{u z!0Trq>d8I1xlTetso5FmkPWQ#KP|?WVgH&RI@;fr>C5waowHZ{^RG2K-S2Mb>r;Ey z-4tfl0wkg|@@l-sy!iMZq@)SR4H)?h7?^YzY#nU4Wz3i<^=#A>jMYWh_4(9Ubs6XR~y z8H+klFxbn8^HMu%>YA%iv(h?}i^=Mn5VFWB5Sm#U@hEUu!9l+lV3cB06laripp;Xk zU@%svBq5b1)@OE*rm*D}G?&&8;j^_cpjTiMQ@5lLu(Z|`c`-cy@Bs(?4;BBL#sBl` z{7Z}YG7Z0GjGmF{ohO?-G9v_zBGI7_U}xhiGza;8#VQ>@SU}dp_RV1ouNIIwVm;wV{CN)Rhvln zFKptp!l2y(4Q$&!xs3xt5R_F;h2;e90?{pSA3wX8!Js0?#pbcNT)afz@aFt!7r_ax z(<(v?@RrxnSCnM)FM+-n@Jbg^J(>_5HI;_-w^BT?pM32;A`ZWYZwferM>_!*Y=7 znNf5ZdBd`3;BfdQWT-&^>PHcZh8VH|Q_6;12!8((tBLnkjp&F{u(?qv%GRFTS?#)6 z-@8fwllGAaTZdWXP^3H=5o`=L^R~dqV;vLPp9|j&B)@!|Swa9p1M+`1jNJO7XexOH z7!;&7Z96V$cBv^Y5@(%ba9z#&18+!wgvtX`T>-avr3y)&oPZRZydz`j|1J>47en7P zoaWAOMrc|+kZea79REGEgm$|MZN$4^7eY85i@U-KrW*-^kCf_SZ|!!fcYDR*%h))@ z=n^bYO3rEko7McfviI~Xjnl=^)^&s8Q})rZKG8T1ap?HMh=^u1GY`;iV?V1Hl$trk z&jX1@$!)EU_=-mHvHRj=1erDaTM{;`nkP`kQmAyYV$CDH#rW^e%qbWsbjvf@v_I@Q znW8dR@;&S7PBfNyI8+z-mT)cHi(2Zxj+YQBnAZ=r?)Miqi<}?r(YavVB6iaK;GIUK`}iG~Gc%kT?$|xXKYVv4YG6L0 zAz%F|8Qr6Q0XS&_nRWz&*!J{uW{2Lsr_qT-FwZSi9J`}B7!`#!xBRBRn$mzyrNN?4 z(C*>fCMp`7%z8Rcp81rxyN`X?DDND|1yIJ}Mt94duGl!l$tlP}>EByz$LhDfsE^j4 zY62TL+xwC4IKAV(%l0i;;^}ZrMHuZ3A zGC4^I${&tCY#}p>XMBsm?sSpuaY9AM$SdVNLd--kS?Y&6700y_4WgIv=k1RT4^uIi?REJ4&n)K{h}c z+H47RU#AXi4#{2KP`#l_1RjRM`OTD1)2d>BV6&b@TEC%1q8Q%2KIgfJD@+8_Q->%&A1Ku3!n2X>!EH`ZNr%XvXS#lUBF&Ao@YeyaHjZaJ= z^_fyi-iV8vL?Gx>sE=)a;@SO3%=tBR>`vb)D!)|IjF+6sB17?vf-8{v_2CE5vBI9(&vEpa|jwxEs;kf!V8$mdX-0evoB>F{AbdI=%wTV_-6^ z=%Pg#f=M2NA-L}ZjLmFJFrpi%FIs$ZbEv2ZEDg6ss)4aNPX2bYnwY+h!oli5zvnde zL-yO@d-0;lC!{?{^{otWVB z!y}JqWZAPF+qtJba3!qT6WtsZAMftmSnF&Z5AZUj_s(4Gol~r07`{N3rhNQ}x29%t z;-z367~s2rWRBuP+^gS7s*jKZKaTA9C2O^rkG#cV2D(~Rw0kEM$w+CR<*>gsdZZv4Akqe6Dng;+uG^)CkV;<}SIsmMvVwPm zX=ywGXO;cKfG9j)hSI}pf@I$ir!PbXbz?^fhy84qx0MwTk`xS|zSY&Z9vXsy8;1NH z&iqi-*#*@0W9B!jc!^vV(2a%Vu>+3~u~fY189&$Bnci(duO2j`6C=OTtoL830Z45? z&iaZT6J&gqf%DD4;>D28kSaG+mRl2MV+zW3 zc`10`)C8POA(7aSH@;~KfI2wK5TN#b)4`X^5C8wskMuI4w_A)-S5YU?cMhxifFh?BevQVjGwa{aA`#Q
%I z0Oel{`_-wTm0f&h4OMTU#KX4Ua-uOr&}kABSx1xgRR{fG<*K}iMjNU=Q)3sN)l0cT z0^il|#6Z7EKvp%J`#M-1WUo$VSy6lsbVR~a^W1w)fvjHYmOKs z_!tA8nF=;Y;P<;k2F<86x{iDpj``tXcDlp&^_0dZtL)hf-1s(vbDd4^7OIVgr*a)z zJywJ4d^IBinfT3znLo~*+yFDj!$go>6Pi*lU?mu7Pl`USAqtDT^-yH4N1Ak`rzh(6N zEL1csf9GY;|Hk!y6?l7T_KF7oIavIe0skFXF#HZ!e}KiPvby!U5Q^8f^2qzUP6Mm@ zf#_Zgy+9qatW+^_LDR%CImVc3+1$swC{A<)`g&kXn3h;3Usik86=$lI8yFqg8Qg-L7_l~5qJEhb#8(`1M0whTRCLQ z;NSM*lTv2#a92{uUR*7>eY9}iKQ^mz^x)A&8Cv4-0gmb|w1fEUM7=yCP5t!@X5SBe zcyqVv_Vbm`)fmn~Pkgz`%Hv~7Sa*~;}ER-3Eyqbbha^|BL1KX8N} z(e^1SR0Sko_C1~EB}*_b35#wFFwrCRc<_4d5++B|PsL5YrG~iWxBPmii9?DC6(#yK zuwT0LRbch+utC8a=T*EePIX)9etN3lc9v&7nYK)R1LbWRZ(JS$T0Cl>lkU$-20C{F z1v!!BiV%vCqZo5PTnkB}HEh4BX^zRf%b%e6a8q$X_0EjCG9ACeb&)mXDVI}r77;tK zvZBJ=%RBwa5Q*aooTUhsznjecbi|;=Roi;G!(5)IwMZh=#~N_0D}fF}X#J%O%V?MY zuN(=P0?GDcw4}O1zOODtq_JBKEWJyCcN}Yx7HpLrH%YjrjBlSw0)t6qoZef0s1H51 zO&o7K2n8nE_D!u!dWH&n?VivgM%=!5x&$FxyX#V~Oe2)LAZ1JO2K$wI-DZlM53DhnU!h^s;?dG{#*1{4YRob(mni%u~ zUyw78r;5vo%4{>!;ace144L4?Z;mjN0UC1VRX@qi4fNM$%CnMr_+l3Bh;cco2A{UP zGx0{ErGa`$qz?5*;8d?IKwe2oA(&>SI_GJwPenqYSwX?RXwPb?m=dVGurS8`V)~$> zZlT=Y=50cwRvB8Y{$iF7RyZHT7k%_iGq;$92c9W^pwQ{8%Q^b0ebJmDGc0C7F2}5> zx2*~knc(z2F(>bJlq`oSb2dUZSn%KOo{#-FCBQ;Z6tPx_(E5u zOpc=oHQ~-|-z57LeRsWZ?VXG^wBu^iL1#^NiE4wiTn9uGI&`E7=DJp!L~CqJ??uZ) zxFwo!`d2YdKJ*F)!)(!y_HW%Vy&=1HJ_pe-uFY>tN8{$uIe~m~k%3EP@N3r;K%2-u zUDd)$QC?1gnQwNz-671y3Kh}1&e3g3Aa~+ zQ*ZoIY>u(I7ssEbi^%`Uc|uw*xfesOq0*VA)x@|lmQgdaWjpJDA)p47@TNqvy&;fq z?Q%calyl{2!udh3ZZrL6V19e1xeI>09@t9S_PUy`LBC-V$NTv6sjMV=Lw_R@lTMmD zXRA#vh`d!}5*DegY_HP!41}h6^#!^r@!YCC(^-Z)AXxZjNU}?$id5(wq3iA0t_?9e zX_5=j(oBy8k*tPcoCThu`KPVsguQl;(u#0?E5k0qApahqlb|E=bPiD7tgyi>G@N>m z;j1<#+bG4x5z7U>GYJ4MH_fWy9a?=GN4`LHpA)dZVN6h71_-d#tr8?_gE*n<4dwHnM1_Wve-%eyM8dmt?kSjZDmVPQpQQnY&(WC8nh^c1{ z<;PZuY&sGlL&ZdSG+P6^${+!n8)Vbt_=)5*OSZR|Ux>=(mn!EoyD^M-J{}oPQ@QQi zs-6c-xhElbOYIXSQqJmynKc;8gWQ0c(ru*}m~Ev4jo7j@I?bTET~NMP?Jg|slRfGG z-e{*qBG_UehDnEH9@^P{sYm7u)VnfDRC6XfAjxAK1rq~@1=TJVc#IjKh-8hTQcO@9 zI!jB7oN5Zz`8Nq*3M%+CS+!wrYBH$Y(~^>O`iE?TWR+-v-a)i5x;&y6+~oEA6Ck}< z(HB5s`2&y`eg!CAE%i)n5Vz`qgfac zuc&_NCQ=^#?Hw>!jK%sy+~NGZ7+KZa5|6f2rqzAYHSK9H-l?_+ud;YGw0<1d$D1Qo zK&JRvWsS76@i|uJHSUF;T~g-Fve@o8fWSF(5aKL$@qsknPnI;x*0~~PjAM`5UyA+% zUOOH`ThCWi@`|ApTSHhYm4GEMhFo)kl_a4q83g^Y*zb)({@yU6VIg5m*I{c@yMj|6BXrEgeSqj2omWV zqs+cO-(G!`Da`CtR10G(G+f%&soJr^(5o#>NxUeCme6v)`{5&ZY{RV?lL=kE78aCE z9p%A=JUhvP*};+i;6!8@UuEY-qdB3-W3b+OBy3(0r2e3|=!sOs?ZXw-Jlx+s0)VvQ zrEp85_9S|fTehqq;7gL|_P8p!I;msw8IhG6mS=_^*`)Xi2*tP}%B80cm}M169@EhqI1du5Dz0#9F|QVwAhnEX|M5NZ75|H)X~+jL)?C0 z%`Bgkn^qzf6)v$0G}hDphO|&;j(0=US%{jeRFMpH$jD2C+7D4fD}ak}g&=DlqMxd64LkHSw(K@U}Cku>%w6{Dy% zlM7pUnzrOQ(zymGb*t5GTz_!FK;0;?X^V>*Wc|4Blpy{k9M+4fe0BXIOO?tVj~8xV zlGuTHuA7^YbVQ!cXp z%q#VYuvrU}n@wim_iJhE-N6A7mDAaNY`k9-SIlGS)S;1(Fny03V)UgIPP`$oLUT{h zr*HWn!2xv_*@_RogiMchU{f?UN+GXee|*#3?nkTtEcsk_54cgZUTp=G@r;NYU6nYZ zRs&N-$%^*d4Fq;iHNJ+_2iM%Ywse&5yPuSJc&LdRs{Q#c0HZC3!|}X)$V|d#nM8qY zQ^A>dGCusxQq>WLd8DGM;hN}3L z9R0j+JR?OWtCX&DfU9eLHPl*^5E?jp6|+6g$EOu{F?}+=S!d)OXqYEE__;WU>wRLB zT&;)5Y7@4b|DOIi$9b89fY_EIO>-JsG^2`Bn(32ds!jqU3JGg z>x1?M`Pix<+J=MM2XKR)u=|HTaI@9`vnEHb(gXRgMeXLAC2_Hg<>anCW>i;6A_lhe zi<4!S6c+7jM2jIaEk@g+4|JcngNUHAi)QmHc|2C#t>T_)=pZAfht3E^SnNFdqgplS zORHQHJW&@2l$kGgf#R5g=cB*KQZlbuu5C7qDGhMMM=+jwE8Ik`Do-}+Vt2?dA`CNd;lGbRq zPo8=r*BKi5#wHa0-4n>B>4_~Uryyo%@aOn%1T)X_5I-2H<@90 zKEqeKI~QVJm7C)%M`rk&<`fX9>uk62$mS&69Mg9GFhpwuT0H#S+p4~!j>Pn2>OKslKhc<)I!M;i3(4(UNANi>nDl@QKo43eoaL{J8cyhAU z^;pb%aU?#W@pyf9C{(%}naLP!NE(;UYwZ?@q|BGPjicn?_N|)Y0^d7?97z|;tAYW- z&l^m60*b%%v!=jv>QHck$sZRf=XYL|xN*o8&VQ=j9p(*; z2gi1w{&+`rCMURb4(=JcqUI8j>k(V(oO=0x3e+8|5RYKcP-8wewff)zMdI(TK4s_bdBuzyxPkf4 zp#2)xqN8W}Jrc?ID~9z-cmI>1{U@Ym1~C4<59hy%)GwU*2U0WsM(TgWCtoUFkecy@ z+6ON4lPr*|8Gh0g28wU$pB*E|d~7F8Y=F^>+t8EukhK-`tsvOEHjO-D`um9o)szMe zT2m6#Sh7^%_0Dqtc5dW~!mJAD+Vuv=dLoe-w05>@Om~1G$Xee2vc$S8*c-caA z=z#vLSK(xAZCL+a+NbIzUpdD>tNv5PTvFvjgNlPm&kebmDPb_-;~AQMn$1KztnX(< zhEGPw91!puUF|pUn7S05lu^^sxh;DoXDYLmW&2o@=BnuXfuCfNIbv0*w(l3L_+Sm5 z^IwGchi5Lck*g%lAT#XScbzeY&X80}UqeuhXQg_b*jlmT3TN3*KnuyY?EES93??ME z%phqps-0DMzviDf2ry>LxFMMt$_^M!K;!n!2*zq>hHQ2=6nKKXt%{6MRoZ}(?Qfa>1NF_2SHvU%4Y5dR%jyfmj@zFl!A|( z8sAD|b0bI$(sBZ$g^#^CBm_#!(!N=G7YS7vjKzyN3Px_-44-Ys56zWfS{i&t78<)_ zndSEu4sNt@cKBp$)F}*gz9hb%PU4jNkdvm}XWSUrGFd`Db;FCXv=T*{O*t}F5z}>8 z#5(tcfxO^d>D}M9{wrhf+hTmp9lR{kU$Vf&K>K^$Kc@};X957f>!tr7S@I&De^N%j zWi9@sj9#5$_2;(_|GZK8rTD59{yJImm&%Cgw+zK!DWjKWuigH~%82RDv6_FUjF^5` z%6}-Mgy(z-7BiaHY~`wcvrm63T(H{#kz_p#s3i{dJadm?S?@w@0?Bgo!Q77vP+{@)ww&YBur&d+XHmCl%E+hLIbyjvL ztCR7?Ryyht=B=#`pfl9X;D|dvCrgMV4uXcQK7@eUpI3!<14+Rf59q3kX*A#*K1$oR z7)zi?6*QxJ&Y4FI2<(sFuus16)H@S8fM&Eeub&i=3*6+(reG<83z&GbdTyVsz5a7i1_%D__TgF)7ltrL_IH=% z>7KP~Udj#qXRb(8WAnxstuZi94tk4i(WKpV${%$x-0Ie_&RDJTB`M!Dxm9;S_5Qr9 za;Vs9=o+dzBaTa$qYT_=iKxp_e|TbSWg7XBu&iDgE|QFX43%jat{p{XG!0K0=}aX| zXU%OPZ~azI@Svl@i(~2jhz?|K^()L^Uyx-%mr#AgSI8}Z`V8zso#Q)$79uk*>>P^; zheDBN$6f+aY%frkH@*0ga;Etu07@ZwZy(%3am@EriG21hXde({di$sEnZ%K6YqWHMJ3TZ+Dn~6uBS>E@6h3vq*Kr2xZVW;! z%NJMH;5@%FVJSS=s{NHnL>6zJ=q{BNtkoI_!MX4jA!X;saMfW5@w{hbh;1BkY`9Ae zueL3RoY0jhAz&^8z35?RAw*MlQ}stO(a+shqO|9A$OX0bl&)6?0&Nzhqps^oPQuwYpxVYQGA001&6r9hhibUXzbwj6KijF`#+cd3JxY~{V1^=YTcrbgHUNFICn!tjA?SE2X_nf$ zN#Lw|<0`taj2`*Ns64LQM7mQ4bPN!!5*Y!EG`Ms3hly2NhWa?Pq?I=B%E1X*?&al+ z@wXc0Vm`R4QoYq>P7Wn;EJKlkTccktk70-c1o+Jued#xDt)8^DIuXtDtJW@iRG;Kk z1IFQaAGFWgh7rjQGyja(iUWYDRx2g!9RVQ;GF~pkumob)2Ar21!Fk zp;PgjR!4kUSgifeHx}F($6Vnt4^Da_5ezQ2NkZ&m9~>}0>mir0pv$JT`Ju1RE#nP9 zt3WGtXxw zQsfdtGEfjsW3uc(k8G&~9r>cC8^L#O=hkVy<;PyTy7^#Xqd(_FjQgW}V0Gh1$tL^{ z3=lY2Ws<^A>QhV-dexPR=cV1GhVg-qxX(o;HBliF~g$fkJY zKqT*MgJmGdhP0#od|RAUEuf8nlTi;Tzh$f8l5@bGfe(S(6L2dm#N0+rT|5BIq@863rIL@gtrb{;18482nYtdyKhGUD%uES6Z z?J4FGe11r%ni6J{cUO(34qlCmBx1uuD!)~n5gB* zcDM{bQq{rndrmYWa!SdcKR8*tKNRdW2@gMl%0TS6tr5HA&-$9WHZ|b{EbaRwTd;ea z+4#(IfbTa2>&=? z>P&y1t9dEDLe+l<%l+5VHB5g7=l`7LUdi;Y(lt!~B3%=yykPabsn&DlndQ`VOk=U- zCiM3hDqt`q*TKTL-tG2FEt<3qR$#R}+>Qn2iHN460jJ@NBC;mYqkGC0$iqGMJT+@I z@YYqxFQl`ztf*etRW6r#EtZXGy)(pIaxk-Rpp(S1o%fq>RahxLp5BrMokKKm6yDBp zS~5YVz;D=V(SA2~a{RRcVf(J*l6?A zAFC9>y4-JJ-39*?Qox1DpC`<(0m9*Ki5yV1k+N7E<;^s9hVQF7#+Ak~kC-jjwp&h9 z^)^Z+`qGohR9ew9OG})^>w7-0GHt?fXj6Jb=lX?Sj*kpV4#fqUM%geM2vT8LF0J)Avr*Au+?N#pL)Pj&v}VZOBRi_)yw zHY{$F`r1Xa|LNf8X01W?(;p@tUW_|4WKbIX-01oV){K_I(J>bi&)J1)TH5_MP-__N z0xvJg*v~b!Om$+<@7mfBsq079H+2eawPqlm#f0l1-4lVepSFewPiI>|! z%|1xX2+>LnbTzQ3QvE2iw_W*ks+{eu0d#<8=ZNeanb($|1NIZ4$5K72SWuY8Kw^b@ zs6-ou+l#N3IL9Asz0uM=@?BMV_IJQ-?yV$e+1`6+@?fY=5`6TD#*hLF_8=!GsXQle!@%p~>R|34^>nG;4}q zWXM$Y*(A5Nj#NsW$-@uv4P3w2eNETuMZ?S(RIAy*9D!JiGwHcNI&8~ zKr+SH6z@7~&64Mt$!Pe>yfC!AHaQ}??JFAoX%{4wth&KK?hq9mNJgKe8Z2%fwCyB* zt%#_KXO@eVIQEuC(Fu&qOx` z$c*`eC%_$WXjLZ|O=IeXFFGS3#Z1}zqf^gfVn;j*R{NS% zgcN3 z0+=AkGT^X>O0LBiQSpeGQsXuajJu_O7*68UzaxZPwLs0GQovBFJmaSk% zs%SlJtRRn&{Fb*Z6G_k;65)@*v3`w!Fqfa=C@hxRtUqIggKI^>#}ZDZ#NA@TbBt7yE!I8vA+H74#vJTO z0*p>}KDel7<%0v;RO15gaA~aZWqO(!$5WPMM3YNdt9wNo`}i!|-uyLij8R%GB-&(N zSZTMx`f13y5R(rm=(|XGmZlsWB~%x-lVn3&7Y2};lYowEQ<1N2)^<-wUzzH1hjBP0 zDaBX#f98kY1q9w7s+8tyH50;%_C_j$y9r4D0L@Hfn$`N;x*}JwyyDH-B+vS2J@7#^ zSA0{{cJd2MVux8xITS|SnCOA{!Fn~~;DL*<7>s9m4?7=qd}MEQHS?}K|A{>7#x|m< z0YB7E)Q|?AW zD)QdzFc3wjRSkd|yxeqZ*xs}hwhG-^10rwa^+R6S%&p@P9R^uF3nTq_oDaL>B0 z7lKIYqflke7|4*`vW?N^^gtrL2{)d>X+hcMI3x%Rfdy>sL}->DUTom`KZu z-i^x9@?$*_g15}uqao!(D3UT`sEI(1j7+~MPeQ#|oQARt?9Fsw)VoMznGT1Jw5sZ?~9m*>G~`MlAs1R8R9U2;brz z0Vd3(si!ij3MAdV?2&0>FM(NrWNuZz5)AGSJ^IE@Z2(d!wi7|s+HnpO2Q37L|2*2q zG=|*~<7^$cGd)J^b$n4ggle&UO;v|+p@2Y#!3CeDiPAGVX+I+}X8ev%@x8F^1tYT9 zs-(-78d+fLHf6KBW4W3e8-puA?gGcng_KF)X2c;IRwy+*7^A{I^cB2J+i77;miUFX zuvm?;Q=GML%o^-=GJh1glSC6gtkCB1w4d48qxD4Ql`EdHnFEU;=x_n)fq;+H5NGPI zAz9Jsn|khjk6f?`{DgDlMAwr>LiOVeI0YZT*}b+|qoF;-B;cs1hp!*n(K7Icsxm+V zXb&M-JYcSW08>UnT3n! zab4o9QptbdT(yIrI|sh7O_@D}oEGQGVr!6tw_h$S-!DTayw>BVWB+Qylh>D`11+MM z$f)|BB4K2&n(bpV;dC*8w3r^0uHoW6s}X9>vCJesz4+wuWsW)b(@BJ)m-swUK|TLB zAE`F|kIfEyLO&SRsW8MM=4UD2VD+UE7814WH3tbdXgUi0%#Ym7-??i7{k!sL#G z_O-!Y@mx$*#;$O`a5G5aOSjaVqC$kS$>NpaNDFcA({z?=s-5kEp?_NnF3~c z5qoH`B3Apt`Kz+yVLiH(eFh1>l5ZSdKBJh;X7J> zTR&SE2V!Rq!I}72m}k}MAm-U=6f;XZ`(b^%eo|X0ffbmeA;dFO$Gqx!r?k7&N8&OQ z!>G5%ZlgqH?%L<|)+w{b8<%va)K#R@4Q?ZOk4%RAm8}C#mZ3#j`Y~)jsriz2PL7;q z@i?gV*N9un>q0E{;3wUu-(Sd$Es3b-?uSar!4G__~|xJmmfwk z_1e4A-veJY;jr7-y16Vja}h>wtVMC=$;rBEh6;V@7(!;P`>}_gl!TvIlFmh%MPz=z z2q=NbYAMX@8CK8@J9&QZ}#4h`nsAgdF%Jf7br@t%uEPKq~hJ<@wW^i5#9e)9x! z%9gO{1upYBR!`J*at$v->`9D2>^e;mhGP0BL{b2#I{s(al|J6R*|Ac&l z1}5PoPh;dS@P_X<0rkuD`bV(j4~*u&3{1lLJ1_|+^yROJhrIub z&?LNnl7Ro|{#f4MB;sG@(ryw$TP`2kD~(rQKljj*mK5S1dxc|* zj~2FNvc4h8ubBlIR18t|wqL4dF(PX$8!X>UDUy+V!7t32-2o#~{i?83ETr`5m()!n z;w(x$io&2+d}k&j@RJjmh!Sbmypjw;deegNkWs<&c%(_brvd}RBDgsim4KR4ut7a; zvp{M6r{cF5UBfh=t%7;Rp@BWQZF{f4*CeZu{&MYmt7@u$P@v5XM|92zV|4sP)JH=| zQRj&pEP-&Lk~n9>aB#;iO-6up8I5od?40}n2VTBp;TZGm>R-r7Zq@7M;Z3k zMK;Am#ISmgwa8^?O~4re0VSv13RV72k~HgEikxep_J~;U7{mb)XNc0a_p!{-Qsztc zAaiXk_>91%mk3S6d{s%^s!K#3`S>J14Og!d5Mt?qTq zrbgrR#A)!H^izFz1EpEf)pKIM^-VcgwM+Q1@*~%?M}>7HeY9lp_uQtw8f!;tZ)qhi z3uT7nMRcLzpzNl<58#7ImQeI>;<#v375TtsJbnQq+BJ_x9W)79+U=JF`iAesU@-IR z7E{$8yIQ)jyN_#EB z4EPA4+Q=_pnjzpSAF%Zfw$M>u$Tk%7#4BDE|EdWl&m?F!>$4g|trBdlvI}MInYz^L zY4bx|@>;{b=y}mXFg~&ihjrn3dv2 zBcc$C_Q$|*+X33$66hrV(J9!2sXN{U$}&WOEs!O3;YTc`x^7eYdmu^q=hFo9e+ z(c^0;0%1$$u;FJM6q1C#e;OGttH#YRj}t5y8HXDY?M>5Xm3G;SJNeL#Y{0;XPGYzA za)REgP$`9GLSDkG-9k5VXl(IRyZ{Zzt@nvrYq}d9?P&!hw^g;gJ`M(l5wL4&Zz#Jh z!xM-?J9J1Fp_UQ+#0!1;&t^c$YNr3)CMa3N@I_Z)RxH$-ukM;T>Rm>{(q@9!=zGjV zIZU9j#k{^#TFSp>d3;0$@Ru7&0ZpiLZKq#)EtY1xsYmZ+1*jcSzAk7K=F}Xv|1z{Q z%@+UM-lGBz0ZG+WQB)BvOK4h%Tr_97-0N&x^t53zpy%aGaPj+G1O`z=7R`1BRjzoo z7y|C#5v(kdMBJU*A8jl_JHS1SeYvWlEC}@HTU^1~)TR|>82?7h_10KQm8=0^=TCgVmcL%?Dof*W+$?R0};;f;u34Zx`q%os0%>H-)0P)+f~?uTV%!Tn0V z$+_nX7Hu6$?`n7I+-Hn^_N(^&u1TGKh#`@>Y?y_TI*$Pb#m-E>yVc1Bzx(CEmb<(4h5IyY(NHvk+CuwQF`H$85`_|bOS!(Ls0{-6 zPS8~KQ`fyYMDcRiIBN-7DjL*GCgSS~g&-=+i!A^LiT2s_t7%m$vT-!`^X zC6+5kuF~r@yizQ=Wqfd=B7F5m!o~}B2K9RFct~Pv|PaTFpTl`uhz3nGFoUC^}ku=?5qP<(IS)5GsFNU@97@y^o=}B`Akuym{r#tO~ z!%0+Y&1PfixZ!$!fjEYOtrb7_KSF7=jZ#&O#20k z{UaOmuVZlW{p~C=G`b?Cf+fANskOW;rKTdcF0Z;6lcA*%w*(!%3_UfMh$}U-ySTih zq^OkuKaCQ#H8(3iy|AW~2s@Pwt(BR!BpaB5(+V9$THC=*Yn8sa3lTXi@ zL7We0$tfpj$13aKrbN!D@5bTAO($$6CTA}yz{cciNzck7>ZGo!z(UI`%EBw}3`9ra z)zgtORb-TLP-azSH&f>4Qx$Ypl4LPAVY4IGHxW?dWo1$pQ&9wSFd4~11numc1y%HU zMCmxCBt__jWkFyiRUI8>UUU>LV=gWM5i>a>BQ+-(8d)A;9ySG0YBp7{I@rcan^&8L z#z0IDs-Y&kvVjdB#0&xwcZO=H#o{RCF2EscLM_QHK`V=nqAjD%&nBqAr^_Q{Cq=2R zBSk?26tZxWm6Bnmu(H!NGJ>dx&>9G8$x0dPQRx}b>ly(?71*uhsdQAh^~13OW~MF8EUjXuYRzlOZYnFMX^oB|Bh4e_tS-f-FK%YWCQB}B?848aVdS8sZf>l` z!75LoM=z*FE-zqaNx?@asvsywp{T8;$O9dwx;Dy+nyfYkIuHgbW@yYbKA^s_k^@K| zq{nXMAZ){JDg#zwGf<<^w=ym*g>|m(nt}Q?RAxQ8TCKk}?vf6bIW| zDm%$&P(zrt(NRpuseue2Ge>SrnerAd^ie6;369 zjiYks3-bgZW(uQLSr8{-3p4w+w!x9Kg)%lr^5*INDuS`4ZOmGsP*%fS;Co)~E52(G ze}j+AV>zeToKu{ zAMV!|DQ{Wz!l~p1@9m~pDB~`%ULsb`-<{|tG$`E%ubSEz(d*2S<^ZNQ2v5~ovN2R9 z$o03{;yDsMTC{qItn8*cJr7ugy+zIwr?dz;6p%YnF{oAc=vs2*Vo!n1MgvENjV$(G z*(8`&lU3tQ&B}^~@+-(l4|Y-0vC>wxZ=Z0{!n+DE6lo=tE2vgU-Adsne!e)d{L1U@ zT`AsPQ|PM4O8_^JhZIMN#$EodY@pP`=e~J+B=`6&zqk5aDvML<6SE_>r+dx=uT0LW zRI2WXPb*R88&ek%q=cQqU%-FlFz%*E0*06Gr;6B&OsOW<&B32*vp_O{Tu;UrK^!7q zC)DN_C}{}f*|_0&+y;;;$O$>&F;2qABaVk8iIzHL7A_cw0yz#ihYWqq+hJ)-2TRXm zvh`Dl1RN{|t@8Wyv~q|zt-e957zWoAvD1#xj{5|7(`n2vqNqBDGw{|W@tzJI0p)zE zdvk1Lt?@>_sf=oN45Ge5ow-Z*~v8dsBWGU1s^^svYLFoal8`6x9nX-(Z5W%yc9ZSgF*-yJJ!EAX&xu#pT8 z)e5Y-?aU~(vxNbR#cjN%S-FZyvqZ#|Ut|VK>&VRL7LjB7qIjpgg2!V_iuA=oe6}H% zyXuppXxzA>v?PppK=^X^UNh{O*(+9&12{&RM?N*HeJ%2oIYC75XhS9K@FT%#{c zT@8?wsO1q)6Dp*_sG|C^JhJ{GE>pQyn?pt{j5Kz%Q|wk0Z^6T@>nSle92YJ>pMavX z0vg&UD+(Hv?r$Re>_RkU?D^O~?_J*Jo*|haY(A~`1G#dMSgL<;@ow{^4~0SBI`7m( zM}a-Y&Qeh+1sJrHwLQ5;@8#~-*-qry0{BB595vSZCX;+f*?PJa#~X#VRm!|q z>nr3|wA;ScQu+sI^EEzwa-*a*STGmlDpM5z3md+7WGqOMh7=cSUOYuL2rq`Xr<77> zZQ9mqot-xKB;Jh^S4HREu!Bz%*Ylm35Ofc|iW;;LL6gZ0o}D?+CD1C5ZuQrrV$P{m zJ%kMQ!KOa`CYuLoyEnU9O|&6xW=%Z`l^MQVco!MGSr*3gRooD##&FUWd%xw-kJ{LAlv z;;#B2r5`9{q2Hg$0y_3C7g0Ejub)J$Yb3@=zslE)NaUwK5jj(1i(q2-+Ug=n=1E67 zhzY{XO;|UM8bF^pK;dVJ~7Q!)jAVniab%v{}ku^MrQ31y21Ww(*3Lo%JE1l zF;|3m3>AWP-;AP?l?$O`U{Vjdp=igrALd-Mxt4CnnFbuD{&)U)2_L3d_Jtw?0g)*O>DluBY^)0=M4UbNGLZH zEam@W(Px$ZcMc*zu~05DzCZb9J1{hx%5TK;pK#HiCdXg6DF1Kt^p}D4%NzL%AO0_K zQD~UvKQh6vbNvG@3O#A|_e?PVX2@n}_=2B@BmPrdl`WKknY zF=Qe|K#p3kQ)c2Y#{C6T0S17HXH{XRU>xQZ4cj-G_sVq|hbj6d+|1`MaD1vu;~mZ``7`~u5e^b@b7oB5EQ zj5OdwLX6J~S;QA9aByuCMxjN@8fRWtLle%3uY^ZChwP%FN}fup5XS^Nr4Lc;m$XH0 z#E&W^GL@=!e2@)!jA82Cg_mb|y^~qe)?}OF21~106qi`KOu2p0B_Oh~6%BAlAux5b z#wIfm)F_GnDU=KovF)xAEa~*})`jey6N>V&O&{`7{Tw)NTcEg(`E^%h2e?CP1I;7itUv zayWAUQ`0H>c~n2YK->brpE6o(e#;Myfua)a9@y#=GK53NX zxbB@i-A1GNB|yCZl_)U>a(a!xGZth0h0l$3HNI9h9**}v4z`95Yqn{5AsHES^}g$S zP1l$tBHlMEn^o(q#?9WhYr75Sq#}M-H>=yV`#`_DtK0Sc7UR+=WoL|2tnvY&e2h#z z>^)~+NhKSWwBlP+;sVLJ<*P9LN``cV)lk&53P-2rn*Pf@;~I>&{J_PVlgMLL;<*BC zfh^?l6y9woKPNm1nE{85uoC$pW-F`=`)3lJa@xTdZ7f-81!#l%)s_ewY{WnVo2bR2 zQl|7<%i-4M&fye``r;6+EvD~=jUJM2Q7;FAni)$J+}6HQtcvfe3%Z6dWh16bxxLyP zd**%JGs2@4rY>2q>`S}#R??_5<%J>f`f!hM`CHvQq)2iBOR_?Vu*$mZmkqFaRB8A9 z?DRi?qqP;vf1k>K0VDjpe7~H+pR>g;C+ye8lYb4C@c-U|^NUOQ55N*rUI}Ao2UVHWTC{yLCvf!z->#( zsBA=Q;|4`A1RoF#S2Z<$LsJPJVIYsP7zL1tN|Rm3(w<+{SdttHNiZmATkx6+JRlG< zP%yv(#OtbOz+q-8N+F`BW1{IW5g!Gt*I}ntST-6F=5ry)smKVwXspNkQN7; znel5<%NcSx@M#G_RBSEvxU>||Q4}3DsZ4c2d^!R)+;*x?s?7HE>`=$wz}%kMQe8|# zjEhIlM90#C%fUoa$y!@eLyESgp_WvCx{;#Y4{_1~vpMMDq{OrVs{^nxm z`8@_6mb+hL;NRr)pv2vu8O+$A>(XyNkK=!_Zv8|J9)b(~Cppac|JaNLU6y~1LD_KV zx|O*riPLGbx28u*7leWmq`uRXt%(2wBc}Qo+eZf*6?#e&S5-_k_dCvqbK@`bKKo7S zz_#v_)Ri6UbLBnZmO)5Qcef+wg0KyZ9l;ar7t-Rzi(lmEus9pBtGos=HDb*Wmus%R z8t7RsC+vPTfPRj{-u6#_6xzK@!}N-=lG!^>qVOe%3GUpCNEnixnJ~t_R}1diP+pRI za9CL9INA`m5q9(mVXOEbC*qf|V7f;Wg6y)ccqTp)+pk_v%{08?ZRAbi-TCTYdA=!6 zvg$8R%bBsb;)o=Y&=goVBV%E$FUu?b(YqmeRamzu$2(4H7K~O=%9*%Y#79D(ulk3*)AcwQnIMHay>m zs~{L!ll9@6gY|mq2z~Vg&IhB5LIP!c`*s-w$&1y11sGrLZ)lEEeFuO4W0mqI-+HkO zjq{20H#AoBSI^knUMDX?i&9v7GNV@gAO^iL?)~bpM*OtO%)Z4dvM(S-wLv44$F$a1 zvOuq5)hgdFg@5Zi2PQuIwy8CzV#G0p^;zMrWM;~yl5*e4e7_Bbye^nWys7B?Q+*?T zCM^iSi{Ct1$=OTyG*2Lo!g_8id60wQW%^_+Th;shfWTBQ3TwjuM-XVAh2b0jO+GA6 zS{}9vKWnmTcInq&712jYnK%h)axqTWbqJ3~ZE{EY2l$)@J4xPY=JllX_XYaMwkf`$ zZ|G-Z#LE*Y{jteEF;F^;1hP&f@DeE^REdJCh)9`=@wVtcwPxkC%e`X6D~D`%kw+vG z4LaEOHLlwj_6r3kZ(cV>Smm!*?mV@5__$AcaI6)roHx=c(%c%i(e+^6cio|Oz@fO0 z!o8V(#oKkGL~5Ya+}a2vS_*+j$kB2x$w(mrjlx%{j(BrKaW8pgl4ELdbBa6-2B%Jy z0$p<=w`?Xbp2r79*=Y(_T}l4zihpPUo!!1Hf$_o8O_eEKrNAk^ioNCVI)r)f9T#{%Aypc2TlcW7Al`|g4S*UAM?LNP5`RI^padM!QV0Kx4b(^yggzEvi zNPPM{Q}kTu+afz3Y1rg9FmJTceRF}c4pBhu?=x6$6galwc73G`i% zW`OB@zS+2MXZq_LM7elRkZfGs7s(;|*9h0)EK!Iv)qY3w1+7@)wlCESC|XL-mctDw z%d<+n?juO9uI|%Ezmisr!wpCwjxT(a|D2)AGCMhpZ``LU?h()U?8*WpHu)4Z%Eh?= z4W%Q@$<0S{`#r|{S^qd1C+>c1Za@lYM&`t~KDZU)Yc1?=D1Dw7#F#1U+~Z2=_#SOe zN}Hjd?R2!i#5Cxe^Bf8sYx81814~jsmwU@bO?svsbF=(%*4|Ii9&=_&p%W`bI;(d@ zs(7LgrPE>FqFD5`zuwNrujO>EJBm&TKr80{l0IkzGEIbUi@Q5a;CPQ1ncSZ_Grh+7 zOi`uMqIbnAPThKzb^0=*nfLH_XG8T*qG>JVQ3}v|qu$43<@VZOeruw(Zg&m*scCMv zwxR7zaQ0ByW8S%-Vb*=!Xz$ZD#G|Ei&u6D@Qh48e|9bY^@7(_j9O=oAP@xkuO#g3` z=YC^3=Tjanx0rkf>~DoPesmH;sl&Ixn=r~ur?Fubp|$U{!k3?yGhM!htN2dKsrYwr zW}fb>3!Qrn`nRH*oGc|Zo~$G_-NfrO9%)sGxc4TGe#-FcNU=%x9jIGR_iqh(W^|J} z*}Qj}WO((8w|TR&ci}~Qf}_{AmSe+JDyYKeT5$fd+@oc^Y2WAmly~+b5Yum?jr4M( zQ{*mO#cyjg^Kxfh&Gk+ zN-r|3srt0B`RYh@Z2)oBxc$3_Ua2uB`IaXXPB8!+b|KJr@RO zrps9$iLyPNxdmXr^KF<0NMOS8Ey;Rq>IN)FCCSj%5O$-9a=_W9bb}0F282+5%pL<+ z8fB$f>NFi#8VpHH5Xh2iWR^KB)D!VJ33?pbEhZ-LzgPqGYyj^E0Fvqa)&ReBm>qPo zEhbAuXg5UQe|bcUVh#Py+!{~-d6WXvB>?x>9g%EXk>ja5;)n7+E|Rw?_4affpa6zy zrBA$*!BCzGWI8e5oY9nGM&m5!q-PDz29LPY2%2*i&hMDf#38anlXezq_~xFVLWVzaOg^ zF7d5iA&rO%XV{dzWS{n6=DEBHMsmeeFO?^$ltmn!nDoM>p!9@+?5NBr5;RY#48gi+K z(AdgT^08`4N<%p~DLqAISz38%C2=-24RSMSL7=8Oos2P~61%d!4p`bnh{=#%(%npt zLy?M&T*gtu+~$FqwSf92*37bMssb*IR9tq@9MfFV@?v~)oD$|VOyr6RR6nU&c{T$H z_CKgvYAtCcc^e%kA!cV9Lw;TgOLAQWOGh;s7IjA!30^lBH48;)3rbaVXsTlPjOd@WAUr?LIku12ruQJ4tQ>1$!x=gfNqpx;ne0 zGLNo>tg5J}f}W!W7qhe81I??Cjv^*(AxNVEVvw`ob8>-tY;0l% zTIRHrVuI9ibP8^Criz9dR7Nb~e8RMxiq4{9x_^?yn))J25M_wDF$b%;xFm;zpd31i zGRQ~{Y;4CQpeSi)Yo(!L>&{5|lQovIVU#ddwPrD3F@+k>X3~}tRQ75X!km0&44jS* zGG_WZZt7C}rV>9XWN2=22_0s74RKjPWpiU7C8L}u#DZHBsBNojAu6cKBQHWH2!wiz za+ZH`%0Op%Z2>weM@u&qV+92lA!$t=ULGxKX!b>I31-a)U(!UEOPQ1HH^pqP$8KmL zXv3`|Xs2w?!so8f;wC9=59x!Rz{0=E#3^LI1TA`HOqyXaDP${|gc};QxuF z{yvZM^X)%-m;b9!QT%^Em;Y6CmY>CbRr}v&m)W5i?f>$L|1*!2o$YV1$U~x>ZWlt{ zhhS0B?+gb-b#3@ND&ul+!Y=`@2+m z`-ZJg6v-2c3M|9plWMV%ndi4>*1RW!+W4N)hJtuC2I17;G2XJkfV10XlX8-N7^e@g z6us>iR!_bx=5|1~xpr1LKTEoLbf-Mt^_(zpRdj-w&*q9>e_JO=HX@R@rcd}BQ@66o z9XA;nJx%17GB~YWRFZ+r6#e6g#w%p)iB}}TsTe(~CZijvOZ5o1l!foH6?p9EH+sUJ za0!u97PhGev9gN1SSWb*m|?z1gw-RsBrtwDc#z%1zL7A)(7I!Hj+9cqdxKQr#C*7i zu#aDhhL>}dq?RJB)aINs$07lIU|iFIE|Kpf>EAceUu2;ey+apanOdv*UG(97Ihs1de{^5M~%vh4<9KyI$6Upt>-ipr#(eG_Wgs=qC$f^ zUuXZ2^#oPWw&g?Ub5j|JN+-6{It-pDzv4ZEeCl<^gGS}B_DOp6)uAG(ZZ@vFGCI`t z+>K~9P43B}q;AOgJ$)&A4P%08{&H=EARx&AF)KnEI|UnSYsl5z^;#zdgLG%&d*qHWAQif^Q7a8-W|j?XFFUPpx2L|~dP#&(;bK0EJf0$}!Z-e2 z5?SMli31pQ(5EF)=q!v5@z9l|FifMfGVh~1$6N(4qg(G^g^Ev*ceqKo+WDnr=nZ$Y z3AQAq9iqw4A0VT-nT;cCa`D?nZ6y1etRyq(5q_9?{W)>_6cpcZt#(f8UPm?dH`D2l zIpbk+VrS#}O{%f8{T+wS;r)AZ}#;%$%`GT0OV3uu_*1 z{|jrBl+gTJ;^jYfG5rJ7c>n$W2jH>1w45{m1_l6tf&K&B&j2I<$cTtYhzQ6?NJx(! zA)}ySqobjsqTxSziiu53KuSVPKtx1F#X?I)!9+vQ~Y-CFDkF7ZB!v|EH<}N{xP;j5&;S*5P(9+Q}aB=hS^6?8uNJ>e|$jZrUXliNe z=<4ZPSXx=z*xK2 zfAqqF>IDlA4+oF*pcf3R2lNSt1&=_^j`&1O4av;;DFsI$GPZb3_Q%#ol$`1ZIOZEl@vP^r6I_$r2dJkB3+keydWL?xeJ3xktR6jjUdqudosZqRApw?aN<;zH&R(&J49w+ zkCl>*zC7a@o#KfM7k=N$GbQtH?5&T?jccG$hZM|rAo)mutcntIU?jFE;4G5HU8kc7>oJD+8{^f$Lzjn2Wn1=_9xC;` zsCuZB$>jVHTB(h`r%GEDj63@2?rF$C6>f^dAr>4%JtE6Y^d6<4bI8Dm&kxqnlU@bZ zC!X~)T@j01+o)|2$a}fx)ezW^@D@Ve-orb#Alo~0XnT&3;^YF45ntck{n4Bev80#5 z&_4C8xCdZqt{&VrmpQ&)=mz6dAM2`k6iGdO?XGLiCl~b6yx|pQPcE-1KX(pmC>NNt zZF;;-a`MyB)OVw=t?>$YvC{BVk?9zm=>ajVaAmAk5|{qZ=I1&GH9ij?N7>Yk-V(1x{Tc#Gg1*FeF7a2k6zzhncPuih)~J;ui-qqJ!!d-aza%{sVG+4y{Po9 zzE0n`c=OPPh9>9GpUWZk;vvR^5M3?YP#G9Q^keLNwck4S2}4VMxd?nH>0pxjQ1Ebh z3iGDT{AThV5LQlYua&b^-QZ`oTQIxR83nBvN;+ozKM3;%rT~;$hx?Y98`}a-Io0XsDO5D-_%JjpTEAlFu9}o04*m{_8{JTfvXef zi1gCqk1x`=yD@JXpjy0m5JI;^y^OU)efKi7wrKV{L0{;dF7%h?2W^V*0(5<-8cgQU zpF8LdC%%Sa_|)5|h26(Hy`Q}ZDp0)1`L6%hf>&EUL0|c@E~S;#0B{BB!rS!rw{K_b z?g8Z{*8&snbfb|53dbxsHuO|ej_#8;$2B)gg-RB5HMjXC5%dsi>eh>J{FEmMTE&YA; z(Sjor@k%_d8VLr^XR^4XBLS_N4Ae{%JD1MFc@smPGR*1uV@4*|eZpnij^;4z^R^F!5~&5X*&_WIA4V8if2Q~~%R!3Q^mh0S#LfG!2)dq9Qj%|>AqJjN%W8VqJjet1gM74^-e+43%WvY{)D%|eN8L%eR%VWEUJouV zg!5*oOy`dk99ce3(5p&Pj?2?jVJ5dzZ;2vvX=&~-j zudhJj56kU(3#d=~$F!ZQ-(X#9z9yN%POzj(dxG-eN3@eHm8*VFnFr1ut^XwWY4dx{ z_Zd4!VK4BPk--I@qDC}>e4E`A+yX79T^e5P5RpiGYke0IUT*NpNyTl7(p|*e*!0Uz zB<~WHILH~z^G+YgwzBN1)o~IXDDR<;rZ>%Dl2#$ZP{}F;*MJPll#i9gdtL3naMb_c zV|bGQ{Dydn^qI=0u&-3fi9(?6 z05Zj}PyAsD5AU=edq@aGlIL9bEw0tesc)noVMMx5cMs?u3V$4xun`SPb(`>Z|CXd? za1XGRehVf#Vi4Q|1R#0=+3@hUwUx6iStf?&zOd*;l+uVbMrVhirmZl-osga9Zco1U z2>o&8c#iqKvu;hIt(oI)=x&J7{cPd#^4k0|o#%FUYO`~s^bKVvDd<`+^?FytBw^jR z(QztmcdmtRkgr1QZhW+*s-;?F0dz)sd3l`F3*7-^L+p7q9+ns7sSe%iK@lTCncee2 zC#R#ZRBF*H8%KpVu*Y)?g@PBfb`t=*6zWH5=4gH zem0)vm35F`4P1qqHWURHqn#l&G0;ie{}Kn-(L@npVq7%kZvxE}ry@v#H-U5mo!?e8 z?*Rm!2NUJM)luj*v=@_Eiu5A z8P%&s!L)#bwbEbLjdq6Ch(YUWxy17CsPA_7p*ux(knE-Ii$m7u`;HryJTKO0!+?_A zS%KYFZnxmTv$RDsMKs9tnj${5hmMGUutz4j0BM1*MC%Y^eqUkd?AeD<*Hi+;eBkN~ zR4~?y(TMqP+^?bXtt-i!nLN?itT&t6tS4`PYK3eIbxpd?lm>L=M9Hs{xd5R-T&-T05KpcFOWNvs(J3zcdodp_$R*I) zxCbNxFOHyha;uTi7~iRWZAVj|BEiI=_~8|a<$Lbg8SI!?KmnSPU2h`8jtVBiSTBLq zkDnJEKszAXZqnjT&wsh?2Bde2O1Gc$(dRSnk<@JBF4+^d_2{~8BGRr2_m&8^*I_nw z*A7@yQoPZ%E`tQ^Olhl{djryffiXcG81~CLSk&&At*0|rN4~*Z2YXz)hHo#e?g4Kx z4~q~IzCf$* z9f4EQ>qm)(k!dlnwC(|30i9$cA@-*%IfSQ|aBZ#bM9j3LtydAz28zJoQ=DumtJCf? ziK}W9@kdApckg4Dm?d@wi6akZdM-4mV!V6*30dW>pp{A9UG_I)VFTXF9tOIYz`)>#zMwA9+lZt*3C4TeV(ct z&9u1OplNW8Pu$f0{qX2IVH*b|9(l&w*?+KXp& zX|!LfzI(ghdlTh)_Za>jkU@ZXhr9^wvG1d9N-`>AW=*__17~L)^YdKQSh*GqI;)sN z;0^XpX9M~|Jvm?M2%|5PG3D#EdN46ELj(t^J#dh61YiUw|LFsa8OSR=`n`%RZH8=Tc(Tm z>_wZ<5i|&QvypcJofF6nFt2|2pD@rhof4O2Z$ATW>)h29+yyZZq^{rEL-Pc1-2;ZC zB=q93)uiB1=-vmgvAR7i+^((aXI^bou|i{40zE(Y;53T6sB8JANBV~hP(Oz!rKlY@ z&KZqYRS66~WJr@SR8`d=tq{ylmV-A{seD}L+U?+8tz*ePZULIg8{VyDnFk$G#*lbb zh~XyW&2FM4Rt>tpZ?!>am?L*O^!f}<8yl)z?1FHqwH`?esrOJ-m%E6BHVZ6AbTi zaKhm4c@Ysu6K0AncfaCyqOKbBZeWf+!)r?Y9GksR+iHN*?Q%}jkU zqdi`@8n|Qnd{TK~qS>;>H6c`~LCHYr&B+ACs9HlE?@Z2j%4Ego75y#zF))PA33~wU zv}uwYnp9@Q_-<#%#mkdXCu8Q?Cs=r*xjegdI+RX^kli?=Ih=8#o>Hs6*c+ePh3moI zF2k^unkJMjgYKm&h1`$zAEJ7Ds%$BxP%M{J*yS|81;F7^nSEY#g=}@4fFdU*MlPSK zV2>o)W=x&!Af&M!%E2=!C501WKTx7nVsO=POwWkm(o8!F+0)U{b(?50 zl2BH#{mNx3MS(ACJ>T15sgB%@prXtnyo&Y+ub6eZg_uXa?iz) zU|{VG^#g?`F3f7F$&1Pq4{N4HPas`a?_-|yl2-8JPvJR9ySxQ`FWc4%V_h~AquH>q zdQEY=6ybsxi{IB?-R$ChE@5<=@0q@75p$so^`+FRIao;y&+l~>o(M+F(59y(Q7nvw zYpjBa>|)dLkl0O^Aj=6vNL9j_zoM@)5REtx# z-(ui(Nwy*;Ww|w7Gydi@Jv}|bNXey=4IP*WP^w$EyF1@hh1xF{p?4t{zynj@MZ}Mf z(yLb62ZkznfnYclCJ&xtFWDaaAjPQfOritWrxYdsTpU>a0_x?6-;iFgk={rd@z=X* z7!kK_m2!YUyV`b;fs9Z6#PMez4Hn|v6LP=1EaC@QBMxu;Mi*ijc%G@P=44ph$knYf+a}<`$+1oJx4HM{;g$VcH zZPt5kw(3b4C|~In&=h`)Dl(V9g?6q?A;p!_i(-pN{Md`}&3W+*w{7B0A z1O|z5T$}Wt?~`*a^9^{y+IkNNhIw$YakhJ^lyXbU3QGL_a^yQ!aYAo%mPoIjK_|V| z0H~+P(Eetk&eb3O>Bf*4b`;L)HDos+LmAe^w?$1?*9PuT}#*mS5NDW2SFS?AKteS7z&9y#&XlzYB47Q=%4Q(xOuye)z-gwrePpl zrP%O|!^WF_RRR`~5U*>;g~K^c&y7*dj<_h+I?z%7q=<6el~ECfZ(x`ljXn4%Kn@?V zlnq3nh_!iToM|B(QXjTEy{_sxOGZSGit3cJibNF+LltX_1usIa;A^2*-Dt2W)ig~7 zv>9DX(L%%G;gx=AXLY$IR;~T(2RK6B(>rOZqR=&Uh=48QyN#SqGm|(4J#e8++?b`G#v- zX+NPE%KF^N^vshuAW`gX@(_adA@j;r*2mH6>d(gntLCW+nas$6?`4>e64jdK_~2Jz zIwoc-?V4%g)Q+eK47+i5Np?E;wp zuTES{3$i-K$DbSEcNq>+ap_ah4}8H|fJ&&qFSH5Xt={DN5_PeF8rB9OgKNNIr6gAQ z1mGk;tXHsme%NZ`;|!)QjvsYQ^qf^;jn9(R5_OUw&V_l?!b|(qZk{5;$on23lhmE0 zrVvjtMU7V*+!dJK3x+Sh#AA`xjpl=im{vhGxU3p<_V;xc+O;21;4GJ`jqgc@5ijg+ zMFEi6>_6!kwbjnwQ^=<36*Ml}FqP?AqJ9~`{wAMIbDegL>amk3V*0~qilEDa{q?Nm z?tL8}r%?5&&d0*{xvAH(7E1(qqDqnzW2^;Sv?pyd@?^1oVrpun)7>4&>qlIFkmvLL zH=inF;b03G`uLG5%8>z~q750x@~kd*mLCmg4N{N+pCfD{+2P)NBclbN#RVYY6ll3! zBUjIGe+p0kViS|zHM_TC^nDqQIynsh7zAK2jQ_bF&D(ni**}}4_uNEJECQK+%6{BQ zp|Aq6ruNt)@v%Td>ZwCYJJpH-hVHJ9k*v(lmU0Xd_e~V*^d{P#?YPMA!+SX&l)`-H zz%U?->}`26uLPn})o;p$<{>ESEA@b)h)y4tgv#-DX+(7~6W*KW^2200jx~?ozP-)i z+23{i=v&+Sc5vzpSiMg8qG_htr0z{q)v5&E2BY%J{vg|JhaNH z4*&$gE(TEm@DA&<-{3ufbTSistuoy_ciqCrT<3ga3Mg8(=M00yTQR zKX+{x0@9Q+?a{dP#Zt__c7hGS=44Ue6?MUp{-N!NHv?e1V>ULnp&?i~y%_n57vARAdq)GUO&OeV)I`*1oJiV1qGGgF0#r&>6a1$E2H)RC&@}x6EyO zlLRbtEg|PCw<}J^j>8rk4K~c6abQfODn@UkN>3NlYczNtog4CMFMU|q0Io@ z0MnX_?=q4%NZXvkg=Q4Cd+8J9(y2mw&4aWt82}q?Ac4@8CzybcOS`xW<*&L=rtu+Q zuwPdqr`S_^z1^Y5A3r+v0Wg*sw3;mj;gFG<=HEAS)K+^a&Z%USI>G}X#e|V7AEaKS@-WgP=4> zcMpOzNP~n(jC4qM*N{?zq@Xl22uLH+T|-NEcgz6NNDMJ3O8U+@_wzpIoNs;4{oY?J z)~v;!#hRJ@kA3a^i+zbqUd^K~qSJ3cUQAS;l_I&8K#{tz{wYWr1=JcSTvN6zD|-L} zeh27&%Oe=oBy}|S3373$bXpW6&W0$wN3i{_plEH8lZ@z`Yy*r=N>)sx0d+SkIoL^R zx<~hZLP%C}tYzMZ&Sc*lKT%4pCiz;uN*Z~MWPd!&eDjT>8n1+g$ssmk0M+HEXOO*8 zRqpOkzOs5iHEq81&GSI!*-9>-RFO+MvBEhQq~S69i%@D&3|c?vXrXGQQRe7=o~kNn zd2Kz47+6=3VB3xQ%tBr(hviI@^f<`q9dG;5SCPaFO|MXM7D9I7kt~iu#Q+8e(WgIGm}Cl`Oe3lJ>vC4?HZD(cTsz-w8aqLpmNbKbA)Lr zEcc8Q$J+YZi8EbD-{Yc@{D7BmL!udUy8YwoVEpfwT83lgIy7KgzmU{=u`D|wPtk-*t(+ZV4a&lajFcmL(x(yVN~Y{PFrgX?cV{cphD;iLuk3HbVQ z&|LDzX7jtYR2RP|Yo^04?oTTgz=AC1^ZeZbv9>38(Tt|YJy=OK=O6JcTw!-}cgxpm zvdbZeH9v%3BAdg7UrJlZDq=S%eL~E0F@^`*k)Kz+!r<91nLFPkpVpSm3G*Xjh2|ve zDkUzd$`?H&f&P3a;L6<=aFQ}lSve7A5#5A2kt@Shopg7iZc2_#uoiF;QJUW^On$FZUFwcg+!p`T0iI zDN$phvLY6z<}wm*;^9oUUafZ^(@5Bg#Zv3DZvTCsBLCMa9M^)xys!mud-Jl8tiQPs zma7t~P(?b%(#P+jF~byJ=F{dE{TWJdxN5xFmd+9f4bVF@gp02d%v0PHe$xNCvVD=a z4rgb6l~#XCYfv!vy!i$DSN6JtV_U^7Xv+|jz|CMKDO{eo z51;#k=GVz$vw#E;FcP}NN%QS9aNRT2W{rM!L-O}fzp zqQM+RJKm!%BTkg=dtp*pzRF$l3YqdVN7v3Da<`N(=ySg_EOwsSar|0Bci*4 zXZeZ$I4X60^t%7=`LgJ*l3VYDZ@s3rxxF=nZ*5I9)u3DIr4p!X`&3GgAR}fhwog)T zp?+o1=i?_t@=gsO^#&e+y`pzd%8+-@_AK(p7nyDOow1GLEJT6Vv$N(TmSh)hz>x1_ z_m2c|j9bj(c-%tn51ndy>H_LjTS3-(;c$@cBeBOUOED(SHQlZ@K<}Yp?@b1-#Swm= zFYIw@z$npF;kfURRe3#ya0Nj?q)iSHK=@GRH$VwC=U`NviY^JKcu)4iJfXoyZWz)( z212-|s@&Dj;!{8Q?MOat9hXir;(?4j%KmH#wHJzTydSonBSwj+?Fn@^HXgKdPCXMI zi_W4c3b07dF&eg6Y$+{g2~sbRrh0N)xIpJmyHVf|eChwet{^Vu_8=@l*W)tnx)v+ zeF@XY%-N%1=LFxh1oG>7+Z77U0t)#Wf!gVD$x72oX9;y|HF)2IaP5GA5$~Zfhd%f- zqjA5dqwro#rMlZHTxQ!fgmz48IC&FYZBx|VjyPa3zg~8Oc{aHBqEi&y;gG!ZCPPy; zSPYEvz07R9SwduYifK4q@l{eb72UQH=BR24I}S7Z_$27dbtQZX-#a^52o>h6xW0AQ zoOLjCEAj!itrYMblG?_jgu>a(>2|%aM^j*)}Y&;H{+-DwP|L zFK_d}hl6PAvGIsV~4LnD6vbK;Gpr$#>n7!FP z0|OVA`|)r2--yBe2A>Wm08u~^&^w&Inus%r>LxZeeF4zbvA#VPi;29 z3rLF1%o=HV^8PDx3Jv1iO9jwWK5pk!?c|r%RPI)*D6WUHg=A$%#K(AGd%aOM_Y}Kf zmn6Q$LQ^AvW7%J;3MFW=ZS@lx3FNNcPB9G=DK-w`qi~GC10i}+yvBcSb*Naz7S2#^~7%L%Xt>OQ{MdH0t;JJFs zx!~AnfcEIh3w%r`s13+$dbGlIx2yKB?{@8h#uP4Ef%14NZZe_Je% z&f&2k>kNZvokpeeW5)-Y|2%KTvq1`~4jJ5L z`Y|1n{S@&3C>!>i=?Sg)%bJAatQi&5WRnW(jE&h?0x(M-HM#v&Z(>R`&4@%#6#B6D zH;5&7->mmpl8jbfa5B&+h)AGTl4o3Bp9k|lYpt8-JuZNfLeN;%_G5|P0F@SyZj+r3ai`jk zs_+=VhTUm!z30V6b9)rXVaDx9Y}iLQ$F}aMugzp9+QezhQJ~$jrpv6-=2`0;Is>5! zB10NpeW-gEz~+)Do4OTwd+>dD{p@f`Td`}$O`2c21DJ7+%MR;b5p$hyj$*zx8Wa-{k%)xhg`bUg2stiGRq&vKTVL@K`#TaPty)_cFNNmN6@nTqOd0a>)> z3H!E(;e9-SG}JHX3z*!L$ZboZ)9l%sXG;i>&_VbWwJii<7Cgi|Qh#4c!%qyOK2&@{ zzSumZN7kBGMBGh%u71T#ptiobHU4@1(1u?{P!b(|=$jUb8ErXw-^#qs_s3tg8kGZG z5Q+^@sm$1NHrjGfs^f>pAJA1oe0}8l21?jXn7g#Ip>Y`JTEEiibvnn}*rV&Pt&`xL zS_EWhkKsPK=^rS>pJlPp)zrL+=Ht)!Rl}U=Z+jj~k>eeeet75N~3R@7C@> z8A_x|(*d%~>dlrxVdrktYyMKfZqOLOHu@fYn8v?rls< zM9pQpWUO4;Su67NHvn#eE`u9n8-l-HSUhd7{&jIJIw@xVI_PqFacA(%KvR*5WK2Ha z`E{Vt8oV;Qe< zwQ9OY82FSy?KRd2Re+F>Phjjv7?O{hJCLdc`uIZCw@yG#l=Q)Zc}M$qWU{XNnb^-) zOxHhTe*@@?9=tMsR9v)TvSP%WJ!*VgMm-Y%=RH$r1+{!+V>r-t8e%_huK~vVhu_#e z1ifzoIw^lGMU$61bT{g^tJrt9nrLE_^IrVIyAZxluOON3wPs%tUx%Nl^r*o3Iks_| z2#Awpz`b;n*zRM--~7&;DN9)zuPF*|`)|B?;%p&>=N->7J@Nwfc2~S>Jj8;Unto+o zw-Vo8<)W2B+MRu0SEoWw=6(Ziyh24vDzfh$-5sNsfnYT6wDsZdu@+j2l>K*aUGenq z|Ci#T?*5QLW6m_5T4A^9ocxLVK%l*v!(r~HeT}Yw$$r^Oyrqyu%-?`d+RA@F&}$@n zYW;T#OV{)_pwi-MH}?vs#Q6b5Y}wj@OXbpjhEshal5%z&xX3xVa|wP({gTZ$s7Da8 zD6!=N)>kUtxCWc()wj1e^jDB6g^N0_8Y{&e8<9upVA=T}&?ls0(L|Q~8rdZzoi&KG zdXDxg;qu;ZSQHYl^HF+zF zWdIYbYgdLKZJ+KNO&fC8z}ss$@IL2};}p77SaiNOp7^GKr4ED?kea-B8#$~Gx=hfw z(^@kGl-LiC+0u4Uo$(&GNE7n5-DVujB470MI8v_c2+~0AjG~D=MjL{UvaF&+^QVP> z`CtYmHD`KDmZpz6BC{uIlWPrk>bmaviE55{-*XgL0@gW6@gBzHsdaN-hl|8t?$Q#+_*zvq9e|2qvXPznPMj&5YDWG21XxO$a@Svvm-GI8_~lz@2OY z7;?_a>9GA3tdfi_H@Y~YcoQT5^met)-yUU`tO9FX#C*l{tJ>MW6eLMDGnZovHR7-_ zhvJAc$LWc7kk2CXJ0ggzn%k&AJ40u~WS1ZsRyo9|=mL8k?poI#2Qzum8R4Pfz!@5- z9ryF2qSQ5OQE_k_V0j-k09d)~5~sc#;2Pds)o`Kl;Wh~rM>0=?e+1a1c@H7_Dy($` z9-xPvGd*VVsYe|r66`bCL`@;26v$M1gHTUoKOzbYte1W4CG4zU)(8>ZSLtA!fex66 z!1~)8L6-Zw=xvWIOSASuk(1`HzvmHk zd8EzrdRQ{(b71e)=R;ayKj@>ybN1*?-|1t)q3P76uR;!PzBvZsz%60Tja$)8m(rZa zZ<~aGGtz!SEJUR>LKIfDjX?!fxb*ZfVXtCWC8?3ZxZe-)$ya)CU2{yINIjuo)x+>w z;S)y=008gI7Wls(Zj-#XY%uJLazYlSN)T!6II(T6>fL{&OdxPMIOMIr)z?(M396_> zuBm{JH(>tI9w+>FhD*bHXlp(m`>;M%(mP1AjrwmEiVP@GL&zmsFAT&VGc{6>dH9vhwmb-V$`xT8T5CI5xF54)8VUY( zHZYI!@wM)Xdu)J1k6g-{TF;>>ht|46Pz~ww6(>k;zuku=SzDl z>L|^6cU@{J>Rd_9^e{FyEU>AsNbdjX%qWX_S38TP&caip$nKBB3j2~|Xm#1wBV(-U zX+P+1lPXAPb#FS0sb8Q~4i>PrH?h|)N1bQq9GN?B-c2HQkI!Wnyt9`FM}PWPy}Khp zau1^-tFY5{pg_y<^AiFS2|gx1`t0uX_sq4xKMFndYvN_;(#Fdizs!qt>Dyn3wu#kU zHw0?W*Z1a&cplN~?xK0V3gOZ6wqDmxc*sGOZHy9%56ao3630*zCqFEe$N{vk|Ekm3 zae8$1VX=wzV6;~s{(+aglJxe|jJ>_xGm37(;*qXu>;#QKnjqPtQ5P&my=fdVS15iu zYuc`a>re$l6a5q0?7n+mN{%h37b!kF*svvt5(`N$nI>MC=mlUEWV+y!kdHuTWqq(M4_D|0lE#+2(AE1Vqf zpsK>HDoC?n@_u`rB9<7VO&OF-3NZXb`c8Q!Cx@suo?X7!RM?9@ZKoYt2MoX(hymf! z!1MA$3jjFG7-|HwGoJkCXkev%h2Z8Q5>D$I18sQl7}MA%f623 zKRXRhH;=)dP}izW8@ADoH76@e3%V{_%V)J1F$wrVePrWQGGz6wsEGZ#d`0TF%DDcY z{RdT6$s=FUFmvAn*i&zBV`g>aY+Wm8cTgE{i{#YW+2K`;u{|8V03X<3+mP*n&IN$B zmht7`1A&Q1LdjpNrVWc3{m2g^vx0HGOmJV$xa=6^WTvq^vIwZ3=<9%>Cs^>%jzGEy z(H&nc6wc}*o!Mt>8-bpU_du{I(+A7gUIB#?y6xI#GB<~p{4DVd^(_lRzTEVUh5(J- z;mZU$UTxhs(7n4iXr);PA(Jf{!pRK?If+oQ6%i@E2}XBLW>lBc7pl3JKhy4hiSSld z_N?}dW94D%fD{IWaZvpKfQ0@D5LNygfJkeOfHObl!Jo1T!ub_St%@e%T3VG&Y^hfw?$j>(lr9c@^ZlppAG@DM|OS>XGAH2+<2SycIz_Y6|90Rs-I0w4#0< z7E6dV_#(*x)J)3*j%{$h$zC}P%4DNe{HaK|H$VEY~&z}6-L zk{p*^20&k00Jn^pyXHGBO8zI&OAa8h{*=BzoaXSw-fcHj z<7Mul_`u56?sfaLrKIwr@X!&mY7I$Bm%gyZ&=uum)cF1alGI&?!^RzvWx4gN`Q&KX zqF3vMYt~9*4M(CNlb~g?wo#pu80QkjM|vA~W|Dq)s{N*>jFm`(ZRjvtU6NC^A5ix= z+57pyLF37oa$piJo;Qjf;Nr3%+L{>s%EV=e)X|t5s{M}Up7hH=LXK|u2P9$TTUUhN zCx2VT6rl&dmP1MTxzAbHcFlvWvI&*%l#s-CEoLFWDsw5R=ms;%>4wAIT=l870lkL} zYE91(@B6%jQh?HG&&ykM9j)cMc?iEKD4gb`@5ILQJQ>c0bi4D+JQPf>#Q=Y1CX2h* zz_L#6TB-PO!ly38u!+!O*N2sL7l z{A@{L6hpmr8GI^NPUBkX(2*Hpv$6brxuQya{v@ihVM(7ewaBK>5Zr5xiha2b7y|pl1I&|h;(*{@S+hgEG|c9_?3n2 zd(7w0IDr)MVKqq@X!Sgf-@DFl6xfG%!XZ5j8z)!y<^Rx)x@o^SB9Q&1(2nk0(6Ww3f)hYcnPV^qCX8dG5&kL^pMWo%)NJBKbCxM+yN=xh10N@EU6Al4Wanv7@ZyH zs6uf^^$S{&6|yd?^n*uDHOn%9ogGvoWODTuwbzLqF(6O-%7DRdbMzOF+n!-%tb$Xy zMqarktYTCAeDWFb_`z#y*jC%z_v>q^H#&h*1s#x2(MT|yjP9+IjOE1jhcYqda2$Gu zzLE#?6pbiDd&x`LH#Jg-3JAf;ZYTjq;jRGerbf z2OAomOw>jLu-VvxF|EQZ(v_cKOlcc_?n{DA$4Jo)DnSjIKL%LwJ&Kd?PvfH&JKmxDvSOSGihtYk$&361?jWtTBuUm@MZ6DPuN~!n$tw@ z&?+kQ)dw^HxjB?G7fYSB>K4O6#))u6nZC)w2Hf8~vMQaCAWFgsSO!5TFK^jcnq`bmCgh5pc|1Y(-VAAkXG?e2Ru~(HSKS}X_7MfK`;ya4ZvVS zLjw)}eAjs+SX=q+3pzrUPoYh4P)lp*Nc^_6CtCAZdW|B1Dqq!yDmAgoNrh(@ z=uh>6Klhev5|qsdm$MG7>C|x7gpts_cRj{-r)H)L`9FLZU8UC@@3Z|a%CFW9olP}R z%J?{_aEImulP@{3bWodyFQd{)Dl(xsz1_@dSX37PFU! zmxsnYz~Yw*I+#2@bQTCjgPCV=G#Z(B7ux#s?jAbYK^Nl~136o?Vdn2A5Y3?(tHx_A zu+NBm93A-_)Oc3L{}gmC8SJn$Kaw$fCyQndt732V!?u3|$k8%-X2eKW4oa*9MF=m<}RWD@Gy(EGz8PfaSjm6qao{$ji=#;2j>Tr#Tp>B zWKHt%Vs6s_s2fL6Tip@Fy7c8-SQN_D)P~#p5KIEPm%!Nx2Y6_eOJs<9OIv;rw%dRs)7qc(?wMutPU(Qp<*RCN={(2V$_s9gN*iV#={tf``=ru7CE`N zNOQ6CZLE~mJx)718|mWf4f~>jT_u?F^uGE9Z{S^&5}IW8=|l@f)$q#E_a2BjO}#UU zqw)N6lS!-%moipGf(^W?(lOKzLsn+G|cOkJm{7N+H86(qQ9Wpia^%q|gS_sN{4?mGuRp!%^%1z#s z@fET99;-(RJm%)B)opm4Es4yfvVjmt(=%S#(S>6eznILM27!RU;FHvuM(-T&-S30c zKR26}U?*2JbiV=j7tk1|B9rxE=QmsT8!9w+c1Dg-sc3r}i>A&$K{`*(+|k-p?SIDk zVu;ZBuV?y@_{jL@EkXQmK=@(E9c!giV@8j&Z>3E8`Ez!i=~!wqk4d$+LpKsvx|kEe zkI|f*e(zl~(=A?%%-;_RYtsKm4ga6T{2xz0M(h^xC8^JEz%{mM$hXES{TY|*MDlNx zKXpt$es605Q)Fj85llcTsOEm*NFgWA5zop=X#P&~DP|LwIY&5^hWzuu0gaJLHx^@F zjKdN#=tSyh>axQjyVG*wHdD;u8X;r)V~~N9q(^zv;(;#Z2nM1lr;ABvA71T^YohEO%DQE;cL{8qhUdF-{RyHsCrum#E*4*% zhiVTd1BJy>Gx#d>WmjYcLA0fBKBa}M@Q|+ySpvi!pSTGl@S8cpcSq3l0JZe=FnSZ} zE&%>L31+Szci+Ajseay1R>tz$U+`jY2NQ6eJ|_9hxA2mBwM}tgMLoA z?ky;BJ3_i6%@7@**CFMoZV;FxQvWi*5Sq~SEp`K$^ilKNZ*99O7#e4HFRcN0@FPSrKRo*n36JF%u zU2ox%9?EyGbBi}AD?JAe(K8?qT$;+NiwKTy2Rz-Z?CU z(VGnv2b7qsESs(Yrx0dvcx#yrlJn>8BPSUx9B!Jp5;%T9=VJw$Qkg-Q+tSz*_^=|;I(L^I` z(}L@aEfLS3?qQ0#I96DgTtkGxsav?6Cd{QN;}tI0^RbYs+l#8}6prIMw>3iwo@abV zAF3MnlxO*Qtm|55EA|@RG3t?aRnl5of^`H0h#pAk@_%Pw(#v+36V9Y-N%6(y$-Cx+ip|80f#Azs24jtCf8yrGd|oBEcLC;r`wA^{T|5hv1``LT%O{~ zt_5XL_IBWKh%6jfbKWQxnyr{EBNPY>Ffw*`SXWFeF%(F0ZY6`+xT$VflZK@sroUc` zHAC#vp_?l-3?^Rn^r-F@EEKVDS6?W{dssQv{ASegn#vDcnL+6nXFb!J1TXNCmvh%L0g_AoH)n98; zqq)SUpNAg#WNfbXikp3U&yflTOqvqt9v7XCA6=ZUu6+|DGZFm-Og+GM%w*mHxqsLu z$_WpZIQ(S|R(Lr_D=gLs--&FeVpmQlSHDo7F86sUW1Ef{@#TxUQ@rGOy9q(-n^xed zaI!O1>}ZQ^k4amOid0{w*E5{YmvXkO=i?jcJ~%L3{#R6AqJ5RU7PV+d0@g*3!p@n- zuI2<4)_;j$mMCyUZGGXHaMN+|WU-&vYNp@b-dlVWD#lqBf}mBteb7*SL;UVHAm;P6 zjS9M=TR8MnzPcD^uOwBmLg6?Rb?wI{&9>seH+?On zHoqE?^jYEj1Yf_7S++x6xK)5(Aig+uWSOzinp_9#);!*E5D6M;OL+$NyE0x56PG}# z%E>VYGIBn_%O03=AENbJ4cRL3ID99ujP6&IVj+Kj}M;JN3~4^;rS`nF=1cgn&74(^Xw0MVO#|HhU}76H}3{T$sl?K0^*^(J-{3OBiFSzjDdcIkp%vkvyhvJx*56113|d zijU~Ef4Oy-<<}-WZPE=qAA%^*rUfvBmzB@}lcz9D%^|K=>}x6BXfsysgOtyWxt=AH z!rb(gt$<8JnLn*y7GI{wVy5PQ;1iSX>nuCI?$4gz_LBD^o!I60z@y6s&4adem=b>F zQ<=CA0Q1!riNyJpmn>(3#9Xs9I!wR>CWKk^Sk`auK;`*u8Joqg0UvbKuy5V0 zp0L>D6_yli&J*rm9?-)qewA5bk_9_pN;Kx$pU}u<4n(6-($>Zcy8($8D))9BUMs^gwk^}tlLQR$Nz<1R!}#nzx*C+f-Bq(ONixz2JIV+ zv;;_}tQ9hOybn+_k+Q%7W1UjdH7!cMteke8{UOy{>HOB+a8K0r!)j7DWiv5)EJZ%zQ zhUvZ{{bNFpIHg9D2JlU`o=0|X1aWuE|4aKe9gRlF=zsmWAJS8)tzu_!G)jDlYDCXa zQN5$E?irY2c($feX{k)gM`Hs>c;htx7a26gHhlN@Hs&8a4eWpJX}nsGVF87|GM`6@ zDp+~c@y<|;lG=rHj^t{gx7&*^YzE?mWwTRsA^Zn+&4^GJ$5-$%Gv}mkJ2s>|VSBA2 zKYNEZYv06IK{o%c6@g-%UelJvqwkKq$1`vj1jwPKr2+4nkun~r^7Da?Sj%OH6-?tv zvj7kFOU0KpszZ5>)$E{jI%l;9w9mV`eE@(}`9W+N3~!jH&liiAv+asb43!yXMsLD5 zcO9V$yDjmX6a6@T0)&xfU4q_F0o(_#KD^}R*Sed9xfI0OwW*9^9#3Y3u7hUj48sku z!V68L*X{k2`*AnM>oihb+}NCqs`&M3*zxDB)X3PvmXI`dG}}nPH_j>>!^M-&%}_dS zSNR8@9UPtxer!uAfKpo3#E}b*P6IOpUJ(M){FbOaYx{A9fau+o0OrhUM z`4CrR8%M!q!lL23=fuNSoL}lf`|d&`I;1qf+isTrm)Z%%~0N3@86Le1`08QyQJNwJBS__cxBPwQxd{{(SN4j`KH+L;B3DUu~#>n zaR6%Xf56)#Psdfma%yAU1qpp1mfRSY{gh(zAed8~-z0qCRlQz@O8(2hc`6|qYG%ic z?v=0vT%O_`?})HA$;G~_?8bK&l_25f0+;I&tS004;S_o)^SS|v>>WA){E<)A@=}g9 z2?H_ZMPK{NoP0Ch;vEvs;YxCcQgd@!WjSQg!v4#=$4%9jR=SMu+_~`^|65Y{~)9*4UH6b4J>kD*md>V zf=w-*rv7D0p&0p3+;^cqdIsEnnD z_b}^7Ka+?3@^#*WnPP&K@7X-g&la}i6w^ye|g%9{~fJV_77UA7G{RGXpjVwPG+lYX#6&v zgy-&vcnu|DFa~l3lH{tnuKc&au54zU9EZ_~RD`F5W(i>Q{w4iSVCYj21*Q-(NW#jl z^i3V$0Fz49vq6!LI{!U|mN*M5{3&MhHxFECSvPYh@Ua^hy=|uB?%OI$K61%CF7(cX zD?Y@4Pm@n;L~cNUT4RxrFpLi=R)Ze$ z*i#X5H1=q0PBo0|1+1|hs^r9H|E0|_N4bkG+7RK%Y(uS;$B7BRFg|>iYmVAM+w`VZ z*)o>b>zYXirAb}aswPQmCc0!yA4-ZzyBIp_(-siQoh z3s1}tbS}*me15?9_(ychm_cnzr{oNMqC1;t{1%h)yw_v(t4LQzd-!8MtJVxSKmTZk zVGM;mPvpC+=5D+$t2ZF_#EovkO>+~om71m;leR$YSBBD;lyZGdskVT0(;woiU0wH@ zoS7Pp(d>4o)4QV_i|oAisj#~qB2Eu3lWv81yi`XTF_?| zL*2o;I77oUdquCmm#c1Mn$-m~86>w2yY+x<2@aA#yUL87BT*Bob`x8v(j+iNH0!!? z9qq$~xslkv2T9@^H;Xd1)~@Fj>y9fLUP*W4z%CNtHojlWK3OG_JH zA!hRXIi7Gsq$x3*ANDaHp*!;du`jCcCwc;`uU}nj&|m~HDgk)ZM8j9;_fW%8*S#|? zsr4erKDbZ+YAvi?6<0?um8WBV6=<|Bh5Dp9_IjOUN?R_@WRd{BW+BpRX0DXzO^E0w z4^=vUuIti7Bdt_sAm#BSbjjKJm+>mDZD)tl`7w|np{z$@1;is4Ii%CY(7Q*y(eE}V z>k7LjMi<{`iBzZ5ay(dYAWhL5KHd%PE z|6*IXQVWZUKGVx~W~})G&nIV-&alcnc#{-xmNV4!E!K#}JwxG2&%3d3SY= zzOk+i5S@OzmmL-#c~`{dW)mFvvg2T{|6 zxHuJd)gLf&&x2X)mw?UlYa+bio=|0RY*hZi!pt8A&KrX=#JCrNIl;_qy3jQ&66~ zugLZP?lPRdeaCT&jV7FFKzG6on8rouPBw8!_Avi9K(hA^y%SFanqVa;K(F(#qJ3hb zVi#@~+Ocjs-JQ`Am7$fNG~0o%it`w~|32B{pJP6F|1svnbTS^y+4yyhD-qWXLns}dqS>}Uj5aBQY0mt0TSJR| z240df1C_w*@Px2sz|{PfDMcZ~7JyZ)9jcjIe{&5qBi z;cQr`IsyP7%Ac7L2g}_IJ{ahHfod2@jQeQspBs@wgJU&YTh>S`Qk5;Gj@2~L?+ft^ zkv@!z_-X5fu~qfta_Rwpl-o}8+%Bk^&o0c;{pdG9IO$QuL=p|g@Hx&s7W9VE(oL)B zAMrbQT1>!vY`{c%cX5M|Mmm)bV4LgZ50vpF=})@SdDfZ3rO>H z`wB|lKa}TgrhpsL{)pjhzVFd0ay?wxRa$DU=?{l}HxQi}=~H&B^lT_&6vZ~|O2!KJ zb?aJ^lxP>Tkw&SVAA}WH_dP0q6@x3W@jMjc=)rwQI9%QiU(qd-qyVZTq&aqMmMBxv zcPEU|7w1LpB(RpA^Kiudd`9PL;i=_GIHO0p3*L zSPicyXd17g`K3iB*&Vq~R$E0fKwDtD>I={&0iF|%m_f!l6#_m@)8vh13hq&GrF&%Xx zPo$7-gOK`bsgc#rW8}Gv+8$l=VZWcAwkJJbdH}iyae~MH7!z$23UP65$?kc+2HpfQ zQlI+e@wB5b*jVc(udpMlDyn6tt4^dJJ2`=|z5j3bcdlzUcN-4kGmL#e=r2}eLel~4LzpF{RXocy(qux+N<>8toSjiu3-2@ z)w;Yy-kW{y6I+xn&jax=YXGD1z4pgGjP_H83utk!+$n56W$#L4buW^amp3nS8yYar z@c^hhD$W;Lvd7cz#f^T;e;Ob^|I>yUDau)uas!4JuvX8R=T{@5Ih58KF z4?9NFbhfbCFNBn2&*4!i#TD<}!LZTmXk-Mj5N9Sb(AMstENJLd6Z`d5%(sw#Z@kPO zBXs6Q&&~axJ)rtl-v3-9{;yxX+}Qp7tH4T)1sr~Jkoilz{0YzGTwO-&xigJ1zW?|B zB5&j-!qELk8wj~;Fe^%qAMW;(RdgwAz~a^Wwm0T7!5&;9XRj=5rra2(yXP%qyvo)u zUm!_|JkKAV4@d>IC2EoPdT&U$waZ;ajD(AI8jY0GUp|xaW$5^s?ZVX9vpUpo!ha9< zd$Lo7=@`A!lv9~2-%h-m6D6*t07FxIr$0%PJAI=%V6}O^nil2%YV|2U<#@OmRWs_N zpw>0peHCB$NU(M6Ip^xn#sdW|^gLQ-k2+3E20Q^QhZN?AZ{818a0l}G4i}_i6oWiN zc1Hatj!UsNh2t|s0O@2n1&=xXWzpkvmeWjNv!+HXggTp!DK87vg#r|^i2Rfpdogi8y^?MXIKu*Tj5N#5`Jd$91ZFsx9b%_ zD-3#6!Boe`l|B^BnyD`%)LT;pdP@vQtkRxR`_NC_FM)lL0n05FOxUjsRakk_weETG zymhswb}a$MS3*!(I5)oYvY=>kQ%T zqr9l?FOIG@;hYt;$A8Eid`k3jtD5!G+)45LaYKM`U|_D~!p+cc0Jt^>3l83+Pt^|9 z9Bp=RvO|}V0QA7?x9?t@^L)NGIB{=!lOxO9)S~~=vx$bFFlybyfI#D3Bbx=86Y>4A z-vB3{sI>>pPK>w|=Ik_1Z0sSg^K3q>$k^STj{oW1o#Ux0gp$=sZ3%tia9Pnv2J42k z7`X6h;I6MbVDg~PPTF&@sB*n#-M9LE(K?=rwUCdsC6IyXovjgz4^-EKxoJs+)xT7Q zH7{?`?98q1ZvYy=$yt@1`wf_N{}F=JpZC5Ri1aBzD+G5yc<6><|FInrN@rzT1gkc<+AMnFI^NX|_+ zS(4IUM))>Z4J%G55sr8Ka~Fj;SsMWU2r2E;x8SI})j@M4kwm9#?lZ zfyirjixx>CNJ4{0fGj2JyQLlSrG$#Y8Uh6e2Ry(}or55F z!cW;eWB5(pnR_L-wd=BVj6cx0iY)$mJ&v@!%DKur`3tD#47C~9gP4Q+HVI8^4-bFh zm5B;s*CIAGEiWS%z0>k-*?yV!lh~7{J-KTM(*<6ad3KS zh`>^T=!Xz9$pK8|lA0fy*MvN<0Tsx{h;60UDw+0%d3MPn3I0>sG``F_UeNk^oCEhe z)G72#$%OJ&#=^j(S-n%?`@u&chW;&0y3SOA0rV>7uTF8X++5bEY$ur%YZYo5n$r65 z!n%5`qX!ooL|31l3Ytf-9~=sLwR`3)4-}b#ZIJsf`)S(dfQ2Oh_lz22r|e z_i;P&n7onh(kQ${8PfXMKF}?Yc7TNnZ14Xy{^J4%z3&-iWKm(7IwM51=e z5P?-)Seq8859MALZ6DSlp}AgQpJ~q;B&A*?NBEc@xe{mT}P(^i6!MeadZc z7w;Z8X&%qq?Kn6%PFBpW%#6g5)L!(&0wdD-z(1IMF24atrh1`m``;4$1+;qg1zS5OjS2b9{AJ^S2MaK4A3?)0i z1j&N@KL-KF$8&#)FGj@2*@fQcx(~wrc-`R=4}0~L5b}EwgAR|4<5dVYAL2$9Es7z5)ZVKZ-xEGmB@k!p8O(G^I$@A;NpAw~ zwuZyrwhVlWYtc7R92LC(hvcOi#-zh3g@EI{iN5{`p9ffXKN)3Z9^?cjENcny-9fT_ zTwZfI_#$(<8+a|Qy(@zjvkUy*zjwy!0jCbX%FHU*6)`wEWs0oV-_4sirK&0Z8rHY@&-m2k;EDML_ zAYoPdrhRF>0jk#*owd8V&laLf`3Q|2v|&0hwUgH>si{dfpJI1sabY&oi;IKy{;71J zny;|_dUVnye5!xQw#?8_zEtRDmta8p%lGZGU1#I|gLqVwEDkHxKjBHuROa7jdj3^d%i-e7yV-D<yDqOgafdNKlfKLt{2!nmZc)KpN}E!ec{(cqs(f_oiJh#o{P^)jbPQz z{?2&Ypq4gS#Q2)n=c_0Cq(~%FQ@x)ZYF3V>EnIKIBHzWLw?ly-Ml~ZS-Ull?H<&8r z8U1*rOEP+G#(x0pLA1ngCUBFBZPe>4a9@i-(Rd)DC_2v_cHMSw-R1Y^!n&g4ak{c(xQo)&(Xp|3T+3}-p3AC{XxnexP$nDgIPiEVr zsFv;^fvLXUD~yG(;1*>Tf(G5$G*CHY6~#F-gy#qtxH>G;6afR*_gLb{Cl7=0i$LLz z<8WB0g_G~doJj2u4#u=vonAxM1wpP2_76dLV8U4{XTh%Nmb(PK)Ow5iMC1q$h&vWn z$BA~I!x29=39afN9xlVZXScB89j4%kmiDa8@p5&jbtuPd6KN!sVITN%a4<@Z7V7TN zH#Ed2ttRex06C>maJVVF_B0GCZF38zSm`eX?-m zGJBHzXGIBD(@!!58iq%XFa76(t5rS>H6$2xtciIJZy4U39ps5AhIIEPj?^yaz1WJe(CYWkUAss`D~S39atzUXFa0Hgs(n9bG(3JUza{L| zJ!ub`EpxBek&{fp+M)v4Ub4(TP29X?Lc})!R&Gz z6NVaJUC1%87)A;DUK}C3Gnxh$5u3yNsI!-DPn{1|s_XpHQ|3r4!I&!G6%a0WS3HOc z9FLweZ;s} zqs(g+W%hovmbuDn!F34geFv|PDtEhcUT@|d{fxy=I+`H%t_$T3O>TTeDENlCEI}vj z2k4Jbji_n7%eey5gE?CAaW%ev*SXjyji~$jy)-JIl@E{qrPqyQw|jTH>sCC9EpMUmF(=8@jMa2BqEE=&|oTnkwOCYR(-dTG{eK+4UPgJEw|ea|8c zXeNH>%&&vzOuM?3QUaRCJK9>sf_mG+EwIRF$h0S_Tmk`mt?H@C1yB}o=%%nf4qu)6G#+Hcef&6&IrJ*)VKbPjmMKR~-+BdySn z09b9H_{Sr9yy8K_LNpT%RRj$u9*d)ue<6r2WsWy+d&%r#TaN!(j{&d=241qY4ACTLzf+V1-^x_qk*LS`W1&(qO&0IPxrLID5Pw2twL)C+= zg{PQ#a%wKq~vex8a;;Z!FlCH60uL)~>Gmo$_ z=B5Yv#O4aQMgoeBjeXQETM0AmM#j} z0e3A3^aAvlK)|@2_KqSDEe%s1lP@Wv1YrQ7m9~y?UIidJ?J3!t-5j?tXVg{cVb4vI z`fQ~NQ$fd-?&ZrFx&IiD{@l;~+ZPu(Ad(WSX^cWTh$PKqaI#^zPq%wBd?iySI1W20 zFX6ySzi@YW#S=lr^EL0amc3LWYfL|MSQkf*Z81lWAWCpqz@w)>yixT(B--_RUwCC; zA~eK~?i0@($)8r$baV?51llZh%ZlEkSI^?QqltsD(6DcpO(bn9v10*!Sh#dkN6mL% zFCLNTNcT1EOA!SJ65ELsBkIjMz7ey=Vr{@DK(vvP1+;ZtJiYW&**Y_Oju!JZ_86DnhlP}I6Bj#0Npncpt zG@z(K?H-1K(~uhm1J;iJ=3!U-!_Nmci*EvY{aclRE8xIOuUOfx){vj}ifVGi&jpyZ z-z{$Lp9?U^z7-a*0P90nW3QOg4M4kZ{j^tf%`cQqzx<5a9~`ZUdB{HR#UCFVqm{wX zpP!HzFA5h0Tz$V{mUjQ}kN<7Q_}3$N`;VmNf46D;>pKKwYhWqV8D(nujshu3if54Z zC(m9cZoRl1sV+2c*p~SX#{A!)nOrx0YTEO>3@VJ2r~G?EDmIcn@`IH=klX7`-cgMW zO9q8_JElvMrwpV^q{Aj)-Xyi%s_AdGjvsd%tjcwefuWJq5j28wq@SW7Yf(HW6dgMU z*If`YvJI8yJPsYbsFiG7R|}lg`U)E7%&b820vm(?S2p)i&3&4Lu_%cTR#t)u@yhpC z5{7Jyf4tOd3_))lfg6pF0|eqo-k?rAoXMV4bBdy*lKXH!`Y?$Z5kTy5k^+jk6Gz-_ z5d6%=$+n3$;3Q4OeOpSIY*3SQpSGcx%;UV9p?)xLbIX%kvC zeq090v-!bz(KnWT3ECdzg=+)Op@J7bp9cTR(f;Q}i*eUG^mF`9tV5*DNuqkKkoCq3 zJ0;Ky6ICTCC=m!`{H$25@GNbyqF`&i7oJAM=dyH6%{J`OEmiS;TY4eL7G4;L8_Bb8 zliaNb=*IH#yX~0qH*LIl`k}#Y)w1@W1-E18>4)}WiNI%*!YD+|(Oz=FxQpkcmo8y~ zV702BToHtg8$LiwH~;M>a8Nj8LyL|nM-CKPlz%weTEgOCPJpuw{mS*CD%*E`bKav0 zE#}|C`rO3lrQvtH3>RXj3g^|9Oj0~SDhu=pDqvRcYS8zF%EdxVZ1x*oe?!vw1WVHN z^07y2)-;ezwYRlFD-7>nlep%GZZf=g)-k+706TI+i+|mbBdYTy{J9}F?|o)`Jh9Pz z{Yf-1BGaFTFGbW-%)Zd>fYml8NsM@CD=&PpBQ%Utg_T5vK-8)aSW=>Zig)FCI+hiQ5(20FAX&qKEO|&zW zC5*s-uD6sgns0j?sSAsmr-ZGYLsnc;3&hW!Zru23GN)>sn(|gaetLQlLDAB~ z9-5Ne#;i|FRN%nU``G*r!zrIrj&~r%^9m3G(RnEe*kOM;i)syb>?Rjx(@kRH`iS8b zucOf1R=P$Q6z>J{hlQVugrsLZTrl5NwRh`5$=<0UNc|2Of0L#dpp|_{E@NKXiY{lJ z$Q?g;G;`}(3k&5z6C0kh`*p=cE4lDOn6`va*5fRl9? z@dj|Rx-O02h5dB0`gyhP15Q?{ko>CyMaA*u&hhpld6dsj|E|dXoj1yWe>b(s;{fpQ z=C|P!EpDtF0RCNId;7_B*3lX6)eEz2?aBZAR+e7tpC>@dBmexld;8K6axy}Al`@=0 zBylnAA(uguLc(^cCzE`%;k>nJ9yVl`M-+%h!68Q#&U zI{sa;Zo*Pc(N3z*36*z?m?p@OO11+*fU#1A`_+j?R^&_BTav|RMs|CwVSR)Hi}M{d zQht-TnZn{GF);#P$h5_8i|k$g@x_=bC{(J_svr<<+g*B zIj{=<%5YzAWpQBFYKN^ zJ8|MYFeXh9R-DS>Vc=z?1nyNRKxlt68vW@8arEuvog_0f0533 z^;L-5FKM=Lb7b)Tm+e;g4{x&k(^vi!ukO}=P}(ec<6obvcPmcwQK6fKyDW>{nOzlq zhJuxy_o+nmvf7JE7qIRb&gYOsmmo@K>s}jcPs@-en59z&b$rCjy8^puud>V$vzd6? zURC7RcIbIlhP`WzPtD>zL-FdStg9YgkKdY^gx zS}iLI|G40r*_RZrs)wwwQp!g@TB*<`_n|HSww0ncv;ys@FL(ZWyQz=<*I?w&w;s!_ zc*%kMRP?D>q_F6W7rdh6{e|j-v&b~9cbNFymYkv?OD_G|-40sS^FK2WmH}yhWFFY} zjd6cw9)^&$KQj;hmNX4}L*&GZk4<<}Z5@l2?dLte!^HFjfZ?1IJOit%Rc}p+{_deD z6KAt49u_7LdI$`!sC1D5#JrdH?7bmU%Tn>0ed2<7^m=`dSYM0lZ3im^?brEM1|nch z#$0uE_G?)$KH0|UV|dXL?z%p3i#htj>GB)2|N6Jd$Gd8m{Y4g)$+C@z;obGlM6<;O z${+Pf(t{VaiHmVOKu&@Yo~>bUWSrGhPjxl`2Ce@|8X>80^;0TheotP4w9C=trJr=FHHr z{9P0ITf#!jHQeEfn>uog^leEdSGWZ_8r0(xTFE1-kH+;Cmm9k&MU0Lf%c?J zPRCn6Dpzb3d^Qx(TM@EY9V?i6K_mAWHvnigL=HP8Eh*wd`qxTG`BVFViT)zdkP*R349F|*@*_GT#4w$&pXAKxWB5i=wh z8^V5^5<3eWY?^u}`=#3~hB7HQ`jQL22xfJ$1VpB&MJFYFsDS)UzF0k0Z-j(}2 z995Ukjl@nNDRVH!UI)jy5^c(omBS)+htwAJk982qLNv(5&$!8Qd+`ayQdZ_4%riM{ z#=dn|L;GNRLiTKnhm;fpkR16!|pOM3J7ou94+V||g3YV=cD8AM%%r-@|K4^1a|=#y8irK^WeTlxbgH-CwKQeP`62D(ulm zo@dK!++C~QCy_ucc%W~YdAE`gh<*gWjp$gqx8CS8?l=`B>q0L=(unne9OSBXpOOkC zH{nE$9W-Z5se^+s7*wmXV8o)NTvgWV-Dv}a3uX54ec0~1qHZl+u>_pQMS>FWX+5gV zP*>Rv`g_|tRmNSlV(^Zgxdd~;iWBpnRlZQNq_@?$EtpK7#+ME}Gm+X2Rq4)sV0awK zcoDeB5)A2p&UQ;5eg7Ev9BDFpmH5;J^^U|h%JU`@q>z#lKdQBPT`JQ7Rj^rpRbpzm z(COiPHpk6NM}v!t?dpsD>aNL>(cGDtLqi0SgdD*$$MB`?+=`dgJs=NRY`9nL*%AaM z=zH|WW+~__a-@H$^jHk{?fdh|sZ^IWIzc}=!Ckd_NFZ!og~y^HK}SXG29xXv&oM{a z2wPrs*SS<>3C<)>uBo7B$d(G5!V`EKl}C!mPu;kwA}5riCVk49r6f}bVw-2 z0FsVg#<=XLfWOA?o=31{unXj*k|kJiig7~mKquHG+g~2s`<^LMDmoJp6Z7>2qwj~3 z%Qttk=Z!CB6Ev5y?`R4(fEu4i7OD)|*(g{U;>Y&qhsZt%=4?18QVp;%c4Ygin>D=4N_NC!AO(nJ2+DaKG6UD{mf>)Snc- zAnstG(4KxNbKo80c7SVyH`rNZO4?Vv<4d_a4w(%jUs5?{*?bb*Y!xF4;?4Nq8XX2& zoIA#cC9@u|k(Sgo%I^+IZ#^;nuo5z^C}zd6Bq+ND5HuYse}vb&{|K-1%?ADvUQb7O z0VU*DW+NFtdKmmRvlWmT4C>9*QT=+qwaYp8Go#*2-LMol??iHI}=A#2A@{%MyPB=ZFi2fbVUmfrjW1n?jn=kZzmJ>vd8-t)fwca ze|`ye&uyfva4!=iB0ec{^3)(XQGU2n>_|3f;V53g@`iQNI^iK39t)dt+ikMALxB&g z!Os7mbPn0A4ddm`$>T^>wTsUBbjK&JU*5QBQ0=8hdCamdF4+!>Nzf0lm5;)1c&&b+ zS2;&=Zod|c`CnLKVJwBM!@F_ups zrPCcVd(vGzC*h2$0jcECMPY%Tqy*{bF;&OY{Ki=ahWe71%WI6GM9QIZ)2^q-=n~gXn2&YeaisHoj z_2rpy;4*-B;a5Mmw7;F>0@kl$iKHN?4pNeaeZv~~=d|o^1|Tlzkz!&%V3Cojk=)i5 zbY6wbyW|X-e=-5~Wskj9<2{I;Jg0~MYDw1?3ZJ-SEjE4wtAuIX_&_0`bu!yrV~vI| zhT|q*1Pw&EDod)fH0VZv&4aMIecg!X}ER1`q&Ml?o0B!6p`6(;qL9TIjJ==38%)HO>5jgU0XO@OBS7>VENEgY!f~evNfJ zbH`aE`hh%GfgRkq?3H}0H@`X3BJrTO*IcL%>t`cC0#Q`6q??qhT;-1Y5DT{QI9k-k z!W9HUt5oo*j(sOoYMXXL+DAH*cIwV|kv~Fuuy#D^ADF=9@IJV8EOsNpsD&3OJ*XR^ z{(8-poaU9)x~wyX8P=W_%mPSBY5}LD(R3OA{dYAL8|g! zK;NT6&}&G&R``_gY|f06&iq#Q8jW~PBHP1T9xWkcT~@>&E(hAN*9&ulq`qp8`pf$> zxO_A+me8E1^>V*Se`ArkyFD*;L4a$Rbl{rJzb1szadCFH&#zR)#afi%aopCuRW!9u z#1u0d1Ao#}f_lgbVa#kFb0ct4P`2K!X`T2+hM0`ty`Jy@zkb<@I&O;S565K+z9^l^ z{vulRAKFSAEqloyA3Kh8MUM~6>o2yn(P&xnXSPn6+KH8gm9~^6~ z^R>Rz>FNuMon^SQgl*%0X`Tork1T0TaD2{|l3YDzJ+76(dG?f#9ojURcR);ZFWS~| zB{n+~=cLk==R1n~bD;=oF3OGMsz|;>TBGYSHZjJs@Kv*g%kMm?xs~z%p}F8&*8Iz! zt6RWH8wzMF)}Q>w_iE#qZlxAU=L9$IN z`nP6bE+Osla!>1gcs?;2(n` zVOrlLxUB5gf$=o6KZiVkag1lmeFfU+wch~Yn@IRhltmC<{Q|Mjv4MR9lELq+vjXA2QMTjWuz&w8`6gC{e#C(2qnSYk~RxbL>_+)__HEvDsih zTjuq8zm4o|934Rr)vA2(0DV4G!7;GN#8|6j9%(G{?mD2puE{>MI!<{QHTDsQcAf4@ zpckXSQE>OEJF+giZMt87Gc}If^s_uW13#1621=5i z6ju(YOYIeIXFX^RF*e-iZQfR#@9!abL~&3}8*$N-0~)_R4*<6<%I2{qMlMUV&< z^v>$wVY^cg&hBtL$!7g=EH|J;V=H8Rk zQ?^-nP4B-VN7Nw@asAil({|MEDV%%YbeqJ%7i(Ls-IUHbQ*G7W6hMqNhxS%dZQSis$gSssC}!>HTTl!Ky)plUE)~3zl@`QUeI%M!Ji7xmw!hQkS%ef>&|Y{3d5r%C zt;Q)fX!JNZ?kV)iC9KcirW>cJZi3#J16J>8SO7JTsj81p!p9hV|CZ6#ew(|V28J84 z8quSHsBLYArD(w~xWxo~AxzQu_*E~g#nGdQFxpB=>=3c=Z|jyoTrPvGWjKTW{bL46 zCOJma{19&o{f+JH(_ZXz=i--j|5Q|6qR;>0`f9%VJf*PC{Mu(m||W82&!oDQ!X zbs$_?Vyf_205^_@dHh2rG7hgJfb(9dAH*@z;RnEos^FR z`58;Z7gLESyB`P)5?UASj#Zw#)808o_OjMxcI*wmfRvTFf#qK95%;<@zq~^T(t{uA zI*_066dJNl&fIci;WUX2XN*^3iamPG`rc`Hv=gdZU^TSX^2CQ7MLv3VI%X$ewyQD$^AQ-KJ4d}KcV4$5^&{5#qcw5ZqR#7=|8$= zKxAqAC3?66i+67!qhd2&!#relA6GN+W=}^Iu6eJxQ-~1nrJAouS%RFyNn(!_IYO{{ zaifo?qw@{A-V&CIVJ@v785O^Q6YxprLhD54BnJ7ZuJ!ZDGOvt`?dOYB*Y9CnakZ7>8JTGKSdUAEjuf&kfK6gM)HoR#? z6H4yHu|WW#)w}oa6)zJ-tO8l*fdF4e58U+YB#Pv5nY7i-1v)BeTa387<}n1f@BJIi z%b;7pQswWRe{TyN8_V>5iaFakUp4VkO zMC0KG$paUhOZMm7cn|`FDEyO^8dY}WyRwrnHw|X%Z9uqgNu0|9+reQsxc-l<9hRE^ zM+7y{r}_WGEUQ;zv#2il%R&dw+VYmFiIYay>%K3d@p9kVhopu`0N(eG`tERbGR@E8 zS;-(nkMHz#8`O3A+logw(hAQB_tRo8`x1^}B&In2-)T$?Tm`b^#b#8B`d*z+%|u$l z;Sfo!rda9G8GOo+vN40AqgSfe*(qtL)B=f{Z3;eHCIAkXQQOZwRqf$Qkl%5H#_PCwxDrf1Z0KK$Cs}h$vX~k)i%d84-}9h8)A9YN zu@`q6t>P5tt}v5o4ztoKl{m*%&mc^ixa_aBP@x3MzBCa+a+e-_{VF$v|{#s*OG zx*bhTlQ#&smaly)=B=#LAQ$KRD&5aA$ts&jYob6mD33WbHS=7DM%>(&n<~_bP;%bABxuv%JL#d*03e;%p=-8H!bVEh@q18~B_NNy|XaaJq@h=q=22p!JRa{tT|CA^B z_3*gv}* zsT>my&sLw+W1&d4Y4;Zo7xSwe(mK?NmQ8s+92vpUy+g+N-k4%&W<$XArXq8k5t!a^^D@G@e@<^|oD-e`)0>SxTEf^bcEt1lZQr|| z87fxjLg+&4LUH6m=K59hcXaE?@~01;>y^*A-uN}xAUqyy4kp8o=Z9=2NXSq+mlW^e znukRRK(v-ogJy8?o&XD21O z(eosuXxXIG(UScHK9ITq*4SDCC#=53eTg|R!bl>>1Rd%N~m4p5|4G5olj;<%ML#Ug)? zNi^JYtp8N~B0S`1d{6!GTfEkZ1--Fj8PeCS#_H8!v(?Sn*iF@VUjRY)ih>B&lLtHm z+PeQ3qfj?>7gT8qDsO|XpO_lW|NL(+1M-c(`aVha&;b_@cYgMzJTIh}LVfBcY zet!1WsP|tJ?qkn|HHz$wUiL0E%H6;H`r@|M z`E4NNCzyC?_nRpLkVnlL^!@f%|5Tn)n)G*T-$c``Kg&`4Zqm@6ocY^hEhjZlNTScP z99j0_${8Yh)Lh9s&lSu_u*?OE8f?R=rWl}d_ljKyyT#i~S!t{`QEfwQvz`#LkTZ!G z=WDBs;DbR#=KP#0QV$ChJbj&J%s$m3w{yD(wX1YOg9k*vQ?M%IUV^DzW?#*0 z4Iu{6{*|>V+h4m;t(wb1Ud!nKs<5>)gekno>_Rv@B9$n0o^4NAjaRbB9HQy-m=WZM z5v-#Iw0+YU@LDx?={mQgXW!wwZgvY%=d4DXGp$xR5Xy8-i+M>rnLs2+=RgS7xP{&{ zw~0o$@;8X<@x*rB5%kF>Ea8&oanVZG7QH8wiV)K;YoDtam?JJ-(eQWQJxr7c5} zK75p$$0kZo4BD*YTb-UhS2&BL6BKLc8DJsuzaX&tZ{h9=J%49#-DD+8_2;_sx`olQ zZiA2Skx94lg$?uuFfzK1`$H%>0PR~=0-Jsd?CSyI!C4KwZ?n*R=di7?# z=`!cni+t)jpxpU((w3ppN#)YA^M%waV;A?bl67Z6DmB8kl?uuaH=MBk?0q&$)F5jn z(UOY1D^Tp6Fw0pI3{`mmB1;Ck&RIXqcr3J(@e4ch&96XlG*ESvrA16?ZcQ{k&aZTX z2w!rRN8~_?Wyg_1@#WRy%JX81ZTGFrsWfj&1;@*MQ84-|2=VhK62&ESVJXe3L2IOr zWvn95;8utCka9j7y`YNc9GVS2z{e<5cy9b7!tnde$7N$c4sNR^UnnPUXq;q+}X~oK_m(isqQvw&dPe#{|-&Az#T1P?2oSiZ`;%Ozel26ff|2H63F zyFV`)*ZwztOP~KWQaz6$^Lx8D!*6;G&vFGG5D;Aa{ayR#W{F?@d)fc%OQ;9+R(SC) z#eCtMRV{g1=7%)@R{Q5*|DjY0P-rmUO*g>OL9)KJ^CjC>#v{j6jlM!h*?VDE^z;x@ z8Mn`XKgJEcnZv?Ji-U_>AjcM6s$%QQ`@^!Kxy3jY^}GoxY<;Ip?nXzE=O(#&I92y> zSmMN(Y@C|L;YoR(+;eV1TR(5e$?T$art;XdZ&%J~0*f=v-8&u`JzMwBK90e7w3M!g ziTiAROq6q1q(;+aDxy^h2rrtuX_JsauFGDHFFERID*?JFKl5JgWMQKUBfKfu!f4CF zN-6G<9>9i|#(ey45~LPnkR(osaX4Ib{;b@*5&|I{3Y)I(O3_szZQ>>FxG!U?M@4T$ zPU&y8Z*n61*i|5Kl@%U+>aE`xwZJ~W+5T}+jq>><*PE(=%ovF{N9L~`-p8|xZ8b=o zv=8lCm!`a) zr5oPPUu1_Jh9aWb5_n?QOSnaoQ!-z;3D>rs6~vjngKx(J8|qV$*c`(ER1ESsMV!21 znQ##{h{(fQHdX^ALwHw-#h9pA$DSU7Z3|x(;70Hcl1lavP>?Lli4zu?JR^zMWR+=7 zNoY5}%K?my7SZ2f+BmN=p7Ru(R7%B!yYNo?bR@>--pt!x7Rc%(%lRdO%q8uJXLUrD zoJ}S5o`;vw8hpUR($Psj8(aGwcpb=t{1sgz;s}2U=H&?AvR}HQy!yDw@M;!Jqev`P zA??M`p!LoTQTR8pPI}|$*G-^}RS0sz)pX%^#%52cLZh&887#UQjK&?RxAa{>odJ9W z7L=Z9Qr_fKs*QC6lnWs%8kWYDDA7&HtI98_W^P`b_}rfU<8{~MDX>)vsl6V(9=iyf z^FKjiQW!p)KDcY5B!ClHyo0j!bAWqOxLwr_g&5A?@0qtTjGMXH zh2nx)E3SB;*Kx{PNk4_EK?KK*?Qy7A^zG(ZW39|Yp5QDgzstz45Djkn02o?% zap->q?e(8XQ%@;o-AJS%BR4YM(MKl>Mn1kQ5NvjOkIij}Mz=>pxIukWQwO{jGqC!y z^8II9%7t@0^S$k$S!Ei-m*>Y5a1D)LORe8ijoGDTrn|YgS;4(|98ZcYRkrOApM@jY zw#;L^i!}-vQ-;xPP|knvh-3dbV496DW<+<{7(T8DknG-pE`i`77CaWa=B#+O1%7GS zGX#N)6x}BQ$DHNQMMn7%Ka0jrDUJqf1c0Kk;WK;)P&AeW_#FX}1A6HfvKP3`kOq1K z%AZewXyd3KG@V=H{m-b~q@Z8NBd5Q-Os`y|S=LkXvAghdqO_(#?V(Rps8oThidU}- zBr*O+FvQ?4dN=U!-A?kn)8XeWLsQ`h*AZ%zpTAggzKgRmgW!sQ_`3bhf0o5qv~D)kY(@Ny51SO&gh8D%NM3 zPf3I*ObfZ|S?s|vq-mhfup^$U{detlep}$6olgU=8mx zw~PAsF1VJM%C~x#_Diw4T zbhlEw6+PnaQ__{#U5Xc8)n3T2Vf&p?vDPOGeo0g=DJipDkh=vVBg`mF=mQ7oBW9dG z0vH1=3(BZ&F>!^SgbxN`$!fVs8gbUbim^3uG>TceQ#b8Q#S*aOuw6ansXJ)i8~=lrxo^!@wms zlOJizSw`&R(S5(pX7H0*$9<1DqA!40 z+dH9Z4%W6Gd%rW%D#9iQf^r0{VVj^j-G1#^9Rvlx#>jn2Xa{O*W6~Sp{~cx%4bqsN!$Vx(CD)OJFJ9|t&`=h>3jT> z3RB&A9)z9BBPW(PeM@8t>^5t~#DkVBj<}4~g!B6TMr>KPvt6JRKkJ%>#Q#fO^9znY zvgKTH|5k}~T=&1?%K2|@9eAP?(er*fqaEfAa9BMQw^P-s`A)UEsHINSLWr_0C#95z z;!f-GRrE>INOd42Amv)x>e#=s>g>XQamn_4*rVzG*{iQ)qrd|HL4mKdc3m6#vgT0? zRcpX%v@L7rW@lo(kN`G0IP3834gip|CjkKY@bRmOp8&bHFR~c`$W0E0ms1MwVvHCF z&lgNZq!u&CXwE)qBH`#_zHepsU&^{M;lD0Qo=QG1GMn2uf0jo!F!bQyHCw@p6mM=z z=o2*Mx*sHr7#zw4^aaGr2b=0m#ZHYxeoF-?ZsqKV^+8V^d0TTT>B6kubKQydX@UGA z;k}4B1@t8Xs{iOMZVPJ#q1xKXl`n=Y!4p}qIsm_#~)8Vq;2$9=V zBd{|~2z5ToXT7~x5K?oXKK=0Ddm|t+;XD>Z}7yXMx2 z7GH#Ux;Dj#rK`GGe{c;0@B%<)>3!K&h+hq}(tRF4#RcH5S;i@-tE)Gz zH_Uzq+EwB3*$Spt_!FY&H>d2A{ezWH)rjW0K*6Ook!1FEnxqK1_6=9RsexY%ADiSx zdL2I=tiZZn5Qmr~JKgU_3J1ZQu1xGyXOWSa4;Sk zwADFv2f1j7tHkAYWa&KrSra@(49{l6;ZYQgV|v7eX&zHmjiG^}l zQOE#(vNe|73a@H-t&Er^IBciOD^jwv^0S^+jiX~)u7Of078W*v`@7tx@rota0Yz_=P zhNfyhnUO7Q8@7x)y;WRdQHUZO#s-YKpI1`E$jWl~&R zXwBb~F?}QsNV2{;n4!sTm-cFgUfX z=#{-lOZi|su%+i5_pSy}9BrlJF<=!|SP33WXN_-^iEtC)V2M+i!$f&6E+e_+T59nM zDr%HJZ&9yZTbner#%1&2I>%ImoGe3SY}3&P1D6DKBCiBvM2eroGE&jzSc|Xh_=0n` zDTTA^OrFU1ws9Z1=Nca>DGbX{M|zyyu&O28TC7TUYb*qtDY)(y#s^`l!na&lZ-#Rm z6cWJ7s|-HE^83s1B*dea*7Lo_?+jB1@zbf7m^nAcKb2rgRJJID(4HydHVS?2yCZ8l zJqE^T^DzsobhpxvK)c=$LB4IU*6!sE387d3m?=%sbZ&X9+k<~Sxo24 zuu(PVhM8(`)P^lM){#i^apUl*5y(vUUMTUfgqQya!7G*X)v)lUm3w$_^RUw2>pM#g z1=E`lZpt3#gH6|Pi^IEpe)oBs4-{`5Zr|$Ku;*KsF~Uw5LsC4?Ml~+)Uy(=K*Sniw zF8Mc+2WZZ&u6A08rlEn|AkJtMaI_r=HO^QLgcB`?3Z7#BCdac>c3nD@Y@4`t@$yy7 z$Wj>6Gqw5EUJD=JrtQ!~(we4Hy;gSQ*0~>1^r8o`DP#@6O>fjQqxbW*QJ}RNX9~2( z1;)7AEZG8}1cuGo7I-sYS)d+wZ`Pdk3|rJ#;=VQ~L#B8xxhAn%oZD_4<$Vp z-HU32f-A0aaTBH#N+$eme5)eyZg-K2J{T|d%skf}*XnGSGqE(08~6!*r#{?7rVW6{6~E!E-2l=|uEl=JAV zLj`XFDW{!gIhD01%EH_+wRy{B%aL-Z0-z9e+=bNQ>q5k$u9PF}+Y(VPkTjyFJ#+`% z5Jx$eDqsfDm22S_y_Ll|Cl?6UCXrER%%C?lv0O_I{BB1>H`C?%!U~t>EG|kU>v{CV zB{NxXJr*OUE#r`wGiJh@XHd+HHIP%>eY}XA;fby9iecG<4LEUUeH6sK0==lAz84z) z_AbpV^~owgvAwD)X#IX@T>6|!xAdrlV2Hb@Z~wz(l`x^PnvQuRD0HWd%}ypRa`{?y$$zh-z)Rt}omvKwibZ zZ63$E5!HtZPi7Z8-?e1Xs=h#bBp1>Y*54kf2wPvj9(17WrNNGQKt4O$ZTDIQQ$m~2 zO|zqhuutSWMm*~{r)$*i=U1;70bn0Eso{T;GmS)_zi4V{3{l{-&v_R@ROl4i?aEXE z)le0wMr`xn+XP>=FwLV>OFQVK2bsgChf;tS-b9kc_2W9-Zx()SFhFNnE zfg94uZKRK>wIi>O8Sl;3+C3R9Q1{dy@hqlH;M`uMuXO&RyxC8h4{8Y+)Y!7YPjYvS zB=0aqM_>!;7aw;kt;AHJS_Su(Pad#&O6P0o>0|@xDV(xYWNJrafp&Q-WLHNB3-F9K|&2CLqwu~GC1$WWu-rZ4-T&Pzd~Tdetpa$#KP1~4sJl?XshlX zcz!|LOy-zcU_qUD^7;a+kN#S_^}$;Wr)JicbOor=IE#f5d~9VZH$dI;zIv3Y?ISJX zS@FQIPCi%En$UZ=E&t|VVbgU0zt`y~7UNKVc zmc)g~M#FqHOZnl~ehl55$YyKFU<~874A>>Jm8KZto(}SHqx}f=0k>ye$q{L#NaiY9!nEw#0iqNf~y!o^2r}C_$*Kw?VhiVZer!(G|U!x3#^8 zJ@k&XD;Gt=K~9ZK5<*Phme!;XQrBqMm2%JWwf7k`JJP-R)n(%5LJir8nLFnU z{K~wUyGuXQ=h0vM*?iVgs5_OV2QSxnTG18K7Pd>-2bb58(OtqFu=;CTW z1)ratX@9rb@P@I%KEd+lM6{!dx3Rvgwdv@#;G|8K1zkgJ;K0U{E(Zqv*2;T<3K*)| zj;#zzQ$#2l?bEtiWO{l{oyU9^rh?cFAR|&nV;>Oe5ko>85aRi9z52(kt8Va2Ebsm!)6u$40cbXT6$A&FR}sH^fGTB zzi>2v;gaMMzN+EY_rjiX(Mmvno=HG5JNh}jO2?~b>4)J9P{3ETax-~pi}XCGq@nkY zSu+_}YOsyJS#)(w@vH{)QQ=^NXSH`era$asbvW`;(^zMYFWnr>k+2?& z!MV?h9Nu#2Y|ujot?h}R0IK5iOAm$WiUwVcv`6O(XKw3;q(-faxu`Q_Bh-GMeuW); zLRUZBo63$<__WkPh;$!A7I&4$()(%1a_(uqtna;L=#%e{u&>WZZYL|hL{B}BwPZ^r ziFbJTelTh|Q~;ff>z$|E1(*Ym=~wSyC!Add^Y5nI7}bI&xu>ycA+fQ_PM;oFOii~$@gIx zSfzhOUjpSrz>5((ar#kTaZ0eALe5ChyzZRk8|q}GGHxCh(>~%}V=8crjlyw$fLbg1 z;kb!yzi#0uY_p{-dVl5kz>zDk3^NkH-`&&MsB%NlsKI4i6RMvyJiYNG+QY)k?dG!( z2FnSHow9P`y=yh<_I&*X+=$D*Az_ zPtRyC^lM;7UwS-DzY0g>XW$7vw$+|~II-?$KEBe>5I*+NLPPSM&H!sCH_8bnov6f} zL&~>tPpdy|>y#p@w%Ba1zLoG&r1EqcsZ%^4T$L2>`;dSk*KQPub(eRdIJMxy1NxC# zFO16wvwyF_@ve+8k}-eYOA*`Ls@VW(AsbKsEJ5P90XE+;7Jk;Z?Mm}PoD%z6;q!3Z zCmrsN54`X;U-(&mu2H9uys*9VfUO+9A&?9?&Ks6eMH{hU^RA39hgO&>@8!$GPb&rM z6if+7Z1#nHhLx1O9IPe(s597;9(E!E3iEg@en?ud-PoQ+0Fsv7Yr53r?_&Z$+T3`*>HOLO3qy*^g8D`T6nd9a)9K5QXGO8z}lf7lAlEdI;J{k}5U} z7ZjU>CSa2_VY@3euR3^AR}!*1x88XV=$a>L1-j<3PFuTVT|dpftbe>7Tiqd35;*as zL|qSR$0dLxAevPSdi689F%EK_oNXx-n-V#~rycHYIPd3UsY#FY*VU9q` z6}`AgU$HJkj+`bph~3fAM=JA=fC(#~|@wcnYC`~HCw1z@xDBv5chtx}A1$gb&kz*LqHCpPI*hxj=8(ss%}u!TsRgs^lM3@$wwxCb)rdEyMg&6OW6&Pt5Z zCXw>M`vG~On!ly)cuE}$O738BJNG-6AXVz$6R3ad!J(QFw-7B^$#e#G&A_!{PCx68 z`VAye%<>xubp@Cl@_q^Avv9Ee2@BKyHRYeb>#WbFaA_K$IRSQwmCQ8g9PFV7f#w(y zAsiwDKhGS$Oe2NA2vmRK=lpXTQJ*Yb9Y1Yol5}=9*{ z8E|qhlc;`Ca_mR(XS0F_OgH0IT0>w5O66P^wnSkL_+TWCeFfVYj*HD5fy*>)hLpMt zSy_gw=i71L-8D~g{j{fiC?vakb44$r>`!^=4RlHq>o@S%d&`>jJWG%+`KKmLc0#Eg zlbVl3Gi#C-0!p)_uB$C^tB0zJbLYj8R0s@ET$JpS$9S(_Pw6X2O!5wNuzFjLXEgXU z_OD}0+u!e_gh>kG^bCfJw_dfFMWpN@P{#Fk?wh42p8-C{yDZn{$wDO~bu)|+UxCkf z$$FdFtrYUAJ`K>qo7UDKH=l%K_tcuX;$_iCrBx4Yy{78lxo?o`VUgw?iuBUe!RU!R72{5e-YYP#z=T>#Kb>eF$& zMej5_w}#J*vaL*2+4~#FaOZw#Qiv7F4F5encv3<90c?1z%VC7MzVjA{C?+DA_G=$= zebkrwchoHPQ)i83yx&-C2K}Evp+v8oDDi2{kbReFug2moe1r;qT$uo|3G{#7Ug=xQ zn|qcGn7Ki&dRMH6g6Xs=eL@B>ZQ>+iRWoY9M&-GBH<{<>1`n!gyr_>Axe$QkJzVao z0;2$1F)cJGa>*%rJKMx?5v#s_+}pCp90F{!@Py%za%Bc?M5!kfgduyd)(Aez8QkvQ zRI0mx9+X@unh9H;NW!B9-4|C({p8?sh3lVoi|U*QY*azci!%KGAZN1t9qJ43PHf`@ zFXkkBarDq4#e(YeHPEaZ&J)r*UxaNt?-|fd6p`p4D}V;o-*F*{v!B1%;z(659w*hX zJm<>X-9#ga=4^N%a}Lo{;I2mhMEiS?HkX7Zh^}s zh!NY{{LFl*t3OS zhYUuH%$Ly`nWaP7@>_xvzM1IH++W!$zZ_ zFdbOGj$it2jW7kK#wY+br6lauUWIV0)TL{MPY-SC>niA?MN!>C)^dA}!wg++F5WDg z9M@2Bp*X4s3pQ$I$}+Qj4Blk!pgp3o3g}TAV>f*#niN;A*Zr_EX;r{aUq+jV%P^YD zG!(R=b%07f%=%La+psIssx(_?Rz7FF$VuBNX7g#Y`as`W$ycV!cT)YvbBJ&B7K}cv z3;!L;O8}xnb(|dnYpMLyNU{X=_iv1PpFENzs9~EL>yBva8Fi^C*qVXVjgq*x}yIYe(!Yf-jkD+YbrGxcYSITL$XMKRjqb37% zfadPt(2p`}r=-cBTa|kC!pJQ@*{!I=*tK^hb(xuSCNk{8i-m6F*(9M#IoyL^&Zb(S z@T{IKK#<_2m{lHbi`~hLpo*wQn}`|8=uZ@wtV^zr8_(jF1At55uaoH;>s`qzw%L!o zxn}>S9)e9F=Zx)6SM5tFS8En}OYm#%pEHv9U%4Wt|Gg_hnmELjVew<}P!4iQ(J*d$ zOiyGK33k76B^DQ;vU;D4;CPN)g_+re@-qO=| z-rM7pQ5aEs8jUBKHJ@Z18+X zx8hj2CdRb}OPc6py74$srnzQeK(!Ov5D1L?Nc0m_0~lB<)Lhg0;~rxNOrYq67+b4B53{i!|gkHcvvP? zKAeCQX_RKzAC*pkv_6FIT-xbnpjn4je!6Mt zRBn?b`KOF-vnh7XYaGr7M#^lR=dgB?%;THcNikihK^cVsBTWem*XsD7N6aWF(o)`1 z=xt3RjNVP^-on(AWPzSxFq&s({nLNY((82pMp!ixuON6G_TLIWOTTlj(q#BA2A5g? zLp;f^Oh_7~8E36xr=2ZosO+VbyqEl*BoikwM|31qFYTcXOB}cWw*wr9asDe1gyt6* zhfT$S%@@VmYBh>Do4KR+kN%+~_4siGL zoeigR?#bSl-rO^L;JPm=xydR!IExwzusbbxXig#QH@KR=fx@2uOhD0@RIMfdm3g9W ztvJam?<}kqFD^&Vm1n7xO=B^lhjsDY{wtN$FIdR``||GZJLi|zO!~t$eCP3eq!OO+ zG~}zzXVJuO3m<`^CAwvlu;%AR3v>d?KUs>tJd4XRH{aMCxO}!lePtprFJm8dF^UQ~ z)fLulPzWR*MM|(THB^wv_3jTUDR5e}%`3^XD#;IVe9>qzi$jaHXsh$c)0bPaWg*ax z{M^7DO+kBLCMX3jLTqc0_7Nfskb12BBZSh-wa%)0SkJ=VJ!Vli-nzqu zJ#&Fpognauuw&JVHc|dc;Jn->*;rL$bqg>V2`9jyOTrBP9a)CU%i(uvBich626VAC z>l^C=5DT2m+9`BwN*MCX4&ql9sH{DOR?i1a3N3XUw$AYzUnDq_Q}tIwic>%RIxKqF z?WlayMxdKe%FD9T&E3YI$W2S%A1PNrOst065mRH2iFR0<`gM;QA_|dI)ahc)fkw?& z_hT*8E%Vta|44JI&=9*h8_Ey2pBu?CMQ7{GQGi{ok?4|~gHvUI7_{UF; zC-oG4rMTM8)?a3syCLoECRkVuGG7KjowqtU7*W$>?Mu~Ps+NVQgeXU!&9R&5A0c@P zz|NbIb$v9Ct|D?ks>(PPN7iFIDqCk`2ATahQSHI{+05FrzR^pM$VX&tb!G})BJZ1@ zrJtFfg{7apzxsZ$vvIw!D68h=WlG2*DrM8yFg>w)K$V< zsN;FF)l8B_@p82Ln%u`Qg~sqUbv2x5`7fXXU|DMpyn^%}ufhB3+I?r;Yp=yyV5i5R zQH3t*aQ+c)&75s11ZH^RJ)U`tkUh~8X?UB(IJnH&9*Xbl+r{O5dsg9=t`uab7~u2) zgnBcU$Mv^J_}IvOQ0C2^)(pBYo9G$nBx{xU4?bMfPt*I@-LokEjQYJj?OnZ4wMmqq zJQn^CCsm@zviUe#C$doQ=g-;SvHBP4^trl2%HVuK9n5b zB$qPS!w{v=^!fkbG0r9Yp z%lw~XVG7cAS_j_X! zE%Z7VR4Mj;Z*!7*ZGOIpYlLcWx;VE#LX@6LRGRuXh3;r8S6rQaI=5luf ztIN3yg}R;P`MLa1Xw4(B+1_MLr(eHkyvB4sxFVMaoaDvQroVxVfJmCeg2!(l3{~$i znpp?$QCFbK-u5B=vw}Epfwl6ttG74x>?cKHyMkh0gaI8#zw!~Fwun63cBz1u7Y&lA zi|WJ{bcn+dTC4cNAHd~-okTdtiw^fp z7ITE|;wA*dtQCkjc8KIVMGL$-8HjTc!J~v99haz2`m2cF|C;WdrL)alG%?^AN@_uJ ze$raoC+5NrN_+iTEcTXQ1VNCl_T&@1AWnTEdLa^Z+ZuU4aeDg46{4BdU(MLNnuEBy~8Q|ASF|m5f?!z+vmvZUt9q98NICo2|!lT*Hak)>{e66xLg zClcs~&*;ZZucSQR;>3FTJ62P<-}?S?L!(A4fs7gR7*pSX++Y-r;g!$z_>0HtL?}I$ z6WDhNuyyq<4{{q?YOQx3(Mwyq_4oF#K?y*79c1RlIod9vL_H?bM1P1X{su(Bx(0v{ z?(MH9EexK=bLk*Qta+24$!S(A92ywU3Abm;#tWDEf>}j2Zp|Pe-qm98HxqZyUY?z& zo-KUtox4t5hb^7ET%4JT2MV?69i{ru^;{xE5-kKt)w{;dk8FRl;B zZ1?NhIw;fFn_AxMMw7u-;9b$YZxy{E%`j{^3RC+mubcq7K~w4i(8aI^@JM7oc^~xr ztLINFo#PU7GvGqAkvYzh6A9k=4vBd?`KFfSu0_2XyQ0;zbr>!GapR|Xay0Gg zxeA8XcR^hII1bMe9y9)%qx^o7`ZodIr-N+Pf3AVGfOObTT6-o z%Pg2v6=zQ*kb-zjWx}c@)*W7Rs(>WKgQ}@sL--BGPx~?)YIYuxg2KwVi0)84MkT-X z_Ifo7)0L>WQJ;7tJ#PVt5Y)9kEqm+X!$y9U5p9YZINP7ivGAb-}%Cxw9tjxK*(=ZqcHm#3$igDuBMcbu*hJ?KX!ZaTXwFo3m4@Y&WiT+s9@o(%6bq ztR*8PX(PW7h7qBZ0-77M@b(S$OWv@r@rae$#CHGo_oi?9k$5Y94g^xIda1ZV+qw&) z*!jh2bD#8A$+??|jz9XM;)a^K2$Bo*wO1Z?j`cD444Za#rKjT>l7zWi;0|`AaH1h9}z7J+<%fi`qjExUC|m`gH9x56VZAJg7Ebkwyw7K_L z>=7;ObRz?<2wS{--cVLvURyoMsE{`_GzmXuI;K-BN>ec05IJ@np;c$Oi=$wf-#Fps z*l~_Cb37k%{^2@ArNEu6#Gwhah? zme!$#51RKn@5q++iLjjcR_d>1d9*W@R5z@(Gs+xFP^$~8!-sTko5_6 zi*Me)Z;%B!jUOF>MGlz@wXT5KazZ(K?Vg6C#83%#yA7j1{|J~R@DK?3WUq%3F^?|h zNEYSZOZd$>GiL0Z=DldwF&i!{SlmuBNOe2cWC1Jl*7t7vkxf{#3S2~mV^UrwfhNYj z-ra)|bf_LTmR2KW#o`-WhPEllTZg%4h+QgADQ-Kp;B6&&?uPLeXR8Q5U{GL27Z?`k zExv>C&(aHVly{+lmVTCKTKZhKTQS1X{<8g;D>F6}Ap8pXDLh&!%SCl*GBVKFA*BXa zr|-hKEa64>DHrPHO8V8;nCTw`YM80dV}fI%8&J^S2PXXn((>T(L-ye^m$3C9Kw$_z zmv_E_=UGgKgYJ61F*PoAAvAakI@|Vd_J<%3CSknnqI{w@2h9Bq`g}foLG}%f1oQ?N zZw%7@*kk=WBnf2o(1L~Q@iwVE`S0ysO;<5Ip+LLW@f-1EyVeWTjd{+-L!jL&jApNv z&8Ry`bk1q)A_VU#^y2KzVboz>i;)!}a8!rNC3)oB;eXfGkA z^9;v*^wyso3L}z72{mTqrmL!3>ML)Cb?II z=FRV)Gj1M?AW8DngVtF-858j>xor#Ks|@&Hqz2M5EF}X)HOe~MW?5>wzJ4;Mwk**8-Xa`J`1Tn26oO;u9V-}t?3Tz1vw-HVmtsqZ#6U)Wfpl=Ma z3!5<^^+WIK!$R#Ody8sZCZgAT;ez8bTT6HB4}u3~oP;kgzCN&kh6?5Q%_@!e_D^r) zv(x(=Ge#L;D_)j38|{7^kWEIxW`O;el4MNwlm#B^()`u5Knk@qzwX<7Z*t zZu@sA*X8L1pTZ#zQHrw@=4%n}K88Y7++hr8H4>u)uIPn-!?C`Q)UGWeZI*|j{196q zkX&9kMDDPx2TpHuEp3MQ;(BC7lKc#impB#Z*gM$!m>7WVmS6&?-pq(!?iJf8JU0HBP5$C%8#3_i)-vFT)@l@aCT2N5 z;}R7M|IkHWs3%iY&<2pQWgLXQ65%Xl)`pnIX@6xhj8_|b0Izyg>!tc_xbG43;b0w* zLvs0Ow$NYbkn1vyU7fk8XZ8Bsxu))3ngIQiG6rAqIg>|dY*LZ8*36!mdKQ^Vqrt}X zO2exuhL{Hwn<{JFLP>2!@|qro3tO&PL{y@6+u6w(a-o}gTW|9V!OI^tCK{5~JE@2l z``#(}$j}fPYVQ3(>ymFGGBX6_3y)^H-uCyfhydra+Qx*Op0lLcXB6j=LlpBg?DS}C zy&VrW(e+F{Lk!-EqZ3E1)a9frByL2l8F|0O%E_P++D?e@FMDjzLeELFouNHqB5cKCN1(GvB|Vw-I3sWj{-vV??twXs9<{iC>jEY!+*GwHotnfDjIa{ zm9pb~w0B6;d*k8$yfuLv7V4SvG(dl_NUHxW{W{`@rhcI~SS$?2;J13&SM}B@Z)QTC zaO4pqtR1PA$>#DoyC2{&ZT<66KbQV39XNn;uWd;6c-{z4o2=qUV1pL3fqf6h6WP&N zU*IN8X4cR~llKSQC463Q3v`$nUzeQhzC^w>`wbM4D6+(|EBa=M-!<{3GG%{Q^kqXA z2|nVvf&D5C{)o}C_8$Gzn*lG@q<1QM3LFHi8ppjEEc|S1toGrq>*vS7b#9=of%jhF zfbJID@;j^R89UT&fIO=4q|sm4^u@Yt2TTtyB_$5kM6V2^HT(16^^LT1sTkFIg@!oJK4y0u`zClhR|i*RGIeOi4KXhfPL6B#?2_DpJ;n*xm>lHtoEc-DxK+Sx z*iDekoQmAn?ApIGrg$+GQeC~j^k_YeB-TJArmCRc^ldLV#jN~1%tFKKrY3i*vWWkM z5PV@@mDD+iOXh-H0>2dZL=biT2R{o#*YdnVk*L0@D|G_dw}GUvHh((+4S$!?ds$H; zYvXa`CwABJxfG?m^}BFki%9pdc4VEK?8_BnuW1|uMmS=?| zcjUv!a6Z7AV_z*juWU%^fZ9cu`3Z;SGMhw}$>5H@Ew86uT=l&-@^LF(NO3s+ai)Tq z!?;MfAedV?-Xvv>YEZ66D%9jwM$%HJqDTPl3k5j^g5d8qq5XBs1dQYY2fnAoS=O%T zyAVjjfpoN7P#X=GpbX`AuBdNnlQ_L09Xd{=uQo`U!$S82pG>l$nazciA9w7v8OxKk z^{s{pcj&l$IHcffmiBd#CEO+@kSPpBDNiW@ZS_!^{hg)z*}ODGXGBbUooWY_ zAX7{HbO!WcSJgl&Pg}A=sqk=whv%X-xBRdJmwr7pcQ^Ci?*h_)Bs_rnw`mgkyQBuI z>_rIDX1>pZiym!wR7F5Fi!s!2UvS$o_usqTtI@MbCiDgfpdN{6m6S1ce$3{`b!p1i zYE$VXHMv816hw)G$VB2$w*M{W6?W&3>bIyqAwat$9l=hlVW|j8k=d_B?2!qR2aw`O z&|58EW!)!EXR84~AlRgdoyb`7cWnP#qAU`_`~NZUPwcY4k4>RjSLYS=kV_mKtpox& z49bI0qUAxRNq|u0HU{9UufC`ReD&!pyW@bbzQH!82JqEybk74sBS-O|@EBvKAg3%0 zoAt2OC~UZ%sB84xymp1W&04g);6|c1z?}K8!#l|~RAcfkam?&lOog;6TF8KB3D!k& zM8f+2ubcmW(ak%3SI`@RvcjV)k#c75_Kd27xy+Z=T`0d#Su}E^3HdfFQ26p~IIA9$ zjUk0oO&E>K2h4oX_HEJ=HdEu}51oX3$~~}tA6#6(fBYJXH4Op&0pVM&fc5)0=E7Q- z5sosYH{s|olK?|)B0emS;tl*W`EPN_FmiP{@EgeU{-*Z|-r@Q6t&D5$?uef-&~3#F#rbytdB{;qNUA5PA}y#4CE3EBLtI zrrmwK^#wEi=hV!95~2BVxm4oCM)PFK`2}z4+HW8PDa+%UIYUcSW3taog92fd)DG*K zIC%RMwi41HhjNSa3b$Yr+@ay9JC-i?=;d-rbp0pmM1AbgjsSzT={XY_3e z))87&SqdLJyxV}}A>5i&XB<|_>-Bnj{C4v!kJf702SIU0F-!6nksrI)DeChadvP2a zyfeOh&)yOJm_55NSXd@+ZxhX-7ZV!k)+d&#Q&m}AR;{2bYymBv5T^6LMYp!LjE4zM z@AeUF!PT7u{8t+KTG+Fi5jz_{WT%BJZmVB$A=Zhzx;Hi5z zEV9G}On$tG*;l?;+_*@Y#jdx%fzU0Nw&3@(u`xaZ_Sie{BdSgXx_~zFHp~>liwT}< znrGvlBfASi!y`jIiDwohkG~CbSK)kSJjuXg>mE0osER4IJP#PBsR3GYwaMDp5txyx z0wGl%CYo5=auPliQ7M-TT*}|1#wo73zH5&JWYm;t6`KK9@%X*qmyv|{f3T$`C~ZsJ!n7r9%sA@gEzR8W@ZceeSsQ)6IG#%=Y)ty6gdmWn+4yR` z)-Y3ang}`Yuj1|!sR$uY6V#*Z_MLL^DZ!r$0rB~-ks`+djg7v4@%Xm@#KYi|^ZQ{_ z9mqyBUGa!bXp*2y*#4sNx@#G=^;Kb&%^uJ=_@imC{conmcP%pRis}n(8AnUyE@G)F zxbLb**2Gv-9VC6pBV|IO*$|=x2Y$YzL#Mb<>D6*+y&Ioy4CKExuRP>8E?ycP^SkSM zj(klqf!UvUEm~J)h6Jwel0ezEJ6_aRHIfWn=iU?`((xWvBR79oi|e z{7vKh7*po5(a+u1M|1OvnOoCgxi5LX!vfB@GW;{m>L1R_&_{j9f3RxIpx>V?MSyX8 zn-^H}D0(QQS(N4`8mCC(X3MQtR)Y7PzX;=e-3x#9FX6dQ7`Xqne|h|@8v?A~ zKwq$dojI`gl}ng-XY&t6!1HpF8VK)3xtRwxE2{}d&lz;r6xBFtt?#%TZP}1&Ai5hn zVh>lS6ER;j{km&!cW|^UREiPd!T}_+l~ZZ@v!h}n`QX4J;g^8pza|4S2XU=f7=L{R z5&s%n)G%_?`FVBU@h`6c*iEd8zn@y9kZsKwA1iYK{j6s2I%dP^YNR~Zu%IMRj_3j3S`R*o(b0LIgw6G>24&W3l?maM=y3*)A06J|@^6**&w&`z&9L zJo9i{eZ+)){(ODmg!c5#?K>Y61NK@&bzc%MDCY5aBXph|hsh`?@8+4%eW6Y1YE0+3 zo}@Oq;H;v48QWZp#dn+?fQ`MSr{DBJ4qt7A zi=4lJ#1IRckZ)DI2NZ|?WWt(sOc`vs(xhsp!3>s%NY-NJ4Yn>{`gj!(u56i9`a(#Ufe|Jwf(+yX~4ZcI-e<}m9PXq-0` zRT~-CQmUnNM_U-e=M!%&2{r+)zuKY#3J7nvSs<5_*#a0A} zChJ=T%N}*NM?$Ktxvu!AOa`fCl&+_h(lZnGm|1B?{ZI8zH+T+kuHU~HJ8m}Bfu%(9 zwh4RAJ%1`A>1SU}{h9XYa_X|vv+41VhQB}0*jsk@ldMD80{FTLuLezacZ(QMJ#L8`=UOb|>Dtl7 z)&!PhPwi&KDRA0)c{rQnmZ?5erXR$81f6w$$mWY7(zONvUw3Yc2rV5(;y%^hOH_5V zYk=HRI#_SC0!LB`74)vijLHtg2?*C%{3x}MY4SUlyzI);7cu_9j66FdkQ^%qn2~)K z9s2+?G9un`xTAOB_%znveg%*$Jalf9uBdAWICFaj?llV;Kvtg{X`XYg5i=e*aPj%k z)*YEDTSE?15B#34UT zOXk|&3bt8v6|UtUSPL%*R`Ze^5qoHT=&BWD^&DiKV~|W=NO{Bx8vBpqqM+6^C~W&T zkZM4OoP{CeEE)#O;L38pO9?9o-hOlS&NS+#X!%}Sq0mc`mm@jwTub6A4y485_CyDB zRTy(`1^;IwXx7AoE4TXn4)lq ztF`Y5ET|~1;Ama@4PW+G#Vds<)DcQ;$Q{a1-U=m`6a{-mlbPENw4sA8iydwtl3Sli zmW-=fYRaNX!a}2Ynw5uXT!`+9cs3}xP|F;AsGeb8pM)4l{EnUt!CKLp1<UqS9- zU1Aq^X1!-nfo$q?*`M!dz!}oY|7>u{|6fefe+GMqG}wZE13mC2@Sc?xJB?fP;ma#w z>;A0T)Lc~tpA_xDsjw>LmawHhSvO$*G@q}s#Mwu?35lu=Aj&=BYj8C|Unp{9`4nQC z&r!p-g_%ej8O53S7OTZYbOr6!oR};6yVtlxnxr{>t|DcYF6R^4;2ELnj-gvJrVoV| zKE81_LwJrWllM}FJD?z*>#_bVd5(6v)+C|Ml(sVu=j?WzOQA8n@>j<|qRRB9(B5_X znhi{b_x;!?vt!ck3pIgX&kpyda~{+Mj(i-+lbI2Fg@`VoXOGNNNVsuK=OExn6lA2O z4w5$Bda)#1ykuDu?`?g&mr+MRHpK@Fv5GvZd+t)8IHQ<27-#h#nvdTp?Z1=SV~@~_ z6IyB}X#?g;RBsS0A!84BLL5v3S>-s}YdyL4RY+uPIE}F|)ukOxlqzjWI@r=-K_a+d zg#YUCzBWhP{$@1Z(Zl&jKc*WMa;*PV{#|AN_bPT2mcOoU6DcWpm21lyl zQChjzV(l}UHR)UYE^mmc8sKTRX>yFFDT&V`nAb81I#LQwTC-GyBg(CpPPzDRu!{jx zR#m=n7oX~W1L?GU z9TGdmSNR?Ni9wv`y3%y#W^2EcM_*`T<)~S^`1wK^2bWymGRn6fW>Xv&mvXrZS?C?1 zgAQhp%o)xV0N`mQ+?G+4co^|X;Y^HMsnWaLWVa#X#hMA(T+cvQ;RF?Cj($PC|CJ6j zS+BojnM)?m2j=R670m4$J%}L>GLOdOL3s+L{;s_iB_dxSS=9B;k}6*f?Uejo%3TNL zCY`#m(*fjjYu8-u5g3>oE5}!xKU&@fKdiHo;PtVW6~$v1`C1Z1gL~CZU}MGTLX|t6 z3=26rdHjZ%8LD9KR`1}_U$mD#IM;#t@1C73%<1YW+8~#swPFzudj(Zxe-DVNbYyBt z=PTxs5$NVKau-$5RZ4b)19t`b{mM(c+`qrrcMjtk?q)#(VLxp7@^xj_?eb?ugFDn4tVHm)Wx{05WIQ2aH z4$y5WhjtFCG}-pDJpCzT_akhl?#0)xFA0%UU@>nBa*=fydlW^QhH66V*>fUVZB@xu zon6_iWmeHha${;&z0rlw8ZIuq{uZu4+n=wZ8_h8b^3=hLz{qgMa!MvUP~cAf0GD|1 zYWQ<4&f41M%)=@cPUq~KiSS`vmR?oM`($ip()dGkg`DaXHR1TZ43d$Jgt5b^zsF37 z)lZSPow2HKvlgeFG0ag7Jo)s*zzsLl_k?Ef`&2=1dMC-D)mfVL_sZ0-(! zXry34%VD(CnfJG&#!Fkon}IW$g^Om}_>H}V7NFFod9wM2vuE+b0^em*7HE<;uBe|V z0-EHh)d*UFCV4}sDhCH1hVYC1DkS#Y-eyAuyf$gBrVU)q@_W)a;=>wVnqTEe&;vI> zf@k9H>?oFe6G9qS!T4c?VP1bqRP%un*;-0yxaGM4!vw_Xn(HFR_3eu^EXbV)gJJ9o z%b!un83mT9zoDTdB?OD%1APmmE-s@T#I3LD>f~xjWL4A=wpdTyBuSUBHbdYrA!Je;wvO+6cw~e zObE4y>?tMKvRH%3IpoQ?WI%RBuD+q0IM}YS%41+@Eh~hWB@e|5KSR~l4Xhorvh4&-{+XHMr9Q6W< zb9Vj!vq`#1aMP&4?}JER+*Eqg2U&qR=*_(&sko9*4UDk^C!(fU7kP&omD^Nq%kbT( zhcFwfxMV-A*C4nEx1E)3GVJr2oMOqxZC2IKsF&kf(8f> z90CM)cXtB8Jvaon;IMoTIrrR?bL+jY{Z)T`^)0oq!%k1j%riYR-P4^aVp|+Xd{g@+ z#<7zsWi5?cTuYIxTd~8;O*~jsHW9nx-S?_YBWxQb+sgS`MAlvjXU2qYs#CRj@(N{dH!*|qz@ zO=2`<6U8@YKH}pI1L9jQWbmY`jAXidn;TVLS=&?8r-JE?Rk2m3FQ@{R1ent-uEmj# zM;sSUTst9RUM_}{|1pJa;z9W{J=qIjif2l=-%;acm9^{GMElsmgX)Ch&uclPB{e$O zp4l~%H`RP|;+^J=A1BEa5n(Q3p4kQLx%3Eaa z`xR1ia~co3#ey-vrvCi7rJ<&rFrCEWWl}EWBR=TCJ`vs8PlPmI^N1>^ziNiUVg4Io zVg!aH{Z%i#dhuk^`1+^BMLy_%@kQOO)%Po4163I)Chj2PhF`XT9>9MMpD7s4-5TFs zY8=%bT}Yzpxwqxzc@>vLux}Y|>GPntRh3X)QcX;Cezp2V>hDy)As?(hpL)emo>F<4 z0ahY!Ja8_Tq65=u9glfx8`Q5?KL!aO(2~`o{SP@nk})XyZzRv|G5vkq$p6*T4+QDI zdGLbk0{oHcQqd>E>B(aH8*K*}*}?~l728;vvJm}Ij_h|y<7c7O5*1$-Zj0^{6h&QL zi}##4SbN1cczr!Ts%d_uzk47a=)|1q7=JdeCsSlQ)sNnT(&y6wrX3=3Q;VgT`@%{Mi zVfx#Hq5+@s`>weyRCdBxv8psic|i9^`4;0)tZzYlOrPPl`Z-F_$F7R1L-}uN@b&hX z+=kNM&%EkwAtR%l8Jd{au4;^o>y!yM?9k2{SZd4BtcmmFBxzifkG;oj3g1%owF4{L zTA1i}-;w?b-`#?t$%o-=t;_!dal+h=uu@z4Gh;IIW;{9AL> zMy%NvmoZ0E7_6gBCQN)-V7sa{p13{xQb~Jn-PBR5%?(ACaggdm85IUThPbE z2%lU$={LT9Xj$TgTWi6;1Ff-`0)d^eU;%QJe=GgxfiyLN z$?TEq9cW4xrt)n3w}K@02$0*RAlJC-%S_c^{ysdNT` zBR^p!ygJ<2=l!a&+EFp9WbHnn?_+ET=>&-IXg6{?nVqTy#H2xcXzD7%pSdi-)2I8v$l$?wL~;RabV zm@6vPta4R@_S!cK;g?3*4>=t2xi|4g^mTnJ~hiDC*Z+=5cq@}!A{+_e2Q^2VT?1_9_91d$Z zK4})`JZ*xc!lS21f-B58^@JGglyroqIwqrh5>-DSUS{V!GbJ$*A@QuG^TOR7iOo_UYqJK@@6h*{-#ztIAgrw}B9=(J9%md&vSBPSiW9y1GNBh3hNO{ zhxnLv#ol|in`i~1E7oAoOd+Y0@;8;vSmai3D70>G^gZg;xEom1@Ih1v`_XdYFU|%q zuC0o6l5JCQ$M-bEz8R5aM}J!wV2vA~7|0%EKQ4*OSZh^6u~l>?%V3{wSi%(TG0!vt z+e*v;lf?{kJV$W+UO8uQ)~E3I4u~=FZI7(s-qizNUT$LMQ8# zIGM$|K4w}+PX!{tphg1LcNW03|NWiTrIa$@%~P*DL??$M1jrPR{yZ_ip-H5Pdtjvi|b9c2gg`feSoXqUdxHx&KIH~SG32^dp@%{C0zJL51%*DwMeAF=f>#O_n zJ%p$z8i%Hjvn3UWl%u1QI~vDx4>R{)<)^le_Gla$mgeqMU_l{v0e&i80d_uaZeA)b z0ReVFUMe0wb|D^4UPCkvDJm{bfqMjK9MVp%7M8A5U{0XO34EnU#i4{IF8&wd`+9#O zeon=qrJ;m&|BH&r#@*dnn1jR1%ZuH~+0xP6$<@-0-O1JZ@!!A&e*>4db#Mo8amYKE zx?9RxnmbuoqH#R6bhLK20SFTMMG%1c4`E@VDZa1LX>*<9$8OqT`H&>?o(e>#$W)W% zDJ)i{#5-m#pYd4kJyTz$LHJ!W2+p6Xl!{H6fJ?DFEeTQ9AKu@@hHQIUaHFWIdE6vGGKY5c;~FH2Wrb!5GW3Om7P-;!Su(mM%Ys z7^tU>;@YsG1BH@;TEDXWU3Q*LbF4gta*V8bVY^&V>&B#dgJ}1-M!(At_2yRC5eY5b z807&W9`%}MpHj&4j|}9`15x5;w{eSj-!_JpFbHP~;yr{Ss66gUK4tRIwJk@Ou+Ji5 z)^%OpvRDSEsy@_gj29)kt`CRX?Ds##dC2#DYG)Ei!Z<*w5*OVqzVXANoUhL%`dMCi zqT-2e)`%FA9Mq-=ecmuLEA+yFx9M_Jha^z|WGvMQ-Ak!>QPK~XCFbaIW7`w2scu&oO9 zK%rw?_2DM_V^sdUU|&uxvemkz$LzDfZM2v)8nR00IkxDE0|v$W?Eo~o^@I~@_Y=8s z&(oF3z?Ny5e6!@Uk0MNOKuKTKntjQrqKZD&22uzJ;8cITez>hA_0CvRbxGULUxBld zSS$$3(YabCYkv}_QOfSDiLc~5kf@(K7U_B7r%YZ&c!Bg-_@%yh>G_{AD--jtJ$Bk( zyPaa=NHb17maJWnE)WS@e2W1hptPIzdQI9Ia4i17>}dj}P+G7JY0#Ie1+LTqev=Ux zUqpkCQJT!y>(r4~q-TvAmrWE;_P(7`^)LkbOp+hliNGXZx5|yc{^Ib+n?IX?i5)`Du-=#qFP2y7ga=agIkJZXX$xv^@hcXNFGatoJW@>a!=keX0fdwkJNHo6TJ3-JNAxH*~Ks-d&Yd*0EK?d3y zLgbqB1Y_g{FV3yb*bNC~mM254(=NavA2%l6ZR#$t)DOJDucq-3vXPZ}&inKk*Xxxe z$^+&{RoC3Itm6issasH~cxcgfP!E^-&X)v7n~Y;^GIDOO$O|e$oj&KF*tK*ROqP6p zhLrOzm|G&f4E?PfJd*^A;*LGyHKKQV*+x^fcg=?b1a-}l5%?$Tt$9Y9_&JYp-IA>p zEd=G{0<4mrq|b?6iC*TOV^;<7*b#Z~`PE!tRlL`}`w!I4{S^C40rBwi{Bwrqx}W<0 zoZ5@(6sb+=TL$Gt;qm2<((Yee^rv_qT=HEqg8osDxjrbnn<3H zg^Nn)m)-;F@=@{rff!H-9J*>|c7R^{1#Ao9rsBRQ8Bkuf5CNd2e>7za5d;eV1iFWl z0WbnAxB=SbUJn5fzmz6W=DJt48oz6*U(Nho41c2iOUM4=1&u@16apw<06Q9oj;#ft zz4*8|0o7?~Yi;9B#V5!Gs8w5cw`Z2FGENX@C&zm!3f@!W;N<$;+0-0>m9_M=HMi7I zkOo-&hgOu8(fn7P_z%(irW5a`@0LK=Pvn*4L2z&&5FGFWx|;*ZfKZT-kdY8kkdcv5 zQBlw^@G&va(J@GH@v!hINGTstkdl*A(Xlg9(Xi5zlQRLC892Fkd3h-rg~SB8McH|H zx$hf+Lq$c!K*u1)#3bgXCa329AHVKeK{zN6VnR)s61q6kJWn|^#6%>`8JlE9H*3s3| zH@C2~vbM3cb949b^z!z3@%CLnU{LV;km#6?v2pRA5)waWW@UfL$<50zuc)l5uBol7 zZ|~^r>h9_7>mMB(pO~DQo|#=C^YrZe;_~XAFW^SnA8Y}?|6uH2 z_`(7BdVqickAQs77u*9c;0=$1fJn`Sge#?vZ0dqX!~F&YUpgwItPPcxN8^yd%yk5f zkdAkm{^*{yU!48-81w&MarRHf{^DyEgaHo+bRIkoNCLET@4FI+49!&{IwkQuHul#TlUrald18qz{aD1pQ$$xh(`LS z@%b(EVu9&m8Q9<^yx_QowcI#1)^Bsr%qxUpcX*M^JNpdhq{HfZ3Mp7*j;Q@lI*2Aw zvt_(;I^1%q!*PclqJ^n$uQN%=oaxp+$nVCH^B+b$a@kZLn*eOqG#LWS{K)1w<1o`0;ho~X;6P(xU5SLgCQ8$?9kai!9)e(A zWL(|><=EWZTpMzg0y5)ZG~Ee778X0)wP@UK5HWPOp+o#bUJa{GmQ$MIm| zbL0n|FO$erZ@ZLp0+pH#8y8JaG{-dJZ`ge#e!vsm!i-@8s+1%a5+`t>Fj&bQh%DcT z_%JShC7f`$&puR;ov*@Ct5c)5tSB$4#hX^Uk6Dt^-GU-3?Bm!CLB{tVhxU5o8l#5H zXXm>uHqy&`Yg#=C>#G=K*9iz7e5!F2B7!ip&Aj<$ac)KE1|rez?Js=9SrR7?{(-L$ z>F_inVbuvu4#lUiim$wZ(_qiFfcEuB83>vDk!Qq1uI-B(G4nj{sV?;=kI2NhoE$p| z=bn{!uQ5MvLlGihI^>E}*yX$f4P1uKYVJEmhT&3Pz5xuaP@P}0?ApS*X}gzY0U*mA z;hnY@DiQG>MWXTLGhdP{UsB}vhf>)Gu~hbz`V-koI9t$O&o5SKqrR_p7{4jT2`DhO?yZKdP!98L3^t-}gBYK<88vns?^*$Cs~I#ek|G z#F=}c8<<45H-NaFh%*DS!y*FqlQ(icy*VM`c?vZv9dl}RKQdQ7ru{??gH-LQepCYu~8h|Fc%<#T&+3c(a?S zJ5X@;Bk_A0_&L4;B&>9aYFrhuFMfJydoIGY+J|-1@%bOMgzsw!bKH0VFvWt;11Pn! z=T5L7;+tloZucmCjV}%WvY&`L{Z=vv&Os9|P_Ef{1aMpHJqgH#9S6GFa-+g@lpF*z zFuREdEEvVlo_BHNkM3OtRj0Y-KjH!~4TKp-103*Xb^(05h8`Qk80uW^If(y*Pr)N# z+A#S13q1P~>pevBF9^P`XwI42;|;IwyJ8DDBunCWlj!ysz$obb`5t`~bdd$3LnVr~<%T zJTRtWfu6Nh2nM2erP;I`1OO#_l;>TP+JH_k_K0o|@A2A?QeJw6!Y%+F z%H#m~R^7|j=bRs@j`8OD9uLsnc&eo1X(#O**UJC}z4%88_oQ|0ZF}*_mjNi_fZ=mL zm~1t*urS6cP0oS`U`N`63g*WK-T2;t=7c1E!fUeMC}rpxiWO~C<$w(s z1iJV}X^Z9MrG&__p``1@nX1~#KqM5NFEJliJ?}uY`rtn5+L||AxpB)0X6oy>osZ4O z(&3c)R!<1{cSUj1OfGhk)j4d`_z54c^L4m) zs8zm;16RZ7qD5bu&-J;=52e3t`?A`f#<2;r$hu5yS%)d8_`EnHbTgd4jTWSq-k%9x z!ZPi(JTWBO-I(`rX+99% z5UejW6et~5o!EHWQJ;(Rde-@PNQX4M#^Ch&4y4xKG-nJ1i8jr+4-#FS1O$n`iSh)d z*_k-XlXbu%_$vN}MbRu9g-MS$MBTPduIkky<7L)xYFbBqV?M!psfm~e3lzqYI9h8= z{M|1ZzjYWXEoOW&D^NlwWKW)y%F+5AiHD_?CjFHY`nD>L8cl6wDvwQ)$vBlz< z6Ng@aZ^|9$r4`+!+aiViLQBOz<0-4(CYS>0dbJnNx1j9>J14s>B?;nfUZTPq9Qej` zd0vG@?SuKz?>%yph4WZ5Dj2D76_GO-zSN4dHXJukl#tvcAe8yk&#RR6+%xg8)6Hn= z_ZA~}2e2-W0jo)iG7P`DNn+i2YEaC7e;7Af0#9{$V^UilkFAxXuv0NsQVV*T<_!Xg zNj^wn_KsWeVNBE4vy8@bJQlCO~K7k_$!WzvwUkYlhDM_M==^IhN2P=zw?SfvQjirm9yLtDZf1257MiE7e( z!eh^D^Lcaa7-m<;gE@}9_SeAjz9k)mWhB&BV*Y!_8gxFQ{Z=f>^LgiS&K$IJasyp= z`S|L|LDiH9^zB1eNn~pZIdn3S?*mttL8aPN!vdsy!#C$+z#+CN@*Rjsl~nBaqEUC+ zw8l2>))A0>u4z}fS=n;hLT)v>N%AsiL(E=6ZNgV1-|l33CY*hlHiAJZ!+T!wU?YaO z=Lj*l#$f3uan9*g3cx1O#EOseD7(aFbJ`u~EsDfNz4ItwO-u`y0OBNKLytVR<$=X& z1nlbZ%9v?Yh5zG6dovG^eCWgS=uEIj%uAj}aOo(V;fa0bIJ33y;&8~cvVJCjoA#ZU z>S#8yWc-2{@GYWIKT1b<$Yt~0CY0%mc-_!+8wC%0wDej>)(!A)L#cF0cM6w*@v_IPY6l?J?rqz?H1s&ONj;a zkx^`Zc3^^uBT^ECr82666kiK*4RF+Sc5e7&vGjrG^$TrZ?yv3){)-)n_C|B6rgd0? zy4br4WX3?UpTf`^kn@Zv`|8fbKPc@8HNJZJi!=aHDqGMWh$wy`iu%%%y`5;O<)xBZy&nmCh=aV_WMmZU`?C001Q6( z3_uU(wV46My+4ZuBfo`*|J!sHSv*{hRUmuP2HgD}J_CmG8(=~`(p)n66C7w~rQq9t z0lp*y_(c!H?!n6df3#)#8o4H{TG;>>ni}`WyC$$J#(3W^#%o&uK7TOYce(`>7+iLw&|8F`Kx^oc05io& z|Nfy`yQaFTRCTb^_xcSWCtOVhVCTy{J1#&sYJCIdI9=#)Y|ZVJ@!{W622dKLSx{=f zf6b@D1M^RW!Vr7zMITu31^P`#4VV*Z0Uq)f{w@3Bb>h9^MR?eDSH`!9?!O4Wv0c?2 z1x)4l%ospd!GD_ses4&5C+5EAxaBLdfP87-du+MDUM!ifi5BKR3y`7!dVwF=dtjmn?Wh4#0;jyBzS$^=hXf+cg+iN*{*OH!_j;QbFH!Z!ZPL*O_>Sc*T zoB9D6v7V)blH`6~lSG|U3Zw2T5rqiHkC|P$1!=cYXb+1!I#T&dj|>Y>wuHmVgXy?> z!=BV1dsdGPO~O;b$gw3)ptyIS-qU_lIsPd=84MS z*qn;6+Gc?NioH4ui4*b7R$LgQ{(1MlX5P|%k+LzS;jD7brMhmR-Q2$G@e}A|WrLD$ zLc~UY9aHa8ZLeoUdQ4Y*+?0Tq#vEN-sHrWTiws9n!k1Ltj~R0GtGl?OP#|C-$BIeRX?=?0c zR!dh`<^8!nabk`*5j$Wi`KU?HuMW8gq&2xqKVF#tF}0W<4AYR7ev-famCRg%<@K{e zZ}YYvKFjGl*!t-9FU44ORYV5FKh>z=a}|$kz1NlXl7G@rziSZR*1OOY%J#Dv@v!M) z{DAf&Fne7t>#5C(_L*Wf1+&fnq!kkCT}ks3>p2U|^kpyBwih$&?DV5i5hf;`TAIi;iN*Fl(!-2Yy#HQOF)K zSQvDjzQ|F1%y)ieuumWN(*Ij;vq2%XVq1IZbWwxZ`m^-`F3i#2qoDm6x9q?7H(#8( zr6P_id*MgTY#9Bs-h!OUZ`In|$WL)mC}ko}UsNM=^(>y-h(X`^`9Y16muK-ruiAb> zxZT84meJ>w5D&rN^V{UM`{`Y?rA3iGzU=S*pw$)9OHSC8nxzblK9CS`v84e9wK!g4~l zF0=ReqfCm4%h?HR+~o)?dX&tVYCuyAK&akL&y1h3zY%a85%xnMfF;oQ7Jt_ z=$wkZ7yY2lbRr65_HfRiAWMDT@S`ayXF|jx9ZrKYE|QtNYwNzm@}tqlG$#EepI3S` z@)(Qahg}uX%g4)o^CX}A(Qy@h#j@{DlA3L~2JkA6dAsFetPa=vIHE|gk_6E}t08TN z&JzqxiGE543Fei$={#8@v{;q%7?+N24FkG94$UZiBJcT1iW*f-$Ibn1oAuaPS4>9N zZnI~iwP-KQ799kzY6+J>&XupXD;LE3Cj@5M`j@k`ZL>aBhJVOT^BG9{fM_+`k2F?! zl40cg?cz;$6^&AEW-%@Ul<%Xg)4u3vE-|4g=&3`;-Yj-lh_B0b)RyN=-DEM|o`cD8 z(MCY<>}#y=BK;^%TyN6O6)=h)e3}M%O@yv|g~D*47~kzBtbo*8XRkH~XByn{PuqIF z11A!B_Uo5y>ppY7eLR~^Lte?NULtPEp56RMA#1N#??8Rr6L+A!NLa)Z>_7ZwL#N%t zIpiuUYQwvdG10(MqjkP$aZ$*<`8keq?I`XMsf{0GHWI#(TK-~bE7 zx88V$568vhEdCu^nMKnHT|BA~+EbAZT5;c^hN00UT_#$vT%_a}ADn59iZ2QNTz7?m zL7&s{X#@{7SDay%sp@i75V3=MY!6!~CH^#h#sn^z{pG$@Rq8ytcSjE=H(i6c*)Wrc zY?%DXaq%coS^9%=$_`$7vO4Ib5Dra4w(6cD}#0&J131$53OOgtR|0&MMjgr$V^u*HG! z>dIf4r=Z_XFZ+zMZ;In3_7>8luSH+&M_=kr`!sWrKm~93H18^Qm zoBH++WHbi-fumhzv15EJ^h4rwPXP9Yz+ST~{XTBN@2NlTKkLvhx8OBreCDr!7TMy> zby?I1Jkyi>ZkfkY8zgR@3Nj5(j}|L4gt%R4hZot_(`-TK2ra3~2`9(oOtckeG?_&l zV`Iz0qTa-8Nrnm-F^ukUkbYgOi(V&*{ajO#XoaZFFG`aNiW7g~JH3;ba@r)uSRFRF z?x>|tGD&1Z%+(J2iTS#$6;!P%FC2FA&0G5pBtOAw{>{3&daP)vUN>$9DZxaKbfK~3 zG-OaYE3!5wY_I1DS*r`(*(15G3K^@(Y`TU@JcG}z&r!)U;>}7UqbiU0p`oB}m@l0O zZQ|p;Y zcFd|5!g*~7)~c)8WmUD_3~3H|YmSCtE}M$xk?H$Ok&ZbJ%E0Y0 zUDe-*p9P8#)>YLd3bDaOC1Npw!oq?>WKp|2{K4cV==EuV4jz!FhK9u#i8D)a1-Q#f{tvef=`Nbf_BlbiOjtcaz8%l)V1vO@+R}4>BEMCjcw=oLB`IalS;SYq{ zcU+|;9~$n~R?Sil)K~E??$=@xdoKF6WAoVWE~DoeKeiJPudc;D zbapj>>yOfc+$cjmTqpvv&*FWj@UqnHJik?dGl@5%KBRxxx5N%x?zvhQTm^1@EkXFV zuWTpnd(Bd3_eso@6HpkI{C6`xFd?>PfF_PIg1WD*HEK;jH-^4m~T}u|^G*!CsnDjY^ZHK-c zYL#D2#&9&S77}b*J@AEiSes0_ea+F&A{-VbX@h@0d(6((=ThU^9foxvm?C@#&Y5zb zE7I;_D=J=o!!_jhf|D z-275puMR&#iu`qJdl8t{u;urFjm#g*;{V}H_OBPPW-wf87X<6wsc5_h5A7|kygaG_h)A(&sN+Ozr^vj=S5i4 zXGD0>y;^#$T&(;^T&6CvaNF~$>*O|n2vR+%J!d|Dgu2#{h{cJy$j%iP854`%vfj6a zCpEG%wm!Bx>Y&lDy+~g^M-@I7@njX@CiD1FEZ3L=DZ=X+FtG+wjqadwl^GR0G4ZmZ zR_|8p&-91dn_c>;X}9kg=UGTB@l z-9VFC@&}TP6p93Cw`mz*#neZJkG?_NL;dnh}0nc#Vpo9ahz zsOblYE#k!VJ!)f2btf>d3(L(J?ed8nmC%rss7MPqLOAy6s{H-fuz8O}%ND?;T!r!c z?ePBIkmwfUOMCRycS2jNv}1HN&7*7%)FGpzG7z@f0Bwdv(q2jRHVyhs+L*NN-8j$k z-ccn8dV|9N_XE{#*5o@-d1urQRXLFJ*2)34c$&2fW_VQxCOhD37^NY2HqiKPfo^9V zL`&6jARJR^j+{|3ez1QUTA;??MXSw#O_2Oi0qw$M+U-2HdF%ZlTCJryUwCn3;noZJ z^hC?D0IGv@E3?%JnjoeYlQn|I!heqRbG{db@@OKZIkqAVn$R zoj;R0is3u#t}M%EG7u_jPapVoI*=Rb#7*Q8x{Q*>l^~nrO>QhCn``hG{6ud6k*N*p znZ8DFdqnHR>n#scJ|MQ&Awu;dKi7^s4t9iOhe?LgZRZN)g7&5r5&So#vtGMYey1v} zeMuH&?#35Wsq!%WTW&Y(YF{slIM$;2~LR|fi~=@FkL5NbYov0l80IEKj^HcO|5D2NcYWL9_TII z$=bEo3~WFkcKzU5_4&Y%O9fetTUtE=|Ypf(lfzXwrwOI#l7p|IwMu z{khD4^>%n@9@(=0?FF!~y}E)m33aSawr&Vs!}gF%4kJrScE*xLq)q?ReO-yhf4a6C zlNMI7hbf35!b2h5Xs=2Cx;^8IUH`+%&^IaVtH8Or`b#91+XADbCD1LO(UcX)($ydoUXUE1L@0L>&gFN$H-rY*i(kkj*_{IYf zH<4-|p@V|xF<-N&ABis)Wqe$md6OX0XDdwc0}%q+3$)~K+T`ga=cO*SN}W-%{gzd< zy*-FClThj62}dvsPNdv-Pb7@^D|4NFBrI1S*>-VY+r;zO)p&XJTnnS8Re~3`>GXRX z*uIm{hO$NRR6iBc5%GeLco0=Z6oS63qn)!CIQp1c-5Hl{MWH!vzFXd<^dktnZkjlz z0pHlWe-@nQKKEF(;0Fg})pSFK{o?Dmx>7q2{_;@}+M)nF)$$vqpD79&6+xOwN(*jK z*P3t}>z*0n4tx_XlPpf0n2mH?`A$R~vbVMuF;Br?omA>;5AQ%{6IuLIIF(=%NW>nh zc4uMcXTjdhlbpp1e+WmX&e1T$jX%lB6mc+GmUhkoeYk0kl_YSSoXPw+86(xS^2o%0 z^2b7#n=8b+K6+a>_Q4#usIxb$HI|jXbDqlT@}az9FOpnN;3_GD$tUM~?kE7@xN zQ2g#>E@S6l`cjwh+H&(NB;v$|Y#W_PFTh+so9J{Fm)>IiIRA3zIzTZ~k7$tb>-wnn zEPjE{Co=(Y_8lXJXfZs!#O}!>@nMKNRm<_n=abJmz1_+f+?)fs8akllVt4u@#CqG6 zGZy=#U<+Ua#1-&hsTyQyWs;%Pi_s-R4q2!Zpc1-e>9`7rdFi(MrYcshHF$ zI$3<9SKzs3eW|@hFl{)^$yxb_hI2}4w>a7j2e@uH3}MIo$$It_zCi2pYc2ujr=Q1A z%(;<*SWbK+uzeixKbkz-ge;&Q>^r3%XpbDQIgxTBME9Y~p{>2z7K`S2f!m4M6cR(*EPU(T-H{zS#=LqrYw9Ii!a51mJbexE)UcskI5DyjcPQyk0 z4pF?p(P_P;_g=KJ5B84N+M{T3Jx|ele|QzHpX@@`PnK&JNo!I-ovs$vuE(#QkGp#B z+rUSR-w5S>mofLq?v683mM*aLL5Wj5a*rOMjad{iUh-dT0OX~eALn|-rQ26>b!>pKMYtX@-*Dfh3GyHJzMXI&~dfoR^))M#6+8hM{>oDkxige?Jvx{FhVn?C@WK zQ~z~KT?ZE9=%~Rq`oW)Auf{bxrkqN=Z*FTILbVm0?mY9+U$NVz^u?>FMPpLwr+FWQ;J;M8PHpFewWtWAZ>k^X@PuZfGYuwT z8+%%j$}p2!3;v0%wDeb5ZT(NYi%=|BT z&ten8=g+z3Iv>2|CyIWIwAw)Jx%SRHss@=y<%s_FXIzZZtEd6D?CjwgvkF@+)OSKo ztglW3S2(x39+HZ(ezF2h)g7(_XV3OyY0n##U;fx9*3HVz@Ev?_VI2R`?XjR)KY9cb z5uxLagp(WMIM@9AT$gLttYFAT3>jn*3Oz;V9@kQ4wXd%`%hna%^HC$_#OC`PHoEyt zQPVnyRLo}f(`%t@hq4ZmGv|Vk4SUVl(bi{qhCL|% zG8mXBm6GEx&lC9U;mYjXZrP{KF~zrV2t~E&Xh;H-3H26nbkS;Jpv1;&rODG2o0*}j ztjTuR2ALZ^j$AeNB&xff04>GbvA9`>{UaWKk}nsT2IEz1!b!yb*9dAXA#$W0>hXGiA6RA9WH9jP1^i9*wA+sSdK_k;;&z`LOv9~Ya!`(EB z5M$c`5o&8&TFrh$6@toZi`msP6aAPb`RTLz?mn9OiZ?fK9|_k?U0s_Lh-^$D+B}ob(hH|Fxus|l8B}vzj&)qSKm0o%3fl6 z%)U__p|moqV;+kbj~wN%*tSvpjk^ezZJOO$u}xGx`70;rld?Pqys&7YR*6`wJYBh5 zxtzY24)Nv17ki0XxWXsB25XTYVG5(AjY=M8m`*AU*M6xfq4vSBnO6uCs_sVWqX+8J%P^)mn2iKLpXU8MjjDe*M!&3PpTGV zTB#zUtRg$jR@Bv6rL6}x!ED)&*XW?b<=K-ASD32wuDqy1<+H~xkF8d4onoT(+>qNkyU9^snXdp6n&T&FK%&QprdF_jkHOs^5Y{nVK1=E+gjcYdM<2g0- z#{IKK8+=$SOaVF@>K}CIKF$xTq1*9)U<*i^4yE<7bl>%DZIz^YPWpJ^O)xwXYfnlR zlmcX*hHo1LhVYBb35h1zZq`RRYThRG+l&WMcAU>e%kxuqXpA0D!Y0@Y_f6|+e0SrB z9)`bt>n|wkFM+li6i^iysay>$EfkjfEagO%GGI{Y2JUT`vfl4N_a!HqYq=;J+KWrd z%}y<$9mY(}$nCY%4m$61`2IvTix9o#k*=fUPQ(lqR_z3@n6g~z(hgydsx~Uy1aR~k zmpg-i#YYSgVwtxVJdkcq$Y^u3g}6A>D8U;E8-AzQO*bEmny%PeVn0a+A)BzI1+`x_ zx_w_L)E*hy0b;A=54-*tNhsgp4Ss5Uo*7=8g`~l`@nyV`1?mCuvURLCaQe2xAJADf8AguWA&-s}cq-8jRw#mF!=lFdE{ z*`cQqY?IFmS3<*m9hWadtU~s9YD4D5qhq!%~WI zyBhXp-2Wkq^yAMd=p8hQ842jL58xj&cSJ1tV`U)Kg+@L!zD?_Wr`rdMcU@7cvFH*_ zHAgbQ-Z|msRS1I96?H%o_72NNO~4^@1K|u{)`_Spl1KR~m?`#kE5dKb732a=hGcJu z68Gqwy928IkA=Gv1O*KTxt$eBTE&?6ti0bofz6C$mCs-#L_hYe>o$)ch_aZTCuf1o zsy>7p!ji@a`@R#1pgB_1JW==dpt+Mwl9+iJJ=)70iR&DkR#iS%I^VtRE=#c0>NvBrw6Q1>Gl(mgrd*mnx<76lE(U46df;d56% zo)#fkxyydaWzSuY1(Uy4Bq0H^z~H0!S=s5wPBfiX1q5UX5V`fxwW`&CGgcpV_R3?$*DVqZ~39>2QF4^6~EeE|V(HDHQ|W z5t#BimP3k#MLN+rFZjfxRmkrK-%ufvXe!o;v@MsC!Fx`)cs66!SGI&`0O0{K;zs)l zm7+YGHDn`RL+A?qX=64X(mTA3OsY)(k9N8L$ZPfQExAQ+23^i?XR(ZzDUFS%as+)} zOq2IeLKo6cVK}F!w=dj+Y@P~VZypVwoSyG2N=U@r7y(CJFDZS1%rdK)H|JNWRojMs zCl{NSSJ$TpX=wwmIG{aEk&T7WqY>E4TQz+f1*N|mVfBRnZlh(j`R6iRQy|`1S9jjX zP$J&RiA3OE~mt&aMCB`R15;zLuf4vjtNW253pQ5pyq!5WT`GJEwgWFg+R^nT2jctgz}RK zUd)g%IY_)Vct3ljvPp6~G@n9VjNShI#DP-CX{P#y5~{X23PuMp&Joq6>PSsl;y$_$ z4g-_}x?qLC;Klr=A>GVS5@GFZ2-9-z;-&mcaPH`&Il@t&&By>+|Ti0Sec&D`~G94%v%gLV&Z%MAxSl`9F$eO#*-IpB^p^EZ(hS!*{Wa4zDs4*k{+ zWe>md*b&;&Jdu(8*5fSu`px(!VVCd)RiUXMr4isNd1J0Tq1 zK6{bz4-(v#!_i>JE-q#V)H>#IA8FoejhwkvDL+{EUy7Cy5K#eHc!zeo>9XfQAP@QH z4A8;TaS9K-mwiwmBf+&?wNxVH#b~#CHD*&KV68eJSm6(24P3$~t7aDzRLnZpqSC0r z9}faR%b%8#DNsduvQ&J|5+Er+0H<-U7IV*|>z$Egn(<3X-)lsqk6_MGW0%%hsuwqmdjN4+k17{AGtw7OJLb zg}Qa!t$L9qaxVI}x5}eK)NPGiM$j-fJoKj}am;&AL!YPGQ z*fu(Ww2-qTE1W_2VjZ~uL)=>i#o2A!!i__4ckRYqf&_O6L4#XxXrOToBtZhfp&_`t z1PIo+yL)hlhCpaEAt4an+xt|Vs(tsp=jGJ*oo|0XsOJY=T`<;~Yt3iQImVb!!aPMb z`RzxI)>|X+VT>P|Dhd-ouzpqgCN-(yUC2f!@bg7I`*ydp$i+02=6Trac>AdV_`v$f zfdH|6j~9voaAG|yHnF``1hvVMsk;LTY*=8!+vl>YnbxI8sw{xRr)Hqj3pJ*3Fn!=gF8} zgFhsS^2V3dGWSR{(O))9RvxOh(j(x3&Jjr=<7a`d(m@f&-^jqGB3WIF zPHdDmvJ(3yaQ)b+t!^6*NCl$#MT2#lEz&2)5?s_0rhWuviG0d;C5d66Bc#q=fEps` z8|qCN6)el+|C1BF#T_rLN*_51{q?$e|LTmLOMJ5>#1onowmsOA_$Np!(tV|AU1 z4>_Kdl=-@tt16PHo8Wp(CjbzgJc~dO#z)&i}_} z{{KF-b=LzLl{#T!qCY!!uLdg~UM7l=U;;<~>|@&NeM+VdOQH(Z8;-ByX8M(_W=vw# z=7W)G0t!3fHM2|IqUj3cJca2*c+yz za>D7>SBV)<0GmZst0xp|VeZY2zH79e@gE6Hp67%{Vi*hkfdi9-xKqDK8C0%-Tb^aH zW}9X5Lv}(2*XI!PegsIp^=in>IJw%EjBQI2!F;Q6^^Z;Au{-)E{y$La`I_KC@57Re zRpwzaE=1GT007dqA5j}Fx9H~HJ&Szt%3W{+(zq_^F-aVh)EZX~qX6(e5qNmUqyZYi!=g}RKH97CoWhy%B)AhRxR${nRx9OTJN1i6xCv+y!pH3WCxkEKh zd_}yp>OzR0SNppuDe*M($&4r7i;8h(bq(|h;*ej%W|H39sI>XSv1v`P`RwtIwzezb zATSq|YC0%%QnNl&`{Ou)+;pI%S^>dJlWd*l*&mLJc1uNOE*h!GrjJXA!Ms@rjY?v& z6s(!KR2<08&gW8iY2(~*^33P8Ps{A^IE4wXyd+LX)4_!iv($Dc_IeMHx;nN?12Gj? zHBov@viVhXMruJDe5fv#Dsmi^?PqwI0%NrDn^7M|3$8aC2hdW#H2u-jxcz?7UXo?dw7dK>MUpB_14o@O_iW$~yBm%h zwNV*o*5cZ4@Pf#zHGz%wu^`^;(yqlr|2w5_Q}XDrstDueOhsk_0fA~l_sZ=FuxFWB ze`Wj4`6%B`QVcMVHZ(37$R)8;C$*1-JP(EpV@sSVUkB&eHT%u@ec};fF;~f{kB>rG zjKlxdihmM_j*5a#WTCuEuOMY9&uzISATazQl;%XSm4i7ZRpJ)gtNcJRCTlcdUBBl` z(+V5SqG@m}O46G$J1$YnWV~2deLgU4017{+P2etHJm>!l0OR>SC8OcQmWNY|w@lPj zMIjpRhrr88;gFc2^$gDm{n6^P7(;JrXI9Wr>f&SCI)h=7tPm`rY z*sjb~{7Tnsbm_1Sgj>kdO-@2eQdzF{)v2?c?h5VVeo$`!B+9T@%lfL*%~pqt+(37% zoqAD+iNS&={5`J)L2u#35-B|TJ?uv!KQ!IU%+wI@rNR#T77J4qlH7F6y=~9fNet15 zJC)!%+x11rx&>z2-W{*#fX%v+`) zHcfA(G_qMqjqlT$8~w%--y`K}%Orj)*m~BV1rYi5nYRYkVIVdv&dzN&bU*f-3g`|! z1W8;|UzjHmVugmrmaS}UZywKT%D^b{nA)##(wyFxh@i+g{<%U89!6OYU|tkf{n?JM zs@v1FEE4O4K*XmWAn=l#;tw&2HP}!g!?J!dkga0(*Zu96sb5O(>rouNJ?z?Iq#2}Q zVOPmUs_dSucEosuJf2)TIl-fNm;QUy<+Epwl(UwIdUachbiPLJkJpdd!!j= zA-V0t0jZx|T}xV(jS_a70|iGXjj6Dh+hyhB^76HUK3r^|_M1_&;lhW<+-4Q2@LL!R zH8|x2bdtPE)wL!G3{GJZ%!@xbR6gSm((q`5sr^`wjN0tbcSJh8T2-l;M>0y=X<%hF z@7ya*db8rPa!1ySazR444$V%7MCiPCNvooN0lW|I)_W3QJ4?Q?U5a-s)UJkb8ZYx zOYk4o8)zj9GBY>cH}1`@x577{#r%K6Mt*O-wv|P=%}lkoanrh!M)`a_%5u-r2$C>v zYGZWpbxe(Zp!PG5A32X~LC1r+9k1oyeVYfjc&$wLV`dpN8cwCyi;gh!_)`!(uh$=q zPuO~^*MDuiXdg6cS8vAJk+!kc$p>1et05K3qNTpo2yLA6zSj>qq~Ns-GE>w4rVvQ6 z=^Hll%*NZ(jdt8d4z~p%A&?vT`m!1Joj9mW)0eN1e789$`>=&*@IXaoj^{(LGme85 z8uu0Ut(=}W-@>nO%><1oc$$+X9^AeeikC0&YBN&z@P0^jtb_IAJECdd$H%8eFyUE)Jen83?c&Rx^%+EvYU{jH1g+5>n4p^OG`-p=^ImOp8Xi( zHHYRPkEGa0?}v(dmV-m>{ImVs)VYzpH#9CY4Fkc+V{K$j&XX|~2E`MhO;`jS>f$yY zLh@wn(M{1LMwEQyl&>4*S)$XK&|Rp`#-h%=3}YhlNcr23FXi*QXig@$2iuKpERWv&L(;s~boEsr;^K7p;0out|~S z6rJJEj@A3_;Bu7UL8C-ZAWr8q#Af^mmykRvy_rcnY-ztP=9^a2CXE!5S_DMYP7aL6 zx8PTc)~XS_d)>qD0QkyLeG8is+ENGRAy@>bt-N-sK1BuZ;Sz_SzY~4!Hyu#*>LT^{ zNT<04V~N_THO<5!eoyp}p9jj-5KhI-cG^7`-{t=V({0YUX0MACXz18!1V-0Dsmpnx zZfK=sCWc^UJRFu$ISSWiV4J7GO69ZYwKq@-Qwj7(H7J(rDA6O2vcpg_WZKmNJpEdx zC}KpUDn$aS8!enyvKk*(kw^DMHaJ~Eva)zCm;7i1;DWdcuXNV!X@#*{A+LM+8r zZuPkzeZ#qlI=X%VUBl4d0oc&S0s(|P)8#>thI-`AL%W20X61K&!FL&sBPlZQ)d9hiStPyRDC;M@(sL^MS$DNtQZ+ z);{!ujyR)F-Y@EJyKPIyfW>B0MQ`cN_7MQ7sz{OMUI2OMJaM zGpGf^r}H zR}oezx+)gW(8IO3-Q<W=iY&c>NUo0@17FUO%oGRxu84*1+*omyYk6`(7GN)auX3eW@arcmF z&G7J)A}7U)iYY9s`pJ|fHqfVw&!9#QJyFi-Ya21=E~ULU9z1dst*NUt%39O@Al(Xd z`aNY}DL~|-&ctCo z4$3*BE_gN3sI$y#Ky#$ybSl!iLefx0i33C#)0^Rm_?W*DVI_nQa5-5@nei_%SIDz! z?7Ftokz+OGWEq{Iqef$RjTV=K2KC-WXffh!In`~kPGa^o-HE3WQybV5e;S7;>=mP@ z;trpuM(Z$=!)lP;;#MiH3&CQppyWM6G(xzDQ}w2JR7a~g&_~Hb(;%7JT_msu6u;MT zDRO$%KWpP1FwS}gayv>bT!36)ngyJVyjYQ+WOdm3qNCE+^H{LPJ1)1AJ4 zmb~y}zDNy+UYSH@ohTGKEsyEBbA0BrDzjeUwpjc9Tdd3Kpmq3^kV0uMt(*Z-1poya zcb#m>4_~ctNvn$Yt`PB~F?Yo=F~EHf4LPWEOj8)wK2xZp#>{^lL4e(>0B@r&wXE}o zVometKT~jyB8Aq=6MR`s*jOWvColxd$0b}7vr9T=W#;y%enhmb@>r^jP6jfv=hft? zc%|cEy&xi-6oNrPLR(JPrs}jq{(In6c*Ms~X6qmIZ6>}LD@2t`hGZ_jnddrv^cX0> z4?@Ty(qFqixXsj?XWk&D?}tDE-$s5<9_3}6>(`37eCzlH&o@90*tR6A*93R?niTt~ zYMCd;Re@abLoV8Q!#iXoag<&=gmVM693K`JEm@uq_q$7CzYbYQA`^g+TtgJ!8(7e2D49)#nQgqeb3Rzct`JSiP+c`u+BUUD;u~7r{XlWQ z-cyfjU>d;EXUzaGawjReQCF}u!(bf?)g+KC_ejM1Wb@~}*7s%cS)gZczz1 zRSk-~hlx*1pq)l<*>dxYO`gNL+LTpzx(wcP#CW&jG6WSL{Ajs9S0ScHsH zAT5rpDE8>u?dLt3(wi2RjMZjjt;9Y-|jXq#7vn-g%LSjEelKse!nC|AwCJkiFYs4N51eqk6|# ztn6?+sJz+NM_U98b3*-SiE{NBqqn2cC_%b@f<9rj)HjP$PvgV(-QgzoW`Eko3U?l( z=T4@(8>qXxb^e^+(m#drnRW7}L2(2DP{`5$j1s@&SB5RJqyFfXXt4SyhA(hfE*j)* zrAz#c)%hWOA8)xjlu!YbxDiLArvTbkI&YMVlB=(Z%596x+1g>^#jy8lc*LYY_WU#% z$KNn?zFzsa60|0dA19Por;AS~3{=_{X(aLaMA56OBKp;Oz4RL=nC;nrG8^NCtKsSf zSOAedE3lzzWWbaWbl&fE_EuQ1nS8su?wZXi}uo5H4&pK9neLfNuwB*t}6I@Q&wkN%IG{KL;Cj$7@WKw%C|K<(L#;P3E z)AqBt`nIbzwl>C~L~fg^`Vl-6;qVs>MAxo{YlnASkXqMGrVn;t^gb^rrvqnG5pi-a zelI}u{ipw#gBTOsO{78FVOHStl>rwjs41&u=Cpxb8iy(-?DpqUf=7i6Pz*|A4~Kuy zPFSN?7GsSlO|xrZH5`V&1mP|qo)4r(a9rnJ4m~(V8 z!@s7Ry014LeXTo|aYQD=u+-p5w<8(%%^9k1GygNmunuNdGqhr5?3w9_M`>X)crUuf zUp5mcoQvo=lsi*1zz)#u2=8#Nhsf^P@0px0BUxv_AP(bq6RI{ff-?$LdLmSPcnx9V zRTdAOHHY@^4_c>Cbk3xCxoxZ&HTHV3$W>FM^&w*ts;$h9roig(n;q{__m= z^ut(p+nmglC+6yp*nDAw+r?W!q5HWDkL?bnaIc-~o?0+o2Sst2CHS|`w(TSX)5z#0 z|4cjPyREpLhcoG}-zf97>*j07@*CpAAC`XYMv;VKSs_*CC$ACADbf~(?UDb*xkq#)BY!GVX~laVYb`0sG-fg zjF8`%ACffXh!!KKeIxmbee6y|#whd1bw#k}?Vpv0o4)|r(%v(s0sobwo{kV%BP>BO zV1A8U!!_^;5efxa#hUB~ILLDas<^r~hMcT`;Id8!|KPw*=OQ~}9J&gTI0aNz6kt-> zfakqwsG%kl3dLTPOi6@R&uia?&u9M4Uy&69_^+(}q8(l6{4PtIxYAax$e2)hICY!e z5ss_v*Rzxrg)j$6ngCnl5ruYbGkK*bByfe?fnVJ^SkfV6*La%Gv}_fHxLHQ^-3&k^ zD5p3EGqbN>el5U$JK}P1E9>xK{8|c;jVX4%-NCrEeeGBq_)VK=u(5Mbg!EaJNT|x8 zjyfQ3hr;!Zc8yF7Ac6;Bo3JI)9HF<)pzt1`9Kj`;xO=Nk=Lgcc@oP}^q+M4S^Ujxk zj>`lV**wx!9!qmgS zbx}O`?H)hbuAajg^Xnz#|3$?d?HD-d#OA9HMvb2=NJf$FO39d= zj2Uepr%hEy4+%mw@cz#5@izx5WBuB#jP`2bRp*SPLtp&e&$E%AE%&S6@?I|t3i6zb z$D>bI#WU%)eI$`%Z^g)FeECfqiMxiR@qSA@Z=rtkNKzqy*!p>V@vpafs`Sxh(z(^p z4V9bO2J@pCy^v_HLap8VR~%@0y-$#fY#qs#%Pv@$Ne;E+65~q70o)( zz~Dm3p@=X`15bnsTu+{fp*Pk14^}C1kw2XJb!(^GI0b(eo?fl9ef#IG{tx0WfavR^ zyrxS$4asSsyl_>q8kw6tdJ@hVio?j_?*-(B(wklqN9=1j8SvI0aLAz>>lHgaFn)xN z*eDY^x`6zNyP9~?C9W3>Sj;P4w!Whr2OQO*{$rJ`qs!GNog9?yOrFG#mxRelhjO+3 zCVcL=f(XuMKb^g`bj)qE8vb+l)Anxt!S%!;g;AyX=ct4^8mJ_3Y>eYDk#3+) zC070@W6iDhTpv!GtunJ6)d|6_D^;1hm*oT|48|aVk2poxCG3ecMZ!bE@tt}|Z)W1Ew0yoDbDbsN9^7f}~0Us_|_v7&Xe7EnFcKqi< zTg@jHJ#0jil8|{}m5)Z$msgl*N1bD2QsXQ|oZ4q|QO}k+Z2zT3JfUV5oV6=~P*xvG zMrKd^@%ydDb47k!DD7{nwK=z=MPO&A<0km&#GBXpJqs6ug?ss8Q`4ugF4*Lq)I=&PAKeJ&Rczu9M{X)C^!g+#qPUtbh7>)!QLwvM z)vTLC4i<-N#B5kfVS*C(%m(OrZ^KTKwnwQP*XLM7p$qJet=JPkKb!ZZdi&iK|{T`-_hA z@$3gojDzwHRS7@xR0J;>aOq!c9H5yJzj78+GknxInDDHcmv6!6RGjNKEVPo5l4{)SD=p0W%o3vRkq|CMbupu z0$r2f9)9O^$1(HBzL{~sqBW54pU`tYwvN1UMV2X{VVV~Q5s)g(Sw8^)VU~!rVF<8k z(M)$a7s5xP`D|;BI#24ECaBzN_k%)3VI3ihuauR;8&v}e;XVj??i6Bi{0c;IhvuqR zn9VodiZN>|aodW8MWkG=Q3O83Cz)S!2wYutV;2Z17Y9^B`a`0M=~yae#<^wn8ZPmF zuGSW|G&U|WUjwhL7S#te>r!tK8FZ=W_XY9cSjikHX@3ETd^BPwxb6K7JB+cnLC;4Q zxEh!f-(IQtzzsgS9bBx;&UI?K)cna9atU;6G28|>iwQ<`^7I`pt?w|rHmDG!`$tQWK$>CBk0hF$s zFBQuMf}VCwDyZ*;41Pr`?)*fA?ud@*R*dZS;;g9JMX$ZBum zfBHx;4R+F1Qlk@j>Zq0&%f$YPCm(1qZNNV{v82|oj`EDJl3(c)MW6xrHl6_NXQFE$ zXK)xrOY#Ky%<76Vl~h!+-avjf_71|lZ2)5UL{rb5(Mk8jUsP|y^-ea2LG$azVD&s9 zukpA5@hu0+dY^>(v=q<gF^zE+vkj(zT4YB-d zxAR(~=TOIF7u8JLP^sQwLmogd{h7z4zS}68GeQI~?`yVxPV6kYEb1?+GXREwJj?@? zTZAX68)?PRS>j0Vr7;6b%YTik7p%Ur1pj1FsZ03%tDn@q=>_3AHh*%tZ4#xobs!*# zAP-um>hACR?oW+(rRKAm4t2rUCoEtYU3gJkq(7E~1Qo&{yPrbk3&gggGiGHSSE5Ko zfvs6@oyA5!sfzw1IoBYAaS}ej$@y7DeIBk;^_ja{nGuw!Wrfxz6>rtgpqDS4dSA*q=ReXqyPx8C<+cf2K8hR%8Mc z&MqY764cYjj5J{SsHcTOt3t?@S@O$3Bs)mlX7MwsA{|RW5f^kroz5wAJ#0T}fs2k4 zH;llHiHJR+X`qa)P>M3G`D&eas>8gfJf{?@CA7`Qptj5p(?ay7PU!#Ej^lay>61$k zM;Y|+y5(a)F7wr(-I={tO}?m$ZjEE8%r*2xp$0)L{C=hCryoB}r%<$BC9YTZk}kr#GZ>b49*v z;83dN6ULx|u{|fPGWQ33y0}~$3EEg|?TPWjvxt3O_Cc{3ur1pWab~fM9pq328>236 zGCwdNiQ9W2a$xsj5L|(;u>Z2Vqth_DILn=bjQBPj0CL9W7K!n~8Ssz?#F`q$WN9rX z++3Lj6ceqRjemppQ4NzTRPct7p~5pzmeWQt{VMm;niCF8R?H`OyEZ8P0tn$Zq-BZt zzRG~Osy(^QRDrrB)@A{)s`}04|myK(h2yjf67dx|Uhkk_2oY8-*6?=1d zb-*DRwQz+f_^TGhxbPf32GTkc`X#eeA+5%- z$XB^(2ODJpJKT+-arWj%AXE|H{7yWKgu(q+hWepK^pjK>Q`M`6ozK_=-br5a$02zC zty}SVvnAVNwL4!A4C2n#7&b2XA=5S!Sar@l4D-yRx=nrIOl^~Z~TiuW5X1q0P!~jY`%p`=X z%9BfOzL(%($!j^x_}Z}wm*piKx_L8sYEP+96r($(OCdT2qo1$%Fg?mW$Ed$@3v18T z_)Lts)f{98Z#iaBg)#cfa3F@4(&PjaXmeN4!Lum;7jE(YF|hZaLwx`C!M&sw?s+eb#^jLSzqZI z+H>vhO4`^clV2GGkOIT&$w`5<&2A@}s+f&DP^Y){u|wXKe7BI*ggg7I*m~=@q?&pH zIrwrLV6ARZX8Y+?wV6$Gn*gY=fwiC0M*I|>l;ENBa}*mFHtF&@vc*+&ZPdtr~66<{p!r4#9|Z8 z!qeU<3ta$j;$JCxX*+L<_3zlSJ>ir5ek$F{oynFW+G%>9+H9J&a~QkgFeR$ZoVgpH$hRn{E%9TV>G%es#!hMs){UWTJ;GgV3Zy7W$s5uY`mMek?Ec5dBv951~R>Am$eHM z%>eF_J-dSPnI{F$=m{=#*O#gKdOH7(&)P6kamWKc`w$I4Z^VNeq0{9_kmvcn;Z`S7 z1oJkUX}t3!pA6d}Z%MIhAxW3ofosVFX**D2RFYBKXMT3Up|mOY*UMJlPH^W?9^2^zTYGp!?RUVlc!BgIQa-(4bZdVYL+ zD1LbT<1QcJ^Ro;;NY$>%_e=HjEkO+jO3vsN`Vw|o!7;Ri?r1;3*BIrvsQha2Ue!{#UemxJ(5&gdWO6KFtFEyW`?3W2at&pBZ zJGC>@KyuV`B!4KSKlc~;Ndk;EKRD9}|A@>K&MV}&*D zCFGd}*flcgsB2!-lU6)U#7l2nF+)nFv58?`9SQ7)I^Nae*QdH~`NEN2y=IqWIw#!N zw}^w*Mx)7KZQ**@PU7xM*X|Dp2^*>A+Wv2Pbq#r2xE<>*fwg9K#1mY_&sWi&;M^vt z9iMO}R)e9N=BC}n4lNXOndMQ_g?n-+JOdI2eOT-X$^g?Cr*egh(PRz>h0)%S@#l5> ztxVxx<;$0pkD{u1x4tm9HfNUi&;+vh;?lM9j1M~EM=j=kZig-Ji- z?r5Fci*WnWIdxCSFV0tTflc>Y2Q!!a`5UdJ`4hFXv+5nCcynq#)E;%5@f>Tv;X%4< z*e_bISMEXNiwE*go9Z%vnKYc?!rW;CRs>`byA9sgJ|j#M`bJ{q0nNN>U=n%y#(~N@ zY+ySf=((Oh#v(r!5dz45xFvjL-(3Ba8($AIU%RWhBf`u&dCLH*Xhmm7I*on*mDoAv z==%&6IIAS-V;&XcjJKvNOx;1pE-=f0*j9WCPl*UeViaNIey><&tXkpT5os2S>)4jJ z#7SPJZ?K^?dElWU96I`=z#*4he`|1WY$t0?%`F@I!Gm_OSX^+2{s>Kc^w!IJ7>AJVxrWR7Z6xTYK-Z&LQ}CYaNI* zK@a*TQ)nuewM)9Q$w##^$M@Lx+QqP(W$zP;rMdxs-fY<9XtuqIJ(pJih;Qc^f@v@0 z>DNc)pp(o-aeT+h1J%{~o zL?~q#*yh=AUG`xpH4hIW?mf>!aRUkA1x1!NN3twD!!;F%ZNJLHKXh1Lp|ms=V09$| z|5%^!6u0B(3|CDH(PaQU<2uW=rpPSsbHtUb)(8i^r-ZgQ*3+9r^JsgipPPtYZmPa3 zcG|lEZ)-N2oY&$KIgX2{QISsHTr*0D3*!CRah~~bn07P2{}N1J(33v{Q?XHyhv{N} zCm@|1#>9J1oTM)2vh=ptns@UCD&-pLdbSpbMw(oPW4pgCL^$xY(1bDzw&$E|yaGP< znUzbYq&<^rUOC-WvIXO9cf6%4xa$!Eh1hf6OYo$eG!`_ITArl&+h{3L6$+44doBx( zg^u2K*e%LXUUdFOifZ)>G&S9UUeX>PfsHuRu#fDRZmm9AY|5cy+{P;olOQFKw?%Uj z?P`4S@c6Kk)vZiS!uck-@>%4lgc&=ot~|8&=wE!xa>%_Af#qqmPS%U`g`16$_t9o> zt}_Xc7S3wBUUzHtxjcMaY_|a|W zk}?vQb-E#b)s)&Cv%1$5v1K4nzM-TN6~BPsjKc%eU(ykC6Ru#3Zx%TO7R z?;??awWY6m*OPlQyozOoh2}ZN^enB|eODc+5OmG=7oa&0{W?FaTu1PfN734kz744n zPxr)%@|jyPlGRDj`P0*V>8T{A1JZv)h{DzlNL+vs-K$KmrKQ%(gth6kwt4zf?CMR{ zR-hra<^0xf0~6c0@pt>Xx)wO#&|Ce#0H20Ej>sWWFJ)4!y*(>Ur&yD>POwv=!^aQV z^Io7!p%V}4lk~#n)?4t+Ofg%$Km93mYg(<|qAw9lu%?Zi0Zh=j8bb~+dNBeen3x28 zKI1yCy-EE=Ni-xq=uE~snGn$4nwd1NFTRn2el6HaVvHA>Rk<^zyZ2=R)}$E(4nlWY zZZuB?7d0zy0xN8U(MZ2O3C&x!yX+dIxLNiFtKXP?(}tN))zYZbi6j;AL;-Krg$pHd zq2VDL4neQTPsAG6P2~&PHzynG@05~}dBo%^QM)J!J=Wpi&NFkX&(jPA@)f@fZy;Vr z`tM81)Hh1FD>NPaqzu2RCo^d&n&qdX6ZIYt$JqW7J;c=yTP^enJEYA>mdvd2Cx_h$ zYMz+P$?5FCqsLzU*_SR)z$|_Tp-)Q*_z9RIFv_Y%mPwcO}KPaeNeP=QMrtBvVw2M@RDi4oyo7C8M1lFL@@Y|R|xN-x4UIu& zPUojAZGneQwLmZ^bUe^n4d_}`lTL4J6*`XgQg?xWTfe=vDQ0+&_L~}lU1JzhUnTOv zueW>({khls^3CD5ZbDE0JE5@u(&&+@bVc!LS-xF*iymxD9hH<%fvul9Bs!6& zAQ@BD^ixH^8a=;WYfO-8+W6CS$W$w|MM_sBq0MPYDv?jQ7n-mehBeiM3J#XwxYSH2 zbmc8-Xf`IcHm@{DkZkI&phEk@EO<&g>zD&ufbsH4Y7-2(+?2WGK68m4^e$4dGV|Cq z9)hQVZ(|Un0EEl4!{RcTRL@G}9wqtF5-XW6Dv@%!kXuss!a7xb1C?WKs1?%a zyv5x%e_q^3qqJ;<!4x1ZNxjIsQ|4Ms?6e6p;&daL^; z^Wc5`o6j^Bgy~$uAM%6@|3pn0NGoRL?uz1nwso9Al%KxT4c1* zlr_s^BsgiWEfX8E_YT${l=q9|v;)`zFj4$MQ*uzX)Q7#lWtB$OSHXUC)#XBXF zkV#BSc=lJ2YQA>f+2J8s40uWWg8!(~rouRGj88!5=n0u^N=go&W&YlJ?0WWHF^MV| z|Gg`7MuX7C?e(AzA%7sjT!@gEM*-U*lQw&FEx#1y=M$p-8>8Poq?LH_5m0LqPE;jB zAYM-(mc&jR$q&@(xA^UvEDvAev(06~vZR}iByOd4TXWkCtmXvK#F-NO!c3|I6!n+f zOH9sQj{3DtEm*!71SM(ER5XvIJqe5v!^8+93AB9)ZZ9i_RJ}SDFe{zf$@fzAe#4$l z=DBWqj;a?o=|7G=9tV$Rx^Qf~oXR~-TZe3kpRvc2bp%h)4&Z)DLZQCqvrcqkKX;o( z>8qfj=}z_iG*ITQArfF0$ey6%5PR5?Ce+%0ZZVG+-onI?%SF}1{V5UG+0^17X+Zkk zYtSw(GX_&L#!`W7ycZxwM1UHmj*+dcx+n{4(!kdkzv^Cw&^=fp*2OAZ9nr*R%njD$ zyo{c)b@;#WuYBI_W!~xc&7JOLt3bx&DvM2y*tz^nnmlc>JyV+C$S%&_m-pGcd8a z>tOIQYCnw4@rZ1TP2I6Jc?4$rov##n$K^QE3mZ8Vg+@Fk%l5Co|F_Gum9MXXb*CIl z`!n9KiNnLBTFz3F)shbWoPSi4U|+lunfhllZ_eE!r=-=YKFsy#}=;nAT4Of<#T^UcjF z)70oyJ%=B9p#AthR^pEYf@hIT2XqE&9B9nN)lASw%9@-PE5=`6i&`KC?X`o_rdB$1&<4dH!OAD!y;P8^D z=><9xxABz1NpNt`udvg!2pPq+Fl4poYeZ%Q)}u2IhHu&P)JvEg(SqtB#h}m})WM%m z{bB%cpL2{7F)!^3^V0rXd~^Y`=%NI2p7<;7@duNaG?1$s$VdZXt#MA|4rf0P`_+uH z@3md#fgiz3ze5`h#?4_Nq}4kbTFh)wC^b=lqgr#2Eq-IsdbKkY=iq844WMGT30qaD zr4F@*A*tQe-n-H?C&S!gEt$M~%@m=`?P~vwcdeFertE&>o2C>WCKBggRcAtWo3Yj#hqmllK89RK zmzkys*E4^~TVAP1{ORi2;M^@sW62}mN4E(XjVqy3aE?@+x2 z%eH_vH<}AQF@haX&t!L4{0{G!1f&MZwT%z%;bn*F?vJsE>GVq3dIRE~gs1^fm62aW zZlu+y#%D$G)vC<_T;!ffRd?I{vKN1h36DfwDp~9Gub1ktL{2*c?N&2OdUD|w+0Ca( zZAkY%<9#@gIfDVGriufNg&*&jXgz~u^(NKcL3q@nkvZMdYdsc=H8pypG+Ix{SuI$$ zY@lr07`rTa>&|~I_**7xpcs>4x&!Y|eS%Kgn1!McVLs$%HZ^%>$mV8v@?54cCX+_B z1{K7BsAS022my|c+US&wCgF=@+zk989x>5admg9foS}*lawxQNB(g7jZ^#Rk0fH>X zTZVcEqwCq;X@DI96j_d5Iy}6CAE1S=MgNez`+xrPuWA(||5{@8dq42EN^6#-f2%$D zubu*GYx4tv)l#R?WyeWC4FNq9yR~^W>=U`T{sFXKQDaOY?f+a()g3BuT@d-k$rn5n zc3@#4mYxXHXe;4eKA0S`>)nU1&(7Oo!+4cM!(F`5IV&tw?7O3Uq77wpDyPL$_bkgJ zr9IRl_bu8jfF@mG;>JT>OYLs*gY)gs6> z&V9kQqk#eugq`pMm@&ukEg?WB3q-70Qq69kmr2V*@rxb5P9?rI=f1+uobKqYHj^I8 z;e+8N3HXvrL~u>yCy)F_+%yHx>quPD*ZWq-(41ee^~&#%XD8yjU>NOe+NUt|v_loE zKLPHI+_K^aw$!)IU>`!+7mKb3Z5wOxW63Al{0w%=sV@R%7~2eDJ^J?jO*{VNcbGlQ zCtIxZc1GE*C-Qr{B^^nfty7|V!Y_Zu0}LQw>&_(xKcxkqQR31JPM!t`7=-T2q+)Fy zdaq=cBxh%uRzxcgYYQV=y`knz$ z@WhA)F@H=mbxPbn%$){X@FHMKhevUHkNlSRnHd*AIliVxd&eCRE%Gash6+Iwl*V+X z;)qKjt%#8=?OEqDIzg%w#@Uqm{l1sp3-Anl5XOif6q_W2%{9JjPf+#x+*?q+55oZ0;xXfgt#n zrAocL>_^(ulL`g=lHCgg@H+0iZ|BJ)NC5(SQahEhf}h9Z`Nd055cvazBVri}!>00D zSDx89%JBM~yrI=gbOiNG=zctNz4C>my0FGx%e*v0G z()}jfS*ULICpOkgBxWR=hx+IOgWv4Sk(-$syFdMq3l-TELI*oM(csB8RY##$BhE0k zdPhtRBBFi-Cv;QHDPgUKLSpeb=$xCbkQ12uKH!>3)PF$mqGJ_cUnvk@L$dTaA!C& zfI<>VuqSy0WTWDeu_<~Qf4aO|)6+n*z>%GKPxu*Rp6)1j-DW32s$1r=O81e-6#*z$ zIx{!Xnq@F+Dx3)Oepf7cUVjhQ@*Mn3@-mQ=gnm%Io-egF4Bg)q3o-HF-qNs1Nei?zK5=|?AbZAL1r8Xapz2{Nf? z9*x_w$B_Qivw8>XL2$BeHmr|EO1ED96g?*Jo<`Jrs4~1_44B0*TuP&zvOcoY6hq1p zn1m%yuq`Fasp_FSP*5=rs;Hx5K7x`wMQG!Th3b;FYYJSbug{jH%oo1Hq?T7H!sIDv ziRtc&FCUFsK&fZ#CLgqVtB;Pyrp?7djA;-2);O$1>Rk!ti~C{#L%!gw*i}nZigvVa zVZvN_n+?H%gGasXqJT-`GA-7NxOx#amTYxntqEx%QDfcH9pn z3f5VvKf=0=$w%JKVr&|fQk{WN3IoA?skd-L-T|!mO(#^UN3Ws@7Y+Qwy6XnE>@vA{ zo<4Q^6c=?SU?r+BE*{|?5EO>-`Sj1)_RYuSvsK7}BEv>tP`1wpi6g(2R$wB3VyE-R z$HEps>}(nZ!CX4TeyP(QH}Qt(n56oh#LFB{?!hi?yw^KW{KtZJ_}gQ5QQ_YMRe+U! zYc{A}K3Fk3;6Y3K8sw+Q#U=j#5h%~#Bc zZc<%S?TR_q9j@lYBk3bsA}9v1(#UJ$z*SPs1tyg=tCEH>SVy6>>gS?e=KH0IL#lsiG1+yYxY13d-_hcP2e-*@HXlk9^)T)6s|vp`xbyank3j| z^M<4iGcV%PaDz!BSAZ%TT5t7*_z1jv*ps+$9?NO~k&oU)4UgoDp)Pl_el35HE-cMv zfkY!M43>wR%+rCJ&3xGV6Z_{hrH_TJ9Qq@fk>aC4+y3Z9^!Gq<{C)nI`=qoSX>naTqX;lWIT@Ue}l#fF~fgmD7tisG%h1qMSmW~ zgH1buj&~vNP^|%>^ zyqV$(XghoHs;b_a+oAnbIkEjlf$??>T=Kuzd&{6W-!$B_aSZ{2TaZSAyF+jqcbDMa zxCVE3cefzHp@HD;E{z5a1PBr=gk*cR>TJ!-p8sU#oT=T~s{QbO=(nKi=|}IrpZj-R zO7HcLtUy!=wA=F_;dPliwd<)qi=^Qm6V%5l_}c#hgY8c64R0|w>zo|3LB{Vsjz;h> zO5)`V`avE$(azUA&|fkHFk~SHy0Y?3xk0YYwuiJ0SI&hAF7i5uTiY>D%4m}er<0nW z?!!1{dyeo*ip-VKLyo79sU_pe-61|v)2%jk$(xy7I*-@xF{j&qiDg*Z<$Q|Bu3o1d z?)o$}q5eh06y^>xQbEk*he;nV&|3jbL!0Brlw^n*=Xrn5dZbc@j#s6rCQ zg~MQmEOS2U8Te_&cX|GQjyBApu>V))r2V=`_)rQ|+$M^~9B6-59iPO6^h>H1@w4nm@LNw^TjW3TBTZ=y$mQQ{isJAwn(?t#WbV1hA2L)fy;wfLlD6xo0{0A}e1?w&bJ?#0Cb%TqD+7J# z*2xMQ?=;__=YSk5tqJ=t4Vjqf#i-b>&=5W;S;SR|zh2;f&5prM$o+X;ck%p`)a+R~ z(D5~5MQIK;1GEm+k1a5IxtA3-aMORr&i~Abt}6e>1X&T* zdnS7WgHM9}bn6ulj%#wXL$%1SCv4{RI$dzQoSkL9MD6DM8%!-z&0lxyUqE>m&r;VI zs@#5q@3;A(D}QJ3(*PZjUyrsdrrkv<>^9NLHVK;TcOr9~1Mc!$*NX)`jBh~Ja}k2` zu$V+K;+0srMR@JgGVb_=pVg&Q)zV}%77tn8V0N=gIh}-R8|nW5zf$XLExd1ErQ4Bt z#7j=s)EN=A*ltoP%)Jlf6AubH`I>u0o_=tXxp>AJ0G)Ga?`iA0&UgbSq<8}H8YzoF z!1Zuxu|DdeE7{ptWP{?02oB8W-0r|3#%ZM~GFOJupFD~q{$XpOx+3W`&rr?LGQb+el zOae_C_8nJgl`oK$3d24gHb9;{vyajf)~OQ?1{Q=lc9b|m4~3A;t|R8dX6E~h1uIr^ zh;L)>ty7g+G(#BX#cdt&+OA&TN3)TcSbr;Jg*z2@sV*OhteAF9*&anH`~ZqU=Zu(d|`E_UQFM4LMX(qRxl!29-op+@-<7fhy6*5lFaE!;P#GTu0QF4 zx{Q|EJEF(b_v5?)PFp{>kdec3VgsENdu>49zhcPPulgb4a%ijMHfrivA;zI$-xOez z{oE#A;(6hwkgC_(wnPPDA-LV7kp)KM@47VvC->-%JqAXZIJ*>oW}$mh7=;#HcW(*K z%|>b*8NcM3_3VGlI}+}_GI4bo++X71gWY3H3cpV%_Bv*iBYN?oDszg7djF7`yzy0N zqzCb_hw`6LPB9LL7K06c0t1`xz?k2Fvsk3t4Pn_Q@n|)g4+qi2X&|ji1k6DitcS^_ z%aMjmc85kt!UAanOe}om%lzo^8YnjI6Wp*PkE0iK><3lq)HmLvx+BKe z3{Q1q_vr7RfDcg49`Q2Jx3OMf+bU4vomWRKl}0M>P~R8|+y-{L1Q+(ib)ZxujZ|ck zP~`GVDEN|51XNQqFig0k<55ZCV^9AmHXglwg*K9_OVE@g5|liyZMNf$fN1QeNf*>}X|$LC{TKJDS4KJrW9 zlk{4njG>Fy`B9a>Ze2Q_+qgAJb0j_1qL37Y(zkw!JQFW>f9DaT;wE{2A^Ez&> zuY?z~bh$Rwh-xJv3!87idvCwt8uRzf?#LJ-EW=HI33%1hv|yHF&yrK7Bj}a!%Py5n z4*!En%P~e$N{u;EAK-%2Hu|%{8aJsBM`>9mjcf#TMT)~*sT*!8)uMq>L6F1yo`WNG ziS@lVD-U?aTzsY6_vD>GR{i~PH)8vy+7^@2ndxWO@HXfEBSxp ztE(fFl{Do3@6I5Y;58)rkB$E0b_UaAtato38vV!dT0-!Q8Tb<@zMQGulPk64SZDow zemmYi-y;pQ)WW}lRX^9JS|2^{OwXAB+`nr}{a04}2}3R2|L)-<#%EJ+_Q&%%xcCp} zZqSSOOu31wOFK%ibn?}yVE9g6HPD2cx8xmrZERv%l;@B#7WtBO{<4t066TNLr{?hr zD5E%@O;Jlbx`B7%(@5Q``S)~Z1@@Kyb$QtT^QBv~z7TTzRZ`?C=5&Q?AJlo`5i+ zeUqh3gB9yfpJ+65xI>PFJmRTi5f!Qo4IBj|ycntRkBL=vc|i>QojLs+ougis4b8qIhoWz*JNp2 zNQMOtvpJF+KPN5#=9Qg146u{_*S%awm;5STF*Y{qXo=8VIRP=LN~uU@?NLl9%VSW8 z;&#f&JKo1E& z!%c&1R6s|JbsPsm{17EQ05dfR1rLtzPOGZ3Df^X~p3HndJb+p;94=%(LJLWpsLzx9 zlyI)jqM@VNht2{5;d|9c*#dDMCfYwzDv}L_Q51dpizUJ7(qWRC{$kB7BS^toQA{Ke z%$`38XuaN_smRw6gxljM?gs;CgJmAG5lAvUk71b^f0fO$Q6qF4f5{ zZe-AqGu!#T6nwN6)Q#5+C~jCC*5=!V&*^rowG~op8EP%gVnL{>RAMF_pVP%vW!T9h z-*-s^tVF}@X~Tze$GK>y$2;<)k;*3{7)D=ng5iZrC!1m~zgA^F=Lj~@GD9CJ zjwSC2k2c!wdbLoeCPtiWqur=`vQl6%i=P(alow*{OnQ`=m>t`mU4V5-T2(n-Cbh3Y zy$34_L|R)c4F)p4vcYK+RmD0Ycb*yjWTPgA*V|Mly_`0di#x%afQk)=Yr%j?NXA*k zh&qDM@J+SnUp8(f9}iAbSBgh=2}4NHL>FH-q_N6u)T!7^$E$wm`-k~|-MBhI1_V(h zYI>4vLPCz|D%O#FGBhgHT(S7Z>mV=ouMwUie^Xr0E;*gBP>QxBl|@7aM04UHV=G~CRMUQ5z9>@bUSxuKh*>4zDEnXu>);Ji?~g`Zg@ zB0$onthFO*-1wrKzV)?Hgz<0net#HWzZ@xL9!^$P^ITPo|3jVIWaji~Pef%)}PPa{uM?&knB-xBu({i#3ML-YEM8Q%LB~nj^a-Ay74z)RQB=Pt%&_n=fAYlYMDz&{Rf-Z4|VO)^k7^g$FEA) z-)9?1zgR@L03+DD?mDr%46BI%4Pz#{E}bi_FoEy_*!F0=82AN8i~OxrZ~HPUTNsH^ z*eqhytWBKuwYDX-tp-L&xd8@b_8XBCxgO?x%(1?`-cXKFj#0%TZtQ|-(yKAH!wYR9 zdQ#LwXJut*r(@%XQ)BX$rIH13*nLdO;L8o=;rJwWTe1;U5gB}gJWpWgAXK>1;@&lv ziCmC4gU5grnFY5ggHG{GB|DU{Ftf~l{0k+CcBA#~Gre4sy;Z?Bs!8Wt8*eY_(FmL| zoS2k8%=4KAXRQVacppDWhRe$((`H%7lm0ppg<18{bCE&&3|@Y5llVpoVE0w9-J%Z6 zd90(AJ7VH!h9}3FZU=X0qpc$;{4qclg%VryDvqPZzw+Idw;hHq3p2RBs9;;FO>SdM zE5^dPc*rEUmE)d&M#w6gF131dFwpyY5L|5}iz%x4iZ7#4=4?ZFt2k8j5ze`&$}~v+ z5T*vZN6zW{6+@TxbME=oG;K>GsE({aO?3iQxP3Yg^RjLc#zhXpyaKiPI8J%F^bC!1 z^;k1bggbPlu3fjdu7l$eyG0{u6ffKAti3$E+p(dBDtBR$ciC*1@Sq~CRKE|HaUUH; zwfhD=9-U1?2L%t?nkj1VKNUQbg=e7M`o_lhn?qzo9g;KNJvB^f1*Izm9!yBSE%qBGD|QrziZxky@qN9pdOZ4q<~_OT2{5h|^+&WeFfIZz=Vs}wn+U*{?Xz1>=aK^zp$d`YZ355{+o9~Ymo`1Pf8 zUb1WvXDOz#Vh%Jd#;=RV0v{BgrTIalS zVVN^g(|7^w?vY5C0QB{bC|elgbc(7#J`gq!9>(7~OLaPop;;q!%(D#5+vgwkyOQ)a zyYTn;W}SeW$;WQ2@Eh<7BPglco(M{1RL#Z#Pu^CTWvM)eio8-;*I&M4d$$mm3*k*o zeQKR3a*TZ2oq{*|%sng3lkdAH^rXiVovPH9s=Q!8h@0D{rziD>2`_PyGXG-`MqKie zTGfLY*z86#mnND-Ku^rDeh~wY+RdeP0GwZ%) zj(4TrewaXX25Q>56=@Jwf~*Lth?^M6598wJa$Ddpoy?{qZ{CX;ziMOQ@86pF&-2`L z?70*dwpZmlnjwCVt9@}M~9&CBdNANyvgW2rQoBJD@mW;>C_3%sJtfD5k{<+Q@uyYxcWlkGz2|Ksr#lCxJygvsWBbo$&dxq}Jh8=Ja`JfJ)7DqDxzNRvpvKppzsVn> zW0{5!+MQeR69bdSppueoVUCgccVGz{UJzCD^%2tnw8w9l=v{hb))U}SHW^&lVT0o# ztOFM*m;QMzCoRrQD0&EKK$cBq-T%KvT(s8R~h3`z%QAVZ4#}#V%h=ta^N->y2=e_+xpxILju5!_;pkBQ$5yO zF>jy(z>^sq;=AC~_)@w$r5b7EYTD8>U6U3*9i5kyTEPmYTm{7;6K;i>lgCvRwmCZM zEj6)BLlG7QN2ju|8hcFujka(zrEg8?PgE@LoIBsQbQ21ta<(KUCNmQ~~4! z5GycRW?8=k#+Bx&BA=0rWV_wHqfu+lJoo)IeK=Eh?C`X~9^l|LDsN`bi(BS}y|XrM zF{i-MLC7ex#7G>$&&cV;E3+896UX!#eRI(L9#__?z@v4^b(ne4IAj48->apJSO=Rd zF*O7a3xnwOKxf`L*o9`j_R=xcI%h^N!`_xgC#{NYxNVTis1JY4wLA-?xi8k zasE~yJ^VRqFuXOZeHgeJ_fzff9O4*ANB>C!-`i%QlP}Z6mT=sOe3cmd%1j~sBr#n zVlH6U5eix1o)r30Ti;5`&w4bPj@bNR?2(^TGcPYWIN^4B?LqlBK)EGWKEc4&q5DZF znl(EcsNJqhXp@MDy<<)GjcD6jD8TBSjn}oEep{v~mV=Xf78oWd*O|U8GV9h+ZE4N~ zN9UPg=vPWf0bt$o?mgp}^mY4Nn}$=4hz=6Ki(Gw8%}1QY5{1-?B~3TqSZO-7 zt&?*;TN_mf1K^*FZn}?6b{!wVMqhFYs?9%sT3FvOIu&$oh+(L-H8(0T6cUgY&TbGh zhCim~hvhT0mOkz+eDC@s;o%vvf|9=sVAj%X9C4XJlJl}BKd;%CSY#CCG|k=%!1A`B zic}t{wj*Ko%Au>N7!{s}*^tX}a;J>lIB>pE*W_|86=tA(Zx);`8)ey|B+g^N9dk5; zdVF0+$AzXXaT*n#j+UImtJ-mp-VfXrWeJ?Qyi2XFYqNx1Q#7r~r3i18H@Mt5NX&SD z3A^N!ej_a6U-M2Ouxc~VS~*|_R|rkp^eL5zi6euV!fJ-s*<2e%oUkxqrBouS!7_Qtvw}&l~R2VG_#0dt5 zF^~+WApK^58MH^+^F3nI`CEhBSZ=~jH=-Qqo7mc>G@W>yi4X;!sM5ok{h*hKO~(?Q z86t*dN#7t#;BUK#NiS0xOo{dnCnaRW_%X3w$|tN!_NJ;oX+5@qth)FX4J2v!ackA2 zaSy7ti)iE~s0yb{W(gMX|1Z41-hK z_^!x*@`WnoDz%Z+#uG(wcUcA1)Yf8v%rUW84tJ@3QTPa%cFwoPE8W_#l{DgP_ruiD zV_nbgF8Qe>2FwxY57};h4tWfeRI|p{8lH~V%i+g)HE>G^Nkcs2mvKM4T3+|72P`P_ zzP>dt%sm9;yu;n5OJ%Ld(I++F$^NKU@2oj+k%AheafEGd#^+-a0@Ls^PJu8LVx78v z*;(H<&ZjQ~u2T3mqcPKG$i1G5Iu}|Oo=y98cF2|7g|YHy<);6~>kgC4xIF`KTduUW zwo8FK>jQUJ(vxE;M=TeJjvIspGJPF=fbcQFAAe66$q`1lN97eZ3n!mj=)$Ny(|BEc zDrzf+P+O+!;BZ=5#287g<14yKq@RKYw%XPj8K8lp9w#78*%VQ4dY9J^p*V+8rddPm zhu&$fH;vHCaWH0(QgV+1gryM0iLs8@#G4s)OuyiGfZ$bY#kkX}amdX<($>@>2+@_g zD6QvK)q);<8(`^pl2HWF>3%ZWKWFf>=6XgO~Fpl)4VMH&_cnqq@%j*RK9rQWNkrl zO4~K%9A(`phv}ngv?hZ}s)^lUWr%tH?m>I&%rsQ-8cZ9*Zz5-b3jvj2VN=Pe!GeTA zXNROIEb+>d9=ye~mfTq4(9PTq5>g0-?9_)@nufz@1os7#gErJjTrQcQw~~;arSCQS zZhSC_N^n;QaS5X#wc?jbB+m##6L=!>qP&Bcv9?4F$mNneT~9BLU8b!&O$Uyl3hp!~ zgANjW(g4>WCA17nRAU(?U|@Unh}NJ$m7ex0ezY2)X#8NG7+6r2s;%@&C1duif>8J8 zeTQ~}wX7U@c6Rzwgt|hn1izUenJNmJn@{b>h>twlv6>#uAtzwrnpW+W6yi$aJf9#% z_*$AmK2d`M@}YJRxI_hC!Ocmu^gvhUW*e!iz`}=&yph=;Tba-;4IOn0Ya0!}=oj$< z5R|mRuW1xlBOF6#m_cpG_br%t=rOm+sQErukX>IK%rn(Uw+cy8;20OmqOS1o(Nr5P z2bgRZcP_KgE?#_#{~3?@%V6wk*a_U>bVI!hKLOO*F2Cm(fyd3;+uk0o^bXMK>1M0b z6K6TGj|5NM6GRPj26|l2GCXf@Iuea6kJ>W6Ca9N>^{Fl zFFJ5V5vW=m6qK@M#IR=k+Nf2T9LAK?C!O&;hNPLu=7`QJdb*2%2V{CKxAyS)AcvIy z&(7=75Y{WEIx1ac2Ztq-JJCh><^@EiN{ILfa(b8 zc{YVyg^uv=7gN{qg>z?ICrl1yh&<#7JuYbPw0k}xLv>A%+B;?=%FQGWLM@$iy`l!%QD9m zM;!(Odida4?ODGK-RlpBx6Wib9qK-}tLRy!?2fYE7^CrqX;Gz>eW+v=Z0HAAyFXYf zumjD6U~s0B2pgT=S`yqA1NaP8lZaV%tappZ>_J6Fr5W}7D4wOekd^Z> z>`97k8O*Om9u6?u@V>LpRcWmV^`28WXl=xxcG9CwV8@Unq4WcxqR&*?`}LXRBf|FS z)kuX%r8dD@Fl7#3mt_zmv!eVM4YM*U^={EGR&Ub!Q5f7xliucvKqK=}IIiK$!$Y^< zL8dDiYk46518N_98RYU;t_OFsu!gK~lg~$PLQY94Asp1rI8=2S#VUSUaT*$K8)UsJ zhfyPv_cp8HxS-+=CsrBn{AJP)G}5h7u^riPL}qXU7k+oL>Q~|4Reb$X+Z$R_UN>(E z+a)X*TDBoH&W?2pHt_c&y6DpkLe;mV1@#{TjHeq$X@I~YIJ7A2xCwk``4rxI(J%eJ zpP%{EdicCJ6c<|TF=N^}fvzPPR7UtAoj=b9;Ze0qQiXH7*;{-??&2_Wi6fEEmAgAgISq}bbQk1k3!gq_3*VCohwPl#%Mf6 z?N$}eWi=K&?CsqnW_8r=R@%k>w$`g?+;9ZQwu8|SSWi5^}{C&QPY^Q z#pn_k8iB5uG!vi2Y)S_zmI2t}M5AKdUxkgU+hq+_wp>5nFjtz5jtQIFRgEf(Oat8R z-lyp+x)rr8Q)j8z#9LPxMQ0P(b{8{__b8)nU0=X5u>ORe`b!kRg1u`faM1;?osZy1 zCJ!^K!uyw)3~@vFL`tQj=VQ1o%GQGwF?UxDhFk&dCyf`It8BDWAJMdt@VBnZ9BJJk z;=pqO9*^%L9F=XEXHgOBS~Q?>DQF}EIy`;|g%0JpaQzE_Ynw4|Z!Bm5X(XHH_8`Zd zFjHooHmPa6%T}CB+N*6uNVgx3Nnl(O*1p(B~_;KwU=l#MoqIrvG5RJMwWQ{6^k=S+>sXUN(5~$Od4GsJFB(Ln*T$2I$-KV_fmQfB z@|65QO3Zd)riRHDb5ejTO*FZXowN5u4*Z6BQvw_=;KEj+N(tq?=P;dy^YT`w{0Os5 z$J^ceweDM@eLT3NU$or{{gu2K(bbCc&jrM+0ZIu?4L9VP=O!!%W2*Z?&O^8|w`I-K zc8P9IcRr6ViJW(_U6KYrw5P{_h20 zGI~;KGSi=$@Wn6z0P2F>AB9staz5q|m5YghdRWbs<&R(1ORGr_8>$`4 z!W`w>M(nl)r@OcSG8D_#MHI(9O6oYo-8{B`n@AqSdI$3_PX!`Sv?vk+k6TTioA(vGlUQ)veiIadx*8|MbEI`91GHeFKZX zcMo*Ym#M7PvpuijZd+wRiKzX^YHaCx!}wf0@G>{TG;d63kE+O23LVXC0v!z;>Mv+9gJLvn zQKQp+kvZ|q$7eI=kg0TEDwK2>InJ!?jgEB2-OWw?@7LG!z1^<5vi{O86eMvy#h-m2tspr^-v(!H zxL03NGK-FnVS?1%QiC{s1h{AMhmTM7YmKG&NcZP6$Mg0Cxcn)ho3GQp3sVS@Fr#=# zn|k}oW-1n3Xjqz8N^*|Wn41ijXsZv6=SSbLTWVZ?kM|Kl4k)ZvDf`Jj&st}4bMYcQ zjf0-7rNGc+Hys7(CS)?t-1V3ep{}IktBBi>S56p-fw;N7yY}uh^C?l43T5?mX?g=F zE51T;|7G$$21~bA^{F=dX-2iRlMSnbNd{CmN00Oz9+}nxv)GxH9ZqAyx(CigNSmi_7N#5e@`awUVfV!0k=B!B*lRw_L4l;y5Uz%cf!p^No=vB?4_wRK-+>V;Dd8J)D-}}N4ipx`4(*#7!ImK}|V4Evpa-psEh$=!} z;tnpywEY87t*Wh(Tg8UK_9=-j(#H0Xzz62FC-_$?jJp1=cU(ki;PUM26Tdy2iMTPxv3hSOc5fVTN!VCC?wm z@0C1qb->LpS=cKbZ*JaB#r8k-AE7VUqlm zo|SyWWttViKep*(7^)w#e8GGRI;ToFGlq`3xh|k|wy*%jB#JDMOdg!c#dNIT?y>vG z!T7^rp~~j9Hl7Xr_Vk%nO-U*nWjQuUhHr(+G{>p3j+8FM0g+zQbd7AW+)v&YE$yFT z7On;8HC>~+>fU8}S@2CE?{F2rEbvrCF_(5B35@gbSKosk?I=hs>eZQNb&Uos%D(yy zsI}D$>2VDzq1)61h&!cc{^%+7`TR-r*Z!Gn`S%RMwmat+sYOqUDArR?7Eil`j{yGt zqelg}Ej7dK>Z(np%NwmF+|MwI!?42_Jbuuj{V2|FGA6SC(txN;R2~xbyjgVMj^ZKW zo@BCTu)e%2yO9Osjx=$iN@SoTgRmZEl}4B5P+-ezL6Z500r09jXScV(_ZD3ay`7C57%$cQg0)F1*M@@8q4mzd&F%?{P6_& z4VaHNhWyhDWy)EZzxS?4ROy)a5TFlPm?4ZgnqbN%>w{OpJb$AqesB_lhh3>)(v}6&55Ojzs?~BR2;BQT*z630vF3EI3{M={k)*7isGIF4$}|o4D-|; z_hmdwIW$wF`A9}E0(z7^5MiR*H=NhCM{didqfec4qEM*uPK%wW6w95`2ins! zppaJR&!FcEPFJQfkXiI0Y+JQ8@QeEZ=hRuJeKx>CgN8rZ#g)Ne2u*CO?fC%ZKI+s5r z-59?F$lEnWt3DS{Jy+GA23-b5SBgJv^?vmkoer*d7m6inG5zc$@;Q0-PIevU596%K z#C5&LnmQ7oO@Ci79d|hA;lu21^CENvN?XRxVQW6TAuG}nIWb6q+UDSsD_}Vz3T_9V z-737pR@g%*+Z=0u!TB5yjGT=V_WXchIbhB^J3s!Inb4(65;{bHJ8S(ugO5{xqH&a< z%v4MIW&*6%5shkQHm=2#!YQ)?wS!jVTf4b8+kW(L!(DGiOyFD~rnd-#AHu8+Y6l|C zh|k!wDKsxLkEEB|ktj_MSL7qLaEvc3>>B$WD% zV`R}AaYzEU4a}I@Qw*^s-=Ov#nEY@9y{Hq?B3zsWv*b^wFEOSF6Ov*$nDrYlOm)zb z!E7;n5NNntl;{WBNel%e3X9h^B&+n_FtX6d*p#Vmyhccp;S?a#jwmeGw=FPjf5kN( zf2|^%3KE+^zBk*Dkwf4|ioUQ8+guwaLtCjELG)S*$34B(za=B#TXNAtlK2xzphxSh%-& zBi0+A3TL-S2j?-SIN}UtRBbL<9G_w8SS?vUqD~o~%*04IuPMbYFVu zpa4UG- ztKg78M)sntXATR`QJ`TL2lA5cU|pVHGoFd-F1jpEz3-R;nBICimnW zcgkx~_A6)=OD0;}##>qXBV)mHz-sEd1-h;|n%P3u>Q1mocYTnQZjZ{=BPm6%C`a5L zImPL`M^}w*Hy>}NmvEqMM_PIBH&F-pBYN|Wlmo}Fk)x8jZKAjSkrz+DhX!0vfCQLVTNzj}};*C6OP zZWmxJ&6_FO?SbmYdo53IgH@j~mqIQV9U2{Y&2T}IA=?an$E=%cUjj>AnUHe!Z#=F_&-?#DQX ze$%+%8*R_X&$;o8lLKco5I%y_SijV5?s4oVuIjb=Va;z)NE||l*rQw#Mkh1W5E`_^ z?8kf8>!f~lY7a&wWZV&zlVL@G>pI4mfg8leyk8}8C;85&BgoV;&uPH=VdZL%F6mqo zQzc8B6D}P|+!c+;LA;o*+P-w{i>vJ_Z^gNa|+e?mMzw<>_Is&aij2rL2z)I z`-~kx6PNK1?Vg>Y$z++T`s8u4RoaRNbKPGHi}knM zJsGzI^F0f+?M$ekQryysiA9U13HcFE-d~{21EtrIY|4o*%Jdc1PZ?H1Zq((>UU*Qe zyb|uS>>dnDzL!V9+BHvIBl zB%v(j!Qko5i?ntwgXe;sXGWsP84l8>JSPN98Lz7X7f&U+)BXO=j3~;8?L7tCx)h~@ zQ6|B{?Sl-Ljy3~N1J8?h5f0~evzk|kgbT7|n^4X#_iZktZZJ>V6jO?=F7hCRNdacr zZE~MJ! z_ttVWLFcXe> z44&iG{8o$@&d7v#twt}Ums$$3_1_u(29#`#(SCB`XIo!4OGJ4)7@oif^S7f9jS*8{ z{&-6pIg}Ge5;ymP&@0^`dBiLi+^O_5J@GE(P6QB&vYuOVo~ zPK)ifAJg5Z3FAIMdeMCeEaUnkA-QO5awczN->!Hl}Md@JDojH2y(tjB}j z`qn&Q4a20#$4u!OTfTi}Skz-2wOIGqy?|zgt@fgop|~ptwIorAaZaKMRN%2VN~OiP zkyR3e{E{X(XU^&6n!%^|h8{@7I0&n z=dGKJ8<-i_wK1fCeaZr7*<;<#z=$FemQQ8x&u@?N8l>+Ov(QHyYNV+-W%%$J%N&_2 zJGcRpDrCBL6!NR#UN5L-|W0Wf1GB*~DRgJ5VW^yCkhptYZtLE;O5y-QPDs@_X9r#GN%bu7HB4fmE1ZniF-NpX z%b+I(abn4#!kG;LQ0rbtxDU7XE~K0~s8rI%4gu9KH$vGH$*hAeirU;7tbw?Wrz9SA z7v%`82P^lnU`7e5G^TU5S6smfFmugSrW@1X7cqdE>eG7~S=^0{&Gm8SyE&(9JZ>w! zA!We$Bm*!sB$83wC1!nTY@#IEZcw+M$N%B~mtf(3-zs?W=42GduD^T)Jar$FdDoHn>{ik>5 zF#D!nW_&#XNkS0KYsS#0Ue7T^0%L|H52hXTsP43oQ*M8$5@(B)6*+ZoV4k_v5lRvX zE67{}cI5Q*^t2kpLMoq>QVk7(4Ye-A3>=@qYmASLe&22oDEktR9)s;aet!F6(5r0n z4ujv31t{(?{AwzxOtC6Fi@^T_AC@bo$#1|568wZzq?_`Dy1V1WQr_f^$NAnhbD)(l zB`h{&P7Anf_R9~r6MMn-q@Zrg^ZMMjRP$58>&8cpEOcqE;pZJL7tI;3U5**lM|;9S zlC4|l7f!kAQ$F<_nTk`P4cZX=DdvNLU+PZa(;~ohIv@fIy5l~=eLQgklY1h1=|lx~=ew3Yj9BSTNQn2Iu9>#du{n~qYFUC_?jec*y)%!{*DS{~C$NWX1_tCa zh%y16clH%0vUMiP5!G7dOmUPn`lwJm0XZwVnC+b?(D!4*8_@S*NLZ}pyHrH#NGkD- zn~Y<`!yszkul|Dm?@0~vZC7mdSasy>qpp}_yqLmirT|3!14(7YK(aP5#(4Q}a!VD2 zd^dKg%qE6N5!^V2T_X*H1b~s}^TB3(3Oy^pVf0T~&z!9=rV2aCNw8bb=tSZaCyjW^ zx25pgjyPO^E zl~)zoTbEfXa@8T7y}I;Te7LM9I5{k%WPlX zj<4i~u|`I?&GgNf`vDb#7Ys$6mK+X!q7Zs8gEY1Jz$`uQK5I;5Y#m1%HK#V<`F$Q} z!xDQ`W(=Qs(p!^m)OMrC$|v({KXY`ZKdt`&E@8b>Ny944Q@r#EX< zpUew##=;^(2!R_T>Rdjm{`|mJny3xwvYP+qM3ZvF?7bcP!6WFS%rvT;|g|fhs zBBp76#~dY9Gkvao`_@Fql&|Dqr6nb!HL`D0Se?LB2^(gq@H`VTr;o%pAS-Qh?D*1j z<}DEmqxF*=rxe*P5YQ_4IM&_L=;!ctetPgAZY~1mt3?4RrjP&HdHP=^{QoYlsa~G! zy~Y0Z`Uku!b-vH*=)eQ{g|}ZXj(-DWc-|lW(+mDk5z>FCW3CBXc&EDPuJ?_ll~9g* z_JzIzvlA|Xtr_22NPwu8dTkuwW#3~kipcgqq`|d}xJF2qi15m;LaNBdnYE|)qO2)U-wh5zsCD$2@cF_hE+NkNA!<9_d1L-JD)raF znPQy6(wJ?k-Zxt9@rQa^wHGDva#k9)FEc6cB)%n&PMi(p2fY?ok{>XVFcKBou>KV7 zHSW+$dl$uIvg(H~;gT1VJ#unczf z@5|yrgzq#f4XrP6jP!Y{g$b}H&C9LNQ$)t)yyGpMSm3dDXzDp9QBR)P(zK6Pc&L5B>k?d zLS#5}g9s!%Q?ts+V4TX%7Jp|iwga%ypq!xJctNOq$MXaH1PGDG)0euS7^~H!2!pCe-7nJT2H-?Qh1b?y7NL^t5`m=+FRhad%@sVirGLkw4ew z42@T8$W_|1#6~M^FxQsgrN_t~CMmD>A&r1enT(AjCs)qhcbG)|TNWR4d%k7NvvMT3 z9o^0n-S5Ja^C@+<_dt4kyCU{3y!XShDA`AFFw!3tAY0j_^!y|IM8NADr)ZYN&pr7B ziPgH3)#9c$O(U#$t(^hqK(Ehjh?sI#>V(9ULE`7H)f-|}V^vb_*4ZqLt~R(o06mVA zAmgv!{7fw8WHDFF5jcodYffg_*Q>C|2gMV6F>%bI>m;clx`D71bUxzyE)9M)-1(ls*tie~B~{KGj?SsdG-ssfvs zjHx;)Zk+K@7w+&!1bxwxAGj0>RbVOKYQ4cw$c^fb4SPc)_F zoJL~_=G^=F;vmF7MW%g04%4-QpTlvD7=&_hKt|EMh!FnotvjcvTcJs&2h;X$1>Nm| zBW*9m4^88a#nDht05C8sq$Yo=mJq)htJ!2u#=5srF21PSLm}N#w{a>c&q^2uIRJiW zF(qP8A{TSAy_a<=feB*nF>s9#ZxAXo}Mi)rw(I3CT^V zC%pXG>~xW5)NwV`*6BdFaB??4=!@vZ3#R?7b)BQDnw>Dag-tKv((Sx?iPq(`$Mn?F zlCZF-0D*MsSw$BuWuH%}rD==W#0s^8kmovff3ELkk=5rTmm^p1M)oYQ;pxjFm3O@& z!swilO8ww){TheDsCGY) zmHzBDaqQ_mwiQ&`3UAM4t!qAOoTX1g{*v=9KM34Q%_p|G>n?yjS^HJa-@dXw(fV$@ zG)Mb}Z7kNoiYm<%$7(hQWvV8mZpkDK$%s^Kp*TW`?#JHRPQLo#%JAi)#g=e#Z|0;q zk|8fUGASkI%Dc$YUL~f!XD0z63r)RI#?3z$^S`F7R|yzT;jIBmg9X1lx`H}Zc2 zHh(eid+vPRx}HOM`#E1hIS|Vx$H8@d)1KE;t*XU(D&qzyq$?LRO(1H)EQ{23OZiH_ zEBJ!>jBkC|pZ2_V)e|T5cxC_;mBP!<9QBHM152CNuvlG3g4|rI^Od+$*#^&f%MoeP zeYuHbBN;f5xf!>K?GkR#;?NH&JEX3sZ;iZrly2wuy0SY_fwqdwiE{pCOVg7nVS9sF8W*pfbO$T(K^&@vGCwTyBR zTXIB6)O4Qen-6*I(hSua%PKv9_(xdk>ARP=S&~X_RisQ&<&onU)Klj4 zlWUOCZ8#YNM$HJn2my*WOSL@SJjCB8H|*C;V0T8Ta$v@>#^xyb2u5Wz)Q4H4%DI&# z3f7oA@4B-(3cZV3mTzD)FZ7wF%%=wwvm!A)$WtFcw+ie_E_t5$K8-?qw$U((&vEAG zFj@A==#MG$g6wZ%WSdvqB>J{Gw8#}~XBTt@vgmALkVy9lIkH{Gv(rdBfaZun@-!NvaKVoFV3*&5U8jW(jQyz7% zkZdtHkO}iCbDFe3=wnH@f_!GG(@?oWH`tVP^7W_Tsr{K>H7ETFmgzog&k#RFnONH_ zB?RwxCcr|j`Qfw0o?M6K9YX@j*)*FZcKZDDBT-jpGvcSdW%};UPjjraAx9ROLxgl9 z+>rtyba4#HDn!qlPA=_-0<;15v~awYC3bH05KFr@ux;(}bb;PFuzd?%!m~)?H5?yi z(?Om~TtPA>%p!uCF=EKlFl91$oQOzZY*ZNFHiOIzjj3q$_hlIzuK047&H5G=R`NC*}r zkl?O?AVGo!cbCE4nHkZ>%H|ZS&-?yd#bB`RbAb?yLZ*7e^2ga zr4m2z`f{&<%Jp35Jn`$_4)@S-v#Nj)TMt*U5m^w6^Mm&n%d3Dx1)Mk^5|Qro2aZ?1 zW$L0vm*oDq9Wj(5(*Q=>rjSaYt$G1#>@`+yh4e7qQ|9~Pl!51Ko@1w@6;obx?=nkH z2}{(39*citCpZX-@*(jXQ|zTVh$vqU(XCo6-`j=Cw$Npvo++f-#tdxX4D zNHPwW?~{|pj*`Q3^d33YeWG%0-=#KUSee;flYzllUuOv(=l>0zEjIJiA_}+q&3TGu z14>7kUSGmR;A+>0I0(<9p%4Pr723w=Jwa$bQ|bKb#*>0iHkuO^vtl~-v6kD;a*wN` z2-K6P))+PD0$d<>c%+e$#GCGWNHm;Rc3~*Jd&7Mf2;&s;Md5`&l--?@(UdnYac5Ne zc=Ih$le$9hjqp=rvxI;vY~z_?=E-UM(^TxZF~f9i_&JlO73LbzQb!&Zz!DWl%#$ro zDSMNfGtV2No7h?2uFT?6OIt23M!o7LLsp-8p3GQegVAh>pU^fi*)7vAB1%tp146LM zJql-pYlrrz@gRiIyehOg*wzWsZMWijPd!&5%dU{cQFFFIyB=-usQLQsu*LV`&^^V)1%}%7hFnoCw>SCh76KXu`S?D zBt)&*!RqU3F96!FR>x%8POK?(Z)r@88#juleTUb3xj&|OrV9#q20IZ6wr>$g8D2LyoSUFK^03yU59(p~?jA<(XoWW2%kW5`|I9 zlU6EU*;NesIcfz-sAfxj$?&LsbJ^1xX0eYXh48(ks7fDoen7#Vi{I`Yaz{tv?#rbI zboZ61YUMU#F7f-bl!~pBHB(_RDPla*j)9{e(^b>oPfNQ|#xVM(7e;?ETr4m8pRs7f zB8q$YAF9*8rcTW&*_?GV)+W102n1>;tzfoNQWEe>H97^gBFMQ(^nzDmwXTxW87~Ie z2U2z>7aGlHSKK8GgzSBGyLz-O-DoSUL~MuqX>z=rCr04sPPHLsgknA~+Y30UsPN-1 zkw6hXzeEqjii4&V_+3|bYSXyQYF_)~YlG+eX!q=*$8C%ayc%;8#;eV^a=TYNn+>T3 zvwSm~gART!IIHW5bfMIwJCa?z~=|MSbcd4vs@VN){ z365STLKAL6sr$(F8i6Q~*)lj0!){GfTZ)Z5%eU_GrQzhgVr@^b+6Y(9Xffx`{LW=B zXVY}>9(tUN5c!<;+gDSgCdN^MlCL=53ub>THh9tNcxcrio>SaI&Nj@WsQk?3b@a19 zguyhaR%D8T{{Tl2@PIyNrBiej{KTSJkx_;9POc0B_Whc#kZ*=V1Ry3J%pzstgx$Fh z`F6$qAFax?XJOgXEKD)$OIcHERZ)ObY?QECL8A15L=+b);g1UhFYu%H7+Khw(wnDK z$HjpIs)oHD0ox-S?WP~e5`OI#KpN!-Q8j$69GaGu(^l@u&nKjDcZbM@_J2F3Lo*Y>*iM+zBxaJ?I{QUa$LI&8)JlqJ&4UnD>3NrF$gu%Rl^F*DTCo z&$Tv9-US8TD3YT1+o_akRugk4as87&AYn6S&8QDVY%6r_TYjt5(9~S6PwgH^m7S*C(muDeQ z<==38XClKRZI$w#_cseuHcooIjW!X#xFnV* z+svp2Qks2zr7=`m+B}7ysst=B`qtigEHViG&xhLxTLMS zR++BZcQ|c!NN;>Fcs2NrTGVy9{+>v5bNDB%O(ac{gH68Nr?a}3&N{z+LcTAvmDSUE zSjV51oj$vkRbM@B5_q;uGS=Uf@=31~d4%UUJCh`f%c&|ykP*oy%2W^)fjVzu(siL` zTZp!C%2r}XsLzQ=chXT@sD9BD(n8dpznM%zB0WZ5J)Gi;eRQYnQ)f?t;wrK^t)QRZ zcH|-9HsOYch?(O{x95=s3G4rFXbu_|wJ1 z&M!IaoziBxOpyLs7yEQ zz%nL!2L|VNf#?lUkm#woW8-LvnGSaQ^XcH}@X?&lWwAZjzFEc86|+3Dm)gpk&R!a9 zk9Qw1Ar-@W%CDKHd}C+*@^^JTYOfZA2IkTrFpK_}^adyO;#>?r&gBnWccU3Rde)wn ztHz{}It*^=oz>cv^cGin+s-g#Oh3R+Pm`zoGBBf6s&aod0Dmk;Q68Do<*H-)Vkjqr zdzXyMw|?LDY-Ub{u_-~c3n}+EVhVwy6oOa3m4ogS$Kh^q-s{Bj6G2~`AxV#|C^?gO z!D!j#?=NRG;0-A@$4bY%x&b}=4vp;0IQN)QE#|_fB08#EC&nZyk_G1TwNa`3nbmj% zTQUZ_gtW|jtB)RBK0`X9L0~f$3uSmpEo~!s@U59=H2;2G$POpIDVuvG1{VhCoQ@Z= zC2ol%TTJJ(QA*C|MC3pqp@O-lgzdVT4L8sUy1v)7lWBG&>2#J^Z8yKL?YkiA?vi<$ zDJbH;`PEVIc{6?T=-sisg5z)FgoHX883WoXUc z^;|D()yl5>xE(bdZ_d|qvuijI${fsF-=D$Ygm)3@%r&>- z-REbD3cYv>d9ChZ#~q(k@@bOX?C6v>9f}{%^Ws)<5|@DNo)NbvA)jo45)8JPL%7QPWs>2Y-$83?;kyBzODknmG0Ny^pq$b?I(VF zZ=6Fd%(aj?{2@Ewe+fRJP3H}z&J6@;e%!eNfevu#XPcCf5yU z^x*|~14AmpZPg!GL5OEpxB-KJvry3QN|Dp zA>tj`8oO;l-K*ulN^GbxBdWl`VYjoZ-dkH6Z5+<4Jf;e~9wLT&5|COc08>5jV|l^g z@U_3m7TFeJ(-$_Wv06j6h&qhQdu5pSSISe0 z(bb_Kyhe=ZBX`6?^x%>>7~ot8Y@QREvCkVRsYZ>TSE=5F+tv2PGxy^A79t?~?u#45 zzOnmW{+-LUF}g-1Le`A&(XpJJW}msjFc0zTU|DtwL}b4iE4}Ef$S2D<0$fai+UB9p z;*Dnns^a%K89FiTRX=+)Aoj)yu`(gD)F0v|S@D;vxK%GD_P$1+y!3qJ-2bu-B_6}& zsAMUDJtOjqgvMeWsk`-ISIq;H@)`b;N?A5V$7|sI{+aibK%m=qC@x=zmTZ)EL2EYx?)3g!n%A3poiuIm3*vV^X<~|b$+}}mXi}{K&Z-GliKH!|wXA8<&WMK+;2(rN=v@%DF>v1kB zDqIy$>lG!ypfqOx{1OvFuW(6uosZHI#(y0xBkH-Y8nqS3;+ujCtS^N!zwhA1$wmAi zh?W4#E4Z*f)~`=ON^ktR>a z(Tq$y8Rv81#Z*?aw@lB}qu;M0vkqb$ll1T-yk`!t3X7pw>&D(@WFp{gFP|dMrFWv( zlc#)#>0XWoN)3f{#NB}Gt)}g=ys*z5kcTO~#L7rmwL(%Tu~4PZk>f+jx`p!!JSp0? zfB+`X*|DF;PoXqc=zfS`>9sqG%#1IC+zko}>0VqPeL>yuO1&!HVM)ZVcxU1xLXCu# z*K_?ZJuQ?jeE3HeAnXi9P{Takh^Bg?ij_HG7em{QUG7oRlt$8Tjqa%Q6G-lgh9Yq+ z;5%03Jx){={aCGOMK#0piR)O`W#7aW-V|I4nO4LullSUlsn(CX$z^yNkK7wIY}D#_ zko=Iy@y^5jwvvl7X&Fa6M!E=9Pqc4Mq=>5XVO6nM7(i*Sq5<7j6i~$HMQN zb9h4fEO(;|h7roO)*N#KJ-E>N_%gXeA;3we=216p-o5Du$*i1<_+A|A9Q(-Z zk@m-Aw6Avx@PY}RxLQ|Iizu!putJim)~uR3o*B$3^!ZkalCIH*^%}jT3&6qQ>mZqc zQ;wXzP1?~bu%1X5l` zNLE~`E@fIIG5t214Dr_el6G=*++*I2EMgH;%*_<4RDPzwJW^bWkL^tQWS1qbybGV} zD{KkD-%zm~^ZOxgIoDh9T$!^jiSjv%g!@lO7FW_xpJU%| zor@xj^Q1$%FK}G|)hCJevcq;#G6POduX5HbMdfyVw^xg+#|i0d&(H!{!8u1H%lQk7 z4W5k@mF#o8ueZ#r(}G_GJ`Q~_llJl?n-)W--9{j*?%mFAzOI&>9CEoAs!T%YW)mqg z6*dvFkHkdVc)69gTiUs1-}v)*omaJ=Gv@RdR@}R1u`@f)28j?9n^c^vw+mi;uCP+m zpVN7*^{y{i>K-S(W0xnxT=Ua_=#|9Ub^pfYjg!WD!`j$E8(o{i$dE~xQN#mepMbVK zLi%}URKdk$GT#h}rupX!&lHRzd?FipIcpV(JKChQ-_%SzHFqYpKG91IN?kYzY{iNG z8j`*+wWITGZ(tgaqb05~Ec`Oktn9GS3)!E&-cC-Gkw)poj5fK%SKign z_34>btERs1F#5oLJye>yS}l{bheFrjY4AGZ87#v9CIZVe2M8bzF2$Ty|9{~m5XZ0c zkXZk`USWARy~t^%Gx_@`U6byo0qA9Uazju_R>qi)2r{aZ=i@cC-fn?UDxVz8l_e;J zYhg>i9?GtCU1r!@T#O#VZxwj1VAeFWUX>sevEMOL*^9;cytbC5;A_gKvJiY_4+Oq~ z>)hwfnFX7v{hj${)S0Ez!R1_2Enb}UgUlXKpEAgWI}Eix^j6 zXULx*8{(`8gZ~2w)y79-ji_PG33h~hMYR#x0+SRE@FQu@YP*YYMFL$?s|o_IG)Wru zIs;~n{2j53_|g}+6jx0kD`JaC??{h!Mg@8`T?r^Zfx;x-|%7UK4 zMzlx!^(f%M4k!y(?bpC+O#L#cl0L@YV4G=DedL>2`EboE!@WO<@_c_TcHaRwNiKGp zg=;U0@yOdeh@DZ{0zNwWg5hyzn}aC2cfd#-5ziWdvIq9}U#IhGaQg3afsGIhj0^9s zC|PQ7mano%A#17SSi)&v$9Eaaf?S4vnTKgi``3xQbkz*349q7|*O8TM^mv zWJC#2m0~#o*MI30g`g%2#%}mrM7Vh@?RB@R;?Yr9&odh3)7c`YV}*d2;7%2(+yIOJ z3ELabJ)?LL>ER)sEl~XF^GZkj$6i)mAVs_VtdPa^EabEPosP<;Wqv}DPy8?C)}JbZ zG8Rc}{Zxwo&u6V-EAYXJe)x2FdWbv~9a4`&@%pXl0@)mFB`oX#wP0)(nm7~hyydZu zBIQ?)Wvi*Rkk^H}Gq0Z+_l{}Fgyo9{ydCu$X50B?Qn`}gc0%REH{bgrx+{n&lN6By zd=NEUPH0{amQP-Y8n(ZZ;ie_-|ITBg-&f}cz;I|q7Kd$=aWu~&*!@VSzB}C z-!(B3N)Hy7vg*bpa}H+~4YmAr&(s$Vy_*if&w4winu{sK8>oPM4yrWS^0NxJu%U84 z%&THco;)I=L*!sptpekHQ&=fy2zanjTx@55vW@)C{M}Nc5mO3585x*0N7j6^Lw2sU zl`@+#(7GtD*DI&ripha^Aw1tAnMAGF!CqB0l3T+FlOb&n(L(iHp`>^RI8wVTR1=Z_ zk??+vtdxZ5fwz1qMRf*xW~}?bO+FaeX2z2^ob{N=`92a(OmK%Y0vtbM6e05eZ1yT^ z9NzT_wep&=-KD9s8OvPkE#wgN*-stb4q~POil~25l$47^!<&o)vyZ%dAv4> zOpfzMx;Q+;$0hzj!*Bl~ttM!FrR=r*2TR@x{&n!~6WL{^BHMe%Wv>cw9w0o#Rb_%W z_WwGGoK61U^yT{;yCyO7{52tJGc+>6AlVQ$!PuosMJjhDAYB=8b#d{0x?!U2h_8?> zQ^N9dB)m0#%c42qu*N!JM%PD|dqLEJ^%_1ayc0 z{Q&Skl?>9A^>6#}tIFyG(Xjbx5~Z}ut*7{0&sb+ABiBGKlL%5&xxendg_Q;!0!q8~ zvL4mLi$206bXa!!Q*1}e(OoOr({o@}o&sKtlODCsaT}j{lPIPH zvcUxXno1KV?4j7SnCJk62g2rmIW+&g`X2i7DJ@P9Nj~Y+NlW#{_}O^eJI;-HZ@F5f zN&+67s$MMUDsb>UnXJU2L-4!SW^FP>dQ$b=*jVt#yves&R<>{R(y|ohTG4FUf_moq zMCIH|{EQ>G(1cv_^yam&6qj5Z}_>-bQhuT@kmB ziMyot^V2<>EvBiU3w-HA_Wmwi%*5_{MB@UV*)>L%Lg8bcy4@ujIZ>#h-E@0$i`XBQ z=w=f@h-I4_S$Gogw(-@fVcUf#9Teti9P9U7C2eLn%j1b%q7&q?3g+dU9|lvstJwJF z-^=K!?w_3D2=AIh+HpUs>0PS~OQgI@CdYjKc56Ro7!VZe287;!dB`u6*zT!sTI*>Z zu}m6+K6FRSjz7#ESKG8(4-KzJe@~WEv<+D9&V9ewlCBoalTZwv2C-%Bk!;dUbxi6B{0q?jP-hF4#7*55kVIVb41 zip=QhiD7=z;e7AjLBi5%s7w@pY|qRgW&Uw#CM>tPx~yy=cUIokJRDg~kv;THW5GL? z;lwlN!Fx(9V#!F}+q>A6GS#;%W(giJiyzXK#9e2I+Y=W54HVTI~wQwMiXmpym zX<+sWh4U*#!tCLeRR&dq66wUBW8Pnvp0Kw6=CSrhWUou(DQ;$ZGUNzqkC zK0lrp?t7u>J`cDpe#dj!xJQjcPulI~Xuox^y*a{|3`hkBO%9qz=f9B6j~q+z@ky?U zGL#lcKH3eCDKd>#R^$>ks`fgeV5!qu$4&GVW8}(QHOC&qo(Qc5Z} zq!rVVFY2=Cfs5I_xP98hpr_zXR4O3XC#ZFk8$po>iDrNRRK z$X-wW-mjH)3G@QFLz7-nq+Xmv3e8e>og9@3k3TLF%NW%hd?<45jpwZD<*(^Mw(UoF zOBZvbNI}C#wt$r#Oxt)VSTlws5eta>vie{)xlGqD|6%>8>zGyd2p3KczkM5L>{@gj z9p&BY+{}4MVcm<;cK5_dPhEw|1{JR5`EO)-zXeq%ffyNsx_5P4e`Fr`$2{o&3bFD} z|9^C&oY5j6Tdxll`eIi~hek=5M*AN6iLKn}y%lLf@z;SQ6S2MU21UD}sj^R~ipUx5 zM=NQ3GJN2w9ZAU93>;p1F_C%YF_(0ODd-b8$n%Ep1MCTn^GXlxJYrvp2~$%>msa zFZyw7me<$a@(?=R(74Bq&lxZX2s4O6Y-!SHAIFz+YWB^!pdYPj8E{Ex+BH7Ax<6k> zt-Q3w-eqgp73>xSOD`<(WwoA3y=L=#y@xa){4gryOIu-dj{C$&UG3MHnwBY@ts79s zwF)Jz+8o{TLg2jDW&L(WDXrwar)4x5waLY6yY<1B3H+?DX!%NZzlm$v+Q#Hqod}SR z7Lg#Q&Cj5}K&{ipF09T55z;+alQQes>^?2!udVHm2?JnmBMnig{MicZ12Uc(56iV#Y5FSc1FcZsk-G!=LZ$yK*az4puY=@yy$UP71r=~U z0I192REE}C?C`jDv~y^A=XbC6P}`_|zDwG}7klu5u^Z4T5dB&EY<~{OSP|pD51cYb zx^CHmt6W(;{^I?}Be|lxyvqfv(V?v{P`MKm3a;ot+EB)k6JSSu2_dcNBzkF2Au~Vjq?}D5z{9SXt)G%> zP^3SW7i1mS%WU$6zLl9@5ENm!tpUJ9xGQD*M9KD5WDJtm%KA!)cWxT=()o+G_CKih zBh%j~g}uumYcSZ=1+cgpF;>@^sk$9~yg6>H)T}z5yNG2jWg$djO#wSGpuU25vwv zVGE~VW*A?=uNCtgX}B}b@VnUZ*<@QBXS>2({X%@?aEw7rnHoH0ygY@8> z>MnQb8tfFiftUUAqhmkX$2mE)I*37MPX{$)GZR^M(DIAd3cY;njU-H?F^#(Vwi}&e zpHosY7EJr$$honjykr)tX(N4ELh_Jp*D?UP8`tY8xwE&kxJ^Ij?y*TW_u2D?g+qx^ z)Q-`bZqK?!p$rLo5y&US!NHWi<{%0Dp+UglCJ*vP>~(iomKp0}h0Rc%HPP6*1=Aa! zmg$|ba&sET=OnBXxlJWmfTDLagl|ArFOf#0FeRpJAYPJIulkS0(%*G@>@3vM&QBei zlswpcVYJK+zR1)AcNFRi$I6pYBxBxya(P-|z+p$HDjLV7cZ{NaaCxX^V@qW<_>$}f zH15}U4nADTY=nTn!1u3O65(%$%V^^N&SR?nllMDT!JPqI*VO|2uFgiE_m|eu3SAhz z9NPYcQF`uS3fq+MJRireyr4VMWa`nFZ~p{OESGG^(aM_pOtnf5_4dorJ(}#0rfLUn zyFTW=`1ijFU#5H5t8TTMn7UD_yf5YXrT6@W3)Cy4N&W||S}XpoJ`QI#_?D=tfc*{Y z-B?V0!L{bL7zWX>?J=}u6OCV42SJ=n#G&MtdqJgglHnCM-g8D zE+Y)>dm6be-1sSu=p@#;c%imM~jaH_JOzVaZsC?B7=X4f~k$h2B9+0xCW z(ml`}loS$o8C!v@eiKF5-1f4be=+k$eHDz1f_x(9Z5H!OVxM>5p3t75jI~ zLKba8;=VQN&>`?5WjsUG%SemWIac!Vo!p3oC6&}dRjLun1vo2cxP);avF~E)w-Q{A z*N(Y=qDsB&9uKT`Va#N77V3M84+V^bS~#rrj3q$2bs+e)31eg zyUuHTC%0p~HQ#tfEWnv3Xb;&Ylo^LQQS$;@HnDlY;9e>_v@~J!?tx>;_!|)M-u}+6 z0bEYdR|5nT3^@*|!>Hp{QQ6`%Bb@w{X%kl}TlWfsmZBwn4`jENWC5={Q6BK{RDPx2aX4IyI?i*0VxyPA%DUj=m_G+-! ze;+R9a_+l-K?_V)G>^a|B0>LwUP27TIjo=KAPsQaMX@5z@&{^6m)}u{OFPJAH#}Lq zdKT?v-E6-7Mm~k1?b7L}(QZ0_DCYFbmIt2d3sDnPF~%a=on3vGC8g)H6I1FP`HnPB zZB(XQsKk19lr_!iUz<7Hs^Q-zG~vwCo|9L}n`k6ZlHi*wTAaESd6_jE8}K3xFgcq%h|*jyDbe za+>1X`%5Q?g)(TIa5Tak~U?uaXV$=OYA_DDQ?piPOuEhNV{zv1u2Mx+tX~xa1XlO@pi8uRe{} zqCkeO_*j_5Op6EbQt*T8W)CPHF!3n7l-x{bN7%%l*Xd>GqVD6`ZNV(T5G7+jg!kUT zdoL_NHd;i1miA(XObw;Z3c0Y_m@c0F!IHxB*3H(FQvQQoV3Kq@iPb2H>MFvfR=V3V zOk@b8MhYdLRv~vTPK;jR?Zoz!*8*nG4Uo3v;`-8x{BY$(v6W!qZar+ax@jRh4@2?r zzkN1f(d~VHbT-@O+e1Or9{YB}eT}9_P*7gA>WbnRE7DhM+XirPrBIcs!}3$kVO-7& zPDtjvjQXv^<0CVyU%0?tgw20aZ0_Ibqm=qra};%zj_0?2sP2a!a-xah5#S&O{E$)5 zHC$`OQf#SRP|hRai#K?N(|4bFm*=wCBC*nQ0QT-|<$6ZK)~!pNCFW>cn?!ie$iwbJ z!%=av)gbmvE5Hw0I&Ffoge=vQ-;Jt!$@Qo%)(U#u$Nil0OopY0Dy9`2KpAJeWwm>@ZHJu@L3Xu3LiHz4;k zY-H7BH}Xq)s!Z_27A0GHN#t=({0H%h7rTA;*2r__%7qPcsc7C(^QBQ4hT-thLH1~` ztUmfevyY-oV$6RJOlkupCs)R=$?=ZzpDtzd|~6#T074(ny|dmF)k>G zU&!wyjcvCG`TGc7A(=vTorop`UYsC{M1F_5zLUmlp9jMJO8h2($X?3C{PU zTS{vMYi7WM+Ti`~fT2|)+H3<`9Pg0FgA?ZA^fMpH z%NyVYg6@0o4K9vT;0?@2)}b#+B?c{SK$170OXQ(HYkVA%`tNU)Q5uv{q@_BNCg{mC ze5H^0W)V%Eq=g#)G;8T~S_T4y_&rApAB z>m;KtM`^V0K`L2Tng15f^3Sh6+xYp0bx8DFXpYU~aqE=jU(KqUZ18;~sA$p6(=t({stP=9xzUj#>ZnvN3KC)6~lmhRS|b3K*(uAlvq z%m=D*0}|tRxQ1~93ln3J4*Y$)-mCB4S!Z{0vtv@G^lF- zYHQ(J>MZ%jgygm+ygnZu$VQP0fdPvE6Z}AILfMB3h(NTTf84eBV@CrS@F_IT!1o@( zpFADO@U+`u^2$>f{T4uKi0U|Bt#Ym04hP${>;o%h(TJR?8b7Tb4#Dz`fPPoAaDEEz ze3`bE7HhG>snsu0N}=LI9)n(W=3^yY8zNMd%^1Z)2VKNzI`3y~sRdWPqn(yCy|%o* zH+Nm**FY;duv<%hRNj@?A}|TIngkE-*1}*0hdF;~nGecnc}9ajT(qW`lR7`ekaUmZ zad!?bf_-5fmC0JCAhfRdQ{m72#O{jXUBZAdqookek6>NlHh9{=4GYc>;BO&Jll4FI1<*_+Zd#nMA~LRBd^A zoir86o??8-|51WE*GPG?03Fv!ubhN>BV-Of0&Fy8&Ny6OwXDdWrN4s0XTS@<>Kg^J z)8Vv|X(#*1*l=s9!&;j5yTEyyzET7O=JiQq3uXr(-}HjG#_)%+yUp=jxnr~j5qmvM z8dlL2%<=XUpxqmgJQ~jZ(;iAMcKwN;=^DSVauO@0?oZ_}ZkE#~BDF>7ITd~?5#aq4 zWty$@=bDm#t^2u1RydhIMLvR4ltcO!3EUToEpJa6kZ2z8)*Tu zEV$LePDX$JtSTF~M|)7U5BL{qK#_g|e<={Dnv&xEOe^;1LIg_%)+;EdC?F}CE_mUI z{7;`{iL_DL;UvIvC=98%W&cCYPoJ|RI0Au`4_L~8M}9fJa6Y2?mx3&bwBrpZBp>kj zQriyKn+1Oo2nXrEn`KyFT=x4J_04d)0RO z+ZhNKtbRM{WP`01dha>-gxzxCd=VT%q-uorbD8$wtv1kFaSnLlB^(H=5g@t53;62y zx^h5=L4vZ}fP%jb!b9vR;ZVE-KyCyeLSs@U40Botc0s!&|1&8fRYSas-vG1Ocs|s! z|K$dR`Cn*dM}oj@X21w7Qxgr$U(hZ9*nT1=OQeMaCuEnrBCc|{K9FpS{VOq+>@R<1 z_P@}QB>_gkpP0@0nN|c#*ChF3HaFKMC;)-xv7vGT$GU#l$eVpLsc&(Q#|37+af}xMFgvyBWLwxT0uhWMPKMt!!im z+_th4#^lztGIh126A}=_hjdg`JscIql3Mfy(=A1^`V2U zgR{D$kqPkKM`rF;CT42#GML1bU0I1%-&kyjVhAAO| z`MV4_m^YI*i=aD?-BF|)9;@e2qF2|o~d_()bxUP1A(`ZJB^np)aACZ=ZQ7M51lF0O9w z9-dy_LBS!RufoD35)xl0C8xZ3o0^@IoA)8V;Nz!?%Bt#`+PeCNw)T#%on75M--bs< z$Hpfnr>2*dS60{7Asd@pheyXJr)TFEmshuPA%Kv67Yq3NyI}uCE_^^PL}X+nWVBnk z5D-0pUnG2F6#Dz91k$Q#Moxqbyf4v-Wa8hKw_-5zsT~j-I}c%!F!3+lJ-ij|hh+bm zV1fUSB>T5uf66rt!bU;>l!t^5k_2r--Bvyv=vr+x*lHSYVyqTuj+UPu*`tbuJexZ2*B{@mA4K-l~VF_bLnA_e;=&`>+~8AWOP1Km#?adOvZMWTu* zu^=x0`gIx$QSnn>^M@#k>33a>Uij-`>`fqB^YJHUSG!cf7v#Pi2KwB~k zTr`?j7Y-VLRp=~agY!!OUT!GYN68HYQW)F-E~M3rSYBIyP#eK)lGpA=sLlC#KF_|Q z3&D$A*@Mvz*(uHBy>O>oa^BJt)a{N8-p_CE4WI1ZQ_$e74TcIU&9E?Xjqmr}=U+LY)U05o=bnFYc*S2Ribv!*Jh5{y`{8ZA0i}rt z=9B6iNBl6Ostj?_RPh*^fgxynM!t(CoRmNF1R)YQR`7>Dd;e%1SXt`a3WihEj2Bl? z%PA??+20!};TBLZa^X=OAYOy&j!qUpTo#e=p)?%OX7s@G*6)B_sKA~FCTLVlq8Kym|x6nKCD z&^>#BR`ssEQptmF#yT=rqL_dKZs-~0o16a{F_a2i%tU}YpXJzA6+;nRYId2hHL9t#XAZ zd;3@cJSMj0*z$<(a81yim>XxSpX)2 zAs>OeKzq#-0K*U_5bj&R$^Zp>7#x(}c3nM#b3ptCVb>{I3>1qq~2{-f}FL*G@AOS#Kp!WyNjXz-C1O_YaM7VD@6i{CH zEhr5tmq(FD2Jn0J@j#z=p5o94bhmVF=-mqN;Oid*_*)K=0o6!2`2%LzF~JXDT&VUt z#<>825|zv29|Th11Ro_K1KihZ;EPnqZC|j~Z{Y#Vz}4X3HK0w2c<=)IA3~@yL$3h) z@&%MZsCNK}@%#^Yr2#R-GLJV&VMjmYU;@}EGC2QsD@ee@qrU4NpCd~}JzGdkaStVEtQ2P2uNvjReTlK9Wc%2JM)|vuMdA96_08LqeYnlEm+0dy) zNE8EB#qFS8Uka;bh93OU?6tMZx2OQb@?oXS(8EYLtn>n~cxwPmv0JZW11C`%OP|W5Slwj~SPbBemf^B8PHr#6(?)2>bydvl9csHkxB{7ARSP_fgjMrvjb=`JX zUC}!g0!z(vMH`D^s|g{z^!SIaF}p7l18`M9nQdj&3zsOsNdR~&u-m-xb~{=T%ngBC zsIc!}g5ziR8USA1ThcW21<(?oCVWMy)a#67%ns|;5G_xP!fWsxJSJX;GhLW0UgKd| zpC>oSr#Ly7@s~?Zn~Q2cjdL_Wi=S`UrG?8!+rU2q$P77;Nq4H)!)`!*YHCX0UT93D z+XVEu8oK;xLMKwY2iXOd^umLcpddQ(PF^gC=F9QOF)?JGeYDy~^3E)Ch&?ygUVoWL z`lqh5uwBB7yV2UC932+;*sqY7oD%&pILv4W`~=}P*K&Mhd--qk5)9@9mH1p5A9Syv zMPVD>aeAHL1gtdoF{MU&Kw};*KP%;qT;*~JdkR7Yk?n}8{&oR8$TPaOoc2g25n~z0 zK<^m@rbo_F@^2hHDe&awZ?lXXD3U~wFqXqv| ziv;Jmz7_+#2y@S9rs*6 zn|=-dt&snP{fFYUx{cnq!bXXK4?bjKL1ir(-G$aliSCY2%esiXtU|kl8wJYYdY0N{ zXHMip3xO@oK>4y*chB5r(u;7>S8o=&+uZ80uObreJ|#9?gCBrEb5e*k{Ok^48MHAg zJE`v1;j0Pe88$~l2g1bq%+9o@n9sr=Z$M=d41Tq{&F(p8E!^LZZ$MRYu(tzqGsjWKF>gx^ zZ*iLfcss-28>ESB_m>P(5yYq5*omm1bR8v<)2OVo!;7LyyIKd9$J!8<^EmLX+^Zk12nZY84 zvg~k$3haw`{$BMq2kUpCf7Pv4RrIfAjQFS{5hIYx`)qgoRM==R5Ak3~z~&P_z!b}YL73zQ&}4iL z;HV47I8U+Id-6l4_b}FK?JAknP%Q@;u@M#zyssz>$6VJdmA_j880WT7F4Y%6kj}k_`ly{$OrBLlL+*fdVmYbOJZPa_7s!l3Z~q9 zb@^&6%PZg@`Sy+haHlf`jxX*2_iZ_114nzi5jB5SueddCOBxG+z=ztOsy(s!S2cYi zKkt0NtlPJ~i_O6CfegjZPoSzOFx|rxEV9T-;{>b1k zkA`MU5(3x-1NX&*n=GyV$0@Y2EXS4ht9A8E@TMY$dR!yU{1`q~0$NdbaYLUr^7G!mppTNYxj2q#5lt$nP z@kj7rEnK2y8zWj}maDJlzQh$8J|4L@L8FyI7c^qToJNJ{ZTL2O zlq3Hwedr#>-2{9Q1_dmBi-1L>Z`UUuJtMX~;n)&@UGeZg`IDN#j{d_xNpqe0u!{>S zt?>2|=!#E=z2Q@&2vA}ci;)+XFxq~OGuE&_=X7U^q2u;El8JSu3=o0BuYEja+-4fu z5`ld!tQo36kI*Xe78pBf)|+5+t}gG|;#Pf+dhZZ~_E(ch}&q z!GpU?pa~Fke`}w2@7X!;-6QXg?~jHxx<{|owQ5z(syTlmD&E&D%zU%`YT!i~a{9vv zu)|6h|8)x7O5>x&_Uml`PJ(w<9u)SX>2KHQAa`HALjaqL55J~D(%gY-w4bd%@VCft zmTMfnJNU-cm+{|k^0k`)qbc3^Zzo&Kjw)CA^GEjGJ|4dV!cKoM=XVG3-HZoO7R55t zwdwS}$2Jo0z;Zm6Woa?cpzS0vRzAcK z{oEvnO;&{#S0%G}ymYa@z;J~}@!=DF&*_?X_<>U~iD=?bJWUVPTU&Fz)>vDS55O61 zYBO##3iF6-{9P}IVE?qIM1J7!1FI*4Q6HpG2d#;rp>}X^0E>$kYg&&x=;^}8nH~iN z?#PP4i|@c=wiUGCc;c7+Szqyfd|G4wpGAcRdc*UAFdI|6VcDHtq3#;ybW`+xG6eNQwu1 z6rk71@g6XMVjKBl)nuKWNXl(@p)|wsG>r7~qNysVTf`IL)Ze%#JbOXNQoq0o1aod7 z_fD{!l~_5!7i0dL1)hc=KG53Swu` z@MA{z;`(qJLeQ7@bu6QNzx2j&Az$Sfn!xY2Qhdd%8C`{)RxZTW%IkRzB@Qmq%PT9G zZ=E2@Poz+aY!TF7B93;EemfP@FC=z~XDMTIPByOx-~+80=5kC2A|2*b+7u5(JnJmO zrqr6g3NlO$$5@=#7YBGcP`m81pbssj^VsN#^`*AaXw~Ux%_+o^b;Wm$^gWWJf--N# zthVz{s_80Hs6$KG-}-;uz2AAE0n|4EyXOCo@1shXxPV2J&`PK4elp0CNZ>C_6$QL~ zx3v9g&;LvV>G6N`fwf$rj6jvQ>64~gHfIs}wa2|zPG~9;IjL8dTLcdO{hYj&dd@WE zkQE{XPLMZL<3KYrlLQG-Y0oV*dH-CN+Et#Rt*xGhZ=}3RfBN`-FD)-AfZ&KxZUKD^ zk2P(0j?#lrTNy`VuSCWh2vj2eiBbj*uE+{a%`@iB>HYX=x{eA8YCvrp0}~VzLyH`a z;1Sx%u+CZ1uX~5BDQjqUa^jNbqSo6XCv+h1)n7>Zb2B%)T<0KCv|g*O`O>aEV~gXZ z(~n%+>V3=tf2*MiSmx6HhE}XtoWk&v@(}?i-)fgmA8Vo$!oT>&B#P4=Tnf<1W+66nt77?knXylE>;*LlG z{}4$OP3YH`@sOs{68M^INWJKCywm;!La>|slK`e?I2Ju>+B;{QY zGK3*yv}l;~ned#7Ypya!V`;$y!}&m>Cu={iOhD?cxB#7l5Vb6>XYx{ZdA=!x!P2^H z)o0O|RabxXQQ*-2jo6*=w7-pDgd^&4@4}N)Z08*^=UUWrMKc5Z?_^7oLaMsdY5sU2 z@G|l08h6V}(of)+A5HiQrM)f^^8pGcw1|1lAF~tC%>&4Dq4KB-*;T&=PoQ?NB`0WC zu*z_e*0NCD3oBe&Nr~Ox9;o(S)RYiuz=OEPBX2vXkbOJdeg{h@pJGc#4bp+<=$adt zKx-ZMJ4LFYC*EZWtSsM)W};Y)XWQ@M$ipOM2(`I^KLG7fsY-4u6dW_hrhzK)#(e3` z#P6s^3H*uIZ~*#|Ui}AXH4E50sr}1OHL1)V=AaWN6@$sfbUYhkfu7Xekj?a8;t`KT zqc#MA;147ezz-T~XA}>z`~HWq{wyrBJ?S&94|V>B$^LtESw-dkvlaKQD)%TF{HXXV zO0$=*M*@f=1)T7d0+YLHzMk=4M0{P+x{-+VO3-}FmxL4|>u1zDyL{)!k>*NiGxp-h5HiUmi1{IbSEJZ|w71ocKphrA)4^m0^>L{% zRWw}ibJV5SDeLts$BM*>Z4yN!pdtDQR+G3Jgu?HdmZ!p9^`G>e>AkF`f3~uV!#!{J zRHHV1S8akJRFI+WP?39pLSu0x>FPR7j=C190JyV%Ba@cimf4O?X;n>8rIlBZ7PD|j zfg-P6?`S`M$K84t;M8NIWmNrIe8HsEKjyHy{uA9U?9N`1Ts zg0Dq!ywa5r!NnZf3mw(ENe3>}DP>k%jBziHXffrw?LWZJ8%~^ema}}#+B@?VYEH1S9?h{i_vuWdmNu+j6T|A6!C<`gZ{2ZHd6Pa|gT*rBivfD;;Wahsx+}rqj4#@EFK5s8?2pSGs0=4xAHX9nX-PW@~*6_S7@ec`Vqi?NN;yLuMKKGU5}* z%5_A;7G6>##|zsPz(%TTb!0(hUK3ur7Bow?iNfC+D^E=y(IR`nOv#~OIwP6g;dYsr z&~RDgZtePbv}M<#veC^Ra75_bBKW2Gy254yvp~2mD(+DqV({|wBKZJMaHJOwM&Fy= zuy@_3E4?tMtBGbP+wDw&&ij)VO;^Eq1o2R`z#kxx65_GN9yL{L_XB~ka2M$xpqknw zvqU7kc&7(h`TjFX#RMuB7w;|PoaX6Lwt|MBlgot_yM%cZm!ZPRdWo(eKl51OH(?=v zfb=V;^5hxQ$hVVe*(at4>!Df>f3sJ+^~GVXyvn27-g; zO$&0{FVaygR4nNCvA?R~xMok#Fe0DI|;`DNjv8x^|sW0^3Ye7f~1bVp)2st+Y5is#aIsVRL`U>4mO&D|m5aL_FC<2yUylCfGGqVJ$fu@o>fTZ`mWZXGR_zZ`h3V2GU=@PjvRwxHbqD zGLLoPft09}>6XXY74?m?mrY4j@o->crm5lLa`CLC{~D(%!5ZsUpG;A1dl*aJ9g0LS z)`!U7Z9P?rmDOossX@SfrGvBwm{$I+Yq3SFUj1pzlBFog%@Ia`+vSxz&We zPU#EsmfEIRIgLoNEgdMEoPBAyj&+vUHE5qxR6k2sNAAr+UTOjYN@#nw^o*v}C9ozH zj%pCMPw@xHZKmY0L$_M|T8Nu|Jb+a7RAc|M^5*M*9udy zG9Htw@Yd57rEg0$jn-O-yN*Dw6+goydLareVXD!Z{W10@0){S^m+PMk87K{kA)Q;% zXSiE9Z=8_6sTAqxjYS(6ElTrN)AfUkOGmcegPsnWl|>mAP%*z%=wg>*f1$Vt*e`qb z+fNq8%%w~1f_)1RFX9L7F0}<8=;q+;d_U9ezT#4FJAc(IyX$WxgY=&ukl?uuLycO; z0uA|go_Za`RYJ9bmx-KPwa*D-a(Z+Io0KA$zrP_e3~NoG&_T}nI(x(h=Z7q~CDne% zx#E&Kn4}A4<7rxp#^nv4p3{pD4pX@Dte-u^DjAv_DkRW}SDzPsB#A60&p%>dbMx+( zzu^>#l3cnxKa!)Hg~|#=D7DPX6ZDa+1~|oMbEhqybE%n34IOZ6QkgqVec2WxUY!AJ z*IDHY6M{r7dzY-2l8<6#LFm#zeGK=DyAL}z$GXp_MXRQF7Gctvi$RdVB}{ry5J8k} zBezp_57(7!x^zcLx?Wnfc+?-xAEhR+g~y z7Qu}1!2`NWZv#pV0J)1DqTkZp3@cYAVDPKdl^E0v!^KJr3X_fb-(eC;NzxK84#guW zK4(|Tke%_}5U*Lkwy*6Ix3HA=9kvUJmCw8XmYfZq9hd1|<_s6XnmNE@lENv<_Os;V;LBB!FJqZeFW1{Qb? zyQDFVZ#~|a$vn@7QF5)Elx9(&=6)w@KwWHo^vY~6xvo4kZ?V%{aA7PCHLU{=x#K8I zF43jhb^For$jcfuf0+SvlbiVWdhhjo6YB?kSbjv#=c&Z&vyZ@uFNSX10@cY@z zr*HFjL<%jE3G67J^voi174$=sYPPl@3#3nkH0j2^<}cVJhIp^n8=};;DBFqk*fvxj zH{oUQ2el^IP}Zb2B;Z0-nJcL-O!rq2f_51fLvz<+PP6G0P18r2O+iBl5vV9oI+3x5 zYv?_77YP*8iYI@i!SOqh@AYb+`MmrIHw%O(d>KrA#7ody|wb>+`=o|q{Pv%tS(Iw zVw?eX;zBC&DPu@GtBo8&9B)Qgr7qGn#841p^$_FCf4`%(x_;xrYpvG)Noi`gHOX+6 zVIYzVdtjM}kh-)d7`chovs~Nw8)pUJwR20e$Z_JfcMLxohuY#b{j-3~i(9Qlwo(H%Rx1nz@tNOC*CA(=4CT-ysd6+n@wMGo@Dzyx?@PZy9e` zlL`>DwN~#3dEp#RFM~k~<$hK)ZddfY{iXWD*`viM?vX^F2Pvv^=w)>cG1&<5hq^`T z&r&y&cgud3EXuF7)=#EW_`FqJ?#}C*VC^NS=ZaUQ7)BWwWkfc|QCSfx+L_`OhT46}~T2Jz73m48M2nxbbanVn08&1WOts@divh6jCd ztB9`CQ}Aa22swEVo9Ujc@zH3yaBPepCc4A@NPNk;;)dop+e@+1Hs+DfX5 zaQ`i}>Gs3K3!)|?uLWM%3xc_Uy4KntooF^eaVwQCPZJ0h3nim9fQ7_j5J)XUIuqws z%ytZL*7!PgoV23IFB^Y(_r6AWUF8hl+{C#<)S5O>0gqTm5qW8pXtber=8Z=^o(?`NnFuaA`fs;?F%RpVg{Ymo-CeMn4*q zo%xi!hq8x|?5Vml#aMi9?dsaA+ENr&uHKwBM-Ev>jUch7AX zV^#UO-+O)B3+Fpw0-{CRXtb&Zj;*Bp1ZgV;KXj=L}8 z#w-!@RwEW~mwPBfBuH4GW%B&TG;+1|Qx{_TGSy#$FedG6PSgSUrbr^4Ad)GuDhU$D ziX{=I)kbDF-J@`vP8vupen%sj zcZ~z0F+man1!uTP#3}whL`#BDbNFRa?1GFZW@U4#^{>ws)}Wch=~B9Bx~Z+N^@{V_ zz8<42p;X>m94>N0{`C7sZ}V(!LUPvZgpO-_8Zg(3j?J*?;7zgXbe5L;?>>uMpsTfy0POe+O;iA~-p8wKUz2(S^X?fW2l1+bVwH3^PI*jqoQgy9V zQU_JsTFR*r3u(sR9+okmvEaWT;6MK-5@5F?{;K}|ZnJ{w&6&1cWW!_~h1c42MA=2p zelf+oX=B3b@e|JZh{oF#Gj*Nr(xGT{&`FTbeskH#g>JwG@6+$<3lz=x@ zKs8CI{V?Yb5JNfPf*n&w^)DD7?EM*x)5?L`>%DsA`%J`XZ{$v&;QO^$d3qS=n7N!& z})9k}{ej5Vua{`$>OTo+0#6x9g?Fbs)r) zs`)(`s_cd$RU<7b84PLM_kS)!sDF=J_$^t*KYlX!7ITPCt^d0yn47koqdS9*s3e?L@=Eu;73E-X_ zJt~fbf`2|rdadW*zeRH~;hzR_Z9o0;%jY4e(y#qb?$8SWuAW&+Q}Ih%=CUQ@8jv8n z<$GJc`|ziSN!utn#;*T5_vzI=s>!|opKKss0NNs{BW`?J{^{6L{QQjb{?g{waQyBL zsKmcb=0i>cAaecPt3Qd}0cmlpip*8LgBJ|5<@USKESs_bcTo#WUPJAL6aU=}G&UZO#>7S3Uu9p;l3UeN{rp|LLy`nYPoJ`?~rZ zoH!^k5fX%ne_l%^oRj0jdwwN@(GgUYF>mxBahBPv0Kkq8hdDb8^v_;JMRp5X@i_Ra z#@#5TW9wvX@OI`gF(L7opQS&3zxAIL!CKDOF2YuFW3%+4?7aWo0@xn?Ki>a;(_)wn zIsl@V10rwW zdjJ+jl0PcTMo)BwdWs=Yp~{!B8JtNOAutYK?-Z+2Uu4(D)v#o^u= zi-?3S(gKSJFqNgd0h$bhpyN^_l2pRmipfDbJ}9Nl5Mjb~3S>;|L!SA{9x=&PAL6w) zRcC~mb^X`m$3uBxLG^ziBbNXB zEnKHpyx~ts9i{~nqK&^V=`J%RIXY9wuvkP4b)#6g2#FOU(`$vVdVP8|WtqIqZc*Em zHoLOEZnk$?oHihBf=Ls;wj0yY&vg@jfAzt7I{#}EiIx$0{dAU1o=xI0ZX7Q!3w}9f z#0xD{FHslQ#RGE9{DSS2K%Q3bdGOOgoB&D-N7=`nocj{-M7)}zQ@Qu zBj~1=q39Eq-OFXo97NK=N9O8hq79FtvK36$Oi1yub~+>FSn*bSqfF^BH84SAg&DrK z7TsZi)tjO-ASvW&ILCXx)}RiJerI7cVGQ z8=~_{exa9)_XnZ{_pKeIl{-i5ON|eqk0ag6QqR#yz7T1xf~05w^l(lHLWuO`W~Z`7 zra+d>;wyQ^ofWNq$Qg9CT2FKqOgg<=-Ur%(2o(J2jatD4= z|K+coFpD8tl#TB&4<_M};T&<9kI@lv5V9H+`G~R={tbmZy}}*7zW7#Zlx?RmT~R~k zDRFS?yt#BJXwU%C4gV=5#wu!;+}nEoDaITupnZAC%jew8->qcU&CKRo8Dx22?1#5h z9;7ThrY~ea+RR?d{#5RXZ&-+cmJ$+REaSD`C;XZQS`|0GispO!$-_pMke0v`*$MAe$lLEGzV8snq$>~+Kl_*s zhn|Yq3oqVz7WKlhtKATkveyG_nQQXgX~&3i$U*i5-!FG$-{{5^SK*>0z*i-0tSS!h zRjKZHr~+3PO>iGats1R?L-&0}^(Yzz5dtU#FQ` zLXN3si6SPq_NR1964Nyf308d$4CQ)N#Y7mi6q$U;bPBzf<@I5%y7MB3QY*EFMyof3GW&>r)OBl}7cNKHW z5Ll0WipA2r+PhW@-_7mK6ywD=>43}U@~r;?K=n7d)s9}#zVmro;2+;E_Cm?=@Z9>B zojMKPer4Ch^=rju`8@0N9jFDPARZ~uEw+^d2Akls!4dNM08aQ0vC8ARo-0;o@FZKQ zCq|FAR4hF`T+^TgSMU+;rUYd|N$FvOKq85<`{VRcCSAP8_2(ag)X1yb*3a=ihb&-R z|Dxzy=~ilQkJD(Kx1z90zPh#l?qPJeLhz^%gr9H1sTpU3+&h zHk&?v)Xj>5cN51yVl`n@87dHws(_G{<<$mq=Cx|{_EhD*x)v=aX5pR+I=;RK1k5Yv z+#wZZ$p<3bF!#b`*cn)|wR4;7W6YOSw+}E0;k3mMON4QK+FWnzp$QbVQLh@O=@IPt zAzXCx2)1&pv9eaPmmpKus4wPril?KIDb5VDt32B$){UzPSme4?4fbQp>&8}h4lLZ! zLIs`c5#$S=No=Y$9hW`wBZpg6C_O}>kHkNlf)-lWa8d)T?DP_Ha^!MV{M)t@?q}kZ zLZs%NU&6j{#>Yx7p`(8B%?hO=F3;Nds<0qQNzm=iqRVhO%=-K!c1wQJJ{0_leuGQ2 z4@Ka(Q{xe9Zo>rMttomUc0!P=Q!JEsU`HxMY-B_c^1av3%!x|`N8*8O5-cCRDBMqe z?Wd=LD?Kb?r3U73gP;7gJQzdlx9-$aR_%n2YAA0q4mkyr(cCM5mC9+AJn9`#^7B zzSM8R+SQYNEJrYj&!JeIB8KMk3lG-VJHZhG2?~9(^4Nbfl<);Z+&;+4)_Z8BL+6zc z_(~t#!u%XB?7eLs*2S~}crR24miBL!oLHq9+80dfZOm(vrIU0?IEC()lmy>Z1%gqx z97)sXblUy#>(ai3U?fB7<-zswnAowUap#mk+gmGh znEf*DX=vU$<*Wa3X;-3B$BK=asqgx^$4c(n3JmGyn7FNW4k0}#dC~oH>gW7|osOZt zLk}Krb59bwg>BErx!`M6Bt!)bb6+rsh`->@4`9L=l3Y*o|8@M0H+3dQaD{HFcHXK< zQJ0;=+P$ICOQ%_srN9OM`y;tcA;^{!ccOEVk(ZCobiCHiP1mqu(2iF6?w1@qCw)hh z))cA0R0quSHYXKmAxtYdBf$Y>=xo;_S_RAla*p{*DliowKZdF5gZ19aM?qh|#MJJ# zq{Oj$3@0LqemE{JHGxqV)3H_Y36u|`cc#^WucW2t7H@%lBhs93g3Jja^K@Wf#Ec;7 z!lA&f3dN<}2cCGwzG3tjQd_I6U=?+AI_Qe9caQ(@<)FY0$&pd&1KDB-Z7jQ?mx}Dy zWGDywjww@8^D}pr-XwyUfpCG25h{elm<}L~ZTAK=eWh%Fy0{{qQ07brNMbuK;P->= zBX0XC0=IaPqiw$7yxweyH^rY|Oy1z+T9$+Fssz+1;uC!S7-DAXf_!ZrGp^nO0COW2 zcJE#49#quky~LFpDuY%w#~R&NEd5`|JpJJjlOWl07233l{mhSaE47LT_E##JOAy!r zNMmib(c6{fd3osT!zI}`9Ws-$zYGw>n=6mji0OvPcc43+3*sE}fBzQ?$jG@mh{X)Y ziK$Og?I9Hhm85lT8#kM+p4nKI3!_<8mZp>HF@!ZE#ZITC1*pNuj?0E^8I`c1=0Vvd zwBDAV4GjlrdU9DV2O)J^Abb1)dO3CReAceeFvX!ClQ(-PiOC`?7n3C6+JN9(kcNA- zq(z>y%xGa-u;kn0K7Ty*$hLZH0^bV{zvBi(pLIyO{T127@c9Q`Z?R$Ua zTfBMEqWbG}&y>p~(fePEEn~|&4vUCg50AUALIw4q1#RiGkFis-MR+IEIg-rDR)Ox%r@<1i64Q4)H)qEE9T!@m=b$Z7~ zU!D!v%TAf`#R4(}*J;C5yE9)h`T`gM028Uk=-*Q)s?&cROHlinq&yI{w$UofsVU3C ziB2q?y`RZ?1XMl4WThqzp?DM%fQBYH+ju6{TWr|tT#&1MR;n&(Zw13CdghdQ?cCxW zAoI);pjz%alhI9_riV`qKV2L-g;Mm;`V{Fg&wMT-$bwhhiH#0Mwc;C zM$-~UpNm_y)8)lgf-KHe8&^UP#h}}kuxk1N9=`)#Ml(uM#+8r2+80W^j7BEPzykCT zw@*GIEida`A1^&d?YrLch{2ZcA+};-$dBHITsNO`r;E}u&M99U_wX!WGQ_auL~4d7 z5qu}%edsGToeA^jY}Jwor)pUKltK}_L|I9S>@ZX7&`kB9721`gNq=)^YqxaEuRUzoE@KSTq~?JGEykj$jEoCL$n4gspj77 z6j*L3`wfXSu)2$?>L!3bF|oqPoG?TJ1tl*}dC4BG=}Z3IzKz%4;`@EYv60-5hpEf5 z#l?Ha_^p#Z=ZA{z{#W`*wxkeNlln@~XB*{X|cg4%<^|t!Mvm&BJ)jG`zHay>;GqJHQ&!b<3MTZ+_?PW=>)~VXyQ$Z%`wT7 z#u3camrHj8o|GjPf)s%rog^Xl+PYt-cZ~&nK7CHbsSd0bnve!LGfoCiS6(YV;qs=% zUpOTBu|ZtZjNkbb3WlL1OlCcE(Tw#ok5AGNOaQTvRlAa*tLRLNSGy_RD-NCe4Z^_> zM#n9e&+ViOVmRRc@7!>^Eyx+)YiQxa?Ud#6_Dxh|ZdRP+)ku=VJ_C-n_0v#qFgb z2TfwRbObB|Ly>XTgF&H&7*(DY0U^@!N8hh5s|A#*)mBpGefPH#pvHqXflqjewG6Uw za3v+fsU^@1R=~}Hacc3N_ffBA^la!|Vn!~fUZzxyEgjoiJF9M4T<|Xbic^=jPVj2o z1CRxrys)YH>k|5E9y$d@5+zpW7QeMXX?#0(J~8eme7AJM{V0|2=tP{@co?!p+ICow zqD5S4`YT=Z4?h=u2?!@EV;EMQUBM#?)+TI6P)%^<>kh{+An#199G%uEnMwEOlZ)`_ z(@*b18;+on-@CZXN;Ow#^@UhS0|$tLnIiZZLm=>D->zB3!hRHir%U`jF0JVrGC4xQ zm$I2P4isP3OuY}c|Ctr77&zV_VoSWOh#DF4RuTUxq8oJ$!< zL#`O(Gv*ZL=Lm#d@iewZ=ZHw?M8A)Z!jXO)DBppMOnZau-=AuHG4Tf|cJ75QQx2a? z&*i!36WKEi>I1DXeoK~@Hv0Dd&shYJ0^~{22{B5XH+(*6w1jP{$X9IYVo2|WAEQ5S5EG5il^MPR@*fCeF z)m(2V{@qkvNP9XK<7n+^lQ3r55}4VzDjGTY3`QCRM7-Kb%3X0mBech$k*{a(>uFaM z3!Y8Bzf$!saq_+Y1|InX)H2_I(y``y-zl_(^(LXgwd9wazJ8g2onQ#Vvv608CGI_( zs=nTk6$t~7c3dp#**cOyvtxzBvxIL@wopq-mr{#?@(*wL%E*QWZo{$@%u^8^4L!n| z`AO?k28*FdTOS=`y|{FPcao9c|Herr{5^}FSH)Ck@CT^O_+}^L z2BXjxsLhuE3BV~J0Z8;%Qc_0Rn^%8cg$JZ3G~Xe{gJy>{cGWXCD{$qkzyAobp{v0+ zX@N#YcKzmDf{KcWDA^DQ@Nz(+I+{cGJ5L8x0*yP!TkLInQBLt8H21`tt%9K;jF*43 zN50K~Abk5v&7<6@UpAv z&WKVM$Y#`8Gr(iB`%n9;<)Rf#vFoa8Yt|lr?~^@l-Iz@&V$7jjZA@PA0wW57;4Pc* z;*KY|MMKr;n1_eaAdU&$O}!d`q=`}UX{J`c1M9Ag%;f|6G(HdBRS54G?@=o(du2gy z1v`pyYzML(xwG~$XOXak09>`{D!eJyymlr*g-WCa0F!3jf;KJ}$kJknAq<*U)?ivt zx+0PNn`5DU=>shSTLKuuXp!Nlqz&-jeHqz`Ac4?t1Bv5IYzS`%h|DEER>QY%4z6?N>+{@0HNBl#Mf8l8|e)D z*cHx?!*G4)H`4U@ItG_I)=Vf#qP*Ntilt$?Qsp+GEiJ7vtQeL6 zpNwu=a<|vdl372}r(-_Ru~6No?>Tyv8M552T6&@$HpH02aSDxo5Hob6jOTXgiZoDZoO6Xt;cq zL2fKn==C|SkWlKO2SJ47iM67RJ(CV&^7;%uFP+eJ=zb`nYorabR9K!sRX!kSJAD(8 zs)dl-1y=|c^H|ERK|2kaaeiKZC@fe$&?3eS_4a`~OtLn!O%@YtP^5;ZOGT<=9!d}w zmU8}5{ORAI0=xXY?C1e!^ye1n=|cNbL$$<=aTs@}&|Sq}0r*T*-Zva`-Ny}|x883K z&xfBZPdPxwl~hrtH8rP8sj-tLFXyxBtQ130inWN<5^!A+(ZaiVytn2`4Zly?{D7^qO<8!amGMPt8@V9>Jqn2HHPk7sIE z0M*H8)=igkS~mtwUM_6dSuL2c* zz@9q3j>SWtPMCUu9qd6Kie+be11JntWF3%RkYxtvX$h7|ep#mw?emlJ|ZEP~} z`Q-k49AJJ70tzadb+2VYbVL@b;2?_Kq3PA@;X7q8ZQvUA5-ByoKr4?;SCBBw*P0!KkMYPyNdle()EKo4`Q2+hJq zt69AXs!U2HO-9qAy3iaG3+M&hDSp+CzVI20Up6!NxCv^Fm{5~E7?D>MiA8?{^pnMl z#?u8E@Qw0MZC;fvNt;p3SgQqtwNNaiI)l5B7yBo&)=@eQi83h~7>AUs&tnBGWo5&w zo1f?~KZ_6Xgl|In0rPYj&HohwC;*B7&*GqVTkW*Nv-b-JRr4>&LRPCVlTx+^!HpgJ zePZ4}t6mGtExXh(ky>~){6Xp9n<{dJ=XYDO*CaWy{b5^{Yu}u zDQpjHA4iwvB!R2z$qaVH5=IV^5JMFSjQjm@ko(6o+Nmk5o5!6AWEA$Umr0(~or%&t zQIb~HSn|QSPIZm+zM+nv)uQHucfrL8ya%D$sq+5)*{ThxVDpkO0H zM4_#zCS}a$6I|Hv)yoLpkE)wRv<^%{G)JkM;Xwk0#YIIr3bC!8^NS>Q4-U z5#yo)Iymlw)V1Ts5xlp&w<@=?FpK|DVOf0!K#V+@ zg#KWXu?*6k1Ra3m&DF=NpcEgG@BW-fga4@vJd02rE9S{)u3-J7L9jJfZm|JNm8^*5 z81kcnZ$ZL;P9!|5J;D~3!j{vCP78n;Okb>CuPa?{tOJ%*V7mT}T41`e;XenYfRe+4 z|Ea5kEjHX-skJKMa!#!#eUcJTsSvJ(1;#Z6c62}>$G{|*FU_ZoFDLp0Tse?6`O;)) zNLCWX9p&}jqpuhFInFqYbDEJuv?BudAC*P`e`tn2^jF-6<9YwD!M)`83lK?Z7Dwpq zsC;^6C}61k^Q(;8cD>J+@*vqk9u^W5&~~CrknCwrrV#j1kKV(`toWX#@f{H-APJOn z%c}#ZqQ(24YP8>Z)D`AARsF-`r~86&>VmYDzff$pjp@A<^dqb|CCW5|H_~}rTzay3 zsQWDHE=)M_-sK@X*~Gj3xB6_(Z@e5$_E53n-!+S0|GrGaVqNV+?9Xxcg`b=W7Z(nK z-vywO3naaTW$j;1Eeyr>!YLhETtl#s$fz&O!a!AQjzqbNbUaiiPTf=qP5y+7tG2{E zu*(JCDes9GoU0k-DsCFyUDLkX#)c4#$jNs;Uxg z6Mn;dfiT+_{CQOSjpc`*)VL2)UiXOP`RWs=6e! zwN7m|2)c+IKlCU3m8A1qc)<#6^uE?{7K4287$^Um)JPLM@y1cliD*QBIq$NXOh@nJ z&&3u;oIx1nx!#K6?m&&o_AuB*;bi>_vj!XXR^hd42iV2?mndt|;$Cun22&iE240ge z&n_)7PRQyQ9d2n>`cj{S4R?Fcgp}x{Fni7Q4M&taMy&kGu3W94pS`fXBHB!pg-H&m zycjz-;^TM!QgB-TNBB=Q^w4L?<5G%ficJO0?Q&8ao9=O2OL$+Pp0X@MgoHv&w!6jI z0T5%{{p8@<8&OX!$4C3Z`Z}VKds^#*A9kYbo;F;uUv*^w45E+xVk8CZ!XmXH^|w*YHa|H7AH6lVtMh>xmNw_O#$u5(Hl&9>pN#)F?%e zM*uR>Id7$jOA|c`BDs}G;dIL8Dtdmj(CC-T>?qq-)2BDe_=&$T*^YEOlmn{|!z&&e zl>lahv2n63jc2@2fsD2Z{@iw5!yIM}oiFc@QcrX>-vmw*q&|79FzLhjff^jPE+8UT zG=wI3Z3InIp)T%t;Gdn4>DY=)DiU5@lFsY4k*Q0o73k>ug#gFQXFcE|M=>`VC&_NB zY1;FZIn1Z1HrF*ZC5xFKXEP=|6|JJ+2Hm#M<6yf8A;UTyuswdNISCz>p{qW8SC+!I zh@{Y0q)otYCS|Z$G227Jg336VkM*urX0&wX+q?-U|0%V#%3oJ^KXYU;=)nFV*|P5& z$(U8o_h*$&OOmwA4t;=iBLh)LZU!Eo4{w3;Ino}OlM)T@RVyIve46JU5t>(3&v|`T8jmKP$K0xtn z{>oIIf8ozjaJn4dTlRHpPU=*}({)#Hk(%-I2A^c(%SW6TTu2UF&_P=GpV;B#sRwad z0O|7S?tt9)wVWf5GG8dATBWC_36TciRGUeN6kOcziPm6Vtp9!VP4uFQ!)eD^C{j$e z$*Ks;F|`_c>Q*G4Q16Tz>#>otxmuhu;s*`%<kM`0BdM!xQOK{Z=(K_zA9gV{FaN;S=+j8PYfX=dlG&cNO?GLLYfJjTJKWQnmh znu7Bwc8i`aas=ru=hvlg@axZeEPWVH?le-W#nm0tA4Ufk5>5DbnXmu2!RiErDS~PK z!ivde{_hac4dcA zXS23R`uX`#&9;+;dTGnDgp=^yqFzF@0~@D#%X!@wh8PB7K{T)gQTMS_VbdNLWwb|t zJWBFH_NR}V|JPvbOvQk|?$G}llK$UTq(vzYqJZFEdDLA(R&jE|*F2bN=i`ezE`^a3 zf|Q*_MEK^^yK5Q+6qmj!-502d-OwB*rcY2GUZ?XOy7>1D=!qe0o&wyhOenFE6wqQX zkB#S?W%g`>`+@|EWZ9C0u?p+?90!a;e7X0jHG{E3cnkr3{lDP=)qX>S5ndpF{=bS! z->v&+h`b1i9ST`EQ#Lm@~8%d?-nJqx^=zp=}W$xy%Ikyt7fwvJ3= z&CQM;Q#j~WpW!HX1Zb7{b?4wIHIsDtyAeq@%a{jQ%f-51yWpbDd}p&$j}p7w75!Wz zyTRO*`GS#>1VmZphhOd6*^9t#(As0tYw#x!#Us)6rpk>~S`rND7BCEJOGu9_Vw6<2 zm$w2mC||zJlGPAE8p1`Ih*NG)pcs`qB8f3jE-YIjkmjS?0kO|Mk}#X#r7G*Rhx_h2 zJ!)QHZlt_Vs4~sgk+dtf6?PBa{PCri@9gDK>5;S$3di}(&|Th@dDy@iQO%*&o1PoX zSUaue`h@yuD4dTilnu^})4PO&7UQJtjEbj(bNN#houTl%t<=rs3Y9QyE4&DsXa35_36-u~ca6CwJZ zvok&<0yLJ|!&{UNJeSEwBjS3e*W}SUXQ2=la$^53{b}^U2}GTFeV4YrHz2(C3<(XObU8qG zZOFs`BW0{+FH@`1OPJNz__IKH5k+qMDoO{)usOZ6Xv1sUFlI8|zY@GyaQ?V8U0=Rr zzAmrY#2P_7QK{!TQmWowX8o?_6kSeGFhT8w@IM`P06XG0fU6nzg$nMH48uiPaL7I5 zhKM*9$m?;O45=4Y!o%O{|58?ZQ^8}#$`cZTs(HJJ?3Z6 z=y`N3zSS_*94@yLj8Z#=n5}s$H92= zLj8rRiFH=ZTTQ12!saP-<6Pdw1W68EkgD49F197YOdJX8OX1~Z?qof&FWarW6|OTN z4gv!&thSDqa)Loe5C0Rox17%S|FHL#!FeRxmZ)U0WHDN7i?V@=MeP0SI0)ljG*o043;1h7NC1JLt75c0lI_+UKe zz+_>pcBI+vnal{*3}0^B%t%^^^~7YYp+#t%(ig4rTaqu1gG=(B{!<6#3bd7PcWRym zgIaaH~zUvbrh0CO@^9+76tU z_0b?sZR5|DR&g_a4%hnls0s+U$)Hrv44L+a)A4Wa-+{F^O_jvchT=%Cwg!~d9Rn`C z#WAQg%(cWj5Coup35N)nf4x!o4=?ZqBJfJwlpKv@q>BpOW`r;te&a+1yOJl6ej?`w z_>}%jU;=V<=)pFVn#Gg|zr1PQ4Woe7;>;~$T1Jf`QqEq4_ggOUNV(=fW)Qmn#D(3i z0hc`X4M@u!W%|@>m?Uw{O2BTD)6fr%m<~fD7f2x7PMMtE={g>m<&O%@OSL-MjOBf7 ziqAcq{}SKa3(q9Y6AW=)4n4HMGr!+?i(Uta3hE0`{AvCt<$V_X828V{s>}k*_FmE} zVYM*M^$630=*Q}2T2b;a*EFogLZBk+HtsX8c*w(l-i=C6s@m@lZV_KXcLJvCoDS?A z3}PzMz&V`kv=fQ?FZ+~@H4r5xncM+fA)*=caGHf~cyuM5v#Q|Ss6lvmf-ahEIx!Fk z4iNjsU%u;q^q(0f>4!f*eSv376jvL5fG~3WjPrPOfH02XN1VFi-zB7SzeWs`=6w05 z?)ksF>i>&tT$aD$fRO&SS%hk?2mgDBr+LYV@HUP;9kcm8vyxaSf{(nM0A)n@-(h1}NTZhZO z?I*}jtWX%$RQ0@FKN?9u;vV7RRp2U4r(uqi8=56AxaooMec|{(?aClQ5q%*+bwW$w zLJGSzC>KqTSe4By>Lfa_S~7BSitGVTT$=Alv~ehs0|C$!~IOxAG#m;)(9Ew(fTD@^-A z+)Wv%BqPOwn+NAd5*Ka|>lP~wh+zN)@gmj0a}OZxj*9@R1i#lpb-ZVEKqy!R6>3Lg z(Q0O-#Rt6oOOY%3Ad4VldNkPdQfs0wps1|IO7J-K8c~Q z8dIu+HXKhvPS4E`RB(-8>+}Z zUIM3g&h#7U(Dd#4`88VUu%YpEnZ)V)>}(2lQ@Wy2aG&)7 zD4Guf-Sa;)-e89O1d+Kj4(P)aAih(2wI z{hRI$qivoQ%rQMb1b_Hw%e?C-&yYN|!jYj*qE|g)=mUCck(Ip1-{k$biV6R%!E?Ip zo60imU#!C3fOOrZUoa?sp0)X_lNC`~+89PGu3Y+iq-$4&Z9*+Xuwwk)Zw+9}MB|*G z0%Ak<0K72e%cEXl-hiISdHy~a(O@$At+}bRQz9Nr}%lKVd3~nka6hNv|KcGR}{OC3|x?MRAtZXQc8%mPtrVZaY=*mcGA_Z zF{RnBajfI>#|h5o<8Q{Nr#iGy6J&oM4uAVNzzB9mQHK9FPoVz&|3n$j-i@}v%hiI|g#sGyw?+4FTu2zel@d_QU&qpZ zUo`*UoPaZX|9e`9bL02D1#j$M#{k{a*rrX?2!FCZY&mrT|6H8vr{dF3aVmNiT2|)2 z#HpD6Rsg3aHfk1Td`1>( zCVF~Cd^#2uYF0*k1}17Y23kfV}4fFv#8ClP!a(VtRO zf9h!ceD05e{=p|Tad5CtOhwRIu27Rp>VrERm2tr4hSjT9maXiaH5n-^m%Y6=qA4(gG+R-iVJ5v<1W= zB;MMpv4*PfNmA%IXpn1n&3jrGFgn1|&x178zJhSj z4}y-CDYn37o5!H8clv`vdHGVxDB`@W5Ng%Z;^a;lk{h?h_HqFc$M#EgAmHhDh!zpH zMY=PmLBkG<1C^oqB7H&F4uR!$KJj`7HRA>@q>C>0qnY%U`r(q7^Nc}Yz?iJFcz`2M zMNJ4V2?p(-+I2jus_9^oK%=CnyfUmokO)mEQbWHIAgRHxSU?7TgIgR-5AumF$9V_u z_Cv-tCNa} zB&uW!7cKmvLFwWZaFNTQOqspCkKXfqc)cmifZ4K5oSlJ(4 zaUjxHBj~nY33T!&dibuGWWO@60l@x|qnet;m4>R?WMudQijjsBH-FHPT*hOltsCmb0&=jrwCi_$k2Y{&Yu(3` zZ}Ax{+(L8L{OxzcY?@g*dUzu0B@r~={lvzwI9BrTVH`WWBv+SrQ+r|`= zSt%osdA5Wy?uz@atU>A}V}wYs%HW-UOcbN|irP&{zd{X5(D>$yJ){;%6UfaU$G%l@V9qyK66|E2&a z64IU|X}=jnIqc+Utb2L4TOU6x`j-bq%G1Wgx}E;aJqxF#U7;3TOMuDa!<1 zdi!U?AQs4j26FPX`!`sqgnaL1%X#>_YyMks_$8tv?&rNCpWdNww;{-g+{Q9%^mYBs z%xw)JjSS;zB^S0{Q&zW`S+y&-5C;uv%7XIQyEh5N6%wlR*V`b1UgWlXKCd!SQN^**5|JR(`vOX`;2HhQ$3TWi-@dF)*E zNu$SyY^ivJ&I{_JP-(C|LoJZEms%}v5m|dicR2Q@MPS*dh}*>7(4SnfXhAWo%wJ-l zelR-#Xr0it&>ny=r(Ssx|KRq7Bo@~;BG(ej3LcobH}$&Gs=#00bmpTrD~rf_HgPL% zxCqPz2(lH*C0$r86+DzX+CCG;aWR9mI(T&+6;Lh@9b=Z<%#@b|vD4sq!dWrn&ED*~ zwtFw);5JAv<<$xb-+dQQhhvE1H&q=bx7rOV+YQ3Di8vQyvoh?n&D064@jmp`*FS`7Y@S=3z(j5mau=dt^~l zbz0#fP1CQeLfW4vF`v7k5xVx>inv4#I`NX0Z)`j5@a?vV+&jTv3I5WOnq1)wHY+52 zt9SU+Nchz6zD}v?gI_OXye!a<&kI5n{HPf}RAyTNgq60m)8D0}8&XX+FoqHv?;+&~ z%N2z{G5nc;E-xAO9%#HA?2A|LjxX(thv{)pXkz?K(5v57;qAI!c6wKs1*$ZWR@geX zOLjF?Culx?lIt1~W$5}NR~z}wC(x6S_yIERn1F9c7{d_HmT_gvHQtku^ntXlM8g<{ zBvSTEzY-{BDXIyj$k!o&UdK6Qy2tZPzBl{zdQ0yG!gUnPzO1e4!Li+R^EgTdzNUe% z1M7$UFqvpL;amrPMoWTFp@UB-d2wor6;Q@-x{%O+RW!b0zPFOE#art3dc{JzbA%|} zgM_7kbb=dXt+XRUvKzEhh1&|nzl45li2=6t3Y`5Io$oFCT;s!{ps6hvYKY60LQ(CX16(;Ibr6f zd`I6gU{U5=>=o=}0|w}tG1_D@dYGEAFQUxf(GqHj+rcgR<6FDp#rxuqx*h74;(L>P z8kdf8DC{mb9u9W><5<#qU!6Hk%-=X+JXDp&9u>`S;ngV8J;3oz*(R#ul{n9R5MZGA zzvGJ2t6fBcsLM&EIWXPZ4aA!d#D{&0FYR_fsiu5c@HyUEaW3tJLREfQb6I^@p2!;W zoybxv^xKpW)QgRv9pXodPxqIdM-rYX$R!;SmARBRQCy$i@nYb2(aD5>le@-*~p1-rQsH|bLKPg)4xqe?gMgc5t~ zAw3GqO+mOKJrb9hRtD6F9NRi?D~t>vd8-^ohVFk*RCy9;9d>mX)=ts$!c#@ z>)8BtNihYko}o5G)Toy*O;Uy)OK8agTe9LS>Cc3^$s86+Bzx>qvK>XTU4610Uvi|; z6S^kt)0pTN=a-QyqswqC$Fk>^y1jW>nxb5sM&kkkI#J}#MgUWgGN z#EaT_;21U`F&khq;PVafVHiRHCc~m@JPsi#8)@AkiwElzBr;;}B4l8-!h3x>j7T`< z6WR_#h^()^Q{9+i^<{oeY!H$w`N(^--GiVIw)E^6*_-&0LJ$F@)|BBw5CkaL@oG0% zxVNep{_l2_Xm`x$c6@1Z1k09l=nC;z@4RojnqTk9p85-fg}v`;y_H+RaLQX9D$?1+ zB3B_ca`G(w)q_NyA)=2xgg<)>T}V|Md(1ysSXJ9Mwu8TPIC(OfQIGDgI^E3a z@{O1NqyLY7<|gIB2PGT|vpcrZiESYr-0jgR@Vz%dNY10Ol1-sc7s|^HBXj;jmJ~|1 z;AdK+aoXt`pKbg zt#nlVmf>Dj!df$xMN+Me3Q`aiA)yMS{S?2RZvZU@)wga7bK`E4k96Jq*@F2;HWi4t z;GnVDc{(1+F`qGlVvLuLpCTeJLqz9%N{Io5JY(^F!>5Jd1}ZRS*eY= zs_ymiv@B)ojn22-prg6gG-vXs><|_7wPrlv2rU`*nLPb133k0$2il=&#P~cvfd9Gh z408^txUfvDl!@X2J@%)(kl;UOqG@bJX>W|7&FL)Su6tRcP3pm2*6fcUiMqWva+Y1D z?Za0QjZPOKq@-j*YKfXAP$FC1_`bd=i2&F5J|fZ(in@|z8>Qq&1|N1D`U3OMA}W|3 zp9A*`Y?p$eN(0Y^5!RS0NIT3mWIU7u_bY6xL!itf0C!h!;6b3PI{q_a__cogxlpBN zVrBgcGW=)G;Qt`V@N4z_J7o9=Veva;_=B1NK!#uV;xD@7SNe~Y`#;dqe-kqNgPr)d zbP4?**zG4G`maL=`>C##)JY(cv{Xb?)3@G_9NdmM-|G*(KsVBPr9G|!#LQRVUAJCtMr@vgo|Pg zdF9e2OzY#dV2yUeF&;Y4nubO@l7sC)Iqz#zGEqUg)%IMcB^TaHxlb}ss z>F$`Fo@YAx&FJ_=t2Td-JK#Yp&Sdd?v#WC3!@Oa8^Y2OblhiF&+%m1KGG+m!E{gbj zeF>Bm28ju`-$&BITIRReNkSkR_p($;O?h(d z?qF}&MV+wER`hC~T)0w$)jp-+)=>FCavXjpD6S=H1d`;~*`spP7+P}5Z90!Ny-?C> zAu?(x;(OGURGj7gC^AS|zJ#$2tgvFA(5m zjdwd&&Ri-bKFgYe#=7mTa#lRGLs5J%ngc1J)1ICI_2?yU2)LD;9Q0|2c<(F{hE|`8 z4^-c&$`bo8s1ny?$B`<9s4hTAfP82PHfnpF)6F4wS=2M2J8FSSj5i#>gFmFF;-At` zrX))>4>FO>=O8325%>`N$bM%M6L2a}QDawtQ7e9(61xoOt?^W zv>bQ4ca*g^a+B-j-)gFK1B8mTByAq;|5&U0bf(qQGzk!GU{PPR81Sq)RXJ&-0t0m ze*`9i;#{=r9+BBaUO%a@z#K$lj6>9D} zYPg#@-63qxe%EZI#15T==hMo8#Mn(QFE@*PY2md3lTnGQtZoiw@HScO6(bWypB&-7 zC^VQ~nXqqW4tOp`sHQ=F(UbMp1ie}JFS6F;LhW1_IFP9cnrAFwyHDQZD{~&zYV{^l zC~rX(Dp|HuC`{dquri!shMXge{I-;6m+wLNKTnf7nfh;|*@d7oTq)ou3w|AUdgmyF zR8$+t>u!YVxO8(Et=gI~@)e~4cpc)yGt6IhJm2rI@~uPVwp2Aq4r{on_C?R9bMH!FYM^^3QY^Rfku|A z*7=w(Y-z+wnHe!E%i@9(QbDq_zOk9=XnBKRf?TatCegJjvsWX&DBY0CsTV#ZB#)PU`w`WDc257k= z;6z+Qlp-GN_6nB z$M0sLJiHeQ1{Vz%#M!_WIghYQC@xvqDts9N66|~y?ykl_#V)FWhyl2Q!^p+>xw#`8 z-01eXd8%Zu;|jQWj#7#AVIQ9G)rW9$ zBEtPJFz81+Uf&MP_d=*3PBA+SQww~Y=a93md|$^E-Z|bPm}vXBdScpcWCS(2se7D4 zrE(p-kQ;rpZ6zh&emSJ|ZBd!FUHAg(T3w`1^AXeL6Pn|*BR-$buwtTePNz91KL;q6 zYXp0k>{K^92;=;bzA+!UlZvfRf2gNHwH}^o87r@TANMI*-gYD^gh`o8(Kh{&8EcY! z#eg7{k<(~7@a+LFw&cgX?;&Q4;5Csn;+Diq?VttL3?Y3*&s*6ue9F=w-ER&9mFc&2GpL9>0r{0A(uIW82(Lv*> zP{D=y-)3qAd#o_mI0RJshIeTNIM?T5dqGSQ6cz|H+C?sPp?s?^$d1PwAfC3&fz!g4 zs<@1<(llb!O4=L7VpL?6uUu{rW@B`7~i|&K2C_>mOao%yd5u?wpoVVuczKTs@ z364yK&casuvC_sef72it>pO&b|VC zKmxyHtT%`F3rH{mE%a#@V#}S zDG8zP)IAOfZU_E&g{+h`&ya1M9qUNcVqrBIynGT1aMK|=q?s(|Hk8|AgPhb8RkcdH zNPx?lgm7~ig_a2Ch#!y#OdV%CjIyt?m$%4!Cp{J}yGb5gz18z5IiVYGXGN{irm|i; zOeP11v-Qy+zxA=}*Oe2LW4^aBwAQuRueM1No$nUys&!kPS}p3fdlNke=xdJH7r&IF z1%cts&!pIwMGfT7>XMEX9&xXe6Hfq+3x9h@_dsV7a|M0;eL-2pJ)8I`luL_kfJ@dJ za)dK(`Z;AGZn?IJ6uIY!5*fz*ac3-mSz$NyXnFYYtsZv9z3yS3Ru)wQ{Oc?>xjDaF zBJna@nFZ{fNv3k0Nd-=hU;?R$EYUD;U4<)d=%FcE6LRx#o{YvF<<;1w@edNAb_$G} zdA(UI|EVkoJtIxLSk>`XzGn=3fN^OCtL$3jp|QUrp<}_KQx>MlO*W?sZI+p4Snx%$ z&n_~iMuTla2y@$2i`JvwDlv*U%qx6PAM9Gwwa*$J43}Li9HWKK0fAIafO1DFcl=45 z)G3DO*X8yp@26A$ZCt_7r$f~GR{Q8)b6bdB>|PF6Fli)VT>HTk~1#!v_V&SH3LQn>RL3CN7=&_QcCfB;i!0 zBwYTHZV?iS)eL{5}A2%@qdzUG(s5Q#C5;AIrWoY%_qA)D|fim}X{(KktVupO_mlk4^{ zt;Z5l8qddY&e8P>$YZ*eve)AQ1E8e|U-~K_D>RRz5drGDXXDi*AsBmA(g5j|AOY_o(AN&)X0rgJG7XqOi*UtYKpY)Eb$(JhNcbc z2A7BLd~7JBN&;?Tz!+-FqP6cs@4!aq!yU|fyd|H@8tx9@jKva~j7xFd#B1Tyv6rI! z&DX6W11zB{5oHtRvMkBj6w4a>MO1j3P{x1^R0`<(QgIb;%*|0MM?hAoQ-QBn-K1w69papN{4gCkkav zSJa@OQpzQB*0(YObNFOk2z|YepNLhmA!6jvX~PhKd)2st>sn0o%BfRTN+DvD(9OaS zv-{P!AnRV?srD5n{xhZU3t#?3&q{{M^0!Z5Q%a`tP6q-=h>5{;}!zZz+Xe&;3#G{{*G*I}7x$DTO~7mcJ;4 z6J>Gx9}S2d6P3Jn)p05a7x*H$a%3D@PiWRkcFlHj5lqMq$;h6p4=v0J01@`93LZea<&ym z#v3VQnY1Fs$HqI2vTAv%uCjPVBKcz*P0*W2P|<~Qeh@u9b5N)*b)G%0Y2O9C?kD6T zn*~6qoHXp#oA~gqz-}I z`Z$m)q-1nnN9b1uFK@kq&`as2`5)N-Xnyu zC@c;u9@GXm7Lyx_@+J=LL)U(N?(ogBg*2HDhErd>ePsm#DmyRS3n|#WaENvc^24tr zgH6m#p44?LEBU$GtXB2SD;OoLPeWt>vMh#hj!^IeRTRL>}bC%$We zGtUA?iRUs)^{B>bSnmEXYG)7}ulkz{RtBd=8rCff0y97$)*%E;TNhCy0VBR}q5?_#6_y zG>vRef=n62+_dm|^y!-D7&;dK2@FsG)0K+yI*cMA)RusLYcnIYluGc~PbR`g<2M9< zS0cnaX;71%xR8I$szt`(n)W5FR=nAMRPOXL5QN1znLdq0B4rE&pOcNMDBF@27zvixfa%=(qoX^eb>@MJ%B>S%p>V~ zl^D`NYV#9wmH4STzf*b+qK0wm<76RtCw$KH8hfYs`OAFD}p%(MrV6W}& zkP)B9qH#)$lgHG0x%_EVNZLhdl{PyIUT5X$DF&{A<}=|~q5fc2+&v0gyLQRg&PXk) za(;ZXs;oy&Krx~k3I9Vy70jn+9+Qc@ ztka}##tlxkQfpyEC#)a&2iohJdx-BwKaPLvHkPfsqSV4emwO*kud3s2@*@R7r@NTY zm-HK}urf@;#atuGg&W`9XSaR!3v#QyAnvS|00hYIn!SeRbf>45@d<1FX(rdJY!+Ww zV4g|nvKC;YxuPmHJ(XOzvv#{5>u|NTCOlM}i?=_Urb|jk3QNtOa|;eJ<3M+9!b*Zs zTmtrSs}R}Q*3*(gt~JSDq8nVx&o5;p&n_Pn9!JN(O@V8$D`-YYC8b94%bFyvwm*}% zX(MpM9ep+>HHg52j%j6Yv(R^Q8R=i&@81EjF67SYR2AR7UzsGbBPr4*=Mc8;#n z70${qksUqs!&sSjfhLS+{hGWGi7e4kR#+2RVbUsmfxT73mH0^&d#CBH?ZXQ*9!jB4 z#5AX8>0sew4YI2eLApa$zyqO)ZAK)l!@s{GwvA{(X?J)}KOH06 zQ+d;lF?FXMYdn2Jx4b&ux{(VgBvIy`+!?C>HmdHq)G%Qp&wFQ!RqhOSzUTL-%%jfv z6#UZXd*~(+tpEDlCf9f7)3$RlmDYRewJ+*ofuyo|_S9Q}x47fQ0tnU|K_bXK3Vkl0 z-jm;Zw)m>6v(oD&8oXAnn@-oX+rzDYTE((Qfzf(?X498fY;!)(zl{n)okKN)WStw7 zX{pSd6%{ghBG#=z`=tZQLDN2YmxUr$+BBA@qJuqXX``mU;QhGn{Y1-*oeE+-J@$;@ znQ?{AS;atDY#Wsk0yDPUXigf?Hs%BF@K#Ao1G%!b@R!j6@WK)ZvF%eW)C%r=qbYj<((AVQM+EX1wKYjcX7m^8nENXQ{^$JUt6`m~CAk@!sQ zEQaIEn5;h?gFD6FF3=@Uc+73ncthD|zeLY&y6K2g2Nnyoojn(>6o0FqDRR~C$J{Ja zp~h)lU%kHK>}3G!4~S*v#w0?h#UYZXCM>UxqLtnNT_%m(B)ae?JRmex^t(gJ=P2tU zG&Po=cv>TtTwWo`9y6Mhs$HJQ#!ikJ(KmOQI7JQ#`j)#^3jBSHot zqP1Yx{^a8c>Ha`m&4ls$ae2)qikkGKDCQg z^PJ5NN%?A(B~Sr_ogPSRLQ~ro;1Iyac1RvUo1^e&gi3!_9`rRa)k)x0_zCkGNLs{D;E>J3I9lXKK^Tg|x^u5t>uDrR(zLg)u;D za`7!fB9Ua1wqA7~YQqc|M5&`cHYdBU$vIh?`dstcCCtpyb=0(c2L+|%yiTy61_3dF zM}bIhv6FJw=(f0RvTZ5_>)X;%h3Sd#D>r7BScv8QL8~pUO|gJff35oz8De^HZ&ks9 z*^M)me1}hsrJf(`RG&X{et0ydZXNBkds5!q>%)(IFJm!4`_f96$A_6_pGBDGk8MvH zAhm6sG=s_sU_1;duTdb*);z!}mb6q0&I4Ez^y1hfHgu zGgwFV2Qfb%y=*?d>}mXLg~_kkoKq+{oYV0+j58>?^pnxK^ba3I6!Q>86$_xm@V`Qd z<7Y!j;O9V{d=vsnM-Ty72Z`V1*;=TC=wY^m@8PyYKZIT8p5>rhYxv%9S{J*ch2>nRoj7$_Cx4oxmMMGU zwUW`K|JXS9@YcpYK@6|Bw1gY^M)Fc@&2raT&QO>@3c_aFNwBWS z;}{21e2^A(T&biX0rpXVM}30|Dnb;q??ZMSPEhn?^kwIX<*;-oaN4jg+Xu_ZgixfG+mmru}M7#~rvQDs^Z zmzb>IYE`XIMC>T1HtR55YZ|UTl?H(z#_qdF`VHZwh%pHY<}cUepgGFQ!Z46GIOT

+6<0lYl%h~AtxfTrrRDblN+Y2jIU{13g%!ChZ;-G_um~8T1`+u zS8JDed#m#DGQ;8}Zr1!jq0UUHh?1|n9k>64a;u?Yu+p2#sIaHe9C6hZXK9OT4YQXt zT2Z%EwT=T*P%8@$)9;%NlpEZPd+CM3ucl4SXOlGH+3$qrpgO8JwefKOxF+Y*aR7F9 zt#Nabw2{@WDz+vw44)6eP66w775iS3DqE*>^YNwE4fl>+qZz2U04L^AH$&3u$KdDm z+Gm|~$yajsZgzjJt=40^hR?$wPoF7Ub)An!vYz-|YlE&RY2;q1D}*L$Ov5RjIu zdwo!`WLt%po!u0YA=`YgT4?5ny#)wDpc*iT-kM|4K6{Kbyq2l`*T)-@I3nc7tk=r) zi%-Uaay1XePOs;x)nS1zFF*Fu;;tx$@-}k}SVoY^pxq-&e1H5MkY>Gp&xr0pD)^-ZeBP$$r~2Uul1DeKf|;b7Av_YHerWaY!c*z!p&tf9UX^E+zn9mLK1rwEfE#}bLQsB&`-?qnI z_aCNMepkAgf}iB7V#=^V&!roo$a-N8RVzoVgaw%jXK6M9PbmeJRgB9m?l^em!OW_L z_h9J}Fj#h0*@fEq&OL$dR)R<)fww7%>hqB1TJ^axt1KzC0;bL8qsO&Urmw~D^aTi~ zmP_LsWK{sd!Fl1XMdaWx=chI#ejX=E8nO{%)wdtnB@+39gZiv8> z6kMgrAr4o^zMACBB%?@P`11G2yp8-pS&)bxJ)?ud zCMzl|1tRxDKoEz`Ru*3 zAR<+kf* zuSLiVEK!-9!dgV#RuMmciJZA&)XTYkDF8}-Xz=z$d4VrsHW6r&TnmkL3XFFF1$&Q6 z)eaO?THzK}9EM@9RG4RW?C=s=W%*9hM%1KJ;RvMCs~WBg7Ss^Xy@2Bc;=a@DMLjE&4ZMtq8tB^_Oc6b6;9 z>PpcOT`a6ISX;*Ou?&pR5iO3`K87y81<)dbzNgMS83a$=j4c%D?W}Cz)Vo~-k&%wz z0{UFP3+Z-OL!dp#)N({XfhP-%Yh27%> zi6f?zZIHAoNsJ>%(4^H}@?Ljm#fgR2LZr;DbixayU+r}tH8?FVZ2U~5${xXK8{Qc3 zU5AnL1huoi`_FJ-qTTn1m*DBT_|q~JuX9$nOYS0WB_Qyp&Lp`ZOQ;qkO;Ro*jp+K- zB~$iO*#jvuJ6LJR?c{8zQ>mbQj{%m9^+o%~tnelllurSfs`&whGPeYhiOiXh&rrVX zLGKahLzu2l*TdE%1{7#kzDFtI0=EFuB~$50k-gA@`s?zh84N1xyBjdZ;fv~(T|Z2@ zDu-IUmo484Y>_VoO-e6wiK>qQ=|0Q4NDsk(;hHSA&^?A&to z@GZ7&KPx(((G0O(97>1mHZt~WL-kjMG(k|X5(fSF!W3j{5oG-#9>~jM%9_J?v{Wm9|0=%>_1eElZ<OzixB64-N5`#NC(29bdOX+4XL-}c0W!)U>h(n1TgjSuPx-V4;U4|5 zoZU`m3ibW!1QhZ9M}@FOklNU<+7QTf%h-LPo;w5-dde|QID8o7!l8^(_zGC{tzdzA zM=EP`_SJ5HNL#$x*`~G0LJ;ci+yrY@m4o`O z(-_A2>^$EcZuo_%Qj3_?F-sowL9G%;Al)##ms`v|7kZ=|B|^7Y#?tC15KD6PN~rX0 z)>amgccUCfsaYJi_AA(!4aQV}Z8=R675&XdY6WGUqDZ&ip;(*ekC#Ii7GFI@M)jDf zEKBSR6aZohqvWPm|!Acg{Ck~d@$p{P$F{krsO z#NTq}r{n$H$75~>HzgJ>mr)pUdEj--&gv1(DHuz_jlL@pCZS6Qf=TRw2|Z-j~~jN`hr>uZcQqocof6 z$cd`j8-nXTI^^2zVt$WV?tw{Sa<_PRC_}oa<4?W=2D_#GbkED>X-Xlp;qCP;QDb)S zShz7SmLGuABwu`o97ih_??b7Th3=ol=!#|6q(f_*%Yq}MH!6ci0&gPL<}9X|)e8zO8j3q-sg4S}4%hLV z?(94;S$9UQ^znQ+#z0%K!R40jy4Q1K!#LxJ;aIvwmRo1C!rh>wsd{loqMnBQ%>8P|fdraTZC%>8-LMV{;#A@iScZSb zp@Vh52&~=(%z@ae6Brc@o+~7xFbx|5o);*fFdOlusR-*@MwVs&Lp0`fa3Q@S+V>wg zaJ`^rS^Qt;)!x;EBkr?mlzhS~x+9LXS|L`7v_e-@mb_LJo7r`rY4qTEyrotE^`sJ- zL{F-_uE*!o)|j16LICrJ6r}Q`5}8bLBbRrjt00B+w4LPw6V9k-J$&CY71E(PPouPv z)baTK32(;vHu8GRUQ6zp2}4j@VR~x82gOrXR#;oZfe@7VaNnFawBwt6iWtltFN5DP z7c$t|XPL>AP~UM3l=S2@11F5f$Wfwx4u+1d82+98 z#X>Xcugj}uV6v>-pXM?HNqwUt@Y{S^`oML}s!gFPQ|ofiqRPhntDYO)$}#(!1FYjo znMq7fSl0o0+Y*muSE1%{j-Wt|IVph!*z(DV^{j5$rsCCLdy7uP4O}NoH5ge+Y4G>G zQV^!>=_AK)=h49Gq-taOw$S$g!KVl)rQEy|DVwc!JWIQYgY@$hiGp#okk}t&(-0Ez zPBF+4-dFT?P3EOz81@qwj7T^o;pd)A-envc*pX@9cf2qVC$O_}@1GK-(_9gt#Ijne zfT5XZ-L?7DSL-<1csGNNB+HQ2%57B-C4ZPQmYVN@1cdWiQ+Jk6IeF!`R=n1&jzq&} zalbR=y6|i*=WL-wv&pG#$kY}swM={uGyOIELKoZ8UNSp@aH~d|U(?O2kOHml%PQ`n?$aXg%BpoPd*{d5+0@h+C!T%JKoMl;9Ay zLSf{sk+6<;L0LH$FpnW;JGBB6n>pB*4V@>xP@ASQN^p`!I=39HSg@3`ik3kT*`i=H zmL~Dr`RrfaxEFB|(xaHae6Ig~U^RxPXo%sCdHJac|W^D$=zFH z8(Wot2=`|pL2f5(;XH{utp%IcIZoB3cdFLReBQ8kkRApmN0#{NjxnW!Y8Kz`U=z3u zs(K1m-O%a!YLHuxHYp)tK~8%a2iSjzYgH{hug(><*ev%A+q5^sFCemTU<~iaZ?)%% zCsdf79i0Z`S7y03D1e{ID)?-DOLcR-(jK93hyTym{1+hmiToHC8Cd>w6=3}R^y~ls zu=#%lkpIG_zX5W_KacDF0my&d`Pl>jK>iE8|8*4MSNacJ{J#W{|6`l}-}((O{y~}i z&mBcz{GD6**MR&_e&R1cexj^ukKKsqwV_QnE`BV4y@flPOSDABLnuqAL}Xn;#703+ z?3}VA7y9Pu!%e&RK}SUJlB!s2In(NEWhMM`VN3_&mi7I_o#kGt)YI;BfvcNiZYp}~ zE?%i|VQJyUuu!Pd{jNi8Yz{%QQp)bN;FaN(qW$9;exA_Bxknf4&CFmWc2uH?G@3WK z*mqtVa4UPQFE+wK46sVVU^dGu^TX6K7Xon^UY;E3+Lq^^3pUA-*|b4y4Z3tMzFCcs z1iL2DrO6wa8HNX0gJ>3p&zpQ7)7W<^xMFX0@+{J%$hYKBx6|TQye&rR*&48xQeF@G z{*k1BDPpQ2p#;&g$&!Z=YxFD5_plJB8b|JtEEB0b3jmBaO+@7tt@U2{s>4VD+2Nfv zD(&i)m9l)wpE=>|9{HtS_VZ;m_Muaw_ef^;va&aDJoMzo@-A1lqI3B?y@qQo^r5AKTANR=l>OwU2^mpY?+$^l*8zbw^rY+%+J-$+9v>nJvW-kp z2g;cBUE|}1Fp1O?pe+Q(M?T=+6+;qdqklk=Hbb?o9bF%%(O#hX3gcDe1A}v=@Zj$- zbG+Y!sGZhEo}ax^lNT_HX~yGfKW$n0>FJw)JjcT4uYQ9Jk*!rIk8~ynGt`w!Y){)o z7ppky78Monz0cdD&H<5Gq-*3nEglUUb_G+qZ7Tx3f4mI`#;K<&w6z?U~1q#GVgbM#BP3LVGZr2fJ_ zNe(G;?(KT|f7vt1X7mA{X=pRx=NN^;q5s7q3h?PC?bpW`#RvtcaYG>uQ1WZ5ZrU7E zL*jaf@f{@y^C!zuw_aT-zbPm}$08)LO1=5%iR zQ7FwWOcG5#e|#KwQs@s_Nb1oat_LD?+B_JdxP}NU` zp;3HCY&B8Z&{MgR?M?22-g`lLYQ*=!f;x{zyFn-}T4=k-09Mk5+rtuNCbRhSZbfk8 zrD;34Lf2P@X?bz6c=4O8o)=jgllZ|F$`1SsRTFVhpT`!N+E?ooy0M155XO_T$y6cF6MKO z<~0$;nFP9GM)*Piol!!9A)Xm}kpcm}fAfM!b7MqD0ct#{MTE+2LkV{gtv;XD8Ek^5 z`jl?`?L@v=OHUNpuB2@S41_iHG zz9}kJmtslulI%veo0EV9rI^vGWO!Q@pM-|h0)&Kt^+`S*DRR80A6jZrQ6DrWKd%E; zsD(%u$}t#c?!gp)hk6$4RQ6&-JJ_snMqAW2PeL-YlVlF*I(|^lSV}a5dU$(ZR|s3p z8QiGHj5SHQu=X>lp=Tj@9@*?N>@#M1|KRncWVmKqc7}+!$c^Eyv58cu%92zpm7xZNVjJO5Muw?0IERuv8i$rV8HbTP8wVg1gu_uBhQm`F839-v8v$CJ zcmffES`KrNDTY9pOP2f#5WbEs5$|G4pyjcnZ&AMFU1})#!uKo1ullxc4Im>A1Wa~F zAYFZq*8B=OIZXu2Hh6Fna9awDR?ZHu#^O~6D^EK3jh;cTGZIxnPq&X!FaB`a$!cTO zR@25Lue_H2gF-Ld9uQRQ5WKA;fQ}hrWjOD_1|s4#Bq*mjkjSRMFuj+72+;_rZQ8GYIlhl`6YP$6zvZDDt?d^78kRCQO_TgtM4F!k&3dkMK5( z>OjEFV1Z6&g4DC2$KWoXT)^~5nQ*du+D$W$>0bv`T^O~9V`eT4nbQ@~Fn?(Fs2d&f zxv`Dmq)P;7iq$@(QB_dg^FeqQGWn!%wBTRo&fs6naSoG>e~WLh#6qBn;FM|zl&xpR zBX5|J3NWuzqlxBt>D5coUC{n)2NSe76OKxDzJe=Owaa?|Wc{IlX21XkxLDC`hjF;R zzv|G+LCEYCjR-` z6K50&-_Wb!(QCUWXbPB!&}Eh`Bg04;&=-vrOKr)y>Ch>^P@0Pt^98w#EaD{s&JGoI z%hwYdLJ7BJ@j>H-A&8JohK-?I1->-lOQsGPJm9j9e=0_Us4wvh%HOk^VhWnK*0+Sh zT4f1E;>s{W&z|krMm%M;=L~)i3xdyAzj6MkSOwS>8mEAv5x(gZK`Zd=>am)Ab;~oJ z`{Qf=)gwT8A!Sx5x$=qbqwAL^Dj{3JIUSJ9VD@#EnlMX0ZN;dW3}it%$s3g*?W9H9 z#qM7AWs5Vq`1v2KT{5LSv8?P0RhFcR_IQBPue4KNJqv5y>-o>mNMgR`M(@vbcXlru zN+e^X3HbHQj&BS02!6)AuADvMf-R6Ofuxh?mPb?&mL@=uo}^b$n&el|f`C*ofo(q09R}7N*ZW3Mlx^xcCUcxXmZDWVC|#Z zc>3iEfB8H2Kau70;Lu)CL5{`+~E#HN#H*dCG97}yA zLmi}mO$=~&w2wKgaAutgl|}|ghnjuubwKlqd(|{P;XbG>U!ZO)^QmB6-v7t-fvbx4 zX`zPo7{Ruq2Dzt{-pcx7it;lwOUbPfg(TW#Xb$%yOOZ*~VC<|44R3KGFA;!OfO^d6 zm4y-#QR8C;o-vQ;sTR}cHqath-6QxmWz^+l@D4*XGedyA=75-3cYWkdonSS-;tY?v zqXsIYa7`pLdkkacXE-|&-iqZU@Iqs>{b#1x+DIqT805+wJ}BNF?1nl6lc)i2w8naZ zp}So|((d7~I9n7Y49nMvEq1|74#Y;7;Nn0juqxkfdAXKG2@#J}>PX)@`#-;rrU5$& zXn)+MP3#o->Bl(_Px*Yw&m+jTRgM|#96L+Wm@Fr~iBcoRYGJ1xwG#bkCghb=xFjuxXXZNJ%9mouYf4lrgh!l()h!J6;fjdW z>xf`*K4y5aZZNX^4?SU-yv=8<<6iSuG!fUR?P1Y;U#-o@7?D~gZk)N~fau#1v#3h@ zrd6HeF2c%sn_=&GKMllfsV%Z7uwGrDM_#;kzxUXPgkrk})jTF_Vg^Bh~|{ zowm?ImeTsV<>v1$r(A!G(o%$R7(V~J16@F?P8fTr$sQW;Jay!n>X|m}yiyKgw+P!& zPe6S&0iw*`x<;$l{*H~w0d#6sPq$~>A9QE#{h;%SMP#4qelTX*^!2J;nD=5}J&Xi? z?%P*xnH(fDre-uCj!a3maL`(V>J+|w=zF#sQC*>D?9!3;&M!>kgHP7t6pQb@6`O9_iJR*GYewIUGr>%Y!5|uy!4StP+@g)am)PbkRk^K|I_&AEV7Hrg-OmXTCWZopjS0P~z}Mnrim10!A!2O{ez6AptF#xfF0o>$ zZ1;9t-~AF|0Si)_t{S{dINPRI{0z56#V_~Q_c<}OJKJB{^%zZ>`#W$ZEkgGm+bze!P;k2@ z*v>Fm>F)OKl*#SK()(p{RmU?0IuHBE?SF>vA5E@cROZHfxidy7$!wD-JpWS4Hb=_5 zt#cay^lAAU!M-IQbqF_J9lrlKJ+_-) zp2j5Y3udpL6318ce$_dH8**12*@-@2Qc4?wYi^DEyPLY~n_g)>5{62Ta9Ej0$aU(6 zX=#pSJvfBM!jU&Il!PTvsC`qmbfarMpu=I0tbBd>)AE>410ceG7iT89R2 zxeu*-hcRPm)_~UM;UN{*L44cDT=S7MFNq9#3%-0Ghb!NPYMT$H)4l^f9UjYxFQYSo zW~+zlnl-&FgjHk{bo4l9nkIZ*dYmG~`5&7ie8_Y<4i>sLeI~G$LfI=)8CXat3Hzw7V6&N906S%HJUhK2rXdfr6Py-&JsQ`?7QMh^B-P1f)ScY!NIw>j z*}9g-AgiS)imPt_*XIv9G=!Jl*pkX1zdk!K1;Y8#1gjB-e_|M5r560+&N(VlL7B9% zVOO>b2TL*KzILswvqE3(wni~rF8MwDBy=xCo%5=9?{^`);YGqfx21 zd}J|ISjVw8IzO<5(O61nV{FFPAfJ-{VsxYqlr1+>q-)<#W3u zdDPpF@137v77Dd~TIAf>D*jBz~XwssB3f6cbMJV62AT5jh;5*WNnQ~ zHI`?^`1@*8$J;9c6D0`rzoX>+WjhnhGbur8I~*=(hq3xX4Thsz>Xx6 zE`2HD_xz{fkQ;&zTpwJ1rd~!e-sL_UG!~i(jaCv@@LZewQw+DKyq=t%Ev#fdWI2dy ziG5+W$Vzs@Lrc*8N>V*+FtXr3Ps~J*+<^T`?b1WZ&|l*urvF2w+VuIs*O}A4{lGFN z2=CfvSx`rVYr?ba4VzZd(ARUT@*d}gXxWf}R%_w;(+Kc$p_sP=Iyx@|VXKoNd12*k z^I#ha^Vm4n#g5p+OiuI1wYH&kr<^(Fu)y#3qHp4i>S><@^t08HnKiN`sUyan-V=&c zJx{RfnBWdf{65Tpmx!TV4h;u7XGB340n=!c6|N!IJ8-6GKki2fbbddQaZp{Q&e5>_ z?iBaE51wC~bD`m^iJrOsY(PP~NcKX(`Ffz7jRuh7xPN^vYpX!R<=4vB&db8SxQxG< ziQa(PPa69{phx#f&r|C|Zqjk%Aq|%eJ90ggm;+Kj^QW8ovEXn^GnH@%MYBamf9#&J zOK^7T?5tUlq{OOMc%K@y_6h zfJkjXH9@thl!th#aR7$0l0NE!gTx1!cQa45##`K2QH-fO%Bk~4KP)^8wG?6+qY|B{ zcslOxjLw!HtZE!J?BkLFkMy}qdgLdk7B@o~B{*T{yz=k7tP`q$g5q=- zD30V~YB4!71`ri@B2HEdBTl8#LPcQo1C*uwkbw5kf;~()8TdnHAd_Z#XNZ1g$mb8; z%hfV8r%Od>w>SZ{2C2@V#$1p;APi46^tSF@X$m{xHj!!zTceZT?q~E=1+gkKCzg3> z^671g-Me}DdXTG>mSjHfSzkWZmfNlu`qGf)2upH zQx+_j@x|H~-pmf}aCz3OX)LqM54wY8sQBU`%yxS6M1yuI1|cd}I%g$}S+>Y))x6rU zY)kK^bn2mqOff@`g@T!E&P2cf@18@CcyqW$j`nTKqm=j5`gHG-+vqth;Zda5L5%~i3{Uxb%iF{;Y?n_Dds4Ma2i6PR$%~I^svY}rKyKDonzM)^R$dTPLbq1|}psG&8gXIp; zn{={9gekhXufRVx0cV@I9=KS#5q>1A`MLdYf*|nrJQrP1VK^Z0xk;lm{;a+EYjLfw z`WlTizpb}csJk0PA2-kRESo(Xsa|#1PtM5rC`E0JgZ<{2Ge8}S_FOyNwskDTF}%~| zf;f{@t>xM=+CLZFL5)4b@Vrr-c-5^Z!{*AZCi->H4W-T#66Ktijcr>1t?ba3J7(rz z02&ad1ur-r^Ng_kY@cSr-5$%GEtLbFu__H*H1_o78GC;aNf|~$7JkfZpuQA*INM(N zV$DDQ!^n{X@(c;mA)M4M5DC9&=CB5qf_~17++uJ|)lYzJhO!;r`|_T@Ot4NM&M_3^ zKu>dhcXeue^@`GM-8&b`dUyXs5gVduE6xuC(p>f)wT*md9(UWg_;CXq%kJ%tX?Tt; z!H%N&g1Fc|dOMRN9bK{$ee$4y@#15yt?5E^OTtCV_49GfwYl@l(n)MwX^-l~_Cs!9 zxeB%{JAiRN;pvOvrmz+qAm31CjZ_N}kYK2?20>KZH1ko;w!lQFaHdC?tj@GSF!OzC z+WhWP9=hl@(4JEJFNNGd7$`FvTNKn+OeqDbC@HU-PP(0WxH5DEnz0aldr;ckT-b<- zB$mf}P?->5L&fs35dC}M!R3}q52=YEZAIjcy``|=;u`>?c|0g_39jY_UCE; z7rat`3~;lZGUTykSZUc~OT!2(xI|3LBT{ogS>wF2L`<7wNl>}$ezJJT&nQPs8~NdYxm_akXa9h7ieb zsJ0Op^C>W>C;P0y0ND4P%wiVP4Nn7LS>d2W+Bsv4Rs%&y(?2Ws<60MfM$1A4C6W^2 z^+LV0QZZ*FH4E4I1Y&0^Y}Cx2|L0=chtNVC#}lRQGfnm5(jxi_*?^rlBFE~?tps&J zA-tE>=IX_UT!otLYkM7D`Iw6SO&T`kdpkaZ45S8V^kpPxJG{y-eG78b@_XOR8ybs0 z?l#^fD`YY-Qia*`m>Kt!fO*rUDFtn~dY(m@0Re%67VY~#Arz4NMK7a&hGee=4}{~BcF?pj^vWEk=E zZib)aZ`wG$(t|wzBH?K|r}=t3c|LUJXU4?Z?A87)TxDhgr9qDLaG3)ME9J@P4O_GY zwE*g*4_m%e=+jW~$#*JcgbeJboOGhSotvnOi$2(&jww}*FdApI_zVOB;`0LbSQgF2S#j2_NON? zcyAqjP33La#UjhMFN2cQa0-fm)IrJwrpmU$)|bkb%89BY+U|ytWOL=th!PfgeRW%Q z79VerIgNGtIj242#`}2F(F#J~rh>jg3-$IE#wo=btbSu%Q&e`92fZ4u z!K{uK*mZNsnGK=r2Vw{=Usd^X1f*MX4HNBCcZ~%LdsSEc@}qXEdic0PSU~oeepLW> zn^{RYcH9BI?*MIjHii@eRapVcDAQoJ|4Tn#eA7<`bDiE&XP5#jekj#)3h>(TGnu<` zNvW{$LK1W2Ev8}(2deIzb7MDxHzY%XlLfgse@pl^zlZx;``GUX$2oC*Fy-3nX}Cc~ zdjTo2xS*f+2-}gBl9NU(IqP+ZOI;)L{5(*oV_!+7QE^^H*@0k0%`zy%L86FIyHip? zV2x1?-#15XD6e@IRQ!%AE&+4x;}NS+Ajq2;*sP~ht#OBBDuky;Olk?W3smQfY6)is zw5e3Z@XC<~VL1O3JQE)v3m@W+4ZJc3^+*P^AqsUz>-$-wM>0`!L$brt7)K&iHVL)? z1*!MeU9zgX^aiScL``@0ShRSrUS_|!k7XILHOF317%tQiM4MY1E}S{&R2pnffl*z8 z@i?oulp>6w=xj4bLH^Cg=^az~pK^x-yV^uB88Su#PtP(L3MK)YYV^|l*dc}^{NrRv zK=;d@7;+N*w{|)e=7)+yF#0qMJs^7<;9Cu$s?bF&L+I$L(EBY1+{iknt2v!ci2i7M zk}6MjTArAdYf|&-z(!l|R_k{D)*TV=W#K}!*#}`H07Rc8C>FmLd2%axC=QIumz)?GE;JrUn;W_Cj2RI*PET#QFEbVm9*R4a zkdwKagTT$H#wYLHV`aUlsq?DJuK#!oxqMsO*0;_TMOKs*L?Q zJ7U1a8yashrEFqf7-iltQ8kEIRN>V@$MPwO6wXDPHILn!?^Chq1ZGvE9Q?}R+_>T^ zC-M_UVl4E}rJuWAn_7!hLyH=xJ7Z`y8j$xdD^UY0132%aL3-b9-LjGNTSG$i12p&1 zKf2NVA2ahdz}7=La&lnl>4JHdPFL1EO2WIUn_p7HcJIj{5vVcIVEQdBTH>>R8iX4L z9gdxxJ&)1rVWK`Vx0rp6{E7A9+x<*~y)VeYW5$_~PIORi1J zPG53&snKfrIZpYj)fXI#3KcwE55}BJ){?6&QZ1;+A;0%5DUR_N0(L|nBPP|VsCh8x zpVSC2zRu-qqB!&Gf?YsPU0-r_C8q~Os56QC1n9OYEY1Y%DdJRCWw|GUdsfO_8XgZA zQst=NIo@$@DkN4PBJF`~MGPe4kMB=T(QMR-$S*H0*)1o}9@u~a^s6STbGM~KyC)kT zY};KbzLV*`X`Y9lfFMBFxr*lw3>AukaugI1LRjbV-ED#1+cmy9d%v8x{XDwAQ4Z7z zWU5MLJ254|W^!||HtE`Xofb*mtr6^Ik8kTiH)jZ916kc1}Ma}f+|Q24jqU3;9hb#fy?T#~K`8lLRs^8RGwPpQ0F#Sp*V8ON~sbhNzZ)*BU~u15!dp zC7%f+fXSjUa4&j64(vts1Kwt@m(z|CBSMLOdU%IeXck;4S?i~iTdWOiR!SkKEq(U| z`Kc^M`)Ti`zQD$Sb9GM)Ykw7#9TBlK2)YKYs5o^u&2x5P#ZsJIH((@U&RlSLICU|S(_M7_^ENQy#SeY_^ZVwtUCB(BU{(Z5v$-_laTH|?^@wzS zKW74Y4^ljeRc<0<7tulK_)5>)2&G+$YxT&zsr%$z*isY@i{-0ILeJ>kBrc(ozF&V2Dpq(I5RWZShgO`iuF(IP8nHfd}7 zA;P-TKso@J%eQD^jHA8BBTtdl#GQYz}IgP zllMo)-G5w>VxhF@$Q#yf z+7Aq|VGHJ8+l1sEx2;D-jgz2R>(V6SScO#0+8!cH{ko0hBl^mPczzUkK8W$Chz%x}kQ>4he7ms8JW@9zPi!^p^BGPx^6)+SX-EL^9< zexTewwq8*2MJRc_A2@}OGJ;YvK&0~X zxjAydcwYQ~Y+xbQXX-g>ztXZ)e0dq=EOxOxk>*Ji)7C?TJXg9>;LI>Fr;sw^du@PYJKQ7wU$;zP2n@z|=<_#=9{aBBmWF``g2kO7Ug#OJ9F93_)o-?KE)JP_y3iLjD-Rr6u6JETE8YfL4O9Y1tuUo(0yA zrz$vM>j##Xk^xJuEg;y_esY#lS;l&&c%*T^8i3GkGelQ*N^xk8ehlml4lu zT7mrL%;80#!108NmMkL6qcwOgzsx8a<)7CdOO+8R zZ4nULJW>vD_>_xzFg!2w$#C4Pkeb?_Vz(TufLe-2g|1<&;hB)rdnjg!;c%E}63C$QVCPFI750=p) zOzWs4BOKf;sY74=J}qPCM~{C;=>PS;{Nu&U#QEEBTK3<^VE(UxPXDb5hkika-|!Oq zzlr+#wdRi|&|&&>jP5@yyT8hR!Iu9Cyu|*WjQaYu-Cq^|H}KN$(D$F?rN80hKk(AK zW!D~O1j+AvH6|f~BYf=hc@EGE@&z|k9ARt`;itfq&o*XM@%d)3Zx2UpUS6Smbpefu z9}ID7o@(1FP9BfHb@60#C;vS7IXgWxEtc6fq37=AZP9k|u(74#-=UfPbv9f5tMtae zgmlI5cdy;HsEc-t4g0nz|9ug2UejkVuX>j%FFd%cbr*)WPzp3Zewzz}^G|CJKCNCo zIvzmw6kFbSEP*(Bt}m}O8|$0X7z?1y3-J?Zff2w63Y*JYV;|5vtAjT}psF0{x7~Hr zEwUO-cQ=mm`$jU`rOy`n611y)34F&qea&Cfm8hpv1$5s-1D2fSbuYLre5>V3o-5B< z&g>|I{Gu7ixDWXYsr}1!tT((_qyWiirc&-cYcoQOGz30AvFr#udSAUHCo>+cefTt6L7V9A+-WjtWY9WLaPOvRQkn2_dz_y6 zW~d1cAz2)kOyBlX3yA0H*AjmC$40QYu7mTx|Njmgv?QW%_jr9LK>ukeRil1vX>M$3 zsHoI3S|TDcX+V@aG{^y3;xhT>&VnoKV;T>EQJ9B`!d}Bbb&FPiMyUQ;sK*6u$U>gLBE8ecyQ9rt(_u}_ zT^qQdloY$$vSaX?dQhGSFB^-WGEGJ#smyU*Ur&Zy23vwaU*0$GRR6*v$1lxs*Qt50 z2u8KiRziyVS-PbqQ-?;4i6B>qYvXnqj+3l2W9UMjZ&GF2Wiej_BDy9GHxp9)d%cu2fcz!UFgFhl?lKM;P+3)ZJka9@pB5nT$YZ+nRFCGmTVPmCfNLxr*(^KLkU zfJ^#0b#`V913qtk|EP{>me!| zHtSiif>`)#uFy4fx=kw^_jFCISwcBqNJ;DXdayqjXAVe$kqr{=0wLrNrs$>K$QL7) z!{!uELYde~e|tt%5h-k_r66+3Se}dX(f}uha{$1p0-eV?MoYi;Gg@d;5T!f`az$py z?c$1)oEG3pkOYgsh_1nc1e#(hi{(XAfJLpFr2AtrcZKK9)Km0t!lfICo<|2E3i!*r zs)+)ib=la|NhRnr!pll)NXDKzBGU4alwtO`x)Gh(2Sj6;bgYQ4KXZaCtHx$@D;049 zsj8*PN}BS9A=O|P*f1mYrhx=ts>;Vh(J?Z{JNC5ewfr|?rBrSj9<#{#n z|HanIaxw4fa`!guwWim zS2O?>1{HF*@Du}>h^bc1dPm+#);cx)gLx-n8%+#W+i0hUV~Gw7lQZlQJ5_-+^Ul z6DkP1Oj=za3#TauC875O(Kb*^kM~#WxIf8nXHomD^jGDPCP3;r4v~{c0}jXm?1%W> z-IVij*lM!{JktQMAW(DO$+vk2s9lvkeKRRrsJ7$GX&*pK&n;M)Ol4J>;Opbf!*b~M@-3WA4@6I9FN64P`t9$qAOj(TTp$VGC) zG6IJps*pID-9p4lymE^gWK{Lu6`C!x0St}`AA!s+_H?m~SQiiDv%K*|?)U8a>M8yG z)xNK!TY8y3WIyM2#TN8z+A!L6+HzO~;8w^V&+)s6pHldPbb#6)l27lw=&OR;KQ9q& zUeIiB4^>PYwF^W{WMr$YXph0q40K%veS^+Wr24w0KUvr=-L^$Q-~6EW1G1$|Yp;)9m<)n@_F<+E_4s3)hHSTJ9)nvJ5tqc45Q z6Jz3(^v!KG7Jek<^W36VA>CJG9%TA~FI6p)I+0{`wgbp3O*_Q4R4FZq4pis117fSn zS!>J7g~)EE`t{Cd+TOy?0y3T}AFb|+tu#yf#e9m(f9RB5-l>rBTc_QQh0O~(XXEs? z59*TBojur=-3EkdrFt(}X2(b%Xk_L#$*E23A`Dfm@H`k7k+GaK`A}G7=dzAG$(Zil zIpgj<((62W;fE=}T5;Kay2D&)Of2_458GJJ&$hIVNl7OYP_M(iW7s)!Y>$6LC?0j) z?>!ruVm3WtS;Vc5s%JhF$&7sT zoQt-Iiu@Ak7_?ZN{rDY=zuwu+P5NcZgO@F-e(QZEJUyBF$*4}FpWA&`HHcNk5-M`O zCO=hf_Ag#*Y#{?RTF!>f&dai+QC(sLJg5ST47?ki1gsmK5*MU*CG8jxNOiRFGdRrn z2)AdwraP!%1z*ae9}m>9u1IyUuVB9%coK}cIZHfHQ%Gh%x^NcCC$fOU(p+ZraP#hvXIq z4z1CY59Z@B7IOv%6FL zZi{bV=hW=cTJe1Yl`>TL_o&5RIwUhY6WibFB>V59$^KuW7XR+_rN7&T-lKfD{v~VY z|F(yS{a<$UD--yAhEw*x2gUs*(EeKUC*k1uSD`(>%75Yh{|Umu@t+Ls`L*3&75~=> z2gmP(@t+b7j=%ZWKL|&ahSn#XRwTcr>Td-Y;a(Xw>;scJ#zXC}dzxz~duAU67aL9^ zie@wucIEthBWdvm&W)$w5HT^M^dL{3%Oed!8a{{kU*_Uv#2{r(Ms6sruM;GDI3r)E z`*-MVbR-Km$ypDa`H`*3eX~wNZ?^BJxe99efx%cdUWk2i3*x%LK1(^&ic#`Op~vh_5JG^L#3JTIlA!uw zi6Yk|Pm>lG{c(c0i`wCBc_-8HE!^?LSI-)Y)kiy5ZnJK##qT)EZ9n+E&Yg{FBZg%i zio`zGaP{(F>Nh&) zZfxZo$a^9G9W$uYor9F%Ns*)ErsNPy|K&}>ps1>=>uBIXv1+6Eh#Q*v6HQBJEw?{c zC4Z$NITYk>Vkv-K+VGq9Lt>0to0?ta3z*tP)TWiYmi%}IdXM+c*!-0LkYuTr_FBR` zVuBcddZkjG60~8flV3X89@j@h}zIB^8j8<8rC zX(!eSN>sMf;t3FC3-4K$2ZemLRe0iX=37jbQ+YUZka=W^LTb0fKY>qbv}LQa9lFzP z8U|N^arCx`{UgFZB8nrSJQmD-9HQ(^TzF1U?G!hh$}`PBW+~nGF;>zs+3}pVJ22#(>~G~(I33EPOcM{;O)}5BDbw0ft&Hb z9ad?%H9 zz7T42QdEl%zpqYQ7Yc!dBZt1*cW^#}p>}c|fuywnd;i7e&Q}cW;8? zR(PP~AXLoiyNkbhoWF8P?quWZZprOj@fv+^#KXFGv3n0Dn#vsz=+|l6kcUEbb8P!W;;Sv54HXikODOeU(qo) z)#~V4-y-LEg;4LK7d=uc2K3%I4%ouzv;x1B( zu(JM;6dgW!&(v#W+in(L)(bmk(CJn9GBv-Kjb$M9q8T81jiiqcZ*Kd#30u>-Tc970 zKbS|3Yh}Ct(QT8CeYq6*8V<*x%~$bmEoR6dgLi7U99AzLQ#A=i#I{>9vA~K7^HYNo z?j_^CDH>iqIw(YI8s&Ww!8(nh z3bc(VRdeRopK|Oyg=bp+SPRGgR@jEu>c!zr&^>>f^j5AD zfiB}{dxFhQhQrSM7eENHhfq$w=NvDjEtOV3TrM#7T?_nY%T;JaR(zRFW&>3`R=q>( zIUlBt_LJI*oq*mS#mJe%(&!hC0FtySSPS7-Cr__B&JxHvMp4jNVZG&8A?#trrEEHM zc)4arIro#{5o>PwG+*M?j(LQ7x%^k|M`JH1jcLN9T#UuGkivw>;S7~42z*PKtIk}* zliGkOm8ijnTdfn0}q6OU8?IL=Pg@o8GVI)e805Qw)EU3_pF;RXIa%f1{4iH zyh_;W9D!_J>b^BWg(d$&fQ)6!>rL=FW6cQiXLd9yZh3R%CUY=b`DH@p+CvT$Aw7~p zOqOk^`0I^Qx=bbQrg#YC^w3NkiRohD8Z6$xdixKdRL&buHlQ=C8h00sb_e~(>=1` zsget~XS&~4f2ubf*~UPn1JKCe5yN&w&XMgSZjWgF_I|*gzedlN&-EwbR(kioIN(ja zoY4#L8B;x}Icb|8$wB;l;#k3rSE?!9cm=v7`K+YUQl|_6y%R;R>Cn|J_TbW~Xa3-- zQ28+hjf@2iJWmx%t!?}gThJ4Fi`tNHUpjE3JMiHi73&Bx2)({ zS*rcy(rS4lp<5QkK~YXpsdJpO=5uKjmMTQck>!YRDaF>o-;k}{g^eL6%f63)yLlYR z<>{PH4S4k6Ht-}}b%T!hL40SX_xfzfRp*rGN6vQwDahR4qeE|FDRcRF|CVs#BBf)LhN1=b~UigKG{(xT0%$)3h<7AHCUD^L%;^hC9>i!E4{-(Nf z{Ouh73k3gK^DiLy7h?X0>dx`Idi_5Fg8vk`|3jAo$6t))zZaCj@jJEo=OFlRPVf&9 zJfX2>hr@yRZlu3t@{ zAzdKpJ$_F5c2?iomaunzZ|-HTz1gm)if3&L5=ABs$)wv($w+hk$OtT5L&9j(iq&T| zl|dW~WWvl;7|`2H?6!HBaW6&7du8)b_FM z2ZQV+xVG|ZZa^{APqf+D*gSoWk5LMe#t5b?P%c3EERBoMn#zmVg+~x=yHG1C4L2S* zV9y#{@Q+IRRjsu$KNdvg}6*3-aI)pByc*DiGrwc{pHk3`BJ8HokIgr$NUgMM>Ag8 zwrEF)n4`XT1skaytN6f`H3!x^=w!|1NxEb648h%!;)|*EtdE?vu}-nRRO-XY($+^} zb*)sfC$tBbxsmc?<3YM!gqpLvOZ=XSCu1&7WUExN9EiE&_1mb4wy}Iyrcqq*Cue6w zh9Qv}*J_R-k?^szyDO;Xq3usI&0%pn4nE_4VyLa%B>ig^7z_*y3cXv+QzJz)_RR%n z30kQqXFkEZA`*<>)wvSvh9njZ7pjNYo#lAt@abFGkMLyEw#)1aNAYjpcv(f=#X$(% z+5tb0;4X?UB%*ubyG%jKd(I^jn}4X0+Fn;kRV-sI0F?H#VFua*CPr1M{XMxxJw4y& zO^2q5pFnN)S}J;O=oZ3ffx@kZ*$$m9z5pbi+CguN!U~v6uEn7k4YqLVC1BLn^a70c zeOBivjr+6A!W-q)EvV6&9FIb=x&RpNQ$pFSFay(+riC*#(BG|tJ_ucp#OpaTy%ZU2 zi)9GJRme*mB0&A%Cpe*Q8A&F=#ksG5$c3jxDz?RvAq}qw8vvDw6D{lwhe{!gkR<#( zODM|?sB>`TC53dIr9>4eh>I8ZmI|uP36zmQI17n( zG$%~|29XhoimB3rz=}2^vOr_ga*Q>`9VniUj~$Z4y2|renhM`2;-=zyv0_L3ZKRGr zogZ;iz0NWE5^Fb@BAt*|?hIQ_NysB(wM=k{rV_Bz7>tth*RNr#*PPT|EKmG>GMp5O z5P}iv>(X|LWy)`>{ZHh}w>31oJy(0(9)>GRZzBG+Z&2_+Hho zpRKI5>UE%lQoJx53Qeac)spRvrDoJL40g0p@@j>DBnev1IX+t{yL0{BJm+7{OzK1VWYxgyL^L8e#Ea*3Uu1H(T<-JWf8NB z-E50X3AyFYMDtG2l39LaI#qJV2=lSRPyre+I-B+zpKBL$f4q|J=g1O3UBEqHP9Re7 z41g|35nD00PfHOW3$%_`@myn?DN=@t6OqK+M{4NzO8+Eo4$*F!2fq;re@l0dUuHVz z(_x%cAVqrkaVNT7PIUT8CI=FxM>rh#8r8&62Z!1VxDOBQYVMyn8A zZ-$Pj!QT6*(don}DEX&2;n2F+-C*LBFT%zksrQT@k+|X4)8{=1B<|3ajLS10Un6oC zpHwiF1|Ss}S0~JaY$&=*$lGtfL@!MyF?ig1@epiZI5eCT+&*~;5G;WXzCnJ92ORu6 z=;W`FfK2QhOn;*j&fg7r|NlcLf4r!FLnr?dm- z|5U{OLv-?MyT2;_uS;~CzXQsDicUEH25bL7C%&pRnkuL|G1<^%OPOX{j6;kqnr?O3M2DLT#kcbz!}Nd*g=**L|v05co6!*vtA=2 z*=qMo%r+GU;@%Bj6@}n>{Q>EgyTfKz%;5b z(ox5}89u+P`)CK+Usxalzil9CP>%Pf59p517S5PvoksV`7-{84F0PbzZ- zGwXFcs*+-3Rxsc4N^79$m-%$PtCb+#k`ttSYDk{K43er^pt$S6{$yUGm*>EL5ECr0 zwCE#-8`?06yn5C~&01Ueq1`;VE~%Yhi9147TU~Mgn!KqzXK5Fx#7UXsCup6kM#jJG zGJf4Zf83~Stc?HYG5#&6|9@b@Kn5vWBMUPrJs1XYdpj4HKXAPGe~``pPt4a009!6X zCeD9h4***xMnWd8zYWHJ{7~e*7Bf4ScbWg!!at86hC$xQ_Py|4Jq&{ez|_T(kd=uQhC$K{U}5P(_&)Oi41+Mh z#aYqJNyOgP!QSru5C}Q{I2s#!Clv=H6Ei{`1}QsJGY>OULIzPYH-L$mvV<@p^Se== ziSrlt_@jjYHZEpPgbd;~MlNRWS#kEJW-tu0W_A|u)xG=Ze|3@X=lG94;4C%DtAH9Y zpya4YuDXOWGF5N$C({(%H?jzTnj%yB&oyz9%IG&>^M=^?FwiT@NrIot_H+t3knR_} zII#Ygr~5}9oV$2xJ7oDEG22-&L1Ybw4A0tw8nqee0MvC%*35s<-**=c34vVYfkzk+97>0nCbi*5FEo3(D1;par> zwY7ZUz&SVlkj~VKl{HqCuQpTXW{lnkE##+kz1MX01gu&w>>GJ<0SX3gDGNBf3+Ztc z+jWp=qf{0w$7f zfk&UY@YcT&fyb8ji*wIeZ<;fGPp~(Vw}l)Xsolrrr$MDWmGnWWtKefe5sEts?j8;> z$vaW;GtZMgm5^Bltz>C}w}54_=kF-ZQFJzGuxn{;@w9m(%UqKp;ln*>tQ7e1@+Iim z8&)WO-vkJYRUezVuoy0bDvmRdI|dwYLUU#R%D z=?MI4OK<&nH$t~DIWlWN_LcG&x9%#J(I82Ac7F@Zo)>N6E<$-QbIo0I<=k@V)~wR) z%;rm(2X8?I<&@0ReEBj79~)=Wr}Ud;QsEag`-3S4%FX%eZ}LvO_Tn4*pxKnEPuFKulFfAD*G$Q_4;;Zs z`~?iIkWXI?aM1p>odscPm3aQ3zju3ej`@9LPF*eARKZ5nFw6VR`S0>q;!3-oh$E`M!oh?Tj^Xhi9a$*YK!X#z5Iy0 zg=Way8AOG#WQ2o1WlMJhqUX;BzGp3`WcRxfC}Jk6xSkGXPGf6X4E$EtS#W~t+w`aZ z-j~nJ9iMTxmt&-Vso*jI!8@mvq^>Qd`v$FH$+VMa87^|MwsHVHkJPdsE_(eNPD~dP z^I@_=VozgUMd6#1CHgZPNoV2Bi3-DvW%ZCcnepG_#;|N-Ta-aClw`h>>Hu6q6+4XxD6Mch7*p*-?NH_9O1`A6UeWqfq3_=-9;5CzBvt*3J&N%XBo9r$-W1Nm?L0+GeOgO3HzE) z$;Lvcd(k1($bMKhB$ck?9Ge{=`*7CGv-uB~@WlJK%KOgsI2w2HiZ{F2tdc_@Ka$ORmY1i?GP z|7Xm8&cG*?b=2yw*-HH+Z z^Ar9_LMu9sr$hBIx$v=J>vHnQ4bm)`E5GtP&nNNL2Xl?{a)KSZJRq0cCu6k8`y(ph ziu!EFA`XVrcIEeioq-)gLpuL;F;#lf6C=Xv>U?^Q|$>;rS!4GRjM+ix$ zSUtjFE)qhw;hcfOy|GYC{loWF_-vJw`QI?}ZLd5l&oDL(P-9>VjA+MIwz%hpvHm}$ zISi@!&rdpKtKH75Z3eE!mTXMDnU-|Vq}NnzO~0AG3-;~jdDjY1Pe!k;vklxn;CV6D zKKpte$&x~@O6V7*O(7+wpdj?}(M54I^VH^~T5w$RYb0Up*~o*S>pD$Tc6v)WUA0Yl zS$eXvm-oBDryrUK`yu(K<{n+k*FUVbDD1OX`aqfN)>z3p*d|wAvY4q)w|jS`eR7we z>haS@PWvknN%C(+fA1+9ef)Gs>6XOlol=3n6xav-zM+*)+Srs7(jDA~r;?V~PE3R{ z9cDG0&|J%07Xvy2STp9K*@N>taN)V5TY;So)*x6+t>z?v`MVV)wD{Q6CnA~Y&M`6f zFwbQSPvseF+gJEGLHzf z4uD1vynmh={wV^D)e*IPSv?wT?9}&uh)84eA#*n4lvR$K&zkXt|FU9U#h9*Y47FlS ztU8kR?R}*E;lJ(Jpj>Dct3e*>NvP%@`(Q`av_?P3`93(`HJAI!KC5k@_2l;8c_Vz{ zikJajNg~G!#C^_~ot_JEJiv|_28jjS$nQWC_+N(=I<;3Pd2U*R9*E2geBHM-m_Cx8 zX&x1PZw#GqUVc6NH0~h?B6+8(Pku&H7 zDH&{8@T4f64aOIObcz zmNn4Y4xNRx%{7K3=q=c+E>!0HoYGX((MBjyV>4rhuXd`~$_~!G z%Wrcs^Dh>R!=oWs$vLKj+EhVV$AMC=EZ)RgTd4~1t6;KaMzrw-JJg#I$Ohklcgmx0 z;d77dWu_*wFkg>M7{5onRmIxT1|2CYS5p{;_^zl=02qNWpH`Xz*TW-ud%>e=wqUX}hDM%#HK!6$41>ei_d7%=!NR}qc??4fH@7k$ z>jpB-8m;h+cw0Z|0~Jr8J|n7qk+@!eC834*n(D@ zlt|!~@zmCMJ`}YMWu?Llks?*%daN<_Bcb7d+kVX0sYK}&{95K;O#go^etAf3%Ran4 zcC-GCDf!!b{^Aj8rtikq6l| z3rdi8#Nia+r95~N^`fqh!l=IwZ-jy;c+tXBnFb0WX^yX?RCw$kCs~@A$BeK;`pSk|jk@e?wxVNC8hasqKq9atPxxvk5;5H-g?R$*d|BKQ2p{BE+3lr{g^&tfxfZ<=pl-shnv zl5lspBV0{tEC5Gg35|bX&|^2N{qlTvbubccv?k^4JrPu9J+E0yYt@_#-|+RltsKq& zrlQk$5{S{I+p*Nubx0hfI@J-sh5GBpBi9Pknb9+F4wAtmtiQBue&t>E!$TtA?e(GA z#ntE03A84nRx!s1uSov%I`jG7DN4L;Ha99bgl_z zNNq4vKUrZP=efFi(QM56eLw5p)TqAAv(V^8_9SLvI(@+hpNCwqdOO=xi`BDa zVrfwG_n~dK1r>4;m_~GT;JAQg!e%+rS$1SWendaTWGcS#Zpqgx!G$3+o0Ik#n9f5E0wgTHoy6ci` zXl3cOOQRf=9|TYnVE$gva&)m30yjbyS`4R~^^-CkZhMw@RJG(PftCFkuYK3Qkkd&Z z-wnYVMpuW3Qxa^LkC3=PXRF}H=Uv=6i*f_NDs z(tT!}r9yZPuYdUGhOkZ=7lWt2ThkM`t8Cr~Pi{YrXjS%EV0q@92w4RDRjH2FA#;GR z`>Uf}(;=Plv><9DFRL*s_Xzt*F35>_9U|s%z}jzp%!IpYlC#0E5ctjDEALJ`jK&jT!;x&&M#M8*`|Zbr{GlVY zC`Jon4@P*y4>_4!utu2c4(yvh>-{&$4?E!%&#)JuJJ>CTot=%KcP)@r*SG%5LXS7G zwBAvPH-bXRHqFdL-QK_fes0l(4qHbydxB}^CSv1|o*8u|`l=e5cqx`K`t^%a`juz0 zPI}BM&xE$gxg{x&GkJ}V@LxU=>Is`+ZhUGeJ~-MgnwL`mhPH5g zAs?~+3j<-bXLIqP!+Ag0%MuO6hdAEukE%q72lG&mVN19#fqsO2;y&D$*qqng&ox`< zi~B`!Xt{Oix5rs*_EUsZ7?}4d7f$D!O~Tz;hVAzz(q9&L{_?FTfT{^(f%01=Sp(Q< zmi6kw$NbC7ygoKeE!<|b3E5J4oA8%y$(1^DEY4y^2Dgn~G_cRH#?dkRQ%^hBJ%p{a zkqhT=6$Y_1GGry9WRf0x>W9o-=?ATfr|R4{Au4m}BSWx=Ll0X+J%n*xYs=-CekG%x zZbAouB5>PZg$>!LAA@dswS_NVcgASa8v<2K$${XwN?LP>Ux1f8)!8TFMQl07Eibw9 z`P-Fs-d@PU*Rq^Uurtu~VBvbpe9|^eQyyYdSJts2)MUpSu)cP_q)3(yZ@CCZy}`G; zsgd|kfbG8@@ZjU&|EFBo-x;z$X!AcnRY^_h*RKWn{@<`F@Xzz!49s;a-26iq&FL+!f z&3OgvH0|D)II+FfSHi^-cA?`omlyYNa#ObB=Ml9Qv2}6Oab%M-(vV;`qnAbwIQ|AW$`)f-7 zK=l7jpq&c=ss96M=i~o3q@9afoFM%2Q#S~zP4R= zb5+ox#OL%&Rk6Kiur4@Y+B}eHt<+obJ0Db~X7 zRGS3rgTRxoWeXIr^1t^d)xUv$Tr}bfLFb)ZkQ(Dxa-OLFd~pz2rD* zlkGn{Qa0Y=wFLP20V$yE>3V%uOl-+)S#O%GgBLsIH3!Z@q3sHQL!Zk!fi~FQ47CD# z`bgQ2W8~Ck0je`Nl-n(?x#(j`fx*LQYmb+1Z@(dq z#x~zKJM(`b1Ya9>Uc6~H=P&8|6t(7yMbUQG04HabOz1y?165 zsSG$A4~4oj%2y3p^B@M%zu~Y(suXR#2Rq$kbHm9GuRHBf287u{9UHQ}+FI=SQwhP5 zMhBfDeYdL_(6Y6k{8~Ux2JTR-@fQ*ptz{e_UxTJ~Q?XfXi?z7IZ*6tmS`~@+J@3cLL>4UVo&1BNT zk(pm4Z@m8Kol8auVo3=M>o%qlxG%8hdj{??Oc9KT4-6~*UDf@*!!<1m)<;e2k~Tu? z>6e%~-4AA22psd6;&F52a<0l8DLfqoDsOacjTu{)f;)PfmzOv*<@UsV)~#E|fd?PG zwWez`qF~O^q`}S^BLzP<41l{D8;^q%nL1q%=_uYb%9!Eaxc%ytx@VoN0Qbi~V^b%7=s70;&zOj11q?lD3z%A=`4nWb z<0B+X{Y)R7|LZ0W(EgbSUN?~~LbDElhfbErbqC>`)#JMOU+l;;LkZa5?we;q(_P}v zS!#?TxUiY`2+c+SvQgB@M}XuX;!l5mvJy!(AC|&0MybfKSQS=q26A(T+bJYO*|MXi zhZ9%mpW$YFJ&3nJ4l-Tm>nDX`*^y`{7NpT#KdOb%4`*=F(EpVACZ7R&x3T||v9uCg zW?X%3f%?dT$jXQwQbP-DJAeJ_c+*;Ls^u4e?}DN)zXivVL_^gzh*cZJSrhv)f~(lI zJ>e-hWNUf7`NR3^-8Cnl9*sPO3Kf$z1 ze80j_bobNQOa4-(Joy_wJnEE!?uakLGWsb^cWWJgss1Fo<+37eqkovwPB9^B1=Rnb zdl=%_$p--T(e;ZeI31zZgF0%DclT7%3Su~E7DBiy{t$(EX~h``m~rwG^l5h^Gy)av zvP~~tbemcUxf6<3@{!?3D635xCvEeox9&J+AMwxrj|u)$y&(p%EzHQ9M{{-oN^Z>9BjBkiqKc8+v^OXYq zT6`Js286V?VatKzX>loEROI(eTM%S~Ebn88`e7{!C{+&aP@RaU#VBr&(m{8PtU4CR z?~aT;#s(~o;Zg+*40MI=q%AITF)1#&%NW7$ z=12z*GR;N@)pIwk*mecUwMhzVMN|b-q@a7_f{6<`n`#~ZTaobOvjPtoGrBm}~-f{--0qQ+IYN`|yBdlu=z zyj5`%OBJ?8G(BS0jkUG}vB*}wEck}2Tc~^l2K9JYI6Z4V*0g6wpQs;XdER22waXo& zFW>9U4AIHK8E|_g3Y2St^^UM^C&=CZoA4n|@N5{GkSI4#x zpjJ-<1vXotIfURbEHHt+2gc$N0@Ft(2Q~X#qKja!yi^5*v5?9IyzB9+sh1Osto#JO z>;FDXvqZq|hEvH2fbUG!u9_)8U`aU^&t)oarPfGgSFh|3D9S}EF8v)Baczp__e9)c zisMhp>ce7q(RYr_c}}kjY9v6L-OopWt4~X+xclriJ(4|9<7{2g6+DtGK64+fNN{WK z+V<=OutwETap%^0gAMdvbx zotg)`G-RmZxiVlBVPPbop*AB{Zr6;ZYr2Nxd2H0B#~!rW*G3t-8sT;7U3XW+4*_Pd z8BI@A4o}H}zJdK+(FYxlXm(A4yN25z-hw zACa=RBTia3MV6z`b;cR24dw$Um^Y1t)X|g{4I>PVKHgn`e?~IcvjkM2ZY5b}e!UOm z*Q-=R^CatIEvy(~0u=1o23HtaP}WbU(5eVpYwI8zKg7X9ZX~%>e)TrCkLUMyaMgv# zxqB%s+4HtLdod;GYF^JH^c@t9hDK37e6F4NEz*@6+evHdU}5gEps$?bhJx`(8{u8I z?;dP3sEZ_MPYzyZ-+&I?*R)GuX;`lIXr#VF{r<9h_ z#)Y!iQ_}^n6PLjX2Zo*&919YSRihVjcn8ZOyz%%(&8qv2$x~095B|i9*!cSEylfsU z(wvzrj1bj$=Srzd+AKsMiH%>PPG^(B3~QX9u`oa95UZH7`xAF!<8yV>DLsGW(NspF z+!U&#&lTSsCO%9gulhlegf`k9NBtNWI6SH|-Xm`PwJiRg<60$ zt+(?KC;wx-`PcK&|FDS{^KS!#4W8Dw!>on=spY5{f;n!Odn`(=OAa;tNIJvgCZyZ1 z(kM#jw$5iMiWqLH8l26e6NiZf-QhAFZVF0d>(Y4H@n}-Xy0E` zQ6HkOmt!Ia&>t0e_n;bdhm>G+fD?fJkrlLS9x=1Yra6Z5VwV=FD^09CeTl7sTMmK8 z=|y)N$v%$%1l)yHRLIF)TWpZ;g}ryZ$b8-xa`P93+~M`+VWF063L-Qv4? z(xKkFvsdnZ6JIEY^{c%bvtPxaV$@H^@ST5xYm)qU`@z&t*>#81N%`iqlY!66oT)3| zsJ~4!94_}ycK6w(qaG%Deko^R!Uo~)o$UpNFK`ns7Qaepwny&*p zxB-Cuczk&gq1hRxx-O*P;~x(E-X~S8q8RWgppVHwEtOKGtfP?d zE(6dL=Pm$Q>xeGP<~`xw-LG+V`uL$^04@u47?ObLJ%-r|-yQFT=~(}($3S;gOmfm2 zVRHA1P_OB&m6CX3AFe5+;9-CCWbA6zyR|PDMBKFmi9r-o#eV!@7~aNnV@MJv7klT? zSl}Lug!Ja-azP4dJWeJ_xLH<2#x)4M~9Z)tbe*Sd2i zeFqza@fN+LNptX#@3e<;l;mj%QMeqZqIPXxj{&h-7X0MHqoxJLw?lAG!S7Znfg*(I zs~>tl&|iYx>Qfh=u7v^|LdrNl%=6FH2GCSgD~-sa_xLi(?VAP9i_Q;9i4Opdg zh(9zNyPJz1`S@d^LNa{;9SA{m0A211uOATFuzj8?5VRUGw67}(LaZ~oo}*V#;6H!% zRg`#z@$Paou5J%w7%#E{VoGx)C?(KjraDk+#6d8Y!KV%4+lav zVRG4ufG(;J`&HsE=XnZYY0|S4clBT5HwRT0_Y#W_vORv}YJ!UP-i*uz|9%Dx5_^&! zJLDLg5Bnfm;DS3`5nW@kD&C_mAGZI&V)Z04Q;qNYMp)o!~;H2G5SXIpd{lv`*O<{hLI(f4r-vPwjpb`WI+}0^p1}SSRqhw(u9$3*K3(EbLj=O#PWlP`UcH+*%fF zD*I$f3?TG^BL{(r^SeIDo$_Ku}1=0%!TOoC5IPB z7}o`4gJ>nX{8Zfi=;GY&%{v`avOIJk1ouaSd^3`U(_=XzLLfjf4)E$F*ykR8o2YN( zm+G*!t;Y-mSiD@2-f)GaWBuX=pJ!UTouX~YnK6CSZy=Eae_YH0lA{IiZYiPo6;XA$ zAjURkC2`nLKj>m8_Lbk${CxvB+3m|!NWEI~^NhMk>L47IgW|a;_PF&gS#cEBZchg` zvH&(yexQSk=4E4n=<*A8Y?3I3WXFz`c>i`;ji~*QTrO(FO0ek#H0~Vz-Ma~qI*RIr zGBX92y}*fqnKty67Aq2UWS~3GZx*{bFX;kfnBe;h{T`FSsL9~HB$Y<*fHqkw=QLR@ z2~d%6_tUqo9~@X~0TF;mo3Phnm{xn$d^F460>f*}0Py^(xmwC?t9?Qv;Pa~qNu!Cu znI?EeZqsl+GqGI3V5nA)J5iS)3a_My#?VY0`lbI6Yl_rCT(Uz&SYuh+q`|CiG^aF( zA+?eN``1Q_J{@|tE-njw6o<7Z7KkE) zaxAN$-M|q zzO|@(p3yK-Q$CVVpfkyk?Ev(D-pvKVB8g>aaO|+Yt~#HcHMDjk9xTenU%JG_cdx`k zLe`@lK6lcU)Kqmd`7<$Qx_fjcdjGV2Hj)!gt-&Myn%rjc-|qo{#3|XS6n7q^?(36Z zf;k?x)=*_2NY8P@Y)Xx70%TEyVG|bh*QV{$R>^d=!$(s9@+Um{ziRq{0FRus%jcIp z0YEfzcixQ$oS;}ljy}>t$tgMxcPr~1O{wKxkFob*W;wzEf6&`hl##+(Nx)#NctDeq zx5(Cel-Klzhi4}ixlZ`A!)q@;3`yxdeOL*eqB2)~8ecHQ__Aom8x?)^<0k?#3ZF}CYq z9!8tqKlA(zRH)r5mv;CCvm4I3J5QQ{o$M>)PqhsJK41D%_pFaU2}Zd3%?ZbJ))9Vm zM9)vEJVcDgW16*?5WK@4EYb@<*RCshaH5-Er00KG(nun2WC-#XqH-EgF zRBMr-99d?Sbuj4E?V;Z6!5QWGprW-~g9eCUp9r+bJNyc$=10Ge8-}88K>G+-WjXAB zGiUQKm9(Z-=i>Mx8-IT;(Ni-Hmx^ja|FP2SWdS+oR|HUNoD&{sqaU~-LkF}L^eKzf&Shd(h{Z6l9Y7B4j!M@>Kn;=4_J!2yH#8T?N*`p ze6;XwUIMXOX?Gt3}HmSZy^);jXwCI>un2udPptX%>&aWK)fDV@8@Z;@K7!L)3M&$2Nua%zzT0)Iz(EA8SnHjG z^&E`!tJrh7s<@GB(z+w8p#U^r0l`;ct@^C!oBeRWq`TG^7lMq;)k8s)IZ#y|cL7ecXpefD{Q!b(w%7oK08 zQXBZPTM!?C#qF)z7Kp9(huAHZK-8+gYd(siWs@w|1oeM>_D#6wxg6feq{oBRx~A5m z&2&NIEtvJ!Q80J1986cuCLA-=M?j@acugm=BqURDrNFB>NEh_yZtBPfoA3VZ-DQ83rq z?Vft`wH2~EgKe57OV;NnUl(s+YzqGaZBj5^bC^O2iIv-!oEVAk?1&)L4;Y1G7Avze zo}03`t7ql3$O!6H!2?(|4(3JJn$az5;JyBadN~DxLF(22IUxIAY=C#AwkPaVQt>mwrw|eB zm;p4@n#HjFqWFQ!aRR-THs_JIOopNPcF)53KHV{6|H_H7;6$#cQx$YKGY}}_A$B~P z62n;)Db$y*OriaTDaQ&lK{~{V6HL*j*+Rf1SThv|^ovETk=g?5diWPALpl#aC-0^6 z;#g$|Os$N_IJ~LSeuCK0LJr(B{3E&qxk*!&j_>r)?GQ*e=V`LEbXJPh97uKoysNqH{nCE_&@E$l?jL=7NdQ0m zRdfB7%%gTv01`-$`>{Ky?k_weiTZSiP_IjHT~C4lLh+Zeh{)lES!69!A4gSRTMEYi zSp~TLLa4uO>-cW&{fXQIicY}@CcvOX@MHl(C_3Mj-J>thyuVD!Rn2Fw_D#tmK=!Ci zhAH^{1NKW$7M}dT#z*QZ+Sv)CA>OK2<0${wk^i5d^`Z2{m(wuB2EPB%D-CAD*Z=g} zOF$dRo076+J90(u{@U1NmYU3tmX{DJ*XGKj->_iDQRl>n(v4_un1Kh^<~ zLkB&V2!$D)^B<9kyd%3>qaYO z^7y2{QLQle|}QrKRtd2{Bua}pDj#2YQ)EQl%XkM>GtO1)&zw_r8EDv14l=SNBWPP zw%%^F^@P<}6GgJ2@y+E(%RuTxo}aO}Y>(2;fg>;UD}=u2-7HtZgDN*nFFnMEygI+$ z1_hp+UHyu4**PsgG`)u{aV&RT|C(KJFibrS>bO5!YBX_dD!lQ(FQ4Twxj*v1uj*w= zS2s9lbtb!gW8BE2J>+82b>TAN?$i~0ar7nl>JAnueq!0wQ!|S@zkjUHV>&NXhxKQCfuiKVZK3-(Pg_bMm7`<>KU<##pE()!l)*UiW|HT@&7 zy3ZI7NV?(=`Wp53!34V!Q54reFS<3{MqaNIm>{dqz33I)l^v#;&F*BODu9XFp=Eg zsj$6Y!R|fcL1Qg8Vje#ARb7`WFOr#*ObY|`OZS8BQ>lY5u(jhprO=KY>!qFyXUlbk zXx(H|TxVll9v3OSy!dn~3Mj1v?(uZKc zMImqX$1580bV6JAzFGYYao~L3mC~zAQkeR&m&i-JSh-kPyRDzUs;m&iQtbCKfIuKh}8vC#fW!lt@Vv6-9cI z&jU=<79uY@L2|vHk4w~Tmk_QttG0dU>U8_`UNuzHW(o*3g-qwd2Mx?bo-LR{hRUw~5?|G1j&M zKejerhs34T3c0fkYaiZ9t0r8fI$<$GH%L3h;*%tGvG2ZG0Lr+J;xP3gXM4)tt~w-E zLuU4;UHA7)C_>4zcLVsERW%umArc#Ed;7b2HDuutJQR_>Ki|v1{NfG-ipE{vzzAZM zqIiL@y*UF4!Ez!5(LP_Tw+N!rFm;QGRyNN9R=a}t_v2Q-RTZk<+h>Oh1l(Arc z%u;J7`OvAfvb!tSl=tp$%Y)M0!ZFVuEU&hKv5ir*YL|e1v7d!1^T=ML?w7)5tRG)G z!c2HfVi|Qzj{9SD>v%eUO$$L@Lo;H3arG6f?IGkq9!FjUsf@T0hp-e(H#lCpsFs@s z$rk#jT-Rl5i|z|qkj9RX@@GLw`%V~eDE>G~55iG;5ROufaFk2i*xeZO|H!_codx9n zNza{%04#Uo)N6NxbZ@F=Cpyo9Aa+ELHw&uwNWPRsT?tOAXV`5mn`Qepoh3}x$+ddBAV&oTG@8aeD{nNL?B|{9 z(Oxp^Dl4@y-eXhGZ5$luKm0PAQYGZU@hn*X1is{5A9DLP<@Rmh`9afBkpb_d*=N%X zqLJHQ)aa~fvpXa9;sz6Tt@j$Nkj=`bYL42*1sX*0Y~sO+>4gT{!MrgB_AR%dBqzsY zRh^03&#t3z)&mN*gB{FJzjPC{8t~&tj_I`$KU|V4E7wpnlVb6WnrDkA)Vsc4Q$0Dy zI8g7yE)D%O>WhE)JwpT<8&}nK{64FLM*mSjg$4WtcF$Fjk4VPsj*sH|6PCB7Bt<8~ z<5FEXM);dn{&vx`Pv$O)3>Jry^TUJ4vS`v$cQ(X6uEm&^^+FRj*nSVKpnY#w8YTNd z)}M+K=bRe@BpC#NYI~LLH1T{n*>U z2E1}HeT4BCQGz@F(8?|^Kjbax#bNBw;x(H26~5Qa*NE>DT{u~YF0)Wd^k8y+%p`CDx=H`t)a9?SD{I_sZsdZyq z5o+0PJ^#BXH(<)Xy2N`_FY@F+$vMa!CB2PU#6DfJW z#o!Ki!EC+bZ_fmxB5xnj9#YUar7xGq`UKXr<}*0{&3qx zuctZ5fnxAGTjy5J`?Y67G5W676J9gKDUVagibgFLrEE>z zc_@wY-GQ;uew)wzNmu)V$*C!n$*Iz1B6dwmq@;~^gn4oHteV?{JjH2k!f9buU%zA* zg`EY~%Emmo+UCTvDZ;wYw*lMH?{4H{?d_?ZtZCHPaV095A8K}{Ov#m7nM+ihGwfoI z+v`+%@uODNC=N6XnA;UrxvUoz7>LncJDRq9tu*15ac6AA7NNENgTf1YyxQ5bBAEv- z(db83gjH_!*_Up8aBrCNz}b>_;oS2S(HnzySci*MRX};~%1g;ok$De?O6KKoxuUXZ zaCgHvWhLyxY{u5dy{q}7$08-KKAfhfr-U|z(>Ogk^4%@6n2{?##WUqAKu*fC^$3fa z7r{{Pbkk@@uB})G)1%4Q4J}VP@ws-;?@u^pDly4e5qccE=7Dew)@{ zeGh%}8>fM@@;eG^Js$s-Ph^E9(3whI&m02&(Se^TeR}vRF)JWV_d<2le&$JqlpSw*i514`X9Kv47*=_%YI;Pg`U(~^%lcwv-y z{_Tvoj;%+E?}^}==wrE`{5XolE~CYGTN~c%Qq6M4p3PYUJ(a~%XC^y82?!3#H+%I z)zS2&-X@8;N2W!jUYNvZF{#SNc;xkg5A-YTO~th9xb7dBYXK*`(3^m0sOFEg1wPjgfNEm#`?@9_?G zEHL6V8Tyd4W7}sb_nIQq2;b>Rsbuw1}m#66nl~Q9uIDX5jS>=$_$8$2qS{up>{u`_Qks4%|iZ3Kf#d4#ANuEHR(D zs74bMlNp?|G7~}SlNCbIO&E-s7A_QH3d>7;XH}eF{QDB&|eejUt8 z1MGAWW~~F3QIc$xbeHep9O@mNuEz-Y9z{@Sg|YS}q8S)+KYF^xYVd3!||Pn{+q#pNwl$_s3I!-YRKu_)``xbcC>kv(u5Nl%qKXzn5X>X z7I=KdwL8#vO2|~tN8%&CX{=OhEG`4sr9KpxNGO#w=m3qq^EK@3C^wL{9s6o^S)w){ z_blo5;|;<-;1hg)rSu|B4@Je$uVn4Uh*?Zg#)wNy6;l|WR_tw))@M0Gy2}>!9C`55 zsF_t-!qX3NBc4Cxq=kMT&wC5VhyL~y6geJ_ce4d7Hhv1nqyFq64~A3Vz9&bWACmfU zapd$0W0Wa0g;lXyB6rXYeTG#t$C3(t(A&I1MXhM>h=e7VDghRBO;u-o`Ac7@`_w6( z(V^OoV+a@KmKcK6ABP$2?yDta8lT&5nkNu1{u0$ItTkg>#e*#Ic19gJ3WZlHTDw_q*-ulW3p%3t$G+U=J2S|H}uef&fNdSYfazfBF`aO zo6xE;(;}YVnvdhgmdWX6<_u!IIJkA?TQpTM4{E~M?k-e%@}(ST8;N;6-Wyt~M-mCV z9LDYqL4t8Rt=kgRm!f$wVwp!ytCuBzh!u|Us3@WY;d9XATb*OZzGHY-hpjd#E9`Ue zv9h@axtS;`ydHJtMQOtCJj_oxOjzrYyE3OLQ%(bMH`U$FujPV}Z{KD08*erWeK5jc zelitP2cqLIt7Vkke~&D$8H4gFWU|4GbQk$MPrDC+$&7o|Igpdj%;R5*M1L2pc=*UP(=hzu@OEA35!NlP6fV}`?;mY_FBXRhs1lw~ zp1psZ9BgnFIC74@oKk$@r6*dzJ#W7HNK%;bi!|)p1s3JLf5ZZ~1Ua7Zi))8rtFOxs z9(yFWcwJ2@kbVrS+|xV-2^n?slCCJR&z+HLR4>~`(B2@!fG~Os z0%^eXBMq6N{KV3F3Q+4-rR67BQ8}5nag$J=8aGQ{qWujY{$&Z%*@Qp z?3gKLW*##$GqXKr&N|F@F=@?21LJ#V+9Veb~9Ox0wbCe-9E7`q_k{@nW8X*zN%ev8&iD#!H3v{4l(- z0PL7+7okBRi|35Tv2VQ*{7u&kypU3B?X0)K6wo+-928M8`F6+_d%3D#4t*3I))s{% zWScn2CTJHz1UFA1kg_pb{u&pSt4AOitcV?y;7lvPt4 zV6SM&AXNYS23Dv}Rw_|ETP9)R7G&c+)Anp*>3YN{%qw~zur5!IP+{&Vs zuBT|stdn-4le#8!pFV!i4R5>ERrm@d5b{`@K3BU2zw*E1VMPVnN*&hxbW9;pT_KZM zEXMve-+(qx-K=2-rC%{b>PQUIdkub^%WY|rhSt-nL^?g0@*HQe4>AjG4$gcA{hRu_ z0YcI>N=zizKu$ilg=mOKX}FDHx3WZXALS;h_A=lTFj0SB$yr?Zk;5p#8+s4QT%U4b zr-syOiHtMj>=?ekBX3q&xT^8!A0s-18opGFQCvbfIc+&8QuOB~qztR{h`slhTzgm+_H`4) zUxZ)#fwKmPoNyPGIIU@$dhlTFGj$-4;d~sh^*Nj-Fvo|;md7OM;_d{0UXP*bD%75J z4mK!nm)l3CL<1*PwYcXGNTeGdgbT1N?ZD;e6|=(Qq)y+42UDtxBNIg&3Kd5;LaBpS z!zN!%RCV*ux?=Xv0Fm(D=8qMq5Evvt;FjJL#g9N2f~6G7K?lZ7fh&^FdGyOrl9LRE zV)T5QtW`d}Cgd!aInl3%?ynPp&!d$JZU&q}8oE|+Wv|}yqt*;;DuDf53?uY~aC(Ao zjxUGKX+w8NfYQxV)FF<36eCO#o(oWwU3(8>@tBh5d9{(uqM_PS;E6*lTLBL#8h~&!k5Jh^Nz2vKtQW4@035#Bv)Sug6L+}%JSBBC z@Ysm1;$%Y;N1U-)bYUs4z!X!;lGB#$x$fdG5CP(DKb&LN$s)j_roe+F;coiuet^z` zi-Y5zEt~Ozg>xkoK+F9g%8&2ULKI4=G_pM8RQMbWDD`jz^vUK@)#0pE8MrC*g9vVI z?NHqb{X&-?$zo97(2I4VC<|_4()W#P4_%S;;c7Bcx<<>emLjLQG={5tQ#xp@YbU5; zv`OdkW)SKK{Xp1$>7QNM8SB)CTUG+IM9p_2nnSj&I2 zm;p+44sYcnf@+;+BA{V4s8BL z8xWyVP5E?!?Jpa>mu@ywAS<{vA!!O&@x_5Ir`Nf(;}L(lnnzxfPuET^HvWEUoWUsA z3sdEM>r!2MxXqJ;F+%EpF+##X6EkA+gBlX77(+W`=Q)()>>ycN*DJ%&G)^Qk(X?q1d%#U>m7Uo6E2@?-3%gdngp^~p6l6ciJkFeHEPPiY!XHFD4#wY! z>j%*_+H&MR3)q0%Lt8|oHD?sSR6oi+BTL4}F)Fdj3zqAuIS#5>aX=GnRt_Q(mHdnXDZ_QI z=32Bx$oui7HYkhrcKQtHD8l>+dK1tXr-4HZc^w6Uno8L|I=s-2!@!$t7+ke`$dZXg zuA3UC2mg{Y9Ct0d(VV_oeg3oqL6SxnH>8U)f$y`h2*uVihXh_;oG7V7SyvdW7tGKW z+h%ld)O%b?E~E4g0n-I|9C1?oEJWXL(A{G=`G4ic$e9ueAyZgjv+z=b#302p zy5L|V`Ps+E^e7iH8r~!RMj9n5{h^m`ZiKB{d&CM)34u`1Ukg61T{rmg6;3?nY7KGB zD#jvofTQgCloP;(>BYmbhd|h|1GzvHS^L9(F(pbM_-R}_A=?T|!sFrk<<$P>MtbV- zAoG%n{KrN~e+;mT-QUSBF%@02y31X135~Fl_&h@pDsyqpnJ&dxDEj-P8t|f$SZPQ@ zgEP%LbN;h?j-)*dt?8G^(Z@4ga43T|*;B{a0smtoiB}bVV>Qb8OywunKbO4y!-jQm zW=RAo9+7NHn!^nY zKQVEp!f!R`>=o#bY@w0JyQNsjXcm>qGO52xl{7TOIKFUZ)}Aj&=5zGfJz~@a-mvcq z374WI4il&N&8ZNUvGb`$OrfHvMolU9O#;G@wrG@(XD}svsv^l|?M3pPpTdNBb`6;b zfHQH3-W^RF2nj?y1cu9v{8{@~QLwy0zlS%dT#_+V z>gf^(Eq|7=B(iid|2l2#ax|qA&W?f660!Z3t*)U8^)9cJ7&~vg{SjQN)iDQvTb2>g zmm9;r9DKs$NvwUc8?0l5{n%0djod2IwmGd3qi$y<{`@b|amK@a!}3J*x0-0|JKY9x zNM(^0mBs^9iK&u{!&N?2+g6nove*Es#co7CsRzMDLniJDYn>~Q6zn-0LQV#ss?Eb6 zbl)Yt(!Jdch-fF>pYQCP@u?j__qaM}vbSGxCDO9RXVEuZDK>6~)3>SaR8QdF(ff$+ znjynknlKGFBF8ZlF6R{kJgxL2`MTz6N~c%DXdou=cQDoGclx7YZw2j%dRl(sacF>B zQwnT^3oh$BCs~jf>>=*qTbhUN0i;HRR=fp?b78j6LFb)jCJDL>sBEmhTnZa}yYOoi zxA?(h21)`J0s5TBDc_0ata(GsS5c!m8?VdHM?~h3+FFVDeMtZooMo?qI6S)STmpql z|H~|TfuH=g6AgBV2mdwvm3B~ScNzBAK0*zz38@FRo;ESwY6|hnp8G^MxQSl^ z2|(PJC>EDNlX0~r(lf-D3Zf1{-$1#*J}w?$RV_4|W02Sn;ZUA$f(JP%-nzHmz0E}w zJ_IULrBNIJZM<}$eQfN2vfKj;o`1=bJ!jsEEJze|lMFG30~ z-KlU6+)gY)w3jMl7?Q>#YK>H`NtVJeL;P4u6R1PIZ920|7d~x|8aJzzygfWq=QMgm z5jg#mV~SJ!ra}edo7>xF_U7>FZ6m^wtP7ZCxPJQw{^s`sBdUjNK@n*>r$-~Ry>B(7 zzgSQXl|o*(!b#ZxFSl$J%?G$3)-5qHa{u<+*_e#fi3GhO8Bf+@Y#N`|0rLu{14|2Y zV>qepa1BDHma_i|mByWi4aTg{098t;nA;yz3BuT&ERQcYLW>nok@9Bu0798MjIk%P zFDXV+h^v57itDz{pv3{!c5H;k92_7d5TF;B5jg3|3qIHp{S@RB=_K6t{TJ~H%x`)* z@?7F1oH4msUI4Cb62qvM7DR*iwL{oKmq=M?$CEtqqM>t04c|IJ%;gGEJhc7{a-wxm ziqC2Zpemc+|7rdreri;>i^svmnfvO_Mqa94qEN<2RI92(?sUxB>lIbS=Bgr7Bo6bn z(>^{~J?wd+pxtGe67=0fh2AV`M#LDtmR&epumw+l(T+YFp+)O5G*MwQ=mH##M{9vo zghoBatQqlZww<30#SriFo~Cg4w=C~HaW8Yh8hbU{RB7jP9_>1`>jK${^heZMoVV>qz9o<--k7eNx z+f?T7X!u)1qF@1|pf>t{Qa#&<(xujH$oT)e<+d-fS$}`Bh zeyQiTmG2n-C01Ff44=1C1?tEKJV2`NdkiIhBsvStO40!V;z&`OSv$(6L2R@fDy6B2 z*GeK4zm67Q(v5s~YJUIDh<5m7rINmwEO@edD6@kb4~NTZD=($^6?K6=#U=OL*|fDB zw&gDW#?KU?M%5?QR`mzEybXd1>9P_|G?q!*(!qnAH>o2J5@4;F?!?DyC@!0YnG2J< z*OSOQHd^>?ErEl2F3WgC`5`>9kwO%j1S+!FZGr1MX}o6+5nN90 zw1r7jei>g@%K+`&{68;qD6dU1z*C=Yosu883lR(egr4u;)(t3V+0BmK_IVVVIBncd zlyYN3VGYVR4ZU+`n|N4fzGfqbvH(~m1|O~s90}zUI4dseJF}y&)3rhqC%u80IUG)6 zxMKdMNg|Whh7QpYmvpWPzXB2av>~!(oV^&BY+^G?==XuR zfYE6(5$=7aUi8rh!rDYw$#9@Pgk6}URWfHs=wz9q$1)XYtrdz;>6pUKMNM1pGQn9) z$pd#BxK(ZQ{Fg+Q{2^Ts0$zaiK4o7CZE)6puj+BqlK9?xd??9G!}h|Q3!g#srlZnS z*IFW5Kw)zp6gQ3b>@~xoEAdrXjd^<(@AbIdo_`eyAZ$nDvZk|W(e8W3=FS`+$pck` z^sDxg-JtAw8}nO-`X;D5xiThZZ$W;w`9a!>)?gho#0=_I?m_zKZ%*2$yJ`x4erfH-5Sm5kOHbaC7t z7)Ne}IilM>5 zcndOFLzRs5TnV7&Q+BUBw+Ste(ma&W9Y?;(^95_+t#xvFaJFBv5_xQ|SzE$)Hk0?X8m7hXHm#N?-FLPnMH7c=S}O5#gRR{w^m z%$}sD9TN~FHzy-E`?E-v2W$MuV2@>98X^1#6p+yN88NhJvy@+sNj4iB@wb=`k#(F3 ze>;l6#UsYoEEnGSFBafGz(K*@A?zVnK%t_(stgFhuzmkFgMCKZBc*5k>BC-Cdze8e zM;Ar1!i3-MAq86IOl=a+Ne3xcso8^ks-k29#m?_q!eipr z`+=qkQ`%PFKNDwIf9{Z~AOE~uMmn$5d%ei;CaYuoq3b=-7=uved%vW+(A*Or@FiXL z@qJqEQN5bDEqQLcvh4io=t#y{H5p+n<$2$z<*K@BzVv86=Zp6wk~4Z{#xbI#;rCUuZhwTlfvxYGEzbh8r8x7_jnt z_+~RmmG9`cUqtWj>sON!ZUy6gUB}VAr*xcWeY=R)JlaO*$^&Xg$cTemCZ_90-LWBQ ziKjh&4O8b3D)%mnHEt;+&8D-%BhnqLg(2^v4WDP_*N21ZSS;mzjU%b-F81XG-3~Ja z+D#w%HNr<=`Qsm?ugC?h{}(Lcf4hD$(6cf!{@aj>k^cWL!8re8yfqQ?KM^yZwqtbv zj-2>QK{dB#Bx3weN3y@){#TyGzqqRZm1n_7|DU-RpTEUl3hX~6|03uz(*MsHIsdHx znwd=bw82-tqrqf-WQdzlq5ID}pW~{a<$S|3m@*OVHJTcG zBEFzRWa!bOb+7+H}G@~~3)Q&Ilr3M?0IqqEI)QiUT~VYsZm8-m%U zbJ0TYE)tax4N2z=ETeU{e3M1 zF-G&|;pIiOnoh^}{^dniLvAs*Bg=bp@zq#kk>_#PR#*3J2_=2-iqGp2KF%t zYV2WEQhV`DQY!PJ?!`enHN#&4Fe*24R{{Ai{*Q_$&N~SpYSE5ls0@X>iX!npczsaT`l(V zwH%{6DyGTV^m!FEbfdS`3Ar4L*G+1f_uV}FWVgiDv#~57&E#n6{tSPfjo4;8r2feI z<7o07N(0S>rueL|McE~Bi6pXDiQ^9q^bHwCqBO} zDulSFr(c_CG0<`|v$cmDj5B=Sn9zgwR=Hp8RyNV+1qTJEXNj8#VNvQy#t~~bAkJoQ zY+^xZcnp$M!?sh_E`;3he2NeHCIqBn$qfbY7&QCNk=F)US!I?pt&iUa;`3E|ZZ^h92@y0r-?hNArz%7MZju-h2Crwx&HG z1yeqe%&2MI2LCgMx2<_%F}FzM{3BvEh5j`rRdppckFcJ@%p4`OJ>LXyafsB?HR{j7 zW3?;e$|E6^b{FJXp7jhB0Y`ia`zwT%^@S&6?_o`m zm{ts$dFvW)Nb}CwX1D0XXOW0eOD=YZyJMXY;vFILosTQeM93O}X-iv^;1L^FKnmfG zEtxe;B34IpleOyuAcfH0UDRak;__PuLiOIWH5(KS&+c86xKtW}!P>I-Rkc?;huI0r zWqt-ibLQ3#>KdYC@Cr`DUD#M6F^#@Mn6=^5#xt^}M^JY~;epE|*{0E&@-L*?A~89J zrhhJ|D_fQY0v7luAuNsW3r#ClwYIiX@KC^Rj{+~`jH7n>_iEp;!Qq9C{4QNmBz6gL3ZzQmL%1? zp}391j?!QadHvHxgsMo&~vDdF*}kmmx!84_|bX} zv!z!r(!$F=XhqtXu{k1~S>QRZy%(x8W^s2C&AW$nujeD;lF2skns<^5pW8Oa?0A%q zZsrQK&iGh|Wrms=i#~?Xj7EtfGc_9L85xJv>K8W`n&ClXnVdE{S7TeW1b2~JvjK>G zUd*@ON*mhi5FBXHdXCC8eKCfe$CtH+I*onX;+@$yx}C3BS+E#cpskB_@lMgK9qAK| z9ztk5xTDQJ)9dU0eB8A!wINh4(mWD3W`@{P(H6iSaq93#Jbo+FSUoUrGk+>!%etIf z<9okfdpZ5nTy&%J5=;IjWt5I=SIUlJ)+4tw`vIWwW|MQ(ou6ojtbg zZbMcjmvM}jnFzo?(eRgX_7I3!87vkHuq9wQ@)*+V`)^7Z5a=HI@A9d=z19kkv zp4T1CXLf}q(&2;qP+5;v=Z@M^^AaE9&FuFv>}E)MY=a8~3ykd@Xvx%OvEXU!Qz7m& zux)D>SWGLOamSK~Q0DXl=Anh!vMKTaVo?U{T9n3&ZrK0|(u=x1m`gKy387_n%)i!X!A#8_+MWDW;+Q7OYC1y_vr`0}tEv+{ zU#TNW&Rr*+NE6u!x&0B;V?Z6o`%oKO`&2uMw!0Ek1+_He5l`8DK}6YO0nti{ch{7& zn4*VaQRX|OZ8KEGCH|qa+!ObU)R&HnPCgT~@phC>`s_VRTqNt*gETKjsNl~4h@nIm zM66W^vP)>)}Na_^Q=ifwKx+z|!?<(t}o=GemIj?kvZ4vdGc za_v{i*A{z(&0<*dk_&GrLEEp$dOI7sPNYMuATQE=$}VI++PLb0l8y4q*lJh{jcuF<=4 zD74cM)`NyPlMCKeta(|<0G_Vxg)(j?%zO?Y&KI;XO9*OiJ^!cU{Jh5d{Jj2BesX)t z7C+bImmcREj{dWqkm_Q;IfI20Jq|3-dbgH>yGUof-xl9vU;B)~rjqN>H9NpIliK{L zy5c}nI#IYla<*$7cBG_l_{-%-nAF&JuF;&uCP*uzu4v&Fz?i-phV!Rq=@PBJer0&(`%BNk(F1H+dFFD*X6lQx^?@{=@;jTjhp z^rof2VrwaQ#a3l!n0a9)}O(;GQZxy$PLi%tM`_IO0w!ztwml` zjN`^1f1*Wn`_&lIM^fY}2a{KnOy35APQ0cACr_m#RmxK>U9DYxQR;n#V2E!@Y06yW8!!s!o-KZ9U3Gle?SK+ZkoHP53fa!1A%>qNyPn; z*1dlPcB>6uTjGGXMM;{wI5W zJSi>}n|vN_8E*ZAZxzrAHF3~!lywq~7kv>O8@!We*HR6s5{i|ErH6iwdU6Sib3^9|o)ox(jpx8WZLce=PzjY}V$DZ8L8Z>SVKWMBz1t4_M;|8%!x0R6%X_$9$ z!jxD>L_eg+C^>M-otO_jYL=a5X?E<8xCU0z@lZec!KkSayMS%RYx5xN!BrmBn#3+@ z-h#@7?OlfnWC=lqzy-K2g_4J;XMu$~q`UMjY+`|!dMXnuw521)lIWvfZX;gdP4Lp- z*VxG}g&K%8B?hQUDkZ4mQZ7)fP;GF1;~e0E`4z(JM0!t%h&cp%E%!~Bf{5fDRbE2a z7_q|uV-Zk$ElX_)B6Y*QX(-{seApw1xPcl`P)kRYxfJ_UXRN+xSaG!Hevt-~58@>UC|gD{8goy^ZrZXS0RRfV6c7WH1B#i5>OC z9@j6mcH1c5)Trlf8F`pHR(VP?r(~_m<>4VwVr8`?EAXVj@VDor*PVeLCaD)tWMT#@ zxiWm;i`ls+fdV#FIHol==@_Q7F?Mv23hi47x)qhd-oUDYxaM+>=(8GbfboY=xIBUV$5)5{v0J|693n`vkORAX=-ErQ=QX@5o|=6rjAGpy53%8LCh%{FNT!s_^>czpydb%qdt0s z-b-Sv)-ev98tU*4p;Vtafxp=_66LASd{>3ad_kCg>DHbV_kPdYg3Ol)B)81jJeIYu za)xkVjZJ*HhnICzJ;H2L%aWZQj0@=?Ig#;s0^01HXnU>G(3rwrC!{#4Z8@fQGE2aq z1k~(R{fTpERZM6bA_D(H`22af!KY$Yyce9Q-{-cH$)R;5gLQ^w;e^c-0@3qmOetRe zf{eqx#p$8$-0C~Z!Gc50sbFR#&?6($WAkInW8BLQ8dLRj+B zvB;^&TS!=YYA=o^>^*Lj>cib@Ii3`oTsgs_bx!6s^{2vMp2gmzIzMC6g1%D@XiV~! z!{w-f>yFi!r6|&Lm|Hb*oG}XFL|>(?6Zfk7UtNSsoOjN>oa-)zTrc1(@l>k?S&=lW zgqP$yph8sM?7X`Z9TVtrrc&w=C{e-M-*G3^4vX!eXh^;^fzzQQk>E5$kVXPQ7PbIr zjrM_b!#0Ex(~<{0NIO`!VVv_`zfqFe%0^A~I|q9USdCi+e7B|0_S7mx*0^E9 z*6Z{#^V{VYDzzytv|myK8=N?$hslMRb;WZGtc{wkBG*AsiGdfx5B-FaoE#e0X&NK^ zXFf_Ljwkh&zb4hkh29CP2W2@<%B?r#??8?yNHt1#tl%Cq|8B%%^Ru9c{4V;DJVBPj9EygRrxupRl;SBYooAQ?B5gnj4>{oAAZ&&YD z5msIJ33fJi*YCDjjj=^?MbwvtKp>pmf$sL3{NG}Nb86q941drZoBECBxDqI^6dNr z={JZ0hQC*Ya~=-#Zv-5nv@Rf!w-HyvpVBmN%WGbmSXJ5$!rL`eTvQYiBpnzTNJ~E ztBPFkU^`W^k^-hX5TQ~KL^niBqw2_9UhnA5oe=YT!=j!$aAqnBVglT4D-g%Nr|psd z0-4gjFSC^4w+sDVab!%+;xZVAv4U-}l5~$CsvjXnhVZU#jm&PVy<|E> zgqECQEydY-BKG8@O>CK47bo(QMoe)OU*z&ZQ!eOJHWWbaTeRjV(W^_0J2H>TkH3LE zllF3x_ZUUC)E$j92||Ath<1nZ2E0<34q3(=rvY0N@hH;y=ZVv*;z0N89hlAZi*5K z99rzy^;F<^s6&XiM(n5cX-g7^VMnu!budeWU2r(0#u;B)S}NR!VJGQ zt)lb;8Z=~@3|C6q4kRlaT9=CE-s2eTN>*$!A~v-VD#szOLO`_ush|wSQ!yjnEH;@p zF)lyOOfb$^m#A@+Tm6)Q}E) zW*4^9lelnyiTAO`aF9HCfK|@TD+v<9U;;nlqZ+Er8MJVqkDUBt!Gm#QJ|P5Q1&Zn3 zH>ksE7f?B`p0y;z%9Rg4TutoK#&-}F*HmvvQtyi^(G={l znBSxx>#R^L3bNyBHxRHRL{OO~gonVko-)C_y|por2GpQ^qhsS!<8xy|t8G7EJX<=` zPM}1xKDvLBr7;o&`uxGb(SSQxFgs=!zGjqtcGv`zzTX+B6c>4t97Qu?w9R0%XAftZ z0;XM)tcoLI00e9m2kRbz&K?1Pr9i@@j~i2A&;fR4|Lelz#rc?pw=KJ7yiI8BMibte zHO@hIrCF>4ZIhX2OFveOPhYBwHd~%o=X<_?jn*+mhpfA}xSQlxFOu;Jd1RHs zJz*Zwqyni$M^Vxsx1%-e94tPE?@n{DM%jmLAWH{~YrN@)bPU#IbrV1uSq@q$zQK1>Z1t1zc>hM>yQ{_!{z!gY!M$Q~G zEB8o(D&P7PP*%5;D>M~{lV{TyP3U>{tS=-OxD2_%hObS;hC(@ zkH;rgA^A$>_A0J1;(6>tqq2Ol*=1$?36NZuYf9fP8ah+>k1ra*Ma|rTpBG7dAxU50 z6E@hj=;(ik9vO_a1lFN5TF8gC+plnm;uJplu}%LD#kc~7+uEQP zkSz9PMI4L$XwTKC;{0Awg1tkCNn;U66H5|`PMGVx4E?pEF7P{en-Mzktqi6Pi@dUq zbE*2@e*abYG0qi5O%_vOJ(U{A%lZ;X1samGuyf$7hcDcyV_Os>A>&r9$Jq=H7I_$o zl*K>@VKses+oHP%|F?uo+=0CBTDfqmQA3Pb<*!=Sf*e$#o?gA>%I+rA!j8L4Ur0aa zhSl79K!GzNLY8MDLgDiMa=S`)AXk+4{%8A+VM_o6U1^Y#fwH+?2igY{pq)6j zf-vD@@EBw^l`%z=8&n5{GnlH^HwbD^Vi!=}Uc-aF)Qo+9m4XD*8oRz7veTFhX$_n$ zZOk$#6X8Kre?}Go_4G1_9-Oi|o2)voKFhVwNFKEZ zy3M;m$40oq=RaZ)?I7(RfR_gi(k9a~|c0|&JzYdtWMrGW`>i_iYC_!IkR zKJ+*laS@*MMGO~dKk02ncX6hkTMIqbV^XZblM5KvuT5ZEcBQedf1Ybi?m?;gD(yI) zKu*Kv+;T%cdUl-7)mc(+8-0yBYqY}5e%h$jsH}GO&+o9g9gDTkZVYi*wQIugk$$SU zVb^|wm%25KTI%jyv^w!?4h$vZ6?S6iYs1MW)8oJ&^3(!GsJklF&k6(Cmdj=U`E;9e z+wD~R5P%@l*AztZm4Ir4Zxtm(?(AtmZTxFaON3x4$*WvcK`APICopo+rcTU!m5vlS zPn}GicAYi(*G;@Z_DQ8lhsn5^>dmR+$54;lS^oQDGJmrk2|7w=@>T=>8b_Yx(?T{Nu{L7Hj| zyFt9Mwhty%(axC3G%cL_vN7Wy;4RVBOORXj1evM^oe_=pos3?L^OnJ4(md4zv@Y4V z2qSK~QC?g>{iudJvopP;7wSvhZR|tf&LPhPwjW_*6T^&u^;-338ZTxA0Bs1cwvDUH zJV?CVjdElAz%~UEesl)pj+CNy({VaS80}*y=(hSsZt2e?<2YtdF-3 z?B}Q;s=0k_4(*MxDF=@epO0O_8lEd(Dqjod^8lzr{W^#`vO3Ym(~hq4Erq2-Q%dmC z!shs#2Tj##y35!LKey(IRI3{T5>6S3A$i0iQfoy=_H7>nrNWOoiMHdF(wvLJ4{|@} zgi%M_$L$VqC^>ursg!>2-!oqwKgH696lf0|>ae4xn8ZF>{#JvmsmS88Bs`tz(Ocljf^?Fdt661QJ`PoK3ffso9Yw^y|+L`S-2QR18Zh6?zb?r^0AD@XTzm4maLAkjB*m za}a}2o{-QEBNW6|`4~8*r4T-Vmi^cLeS)hzSKNmS(E@&m?_X?=br=`skKcbq0 z*bd^Pux!$~GD^*~xN4|D31~??`8PTSxl*hetd=?7hEPYOj4}5qjyXIw+%>$fZ~&nl zJ6(hdL^^u99`faH$6#d*gjv9lV7l#q>O4O(gqe)ZHZ$us#f}AQisM^~!;7Es^jUz` zs4)k$F>{Vh{e$$x^y>(vVh-NDWUBES4-i|o-x45C>qT`OY>`RNGtyog!ROIT5^% zf1dK-Q^#Oz=Lv=>@Jqgsv3&3wuDkj!a!f^bkv<1+*#?9LP7Z&B-~5^5`15R^ z0en5e1G&1*wz|J{Oc}p%dWIu>!t&+9eI;4YzI$IwvHWB4=CB@VZK&J9o|`;gr$u}? zR!ML&<{Xir0MtD^Pk*>A*srTvaSKFa^Zb2g+~z}vrmb3Z`So19t6_E7d(FJ^O8O7m zmd_B^WZPc7lRF9fG&eAH{@K{%qA_@B<24nz&ob~4F_q_aHXRL&zkHnrwv~xD^ z(gvK>KqGJL74UW8o?n)V&n6yHxQ|Eg(+Mwlk*^N8jRKzSB)pEZODds#qMBpCWMCRn zr&rSJX6xGLlJ~l)2554)S=;%O9GCJda&9Y=q5C134(8A0y=^t7Mw?0V8Z`1HtAit*)~P4z<;5_C*6F7e&$~D#turso zqYF7)>I-4;tKICUW8LPTAM2qyyrbS%>ldHPwD(oC-O@A5%~#AzzKVOkqCX#?@yDUF z9K3-|&R#RoWGAMDWHXM60v;SJ1IvE89~PTi&1-+6xb$)^R@GO3jlOcf@O?(z2=iS! zYV4DOQqQ_k%3|hqkwvb@WMSq_LPf5}8b!(-C5qUD)`^r}&3s29^R_-o0IR|((TaVZ z9usT5`qkcf^$V}W_o z2S4WBQCL@jwVi^8DyI|c2C7|uW=q8HIPsX#%*TmG;=^WL>FwAP`R#&z@%7j<;dO&w z<@MMD{q-G2w}^tW{BYg*dS0r;?f9UQ_wL4r(8IRD2mgKQ&w{fZ{PWn}LreJc!Pa`0 zrrUT|*7J_H6IscuwgVm6sYl%01$zqG{l?gd5B^B_3*o*KSKPj^wyc8(`%d|}>YtPw z605r`Mn!ShdmiHhADNTROjpuq&aZV3^jGJdzfuz=f61zM=BRmGkscN*ZW%6Kk)>?5 zhi87KtU4dq{=BN>gxpLWU{SQcK>BlWzZo?Lx!>9B?eSU}Tv>e>Z!=d(VF+l8T2q#4xUg%n`o!P*Yb(_P+h>=m!ONIJ+od~N8OmD^MP zvqtIJaBBE;irW|XD?n$(Cn2)|RI7KX_}~y6c{4;eP77>n>y&de{)Cmr{tA0DRRhrW{_D^lCEZx%(xR=~8)CWP8$c(@$p4a{W zB_rlc+bnpf)-LkA!UC3jbG}|TVz#c2| z>TPKQ6=RKapw}0HKPO*HGvD(u%WmQ`B01@{a7eqKk49!__||0lvP$~A9msO@XZN<( zS!5v2t;n{{qQ(|0^G(pl30GBap3X~vIqP1{KJ$%lTI+mE87WFXN3($Sx{D#tL#sK8 z9p}X3WABa|3GG-270922JLRkm3g%d>7cKTl<~HMRi(Lk@^+Aq*v|vnpc|Yds&cFYR zOp?WLrykQG_s=U^%o+Lxq(%M4T@`$SF~_3Qb17mr)Ct%5J10RWs5oz?CEm1Xi$7Kr_eQ*rGl#o@KveiWHF@0KFlYlt^T!*-u7qQ-zLp-SPx_? zo$+*C_8^vRu2K@7-4FRj7a6q6?+xp8=DVYpZcA3?`)7gOgO)pAuV~#IE#GLpZdk4s zKO#6@D>@%|FOtBj=cQL&UJlv{y=^X19V?lpigh>6FCY1W?kPar=?a3pvM4(-{}AIn z0b}`wVmBKe>7uj-&s?=%8NN0V2RZ2)^F&bBKy&R!ioI2fnyiZQ*64PLJH zPJC`7GXpEUHP1Lkft<{*Zper{yqV((Qu)POvkvdQf>X(rJd&C!-T1K+AL8yaxs@nS zB$btwd(Th4U+(c>g#J&ujem&ye-#1@j127mY5&eh&-x#3E!es zjBT8V{zHEtZER$&FKFvVr1@7i!OFl$#Kyt+neeS(>}c!kU})?J`*(Xe2U|l$V<#d_ zIyqrcIwfN_Cpro1&(?(gW`WzNft7F#M0Y zjeo4oKgAvYsoVHl@*ld5zlP)r|7onwNdG??l>cwhZT#b7PA6#VVDx{q_Z3i8He3IM zs30hkf*>F%A)G!(Py|HUo>Qb9opC8d;>k`N`75(K1`Mp~sL3_zs(&vOu! zbG-My_r2d*-}=`7qH~_->@&G%<~Mt0@0l^STj!b>;KC_RQCaA8^PhRz*i8em@893I z0sDQ|&Bo#Ve>zwl{)6Qp>GhlBX{b*5d#Jv&i#bYL`i0TMVQ0Zb-{JAd|82)Qi~O-unWvmll7}up`oJ5SJNkhFR<=2l1}$B_tsrJ8=5{pY-g=njxy;nT`V_(War zQWigc%OG|C+ViD$I>DGN=QGnsX94tdwinq!Q~b4Ggm}RdB^x}$=AOfSD{I&OQnbj|Kh<_=c#q& z=Bv_T#vdmyQ*c!*)7XBiQR#NS=WRPK4K&dT&%$qAH{EUKr?vaxy>UCb z<_NfPB+r*Q?dsLaQw~p;F{8r`zE7QI$q+1-%r_(R`tq63!HKlG3VhL}FB8+uY#kI$ z6DcAstH?nq+iu=Tsx&^n3R1(ydlEDq0;FmO1vge^P`^e0=SEjjMQQjNi1}!_-%$ z104Ed-)Ssz8MSq?F^C~|O(u=po6C=|QL!hZvU zejh>pIR^cN1XHo8F2XgMb=ieF2g~;vYi8W5X zF8zFxa^DbpmZt_UOpp>8rzfN%_D;(onnJ?eF}krIV?3W=)j-d(ZMRASBul#&RaUV^>!7EK2Y%H zxJohhpqeq6R4|CQ)v8kHvYF+Lc0m83meEsdHBDY@S0Z@1EFArm|} zqfiYy_%OBk%Q8#IRY|A1_d5{CZ%4dQ=`V-taul%P(sv3;kUO%I=jny{CyaI+R5qe3 zs4Z9irj*?~Dyez=iC&dK>;>Kep>FgJ+?OqTA{e~poTpqRisCEAOq!eFq4eE5ZjO`&{)jRK^;acP5kf3 zRDTb|p#JR-oZ4^n3 zc)u7d6+YY#e?P79DgB!3o|E8n*o8dOn`)~Na z&wGf6{}KOSVq(xNOvcL4+Q`hxgcI~iD=8~`vkk)fH1)W#y`i0%jf1rvzVSh5_}i2X zG_mz*|lIJ zjS~j|L)Jmx(#%lG%EZ!`Qw*F?pl@@^*v!P#ffFYNewDH}gr?{CcPMG-iwGPB|1GCj z2s$?)aUF~QC8!B)hBc`Z$JI^?{V0TrwW^gFV4N}F%8zA%e*kz0d<}nUhKNmc4)5;$IA~px#v1rp1*8K-hB|Ou`Pwf(6R67<4Hw|nl`&a z`Q5dh^YN;TZ_|v<+-7nfaa`&s z9?80Uv1;+2i!Rv}jb%HN&s)>(Bk$OeOY>6Q4G8gWmT;YNd{Z>Hq`vzN|IN<|d^%Q3 zA&eg$zYf^d-YNH9Fm#*4)djj2y#WRf zv?h}nk87utzrJ61r1j1O=21*Y--puaa;Ij`+1c1HakK9Bqr#jdQ5Cz``kE}FOSZiG zl8#Y>V%S^GgiSX>Y6FcxEb?hRHB9NzC#_s${6MK61Yur5FRc{(7v^D8G z-;uh?<0YH_?1`De2USD=9V7Yo#M!-mJ-kj3Z`JnyOyhc~zq}|@5MRJF> zTsqtva5QF2@9?c1-+L#%&l_UNWVgKZ9%9wlp);;tI$1ht zHJ|oz3-zbL2a%j)cM|UQQqEiZ6}C$rMb9sCNsy%3I(2i|v)=rB8y<=_KJRnen#MwJ}}@lwt-*S~eAOdGz?N<47-(FIb?L&K88 zT{d*nixMA@QPjm-3x}EJ6>eM=(r1xb=9ynXcve19dQ+oEC)loZBk`HLUITiCYM|Dr zu`9EDyxjck9!}JaEeb=rJ zyWC0+ukQ{l96qq(9iGQHC3QJsP;}+IvyNq=>L7{5&^|1Q-UZ=NLzHgtr6P*iirKg1 z&SYmtYO}6&{;R)kxWv#8`8rwFrh)`#ymf^HT7SAT{=-Vk` zdt;=UnmZpUOnENQ?oGISXEj{s9i{tLr_U~ZFFc-wCYD?sJULD4rFV+y#Fp^Su@>Ei z-6q}E*bB~;HkGDy)}cpT=Q$*c!(1jxVSC=-o|t5LS)TLYNPV@BPnjueC~-XIL3Zlu zz%fQA+JU3=?+>yd^0wzBG-g$F6z#N5mG|8Bb%fq^s%6MNEM@SmzzepQO7l@U7rtm5 z(3YFt+F9S3x7=-ot~-;LQ&h+8jJ#Ka_$FNzTljfj+1=S;DzEVGx9`2b_r>d+vae00 zU?VNt6=e;9EL!yT;O!ig94xHVIeXTOs4)*=16I^~BzKS9lrad`5IM-~fI=^x!v!aJCBT-_F)ScPNz`D}KHQ4ESaITC7#ndLP+xIqgxW9zD|dv-q`KD@@ku)5vNPn7B49`oG50dMW$ z%Nl7`*PFKHd_44!ET~J`&&Kaep@@Wf?(Y5*X>#OoX4faCk4TRlrPPy;KAsV4@!(*@ zE6Tjc-r$K?+6Py9C)mq_&g6AnXw|4C%dMyNb(kdCo^z|?Xz3Ed`KS(sMMr;#!dNBy zBonKx&*2^Z@zP?5+BZzJ{%#IGoZ)GWds>xGO-s`fv^f4d7ymbFzJ<`tbP`if=AoMw?%j+FfpD?9ps))EtbSs5@2IlKZl= zU?Tm%OId%)Pi-T@d9cy(2d9*eJ4f-b(vX>K*WBkOlaz&JWvtZKD-h5QomNOTjZQXI zF;Y^MpI3Z$qF*6?a)PgA@AsHA@$rfHkH;TPk*Qyfn96IY_qTh>RvkwUhh z#M_(x^yu9qfd<0L$30k!b+l>vc)uFcd>vpHtExYdmi}Vkb^DO2bLiO^?i$%I5ki!O z?orN~$(4o;&z$6+q<+*rYw$G7zqHh;u0E+_;H6rOpT`l_t4sN}0%``RqL-}X42-ko zALX$h$+;qKDyOOK|0H8*No#taLR*oR+ViQDg(m|Yfd#0SrBv;fPv-ggpI&cAgiPIb z`|#{?j8d$@S5$b~!V4+urcocB!dPU-owyE@AWFU`qJoF2qxSP7vgy%rZT+Z+`4{&; zdLUy|9!({EJH|Mm+9D10-r@m@!!_p1%fNjcN}?&gmy>lUg)F8}%%-l{Gxvamv_eM4E; zSU3K;G~4OnlJJ0h{pXtW&-i5O?%z`sos94nP*j~{4HIIpf1Aj;;QPk%`^7YGSE&yv zp$+}g`hpbA>2|{mE^^MPx@Sn+Omg!dmK9>$0|Mnlu)`f((u}(tbz{z_R=`~mh;im~ z*T0}XrU+QcY2F<@I?t@)TYVtt0N23DV70g&O-6^-`31G^_W=Vn1F7DVdS;PL3j{YUCm_cFDfuDoB+5+t6v+*kDI$$4Sy_4Q zU6E(dvmw+&osZ?)%;gk9P^5ylnR;4y`X!C)XokA7uRLshKT2}tVQ7ux_9jxM_Pe!? zw;ZI;jdeQqCdI*w9S2@^B$eMOvz%7m%D%#;YwUb?=G$Sb+Px#&_J5!c_McLw^x{0s zmuEGB_7@4&;;rEc+mAi9t(ruwxGhA_h?=`X217eT2Gfg{-Ep#-r>!amY&UK6(wot; zTwA_AditP1**o~t#<8ZzW<&WYGUspgJ-MFjhl{M@j0a-PY!ek@abBJS5o6iSA0Cai zynP;7?lH3Pd{T4ATlMzWDP-o~yz*SD_A(ffJ$rA}4X#G?4-80Rx~wH}NuPVh5~oWt(+u@`mBOk_Q_?HWkZca=Utqvcr~-<2Mxx zf*zdm<5TA;+OMds9h9QncS2p_U?Q(%v&=npbq9wqaV{6D_N~djCF9&5M%hr${WkL(@)Q;LO$h1(jSmZm+ zgte^XZ-?az$T9g*=|9okuCjFx<1}2c?BWSNhP(2&wMzD5a{YT-!EJtbEk8~k~4gQ$G1M57o!#Q3*>xVc6TDeO{8CiyJ}+R8Msc1zJ=-e_SzkvZ#|>o z(&cPs7zs=cru-B;Q|o_CvDIMb^=Gs#<6c&>H<(YABdhny&hGfc7H~oJ<F4cmZOW9h3Wlv7dm>1_IrWdE#uU;%R_b~Bcn@fD^BEA~)p_QqJDsy~t zP-kGK z@9gChlrzso$GW4UV=$;1;Wc4|l%)oO#uXLaI<`Y-@*l*w%^0EY85D zx_Jwv1l*~JC%(2ymTarewcDlJU)?Bp6#UujKCPG)wOOCUWzPQFPiF;~NpNpZ9Vp1C zVq4}mv^)L$Zv6=^rmQLJDfiar&AX1jcsC>T4K2Q78)Xok&E<&R;Uj-eru9^-%mtnq2HUiXvo;)+Nn5CVZZE|q9!Y!9VtYlM@=T>A z8AgiHr~1r78 z_D+S$Ktg-0qWc+RE;Z$(Fd#*T_SLoR6>qdWs=$H1lt;Ck54-?hUFmBlAIz?+Jee zV|}-WhE|~ns&cVP0Ux;wJhLneiwD_H(l>Jn@By|3UI?pra2+96aUa1jB6T=7_FU^} zls|hC`f7AwPhVS8`o%Pt-Gku)ugr>&3JQiUv>a!*=~&)kps%Q_(mU??O@`-M__aK@ zo`X?m_S)**n3*ic_On)Sd=-y>93fxDAr*a8_4!g_62ghz#*!-~%#qA{A3vb&^vdbgM$riM|PDYbo&$dn-F!R9ibKV}s zoh0#RyY1MO(C+@Bt=6$?+Nk@Db$`a~s!nZXW-V*E4w`JKDw=+t;-qX;kn4y2tT_^n zDINU^hWnl{r43wgq$nLV^Vq3vqP)GCGe)+uS+0ZsaoPm=!G;|dV#H7Pal5`q8F7cH zH_}~7RE<5Ddc#&J%P1m|CzRo!>>Wiq9zGui=5sAYalIH!^8>Gez$<IFu=2KOrr%KQ za$BaIoxiQ7FFxU^H%4ZmsS@VNxeDsX69qL5fOs0zGIU$Vm^^eNWh7NE%KZ_jsvh&mam3HN% z2^YoU7Il^i3rES48V_kt71+ITPbJp6XpTB1tyXJmaEb0xs9wp5I#FS2xI_7^T(SPM zN1JkT#QT*8BI6$}^VGFwFFWwpg?a>;gq%I$H$y*r{cTChC+G6fB!-ED>FMw8L}Wa@ z08gGgm>!k&^t@XSFaJBcv1<)+OY&@@%gI|k_P5+xJ|54-F;>1@5OF9Ddv4sq>SICg z;lRshg`|?~x@w*re^IU!u^jCYEu~hJWNU06b0S8I@m}fU^JY&X8$5hz1}|8(Hu^4n zK4SW@-DR1zFZ=zf?~HVCX?ho;0S4E~Ths;0=F3@}>5OWQJQG_V1SGoN5{xm`x0oxD|>AEczRB{j)2_oKj;xqe${xb}Odyq5-;(`1@;qlKOVWEuT z!>#{@&*TPUptun@V2cIp1ED7hJb`SFXCS@+Al|?6gk}PNC)`Gu|AzlxL=81@^_^BvyAj-scsX}0etu)$e}lb zoEYYpSoc4Hak$^N1^p63|0%}((fX92Fuz%$f^NRPcl6J)9^yBvdwjQqx+WxuAP}Ia zgqEnlLY%xq;Pr2gF27r(B8V(f@vPb?%RjY9 zMf|}c)$v@VT(uZ&>6p;y1Lsq3=J$tHe6rr69$1-bQSTF-7l#BFEFJYOXb*MKQHEo}>)qm0Vm2 z^E0GI^nF}iOLy@q6W@FD?e6k3&2l9aYplqv$Ev>HviiJ6FQRnq3MDD)vcsgzQc}V8 zm9ss&CM4XhnNKt{Wwv2m)5T7)(oSa3rcZ6*oESu0E*Cslx)kDbsWDOF#g&B-JDfA9_zWyB7%+Jcez5gZNVaNcWL4DbkD`9jXpKg*SC9>!4n;lD5fZe1%!L%L|gfaZ9>%A|=&MT2}ztFAc3n(SW?pjo3Haqc zpM{%qTld!2+&pS2pS6F1GdZ|zxv#-XK=?-0=||~4Gl?fd0=vc~JgO}%>D;2&rQCd1 zU(6jc$V@m>LebJ$RX6Z-_MdbRNDAMn{4(WD?(^_D+PDOD+S)gD%O~fY z)VvpVmWMmNhnv$8INV2PWN|OV^gZ$}|#H#mN&5>c*T#4*w7fN0@z%K8y zi#f@7!-F)7*>FauJ4IkVsnkz(r~bh#1HqUUro0YI%$wS!p1o5vR-;yBx4$cnj~IW7 zfcF>$gd3z%v(l8aiX@@BeY9^+yX6j4&5@PXz1G|(R2`sOwHW-(LDzRdK(c9&Nxa(X zy>i?1)v>MLk&Cif*M%SC?+v+r#+6KSQK;c4wPBA|XY+u}JGVvU{i;}BK0bdtMgHdo zY28Ae!k(#?NJJc z{}Wq*`;ohmpX79#)W5Ksx_x6=WBp#b=RoxF$ng_zM9lX&6UN&DyQDkPO#tjEY&{5RltAF zpMU&1_NkX~_0o~Ijn@KN3|KQNuj>dIVsd*-zjR9%@R~Mg9M-y)eZiu&L<6%-_olh5 zamL^)%QR`$H0?5zxX%LnWvXe_%Mq&)tG7#A6synQk3Q^9EjrSc`&!PET(5#TA$v62 z^pZRM;4OC7*sq^LS5Pn&=i>=CZUl}50o64n+eFR0Gi7po@uyd+r9=^f+U zvyU#fiM`*kA{>8H_|?Udt0Gc0jnB?xF+1J77o(PU^ZAPbqr-_ZSvL<0W_%lz5z?-| zXN;3Zg$JlQ@W1HZy|(jv$oDg{CXOq+g!R4&F7LEv4DWN!iSkTH7J8#lUMv1iU8TM- zk96%y_rWK%Wv5e8SEsVfB)xpk*W-?aHIJm1T^$UTl6(uy(|yDIQ_nu2aQPlFv7_#* zd|4>t4L!l-iNgt>c6G}<*_Bpvwug;3(kuR~;YphjU4x_vvNxwLO&y`iP3y_gl!RT@ zkZ2zL%rF(vJZ(v(>mkW#wQ%~jygmze!`q3h2)%cws}Z9J13E)2ujiRUvC05N@kp<$ z4)pQfrS8^>XRz6PIlVys(S6B=Qt;VlF2%@7sMu>-dX;*mC(4XY|0a9j~TU zq|?q_6;F?nJgRNjZ^_%d>VlQT%|CjqQf-M9LG0FM9$;pX*k+- z249tV7pxR5-7J|=)%*PWPi30fPKh-SHsuK@q>9Vym$koBaeI|yHp$EIsf|@j zBF1)~iw4u`ZW<@%XfxMn*D$@|DdC&_EN+aE;qLWPeriJ^uJPzry^ump18+X+=CRT| z^H+YDc1^84ZW5{aMq2dR!J%JS4jI9QrzTQgr5<~rL!}~|z9XzDcKDv|p-YotFGKmx z2(aj#M?P#F6WJ3Z$=4E^`MyYJa$J%7(Mjr-`o=wIiw zY2`5C5?FF4ttnbnz(s^i>K&_8(#(|yORjtSJ4~aB9~M$q*mX#1ZXJp65sGM>_#9f^ zI!0xFa5YUeI`dwKD0PCDdN|YN3FcdC5=rH?<8NL$J%v>;Hfkxqf32A$^g!KOw~gL1 z>$yYelXr62`MBcNlcg`w4wuF!?rLd^HpITXwX=mfV!gyXJ_jW# z>*Pu=>kDXvPhY;i!{bn<u+(>CuB8=SQJc717~4`(Z}7RGzLmYp{E^IAvCJ2|J@N74=a(vd6D%HE z`B&*TCfJ{KG(3x98}w)B6uw-^Jh*%3gylB2l6QyRMUP}!bY4+D^4e~>v`pjN4LJ8=x6Kd67Do- z>b!owGQQ@xmBr-4wJI+g#u#b6!)4 zY{ns(Rdg1wPnULmY0G(=vqLoHB-4zJ$LR|j&9qIvVXTiii^KO%S)U=L!wO-KfaaWe)K6bHG*s#65 z7nB}^>e*>AH{MtKNMNQ_CCtGiA6FM(2I29}S!aQ%!pfgL6sON!@76H|l^>ap< z-*BB=PgagL`!{ltzM-sV;0b^uPGTi8(%x`OtY&cz)g3%MZagq6uGG;!qjFo&SXOQ# zPv5fpqpi$pR#J+Zi+DY zVtgwOW^MkW_l?4bnjmeQ&ZD$L7Z(GrvY%Gl*VG_XYCBrjt}^B@yQmoxQNYIQevCK2 zVv7}LvV@$5{hP&^PqBMMX$@aCMC>hQUGdS7m#m4@+&xXz=q#|DU^^%{DY|6)iX))0 zF!}Puh2)t!m3+Towqx?PMcEVwH2JB>iqVH|Go8u{6y2+-rCrD7Yp(B{FzF3EFUPy?TJ$-_lb(Xp~s z8K+F{`mn_l0)ItGsx2dW`;sxA99=h2kSC z2RQUT8!06`|_hRlDX$oF%NvRgz>NjQ_Wydgl24Zc?MZVxB2SI**LDK_eyLIiTWh~ix zjY?23N$zbr3orDiPt7sT!Rb5@R*mt;^@g4tVu?BcUwBm{Ub`HtWSbWFm9fr=cj;mu zsyuvrM_-rTLyMl)zM1Gj_eU0rAN=YRZbqv+Jq)&7;!m%)d=6XIV^I@@q+u_BfHh4=o9!pX17}UsAv_8)Xy$49|`QQ z6dkQW-kZOvUa7oT;6Nr48rZ=ww0*;$}Zg)=0xu5&a(k0(HW{qlSvr;cqSH(LFw8*L_oQIfPDrk^2+79JgvLZ7qHDTaWZ( zau1%d^ER52EK(1#4edXgp!NytNP3w(i1gg83c>r^bC1eZ@3!4yyYCJK_4zHP6jNIT zRQTh)-Q%i9ay4$!sl13Y5Iy3dl6Sh#qSg9J5XtJ+cS%wlq@oi(TfRG{3)K{O#xw0+gnhvaQ57EutHw_L_i+i7x;6xfgN>*ArJ}oo3Q2&-J%75CR zbU2;4V2i`C*!?I6lvqhmOuktW*1{ zup=z&u!tI02Wei0_2Pp&{p!WZMw&P7r&CUbJZbWKQY?BvMlNRg#H|2jjclQ_j0$ry zBx(8;#oqqjg5LY16N_ag55VrBH4cPiT>fcJZsei!&Tk;lR)MM`xN42Fb$`XquPW0-9u~zIcRpwHVv1%dJ3p-rFW^)<(rx7=N zZ@)Bu?v8UiX#J+xNL0z)HPvHVF=UN?Yl-^yn#>`F-_m^QqI~k?IU05b8N1cwdzWWD z;|U=Ti-_pFk8)=Hym#k;Y^}^RRQY^J{!#5A&5-h;5T(>`m-^2QI}gZc-A)rI9}h`1 z&p6qnzU#o#v3)ViQ+;jr;eN&0_D@Fx4s@54Jt!=yzK`1{8+`J5u)y6BfqTut`Z$`3 zZ6qe7S5!bFX1ULJ|D$1rpd{6V;;G=*WJk#>uI)bg{u5aipM#QTMeED>_Aeji$aZ+a zwGQb^ePd%Qrtu~x@hQG{ZB4f7(17^GI>#Y%459U-aZRVrCm&)hJ zFJJDi*tKU-XzM|QjTNV3(CS; z@@|oNk4uS96Wt?87Uwb_4!XqzN`wC$8lQmT6Nz zH&FD#)m>=!BCkt%;3Zk-b$s<}MoX@j*xT@$BSE9_>J4o@*Dasy|F(;6&e{^qPFlLX zgmn(~8L?{|leG3Gc{ORzchtwh`)rv7Uf0Y6ZMSt>9$T|9=sTu3Naeih+FnSZTYln5 z)rVEZL6=jrflFm%c4ylQ_Zd00bCaLnJJ0;!{jqNI`uDG&_)DBW0mls6GF{Z&<$BEB zMr<-PDI@u+ry5H~&DC4C6^~RQGf;i$icK2t1YX}daN^FzEpZC_uBO7T?|v3%M{OQx zUZ~IXMLGVKt?4!5M|$ z;&1D>Zq0H&(5^oy@A&Zh4XwZ&=?CPV*d08XDZPA-tkufPJyT`elZ$tev!o zcdbOzcH@^}%ITrlx@EfLBg-{w^!5O?SiJ_Pn|tz^ePG=*ol{N<~>c;q0f* zIc=&{C-cTsHb(7M>7Vt??@8X+Cd%$=ioEQ61yt z3M!ADr+7Wv^Wl`PMovsYfN*{H`3Thrw0&K{WJb%;K+37orH0SC%MGk4vzeM~ukY<) z>^yD6j}|GoLE%cOhP!<0#JPl=x)x{2gq?S)==7 zRkv!)N)b&4r+!s-?x!`qwP>L==9($k99h^F|d(s%5TQrQ)d^n)xYqjl^s=kYUvC8m7Scb|C zc1qRG?6&@%wC>?+^^~7zv#&f0W3)^!`D|@%JsZ;6GeI#k-Uv5hcQ)<6X)!nHJI~dh zvwYR{ex6|CyUPxIp5Y1JUo^j)Nm|c4eREpg1y<=4;^Opgw8b~!;;Q!AY1x<9T^?hr z!$lZI1nfP=Pqs#m^J>~1_kgd{M=!VjV-ICOxsmvxH}8Xo?z7W6jZM#~`PJJ$pe)q! zi*ezip)mEP^nO7?@4>Oi?CnI#LBi0x(_M5(@#wv<8`6q<8qL%1?5oms88`C3Q#K;Y ztGq15ojKxQ>k+$ktTw&;8P5)+7MiQL&*vs4Nx4FlbYRQM?vETKp#r;kYu?3u%AhG! zej3T;PS(by__Xk<%PW0&Kl`+kR#>Rxfm^a?-kin@>|4f=6+bWtm3 z5jyq$e^4T9Shb_YaKEhD@u~nwi-3dwvT6rfs(<(B7N9x$2L=Ma%)9=qC)<2H)rOq_ z-a=vrTD=1d#kf438W z6nW6^kSzd|zaM_rO`?9-0pNA=KkN&jIPm^{*c?FN@b=|EuM9nr>pJ{j7EzG?9;5-q z0X=`$_ixw4h#&9Bm z9T+frg($%A6^8ivfq{;&hCYzMx(9q9z^Qt`-T{OLK!gKl`2h!oL7@Ohi9trQ7&tK8 zgkl45g9CL0c!Ku<`GVeYz^)89u+0V>%7+87fbB()!vWh^$f1zH3KTfJe~=3HhXZF= z0jvZk++mS8kO=@dSS+;R27o94axBPPATJVEL(7(hdq z7z&ta0kbVo7BP@0$P~a;91{8k9K?hJJ15plDF)6h!+;b(0Pq0^e1PJ|q1MxaYJ0tO zPzhmpCgOj`uBU|p9Ds>|9OFOMeL_3{Wdv1$cVHM;=gT_%Z@mA*@INXY0Pa73LjcZ! z>ZgL9zn5m?9V#u$UfLo^co)*nYOrb|K4SXv@|w2PzaCVF`Ww421#Q``vA{4QMb1ZoLVB7Ywj0 z|J@O=Xc=1W8WroP%-FS zJ&;!f3MxA87wm!EaR1C$2WcH=1$x}aq`8mDa34Fy zEd$J*{>lzUyi^299DmRKlyLqV{%`T{zx?}q?QWyJ{-5R_gu(zoHjW$p3+Io5VVJ-y z5l{K6&_)0c>xQ6Ulg_UbG6B57ps^mvFId#Ctvz5~_s`G`^&7fD??2HE#Pu7xK?a(e zvkD!A4Ft4f){fx7QgGCx=xH+}a4It1=n$NL3>6lT@sD*YM$UB(fa=E+QFv22Ffc&h zsK8ztC;%9Qpa+nhpa&3F)}QOg@q@u_-4|^AuuXvE>(;ffbt^nbfLr(fBMC?t!pDRD z5f3of#D53!82$-lAMm7tfq@D74w-tdKYx73?@0%A{5jy@mXY7gc!9^w{5Yl$j(N2< zf$m!YjQMfh%C7*U04a-rh5(6drh)kImoGpbe@g=nH6a2T0?6cqG$eEd0)anh7C=bDL3cE4_J@Q) zdr$~yC?F3L(STe;Km#KvQCO51bdvez_)ucRyhp)+6i(<5PF!zbrx$U$SOgIbO~hw# z9aOBoY6h z`5a+h01Y}w_V=)G91_~(LqJ1ep@VyW_Xi?_gzQZ;F);4|QZOM6{fBfh5c2#U9|#MH zxdb!>{s`gC{t$4&ItPs&K~$Gu$_eIEgz=%o2+AP_Lx5XWi2R|!+?F6L5=m6Q03V6N zBGF(5N*ERmAtm(pvk$^R_6XY=3<-KVp+7X1s2#x2IA}olJw61OdqSxDI}IEW0)v(g z2x-uuKtO}z2-*P%i-1Oy&Hiv=5P}oZAQO558l0#P!oW0{sLpXnF@kc4!Ei_->;%iJ zV9(j+Gyn}c_GDAKaKISC{J{}eqILjiU>$-WED}waE*y)7;fT@&`=$ta3I|yx_4g6$?6Ko=xYI{-AIc7Omk5fIh~pb^%G7y^L->o^2yAfWj&0SzgJ zCZK^qA452XLNr1ghn5Z?=?MDU=&OK+BOJ>h8sRtyChbJyB}5|}Q=pXq5c}pdz_38r zCSe#Hw1B?JAGnqdM_89|F${Ed1%W?|7_@sF`r9Z6@CPH5X#fpQSm)rG04kx( z0FI*voq?ciaImmR$a_E|;sF>@Fog96S|qf@wTV{%bD-NC32B7m2OPp2Vt*JynF092 zLf118#D^su&*7j|5w#&eBkD5<&=m;l9MHhw3j}F^6DNrBhXULu^aq9%Lf#`VI70az zR3#ibMrU(5K+%Z!heZ(LDbzHGcmPIeLOB*hMuewetC$$Hg>X~4fJT%C5(Xn`r$`u_ zXzW44iLe-s1X>G1ULm1|K$tF6=|nUHaXAo3LRkuof&h&P!vc|pC=DcnsNI9%g9s;~ zA%!q3kXVWE1<;84C4d*A{sw5!%zP7{!Es?k?EugS$8I?45BLnwif|qP{GkcuPB_q} z{DDR!>j8ggXoX=@{s4_|+z0-Mtpb^ctfF~4DKL<48av+Ft2+T~0`Z=gdBD@DQqVWw>FX6lbtSLYm z3WBl`%clUI#R%ulfQBM!S6DO<&Isc}>|Fj5fl3J2yf;~Ne`Br5}Xmk7t9IWb{-*6N})C*UY_Go6yPHCQ`b zf0sSZsVxEKe}EM@7-%O*|hD(0dY6>AiOdgx*Q0p$3pDq6i{_qJng!DbiGWM-UN3>4+eR z(z}3w4ZQ(3?!Di=@7d?P@4essef$yDnroId%NWly#+Y*nnP_N9NdqBNLLE>0hG?mz z0bqciix-uG0u{*I6Yqloh#Gpj2Koj0VetS;tQwrzjhH=!ydtd^6F?dHq zRdq)+CeRb>>FSL4^z(J}_i^?Gy85|_QGry0@g9DG08v#poTsm65I)cu?-xi&R}aJ- zUI9e?-LP8Du7t}lfJvYqAT%@-NJzr|Od?c*BV;B#a0_o=MowU7|b&7mEJzd_dU0k`EHlGDP3+xPSnfGZsF%*m=c3i=ihLG z6YPc~h?!;1x#*vu6yG^9*LV^OOShgeeN#x$9y6-W0G$&TsEh60@m8S$8!{@XoNNij zq-LpFoy-@Zr}dt4rG4&;;@t~oziSS-l%m9J_2MNtmjSKu{51i`tjw8U_RnMS*eP?& ztA;4vgb6ZZiO2?I>-5d9x1CRxMpd=BKQmlUwuO=?gfOe+0b8zKeQ?ygfqyC4`RWI6wdX8- zvu9nK5{GNwJ&;To`#@LvB1*51CB3m^(t}wF^Kn|JzE`15P}Q_`?yYP>L)>N~qu?lL ziGGx#K+n-bK-NAcKV^Ol)B`$I&f;KO3&z=8h&!KU%$8joK$xbVBYr$#hZAu)aZtGI zaT4MWb7&ssj{$&8oZSgiXW|@)@x=q6M^gtf#JG7ntNEP)*n$ZO2x$laDGRZu0-0fg z{DK2rF+oSGpRnXyQ5ZbH7DNyckU8cI9;AyS)TaLPpDv+*mY*;FD6f_@K>AQ}%m`@^ z0HMO4mr#K8&n5}01!QIH;)QYjncov94L}}cB0M>Ks7|Pf@PO3kvFhE8L0M9Q0;aFl$Fo)n0ZXX3&e>fC+59`fZ%ADi{YAR7_f^}zkP zcbi>63O?>Ev;-03M8lir0rZVJ_rVqY#M;XtwK%{&PYruwxDZ=~gY6>Y#9hMkkhfx6 z&+_5-EN+Ym?0-{zy2)B-pX%sSRDW*t8!&BpG7XQEi72HTJkJW`3%FRntC#hBG5amZ z&2@lt5=|%*stcv&+b^otOq1ZwXJH?j?*!S_p~uhWWOBRcFR^FQpOr}LUg%W(Ap3ke zOV``?WPpt}&>`_EcMRhNxvpK&q9P0lxLf9Nd(-Xn?aRYfd{TK5n+Y$MGitEf40nR( zfI5Dy(=Ipp*6vx#nGF)_M%*SJXZd8zQ{cEh^p4wJw+woQQF!-d$S#+bnQ4jl{=xQE$AaoK`YO{6`%hKj zA4~8yWv>%QZ7nx$Vk|W&8546kUpo9iNz_y4o>Xb>5<9ch66eVEO|>nvzf%a{(fske zmbdL(4T#SM1q9g|@CBI5R95S}I#x{3$>=ARn88*66h^GH(RHq9wl zK2q{DdQh{h*7)pFOzhN=-&}4gC0!>Gd9)O3rRGn^y$bFown3_rPiIj)wWkot0Z8q4 zGdwA27C?zivuR*W#u)yVf4ZaOJt#f~@QWIzAp<0Z}6Nj|mqN@u;zimLI7v+Ew@v_8~#LQnkziY@m>Nnffx?9Q;te z>VE8_fbeubo_ZPWD$2G+#ZvA@RCTUrpoF5I?mh`Sbd9b-%xSkruRC^~&OYto$GVwM zWZ$-)6?^dcIlqi8uTLElxynv@F#b66&fK=e6R%G6WLT)m3q*n5&_i`@qs#K3wT9MR z@+wM8(~wc_(wtWo^6UFwJF{=d^VnFI&vEYVMO|iZO}z5m`P>ii55EukA@CaF@D7*z zvj?4(Y#bJs!>NOo4%SarRD?|YC^37eln@aXjBOL2phFqteG34u9Tw7l8QsZc6IH8|WTdKR4uiL+9Wp77l8k_BsV=ybWp{&!B9q zQn}*iN}*9RTWWGpVd%Y*d8WOBU(#}8`*uT#pU#s``A|Q2oS0Q}{Efi*2y4NS2y3~P zAl$_Gq|c2s;B+uV;O&^9^FdIBfle8%F9YsE?Xw|v`tpY%o#jrRwZcBny(*^o!nV%W zUZdGGz8n8wCwcw@^E&gIR7Hr>B-%R!4q?o7>Ue$bGh4QC#cOFg$-56!gCeu72kUGK zx!CU+Ib@5F*9F%;$$I(tqG3yRZROaR%h}By-^i4r?yr}z)`;FHUVo&reFcM(@Kmj= zIv+(lgf)@y6cenf!`|GcTQDp0NGX*5u?k%pS}-Z|SRKwj*b@IHsaByC@iFMdL5=l@ zSB-*$q$IlQ^n|3By^XxOHDaHud{3#=Hu@PN&rIWF5v zv1V)kVL9QSmbibzb1e*0*bp!JCMo8%vV35RddH|1aVtR8^=5mID*KR(!r5T#Ez7B z(vy}N4zr=W`Iu^Y%;Zk8QBEwXWrBE2C+?^@aJW78fR95)v^;A=a}WQG${X`}&4$?; zI7;yANzA1cAWR<_VM+}LAdTI34cF=J6pRRmPMhj_UAKQxDp*S!eqWdC6m4Ozt()wY zW<>j^f+^;}`08np=;qn)M8LOyp+twUdq@wYWst~Y%5VtR$CTk1vQ<&)y1Fv(|H5pg z59!dKs4X8KA3sVTZ>_H4q2;at76xki3pk*aWb|}#2%tldjHNhV_~Bo)676Agf97XL z)g87BgB-uh5uZ6svL*0{BNp)+uQ|Fse#@WC?T7+V{Z4BPJbk?nIhrehl0lKOKp5rBCp3Vkf!nP((_k;5<2i zq)%}J<26E61HQlR{Cf8^Q2UcZq)*~JE3vd2S*E?Jfyn7V$`?YCGc=iR=^nc?XwL>u zdG=mq*?%e}*+wQv{dRxdUwUFs`dT%`%@4#UY^mmKns2(RJn{8mM;ql*7Z*fw5CcJc z{KfsEvxdzM>t}02JoZ;Xi|ng;>!lZ7P)q@rUywDeooSXGTHHCvN(!)gn@6+(=_nFy zxFPIA>#R>*zEmqWkh&t|ZqWV00(EM3yi$>=Si&*x@wwZ~)lzGbQ1$vp( zj$Jhd7x&+fRexsa!4jM8=GgGTW3DC0@|#W?v#ga1)Z+F+DO=y!g*|n^&=cdzW`=i4 zr_{t8R!4U|Lnr5uQh5+qc7gTAlm?NZwZGa;;MDu91HW<^y#0@bB08?G##4DIMrJZb z6SPJNdum0`zmtjEFFm{$>80lRV>`(zWsg!(R@Q>bn^Y+MHs{O7i!VO7zf|W+OHx?0 zDELA$xATKtb%cKFuhMWVn=qN*#o>>#`TyKrIkZELt%*bP-xCKRNZ7GT_>+}#wDf;B zQwS3Ho8tIQoR5kf%hk`09oPK3K>rsz1#)bsKnP~YZ)OSv{x?>N%s*Qx5I7t_PzgsG z=3m+=ke^l?p>w|*Dsk7AnPR~-7wVflOjSO#nVLVgefwkHrKnCb z*(62|0pK*0kNMX6u5KbXC4Yz1O=oC}af=yW+I7Avplal6qN3FT%@gJ*&yUX(fR@ zE@UKTfTBw-HK*5z7f}cRFQMV80hu{V|*3C!bbv$Rs>g?}<0`kkMJsvdz6i9mc zX^85AQ8udXs(gGxM#lUSZg4d>7{Un_fcIB6@j^=>s@cjq!(FW`{WV}# zqGI;Ot{(bITD}6bRM=oqM>lghXB~`3kcGdUKHgj1)=m&FZi8^N;8S!JL+ZKf;w520 zCi<$NV0&k8E0C;ou!NqetB0VBskxvR4y$6W;7dy-paD0Mv(T3_!b_Q1OTyf3r9{Py zMO=}1W61zzO>bj69kl=-H>kOso-IyN1LtS2bA)66<%gE)za;!OZ~y1@{4Ky9O~c`g zLE*4pvv};rvW5H^VUKQ)r}v)`Rz?`D1)t{Q@yTK)*ouKl-u0rnf4p7C(i4G<9}br5Vea<~ z1w}Xl-!Yx3V|jY>p-4~61^dj2ymAG0O~$z%Vy9Imev`}#LyDF=ulH&pxY``Yyxe}? zGIh%93@exsE}D_v-S?ixyc_jrAx$A|N<`&MDpdq@ort&LH9HX`H%6X0fbZmxQ1eG) zZEWkfQ#3p$(***YJh}}?^^pe3I4~$+nv~DDM24DZfmrntc=3m6Dk{6gjONK)Bd}(2 zcPyN@`7wD&2rpaC>w1xX?m-6{DAoEzq(DY`jaTefiKXZ6x4M91!Yov(=!d;N;Uj?w z99(76;u^=>E>iUz}mq4!NFbY~%Oh#9yJHU>pe z1egw(446~&z7M1&Cc4>8KJb^-a#Z1A%P_>R5j^$|9|bc0kGq2Zv`YTy=KT{*IvSB9 zgX(y~|LCCpmqYZ+JN)|)jhY(?fco@E@bx0d#=gQ$wC6@F7Zdq&>^lyc4 zZ#FYI7Bp-$cB$%ooxUEjud95nSY@k=ukdOD%O1hrd-3k}Inbl83JE+MU_B42&wNfY zfCPWa&m=xG+;eXkAGx0tDYba)p+kv!!@qz3^W4>ugS^^CKbr%&tBRkku4?T1h*20b zWm}V-S!wbWltZJ}H7s&qeG7 zC=zQq>FTam_7Cvj?yw5H@qSmAV#dYocIwh+WsI8^#4%p&>FGhBJrHGvB4Y=U4COQL z8OpN>CrdGs1ciQ4YX$184=O{>-=PQRv zKCtLENS&Jzz1SW-=1VORuiA2QgRUq^C!j*vcr|&2Z`k?EbM-Dx!-Mi+o{kcfzt)KV zAR=$FePfiXqTH`(m}Q-p^(+^Zqi$AGwY_1AjlYd5sfyUa!`ClJv=LK!!A4!mFt8h$ zVYt+;p_y{x7H8hmMl6+Da{sM%wOv*x@3(MHyT#i!`h@)*^27y8g};iyF-JOd31ZMivC%D%7XvEbYy^FnLjZd z*l&@Ye^8!B*^b-%kCi9vP(vS={#Tj-_80E0bE(48(C;*orggJwU{LXl!jKNpp0XF+wiWodyQ=n6IQ%ydXYiAIW%|J-(I%E>wW(232JERl3Ay_@ zd&1V!_o^KqJ&b>w7aISn$8%q2?nx_G)1bg4T@FXjryHm4IXfCgoJrhxF{9X|tbP8} zD==XG_U+R@ChXf~qb3aFr$QDwV5f zHn`5e4*-vmoe7SyoE?yTF{vw{kpg;ahf`b1PT3xR`DELgq2Th%lAI=ez1Wvt zUvAylYl2?6;K#7etmlhg>_bkCsrs55u;)}j_iP*gsrnwzGM8HsKtscKbBh_$3Xx`K@2Ll4 zt}o!)Hg7WI^WZ}~?Fp7;*fp=S*X_mnU(dhrv>Zx5;L2;dQ=$>Arx$#1gNbvtYiU>* zl|dZd3|S7tzF{d0Sg>Wh0IdIB2|yxiMsvD`72Efu4GeVmM+n`9Q8uLw)galc^1yl zz1MdxaU&DC(`mDkCl#kF?+tG-Up9;OU2Qv=qR&V7wz7Jw(({oOi0Z*e*+N?4qSslu zb@%J*G;2|D8F}tc42!wkg+q4kjSyGr%o(ZI-%*aKk6^&jkY;Uysa-PjGjpr&$D{Fx z6n!rm9rpG?NX=49Kb!e%6b?H(Q(`(8_AV{Mg;kOM{>nchhd5*gGTeY+t1_rtWd#$&Qm} zX{;=LRM*tqTzDa(CYB!PbbI*uwRy zn!+O^%*1wfPiHaSev?#ajqynsjy)5k!T_SDwOM^+vZbLxL*Gq>=(d6G(4_!;w^?y| zy@H9FOSspQ89DT(o;$OHr@<);w>|}%I@ZT=wph(XFDX=k0I4lRR4XThFFj{6wT%(< zlyyL}fpx7As!ylla0S#&OyW!8`79MNW;&fsMXNF;NYLnLToYXKf{>0$nu&wW2~r%X zc!K_|d|7 z3k0jBb|g@p5D=y8Lzy}~7CdK-Wjm#+>!f5V8M`U(*G;Kqp6SH%t7skH6pw=>IGXk0 z2?0UMq`LrcY-)!BE2)+N(=8149OI3X3(Vd8R*uOSY%JqV;al249SWJQ?_UX>n|&2? zKzG9S)f?x<--_NZ7M>wpC=~^}q;^O`sgv>r!Fi%=&I6y%V98FYct%@;wV%hz@`M-; zq5X!*doI^RBURU0&A_N!B83oi(|Y|Gf!yO!!a4FWv3w&90nur zE0h(Ewr6SxefzFiREjT>ol$VPto56{Mt%{>$<~)fPd+TE?x+wQHTKUo9SbnfO1CJ)cnsP(Ob4jS_B{D2 zXlq=Jx!ha+De@fkGubD=dOkl__u`ay} zA;a^B zk|Y7dRTMd#wgj>P&iT_MwlmCk&r;G4g)vy^XgG88C&*sQMLMk-gz@k0M?tIDa63-1 zil34?vsXQ|_W>V{JJPTH4l)UB2b6hOL zE2Q>rzNpg^Qbiibpi)Uf%!zVbhX$nd4B2{xTc)dy0+seeV$o=a)2(YF&Q_HAyyWNj z(C4Q8Km{9I4_M4UJ19N^zu8nA>AND1Pnb1{UFL*C|krr z-SV1fG43=dbkP@#=+4x!pn(LOUeKtEVImg({;>?Lts~>i!f(PU3U-5a_iOffxQfHm z&noyaL5L6PxA% zLb4n*(kKo8&KvDIEjE4WFCsrX*=O9JS2Ti$&rCDVoKIFr*mSP6({)(v`o!Q)aSn|6>=dXt{vp4g{90p3V%Np$ zE-=@{>;(f)iJa<;6@id@6dxKyM3ns`NQPDK>*jt>m2Xa3BX3i8KfC?3gKzM8@^S#T z5l3&c^jGCZY2+905v}>UbqlVr#tHrh*WRo9@VZ3Qy9hiOH`jgkN-%Zcj zLH05!Hm=VMlkwxzrQ;wxmD%Zup9#t)H0nTq_j&<%`sb8vZi18oLcj zocz}}+VQt{1hZ9X7=s*Pep{>`V74r#R`=N}d)7YVujCEAw=TM*-`;DX@b+E6d#=vh zkd=;x+yidcRs&ee_I(6ueH9%+PrAv=a?t%cxJZtE63WTn$L$pGC#xCepJq8nL@Jk zIoRjzuT-d1r|bS(X>3N6Bv{G0e3NprIH%r%#S20SXV#gFC}_m4^@Z-4DvFMaf4cGXB$>f?V#++)D}Tj}W9ObU`1kl2{Lrd9Hj4h=;RZ;H5Me&v-UlZHn+b1q zoc-wORg#X=fGvUVv$W+BCMdcEZ~rj+3 z@425|6)n^Lt&CO^PuI0Q@s#VdmE7Fta(CXPuaZ3>L5%A zFXl~~c}bK$cGXN$qf$goan9!x7XCS?AdIG3dio*yzOV{8U2n>um32kK!nTAd5!H`u zU5vU56?=@|X~#%q<-KbFRhz+EC%KtkZQifF=%nLQLEF(tk$AiM%&uC>X#ktphsvEf zL(#~zx(5H7wqK`L_UvYtp$YKv=WBz-YQ@;I~a-TZaJ#nMGMi9-~pdsq) zVg3pGpk4O;c--XM#Wb(jz3H2E#*^3)ikGP$oXl|am$TOSdZvZ9rE_f_Yqp9D#BmbW z#{#C-FHm6bxh}_rFptvf&cA%}?z7?5;xo|^bq{O|*CraPFsrFjAm06~ZF!%_GR#)e zr21yaa%~=P-o!71`pWY&9rns^=I7QY&wzJJ0y4|V%aWa4r{~|dPm&XNKjhAs-(0>9 zD0+OgpmBq4OY}l_a8q&gwwmaTPv1>sTtt)9y2l%-V5r*Q)6~|RN}jjOCBkKW@XSAi zo2(z}!DAjboZ?NrQC_8bY5dX`;-~ttOFM-f8lQE&isQCyk`q%!ccaFgnwgBA2H#lFC2niBH{I&k}vi0IK7SM}#V zdXoR}!<~xB?g`(tORCrtbBlqnab7xE{RIw8^XqR>{FZyNcohRtv`E?s>Guzl0|fT{ z0qG7`oUn|22@~@arcXl|BR35cNL4RLF?ba4IH+{Cp7MmwRUTbK6PEdCus+H z^;_FDef@Eb|CFP)fWL|QYGl=v(b)qrjY!IrdP}}Gsu}RAI-)?fwr-CJLT#`*Su7HR zctr54NaxYuDrmJC(LYls9(5U@BtBs8Kl*z5Dsz6|6Y-fF_l&Zw?uHy4|P{eeXf7 z@daozRTw(CFplra>Fa|vo)g_5bS2|Q<1bt4azqyurV}h@C4Hvk{nLA1%hyLPYz~vz z_6wMD7!A((giL+CCE&}JVK8~`xv|CDr_$exTq*@V?;8&JvUBped=269Wz@OZBx{sB zWk#(WV0liV6A&?@8!2sFRnXJC2zu5RR5)jOJJLM_s+Ubpy8*wHu* zNIl+tUAX>;W31?{V?^9C6Qi2Ui!ZC1{9Xr1;bASqDc+z>JtlUbjG<@jd9GSC0 z4knO((*BR{MZbEV9r(7e-z{>m!*@GR(Q{5(u;RN-YKh2GcVo^d8r@k({h>CK;s-rf zYZkn9Cl)JUp~Z|pcvKhkK9WNBADhgljH^)0-+y}%K6`ojhu+hv$iJ|RBhWd-Hfe;k z%(06c{zn7o{~F8q_fHM{k!(mv0}#I%fm;6#^B`>6fdU98Vvc~`69)qzesPUIMW&8m z`gi*l@spqsvK%v#V>rIIZ*Jj1{1z4cms@xc zzoM#tFiX?p!wI3X7-NKI7EBkKRpWVmqji5e{DfN)5Q&$U>Lq+;n zNyWyKm`G=n=mdjHfTu1Ey+frN#EoUh=?Yo*Xpe1O3yze$Lq{ZunAcysGfI3>82nhc zz^DV*z9r6^DBU zJd=~lm^F;KububL8Q|Y6>q=U>F>IJ7S#I*=zD^tiom2SJQ^j^)*Ye7`T0JuGCqxWN zucwvI3aoy5DyOuxa+N5Uiqi>?WdYdAqsnd^y_B{jx5v8u3Eqj>l}|d~{i%$0-1{ir zH%;M+M@^ZXjmgL0I|}!WmRB+)cl%%AlhoDa#_za49I+LCK03JAGw=uoM#`N6AqtEv zXx~dIIR!5Fm|SWCY4xm4TV!@*aWGh4`cO_hxAD6@@`}+0?U%V7e zWnM_NqJv{zuBnN3@}Xgrx}g)ltW38dtFNv3+?T9y^{L%CMsB!knUP1Wh(X%z>k#Xv z8^PyJjOjI!qAwdYxpBDU7S^H43WGL2GOyAIAHP78oS+Ifgd(R_jveS=^R}U-u{H|h z9_sgF=EKV1R_&??(lrmv8f{^_$Ak z-@hCzZnis=CmRLO?J`!rR4Ad#vu4={h|(~02WHrx?vZuKGv&pHkO;>9dqjrZ*skVa)YnSMSk9 zpQq~r=9}K3>9?tIBV7V>E8Ds!zbh@5%sFryeAL!6nBm%VFV12`a@?*ZR0E%U;bm-_ zM43K*p_6}JZPQFXC{FS=S&k+1o%RQ4TZ1>ke`QxgO6z#!`~jNEAJz%3pMZ-lMJ#I03qy@y{u@d^f8jIhG2-06j~1MAs}I7FXE1eYHG-f zDe8C!nVQPlIjJKQl@Ug&{K}Go=F*mKUa}?*8hjdXb#Z;PE7D0$!4WvJt zwuLx5sqiaV`04ZcAvEof?)G4HxVeprk%^kRuOrCX%0f#g$lcx4RZC6T*;&?HNY@VL zBV(c9YwY1>4YSavr84j{7j&}nma&v`hkKg%n@D+yN!y8O+PZj2xtXb=RAC5fM@u(X zn2)1|Ar@_p(gX&{7>WGc)&2W!xBvMDwx4zVckB7v?QchucsSoM>0jGEj^OTi4gAFN zf5a`qcGKSu$bkvCbc|cD|H0N%#BW>g{xxp>%`TYr^!WBs7)#If{V&-GB<3cBy{E10 zbS$K#q^hRoEc<8{a&=Xe4pKUn{ppBJ0-!}~?zrC)Hq6AHVv>%dP)IJ%x zDXYt+san~IQ%z0;&j3V7u^TRZnTpCIy4f7&mMc!kcxZ#CKyo}tfWG+Q_= z`2M_YGC61AR8n>VOL5yRzQLJE{A_3#kEDlx4c(2&$IXw8HTu+FVlI9XZah6tQ*{~| zjk5ZYDMgD8<5}x~p(jfF96R{;hJkS2zw=j5Vf`y%b zbU24Y??;{2E!`hpHulNhDwX7UZO^TjeV5lZM>%Km?Xav?tj)o>)*PYf!PVv4V}{zS zaeghqAjY+hbPJ~hinmR31cJ$d3 zM&|@HlrT-<{1=u*tBs@Hv(QJ0o80YrYf0`{OaKh*|}vs&6zLGd#?K~>P?HgRu89UhP94_ zB4;SP6PpJ?&cmT^w8*$Laky?pF3g;A^_lxOX=?NYHZwlo`Af$epNC>+a=y80BhB_`?Ar8$ZAOx7#w^&2FVpfL2~A$Rhwg*KK-9Z04s!C#R6|L37v!MV z6M<5CvKOdt_2yKl-Vj@#fLvz-QC>Y!Y?nJP$W#|xDOBxtz42_9Pf?%Aka-dORD|pL zcAu$UomO9J_{b|mp8h%tFDrZg`Drf`st>&CFu_I?_AXDK8{g`s%I)*jyBe>BGu=z# zr?6t7O8V!=nC+*!`c@TojK8;&fBJn0G;z^{GX;?-+FR#BxAUw=*0@Y8$g$3}{%EH( zkzsFJC$uEDjlMK|#m`Tri2@keFBdKeKN!g}c{tzB z099X3c{k=%LV88SM&XWyZ$a3_IsKKszK?IF4f~4bPtI~G=fQQPc6kN>$IH7>!fQvr z)@dQjtAnQH`j5T>?Aw@oa$sujm})j#Y{{$g)EvV92YRiiS8{A(GETAdQa%omOz3WqX5drvbvdQm#LVmQI3K+N|_iJ?AaH;5)(3z}LH8pwe&Y z#uT~AUWQ0hLw(fZtNR$TR#slp-09M}Y)0qoQ28{rYsAYHy`yj)jikJ)P_~5#+I`nD zQ3LK;eq*hV?G=Wqq<=OL^T4>6%VRR$aaIxQ3Js~tb}{J9js;&&k%#Mk9a4Wx0(&|Z z^RZ=lUPZiF3{~|)(CS2F8rd%M>^RLqQVt$#Wi+uM-s0CJ0S>bKDfWax zNcVcwha8Q`NO9?tqp@-6ElzX5zUFzpYZo2IYPm8RF>irh9}cY@eYI4-U~}-w!F`H& zmDcX;d0OPend^qg$;iu6^%LP)xcbTH0Xq4Onw=T@5blSQ8|v}v4;DW#Myx9ajwluO ztTn$KKcGJqI-^RSqC7W18P6U4_?k9%RNsRh?z5ejL~W$VTlF5i~r1zX?N^*#BG zmeq}jjn>WYzOAhKwH<7H<&FNfyyzHxu)eSS{o5+x7}`M8bQSXp9XMwGgsvw|RS17^9^@|6cG!XVON*u5gx8RBs8d z%GsD;a-#bRg?&rJ&RTWni7yh-aFc-}Pj);tt?`uXXdqc0yl_T6gV+R1Bn_QF&^mpg ziLtIGUU*q}?KAb$h3&YHpE!v+C6(@_aTGB1F<4q-*O{ti`ia7c1{6pDVPq=Xk{B|; zB1Z>+DAnB>OH|kc#uAaIi1g{?65s735;pFIkmMg`qlqDStF*Rh@@+GO&In@5Z=%d! z5v6%>7a*F?TW5>eJFz)V=hS*SX$6@2YheQlf(660Bnk*|dr2oP`I|1nqr%r}5wH6L zu~XJrLbu+*n5+9HGz%VR0y6sFD@eGd^|{aA67p8bb|+$U(Goo%W1#ITC&o{mBwVfQwneay2xAbGR=I{b zT2)!PZ9(Il46lS8Njz|BL0-dY?(3A|#8;rJrG4%t?lzD5_R1NnvYu6IbxQuQKEGhC zo(H#Es15;s&nLVMCp6XlON2^@l0qlM92Fc$ub?qR}m+%Ii^lyVOUk*AtVxzlG&MU?%vYbk6+JP|COvChyMvj34R?* zJmN^lOzj`o`p<2E1nYm=K{6^DLNfd?A#aG96wngxt*>DR zGgcDz&=ZgWnQLgFX{j`=4Wx8L^t24sz@{iZXDxYUb2CwG2MI%IBQHywR-l5UotQ?j zvo*v(5X`6ThjMWjSCdh8B~X8?l#Z#1T%d#?%#-j55SW&ps*EmF-9=cE&p=-gE~nIBLci4Qb&HPCVuFrg)U zs6yJ=NG1>t@--B7G_eI6>MC2w`l&k!8VU0QG17WY!ESOsX7V5-yC7SCh@`!que_&c zfV{DiJ5UfR7Az*H;4Yx6K}%(#Am#>wi69+8if#}=W0N3Z1v!`l;e#hi&bl_XrWX93 z8gN^EFHL22MM;dAnY4xx!pc?0L`6m4+7AVj3b00^g@tWssZ$)*L7XgHTEb6V24YjRnP8JASDw`mTk*|uisDB{AAOfq}TDXV^sLBiJit6JyH3EtQeCy)MqdQ&P@A!_xzU zGWH0T5OCDPJEJw-CDa{Eus~a&lMYhNS<6jb(96_S5h~*>4)ycVcDAv^n`z2AsXIG^ zb@&LMeo?oS5<{u!$Q!vhC<{pFs`$FX&6Uv}R<0`YCI~$xQFF{bP0#xEnPqA#x; zpof+d74&n%8$0Oh2P$ZpTOtt_LWHxkXmu&`LsQMs3T6ZaV`Y?W91MNDZM>|d?Of&E z;g<5AMqWUfU>hJ_L(AO<<$*Ug5O)=~m6XDpdKhWTi<;=FX%NQ8PE5hT)>Gdh0BWjb z!>?lJBrAyZlCsrRG807`=-JzAn+JG{2Z`&VgnXsY4mN18h_^G=)XZE!#Ky_d66Xr> zQFj%yr=>CvR+jN{vJg>H#Hk87dRSP>V}hN{;J!M(0{qCJ-_QO2ZXy0}&Hy8h!-2BD z`&*BkjfawNi#T#r{)%=U-5#rif8IOuk4Kb{KRtv0w0-6%+i|o1aljLK=%YL?eXPg+ z?$iVFFFpsIOF3x6ph*Bj>#F5|tsJ+rO3c867uv=dC8Z^nDX!0xZEXWtntgvnS;jCh zrDiS9F=R~DH?Kw9Zvot6IH&yS4kTOehA@@XzDL~@6py-HHF!rMbIMeMQkZIfH6eB6 zi}MrH=<}5W5jTDiiHylgmuEIOU7;*Vh3D$ycQqrJ4x&V#t=I4{aJBv8)UEf<@CiYeLf<@9*1Y7PO7%3x?PhMs3M@%`q)cCqiL$ho_7PSolofOthbjV=+QzyxB zw#|H|J2V+jt}O)BSOO&sEviqFtE@V130rF$tWkd$oP6$|*FIr%KL$O7bnY)o;td`J zCuYcd=lJu)cA3bx(%Bd*@I67jpK?T`JAdfLlOj6uC<8LCV8n#qto6+~-F?U>A6L=J z$x!`HVeD4N8?pd1GN`wYGH6U|-Cz~n_Bk5{=&QcF zfH>wzP{1IM;Oi{Nmxwln?1i%K0awPkn^OjD;p}smF__xjOP^RM`k#lClTFWiq}Z-z zwLI$6l<8(ypWVCiC{?lryaJerE?Vz0tZA+khFtMz_&??B1^a&sXOgzNuqc!qF5^q)^yBY$n3JSJ~Cm)6rm^A9@AUb$C& zKFFng5JM4L@WIDQjGmM$|GhfH8G6=})ad*B4l1ViFSC}9H;;EulX8tqgggj+u)w>i zTi4g;i**kQ>DPUkv)S4!ob~#3@1lWlmM4VvdIaRk&>YsCQ{cS3$)x|LedWs{Y(r4{ zvz-r>6YumW-xe%Rp21sAveRsgR@Q+|y(zQDIf5H3nwu%W`}XGrmm^VIE|?kP}MYxgLCR)j8&Q{Wgn` zrLED4H~d-RZ^mOtWZ#$xe;g8Q6))Tdgg7DWYIU3{@_(=+$80N z`m8aF1z3mTDs_b_i9@J5#u-Z3gu0q@7>pkdooSwo5eFjU9oW3(bkF4J<{_LwPownWcyzKVq~1DeUXOroA)?2^v#v0 zcjE3n>YmVh#0_b%8%7~5$kx zo(v;dC4HRp5`BRykC@wzbqWYaQcJ^p73}6uUiGuSjsZ@~*wIc!!}sejk7RAc%EtH# zUI1>FO1?Si+fZAPDZdt%wt#*yz*`yX+n#CbAe987mh#_HifD=H>i*i?d6V`_S?vcW zb*VQNnhi~)>Q$M|QBn1aD=l|TC)uC)RtB}|t=iqOUI_WB7IB(;nR@-5n?L!p8M+&U zZZ4Om)A*K@=FtFOy)k_`V`g|@$6*JIxJ^6qV5ak4C+X*H)nLhnEIE3Ua`{KQViXbx ztB8U5kq3zW5|#L7*hibV(%Lq*2xZwzsc;Fq+SFLs2O1=DvmAw^fNPyET{;XpK7t=_i8(ppaD=QXq z#e!5x*~jNwcElBZtVLU(e8CB2alYQu{$KTM{b*S2C@Y`XjLtg_ z-Dj%>1r4pjyN6)P)(;9~_^(YJF@gky9O9FPVQ%u%;TK91;}d>Q=s~dJlXUp2#BiVcAg%L?_A@ILnzbEq_sOSKH)q)VO$Adjc7-A2$kil(q&<8xVd{} zr_D%i@P^il?tRmpq6{N0gI{Cf^@)9A8Gi>xbs$`-0G#e_0#;tDtP{RW^|Hg&n zK(chNmBnW>fv>4?`N^d0Xxu)L=hH!A!s@rc_|qHi8~s`j>KF7u4aFdY;><e^}|Doqm~bVIneX?VkyZ%pXGr=8sLbG~?9 zYM|WRu3b$Rnm0p{a;|W@xZ1ml{_2f|Q(Q=Sw#uf3T=5{+Fcp8r#uiB;&yUOKl%)sH z)M9L6S1E@c-gn5qA`Y?8xZ>aY&Ejx{L>#S<%J&Q5@TsE0iz|JH!(07w>TcsFB|%VT zsZFfsLr~I`-J(~y=G1%Yb-Siv_KD{NMJM`mI7;p~KpHYiq6w-nNL%*2K72O_sYW} zm26kFFl`3*Nn|E(*bj$5%AarTTKEKVMOf@J zsj(uRQ;Fs0_c|2y7u2F7lyprij34BCx2Ab8gvw1acf{c>!xk!+Jglv<;5-S^p(gq( zY80T2ReyrS?^Ur;`9GLKyZ4mi;`Nh%ss+ECX49ap9G~Ej*wvvPZzlf!7ocY$&78V7eDTLJzTZ|@z}WS8~- z(pzW>5{f7wRUmXi?;uK7I-&O-q>CUe6ct23sx%Q)N&pcEy`xAKX+n?$q=_^OAkA|p z_&hUnX3o66*YBM3hh7wg+$(#v@7|xaX&tcpwWP=y%XBJ8p>WU*6hVNtxPE5Zy>;x9 zcbDl1)73}CMFu{QB0pM=`=IEbk!4<=kY{R@zJx5Q(Pa@q8kkC=bad1e z8YR$i)DC^rQ$fp@D>O~8rRNBO`Y=RoQ~}&I6h8!6V3c4<=o)?Qk!rji&WJPMoUFzc zCk(GOZ+GH)p5@A`GuM~&%F6zAlKyxvA^u4BJi zna+S;`*Z>hHZ}>KGe|myE&1MWExo^tQ9v2Xb~`K(ep4|tldB~pOo-lh8K%1-#Q2T%Dh%_ zLuoWy5U%NIM1^`K*^~Cj?6ylJt;0L&$btMD2)~TD7A-%VfuvAt#}C4H2A9nG&5tg6 zoa2ru$7~9MEOFm^3!X7uF6F!O7G|ta-Tx??E&qI_aG$356DqT6rV@ls>rZf<`loG^ zF*s`OEzx0XSv_rg!OIGP4!~wjq_DHJd@T$jsmPHrT8PYb4c<>TIW)Z{96`S*^2_f zm;q;zS5b0Q=xz4dn@iik2l3-`nCN+8IV-v`u_3d9c7ccT&i=PV(`mu}+noo73GwiH zoDF9P!J|yggcd4F1a1c8szHWQ{3y*%_b4an-WQRD(GmTH7S{VFDgJ8r9?iZK{B33eMFi-!*cC# zS5SfWbG(BXbrd0Oo;1Elkw%fwVe*{_m?7Imt(YhCW=jx#It9iH(>Q-LW0jJd!)o%*<9NZ_y$@K+12|X`A+g-XOfR4W}%W6mx1}v=3nnJ?Z>+YPq8CkF6RsR ze{kF~1oz~q45&4YYpUclZLna});Mx1-ZPn!MT!I({gu3$9O^JPBj4ys9Y)ldvUoio z#>M}t^N0?{fNUP+= zsMC@g0HogrripWqePyytsl0KmJq7sAwjCzX~A2Rom{Y_y64B)xxt^~ zcF&CPm4v$sc}=04fUikq#v_@_@-@KZ9^<{?RGQ^i%VIoLRdE8@`aOxOj?CQYzBcrog-f1t!xc+t55H8rX>{vynng~+?G%GvxU zLUzf$`YIvnVgyR7w`vMdtk4uiPUh|&ToMa%^{Mmshl5L^Ke{|fhQcs8R>1FWUjF*V zmpW5e{>Sbr>I)aX!2JSBT2Hohdm!=$t7DS{Y$`QU6O0ab%9b~5hz3Q_t=`{z5>Zg_ zPHx2PPV&*l&??4CQ7Mj0;Qsa6E+BN2b(6j-`FIv4PBjWw5O3ldo_!R-F|kkZmeZ}o z1%a~%F(kjE@g}H0GFj(R=Ms~!$Dv;`W#-C@dk;S8qo%i%Dh72xu$BodkhS{ZHztG> z=AM5kVd_G2p=F-378EMN=`tGm8H|*N6~`9J9hOr$51+PO4R%8fPMN@q0;+X3;5%)! zKvC;K0*i>6;|%8tdg#TKz=n zBZ|uRE)x@mFMY@0md|eY5bve%sy$&V74lAHjUe1Y| zS=-UlOqIOOZkL|QcC!`t$8wdCdvH6bAbT0|VIaU(Wko`-Y&5cGFZ%3D)#XanI9v;JFTXA^)M;V$(5r29){-b>QnbPFu2ZLVfW?Q zjZnJv4>O}}({;(Tzvx9AR%%>rS&6?s5hG8i?F|!<#D!aa7N1WHe0TE_*tc|oAN*R= zMEjG%er(IIZ@&oTz?X%O28BC@%!?8=P?8tM9pT+%p&5O^!ON#F*k3nFuI^?F@=?VD z-Q5HgG&lNtV+-9|;+ZFCs^J%&n}ib3r`DapWv_p}+KCWnyLs-=X9_u}coto4Ns!qp zWcKToNcPY7QYtN$Dgo*vkosy6Yft0HvF0ehU7_n2_V8)x48+NYQZ%!3 z?y)pqP8#>OwZI@0eL?aUB%H4!XnAb-1YQ@zF^_Mg$Fm0(Nj?Tsp$&dn5tRCl2tU7&GQk1Syn%90{v&HJ^j@iV7#wiw?lxP^3$ z&}>b>yez4T;Y-x-Qrg7kE+Ra#B;3xIVv79vNuT3}3_}roS5GU*^eWj>cR$JtOdeF^Zfff5 zB>hE)9ej60G@mWUVP3>Lt@a8Lq8+cZDEO7rgIp*s;->QRQ(Jk!WkH!pG7uw~7#T<2 zcSEr@F6|xu%I>^(ANEh14HnoNm^kzK&E<62cU8uvj>G$z4J!iJFqbq#AZ)&-P-WgXrj*P|piJkHa3W9`)gaaH@0PE9o( zwA@BJwbZ(DG5opSI_wqf+V#3iy%F~@}tr%}CQNeI@& zDrCsm!yFkfroKSexO0V-@Md8xZG%=fw$m0@S^J?

  • ec?6kFUoY&HrlY{{K(= z->>KY_YMdm>rUAwB;eo?a3}ad{0*rlC_bYq} zmCc_@)m=q4R_`gZ)%!Da{8gg}+j;;Q$Or(k6lva=@#G5+&@8YkvW70RMbr{&7BUCp zh;Iv@XOLp7R_;D~pDBf+u(Zz!Fz=1GCl0W_%`3;Nma6xB!R*?{?RJIF2}Dl|?ViWQ z87_abTP#9boq9!bh*eyO|#^zl z{{3UmO=^pSRr9n$TtIbjz zlA_}wj`^7~v$tg;5_B@q%D*cyF;sw-4n8(T;+hjLz|s*tj@!)cM|i8&&dkMGXgR@a>B*M z@(84~iSF9`+@k?7zl4*HrZ=O=f!n2z-lUKwc95R}DkdLQyxpF>Oes1!&p>Xw>+Qmt z`?-$>7nd-RDcFotV-R12HvThyR+yjYcfcuB(c^S@NTW7|jytH}I;<@cO=+AuTBxVB z!4x~APdWsy$^_`cC21Py)_R8qaeinC6<>{NMr6I;mXWUc5ZQngJG(6~t(KbcV_l`A z=#%D2Tv0dI-VkYgPvY>{}Ut9Kd8PT z;g=F~nC!0~Oz(79M~9uWgDN*-1<&YQTV)V&Xx27FY(Rm3;thjgEr6w%_RjQ5Y`P49 z0)(-aU;JZZ*vj7a4-V5WQa<-dl_G1jdziuuh{7ILf(hjqBiDgBG{KY*$Lt+pjMV{T zol=eSN_x^7%Pn+6nIZe(jqFak9z-7G+_;>yUO{L}{U%wOxeoCmk0O=NyskPIi~LXk!J)<86D@Q8|vHhSx? z%r36MR;GXfPZY3zxCGp?8lD^e_YsMys@3|4^!}#|Wm;7lh!l6OC5NvI z^(z+4p_6_qi-mgZbVAu{;z$rOZ9(addcTzrDbjKg6(wl~^sYOlidMQv6TP`JjU%{< zH$JkmJO~6=Z7=Bkhj_QU9C?rx)Tmp+EV72n#73_1#x%{XX)tZ*{UyXA=A`nz-=HEh z%x+qWL9K4WLB1^8iElW;n%mW2!!!Q(O|rF2jPd`tz5f52`J%z>iuMoa;LRVTZC!GH2N zFoH|@i*}rK8&;EbE4DBT(2l#?t|+*H|4BF(n05CqHx`4oJ+LPL%9$C|R~u%XJZ_oc zH_Ut34qszDdf+SvwkU*}`Zf`e?a?#%?s{-hLK3!~=0C};V~xC0Uor}+Cl-wCM&9=s z{iH>qk8&a#kPCGy=`PO^Z?^&kp{A}`d)vOx`==?RJjhC=l++AqfA$I}Q?W13{ygB3 z?+`AY8zk3Id%e{*xFWc6-BKuFr@Z8_tv;}O%s%SxYvA*H0l~JP7Dr^q`~AaYQGY~8 zdaj%$_UUU)D1dWqex0)`(qnx$+-RbJ{DQ>QF{e(D`SkOb6tW$j}fXDxuv%fyeXmT6Q(`J@Xy3)KYWRyJFut=dJ!k1uqMFe+nOGV{rP~Uvc@^6Ndee7z zVi3|VdVTu=!{f06fOwjRQ4<`)r!2z{K8o)Q`UCRo_P#9l&kI1knNR9z#7Z-0NE^Dp z?v>@N1=KTvmBJtBUUZM`QRt1MuqpIZA_Oz6nHye9D&VyDYG@daR98)NmyNVkba_OQ zxYspN7|DXC#b2LMGVp2GJ)to?v>m$mK5=+kUKX1!?(LoK?i@fT1@Ypmn{JVLepJ0` z-$cixT+>DjP4+IE601$rd}5`u(fbT*LY9$!+N`_wLbr{8n;8MW5e_T!#-8WhV0&J~ zb#Fqse)FmwsQ&s?0Il=Ks9u>f=73EgO`&v$7c7VWjW<0De_ceNy1MY>`?*lX zuDv6aqfaER7RYEXpNx-b7?oRzoI|()gL($CJw3SgHO;!+s@LZrGN$(eieyj8eG-AP*z<=t&lrP0c57nUAUz#H}!^B_(~gYWyIiC$~`tcO*4Pa?$NW;_ghvrQI#ejOCT3a{Ep< zR8v>ugYvJLj|IQJApf#A7Q!q@&Q5zN4f_dL87ZOzc7P`8V6zNdUnftdRN}LX?fVL` zgQVmM4a?LP>MSFVY&c7eUJ;plQu3sRlaL%d&9`ReFx4!)(K9y0)9HJCopscv)IAQz z56AQ{J)PQSh&rO6i--68)i3$U#RaUdjxyp8TyghD!837a7E^uzjRn-QoIuKTC<7uM z+IRQS30=~4NBRfkJ32aqSBBQO+YRXLy1lHqNUxk<=>bGIb!fjkbM`E`bCqPCL?0@NEX>g}j<#sn(A zhX9LL0aO3eJED+&DzYIkk4mDeBd|+ciQ8ub01vVG56cW=AsuFSnJ>=M0(|+<0?IP* z$5r*|9I42>rnd#mmkEM#W0865A7N8|dT<`LD@%K?Oru&o2S@&b{`%vDB`J)3Eq%K? z&dRE~ni>Q}iqn02Qrp{~MDy8iAIB&5m1#}W7*9Jso{#IwZE(Y_leYdzWD*);>Byg6 zDBcU*H+h!FSE^EN%RW*A_wZdfbZ?k@D4<`Y=lE3G-q5+R(bm5;<#=pn>Y=Hh29QM3 zdn-NR)y&aAV`kSI?SuJQpTO?tn$)GVC<68)l)u}Nk7ZzcQ!Og4S7YL*&{NnU*3^P6 z1O5-#r}RoSQ)2z=1O<&XJI^UU_4#5uwN+v#Vv>6Ux78FlN*4Ul9+>Gik#uai4Te=w zs>`A0trg2h=#KG()J>Nfi?s>~ubJyM-{z(!+lH0#H#PQALoFgyFiD1ko7!JDPU2Q` zVT^uVA@L!o>G=~@3i7pUdeR?oc8bYuR2e1EJ&XVZApaIX_}6p(o5+0>4VkK_IY!9_ z0DT#V^yJ#YBU^NYp^OOIsi?wl8G-c2MXPI#1Rwv=6hG+a{|A)n@&{y@nFa`BIWWC? z7)XSG)QdEw;30#Vb5#$;2H1G1>26ul-R|dDilB5Gv2EJO8Q>!tBSh!hRVT3&bIqG& z_s%H49*Z3I{_c9PEcl~`_+iM{Y!-^5+ean@aO2$a^-}j_rWG0Q^A*YQprahC=Le{9 zY6aguGl_1d&z^l+Y`BbS>y)APFvA594yGmCm>MV9EXHZjG2R9acR(!iSZ6#(VtZdCG!ca84`Hi2H7o=#>j;8=m zg{8Xoba>B-|I_%JBU=I7-bKj$#2!}}LTMH#mjOz##K=~nCvQ^T8BmEH!kZS|Srw*PX*!Onr& z^vz4R<=csB<(+@LcK84}PtI|ixg;N|spJj+30e)7>)4K5cQ+%j2lz(rTxNv!nY^<# zs;UUyQukXd57^0DN6S-|`Qf8C!QPncK|Mx%1%A(wVXcj9d1M!1?zlox17&ybO>NCM z$+f!3)UFAi-r8|Zu-`ZePpFSD)N0v^=?mP_MuO$4f=><}kE4`74zN2lB zc$42}8m>O2%ai)0(Je}8Bhm49rdE6tPt7_f4j8H9_j+B`iNa3?6(%Iy75bCqQM69 z!Vb~o5eCYSOU0yGa0(CHM~P8PJ&+nnHA&O)x0*%vw6>PeND5lAdsNEpca&|^iQOqO z#C)uk4G5FAFdhfCnpoxR+0gceNXvwNzXUxH8J)(HhF%VgR&rF&Dge!@Z4?P9zpc=2 zSlWDXA-=u@Q>`2B2VK3%)UZ2%4OH-)sXg}>cu?OKyR29j8hbzC0qdL0IWe+cl+hh| z*2Q53nl%9NF~9=7^8Rk*MsHqvccD69=(2WOs(QKH&)VNkCUlu4;L$O4B}`f?&J)o< zGYHxKFmmIv0;q$RiKfn#gk`WycJG9y0VE!g-DG>?U8si}N!}L)a)GvlqQ;dWNKWl~ zpwDz$414!7kde}3;z(!nx(=3h!*#K#TtF-q4&yfG%uMu^$@10%)qm$QZ^4zZLG;hm-uj1YqT<&Ahz78uJX&Z}#*TZ_ zAoy_-@>?3zT!SY+wT&G=^Zv?WFDgL$>S!SrIRmkyYsNry*vFg%5B4Rn1Hr26Eezd; zEtx68%hhZ!jJ6C5k{2%2wBN<>!j&m7SuC@(F?3h@4BJtr5UZ)fg`TX1g3cc(g>3)a zMQ;lyzI-?pF=R*SfOB|;x}Yn76lI}@^n{h#QZN5-Ul{VY(D_Rmj*`YT?lSm_u z?M2p~A4B6OEY1JO?Sc=b)&}Rtbdq^@dU3oEy*@Dfbst?)hHS0NhF*5J-WzWgFE!Xp zT(H;Le|;O~@#O_xv!m;#D+MpI93OX-zMVd)z+MJ2>)|hWBdT3@_v<8J;)rq1$z$uO z(_-rf|N1WHXV5ake;X7~4Ej${A14+6$a-(lZT>s=_J1r?|EF2d!=v9*Pt2G-Ek0lU zzWMs}WSj0Zv#sk&ztF^5G+v-F%7rG}bhD~SV-@p*B_j3zIj8?mKZD}YeB1G!{9J8& z(Ibe^&KCBNeF#mx0HFf2j-qGBuc;@lJ#P;6xZnY(EW2t{rX!>;%_?_2=t6s-)l|$$ zL@A`?T~UNNCu#K&XnxGSP{@RzyDZay6r8BcolB8yK$n}K&<-N^vVJ0~l@7uJjs1xH zkIursJ5!qjv5l1bK!LO zh|9jM`c>UCZzOl-o05zwUd6#K_Q&E>5+PNEs9+szBN*#T7A%o@Oyi2w<=46V^ zO>8H{z$*K(>(j|(cMVCpR_KU#%vOFL-_PtUChW#D-RKZ>8z9&{GbZNum z`1VarFOC@*XrICvS99)4@{|2=OqImG&w?Xa~w1 z?Y?+s(^>CW4>+q-Y*{qAhTpyNvAES5@x*gmy!4bWCKqR#WOx-5y?_tvNKs8?636C7 zCo*~-4876yM{b_4P2gMe#1+on(;czL{;otDb+GWn+=3PN)lZg?XN-^AzeW`q+4L#` ztu0nl+V}V^*;|CL$myv^Se@`DgX5n_bBzYxzp|W?;zC03zIT*b3Gv9U*e_nDMku`t z`$%;_&%zRs_(TlnsvuwLCo^RmBw#&`RU_u0V9f#qM zJe#RAHPz(33Rv5dEUkn{>8)dUO+Djvi)KE8<7U>%Z+!=lJb*n)pNs1`nkf=NDtn2q zH|-(I3duU-D$E*L&S`B-iCsfVJKM=p+M_Xks_~l@)kj;I>Nr$$Z)h#jo)conQ}`Ei z_dPtcx#h=$uTV4N#JBHWPGoH}sFv1!jrla!~hB@uy{Kbf>t#!+f7G2O4WNXM`3R74}yb zsC4F`RvAvFCkQ>MQm~|jVM1zzDvhh)8^FbxQc;f&p4x|u-eC({HYn^cxD(qN+zD%mG5YYrVtJ5N`kD)W9Sm2Y?O?*#L6|VP z!?Jhn9=L94>mF12Xe)=ksfjDWQ^gvn1o^40g5ys$8zX`B!U7<28AoNX9shrU9=!uSsA59EKX*ndAItn457UWt6%tT=>~llubh z-376$TpNQLPMscj^#%%e*3UQzjXdgoxOm#)2X?w#AOX#5e2R7cgjwgybV7YTu0@flA z%z{1PA8%jK*HJ`% z9a!pCOBXxJKD}Wc?gvp0UkUvIN^(89>D7nbWIJ({Re{%wuVT{k&gf=4eokU(^r-8v$Wfe4?=EP$_CLl`B5NRW)(&0^A;h8E+xyc@ zdIAd&)sX;;`zHqm@&^dl8HyIptHLO+R|S6_DzOiwN2P`*-=(vUlUb%9pRlOb+%cMU zW~T6$F0<2*jPMv^n&Z(>xxHl&F=drxuH24(k0VG-NG@)OPIik1ZC`Qupp^T46TS&C zN_L-%7DZQ(6ul%Ld^+@v>4`~fUb-|1lW;4BXDv>LN3`!3U&KDdGR%+-`t5-sT<<+Y z;R_EPq&Omh-2RtrjLZNq_kD(D4tKhlhX}_P3D;XbXu5OT$BSr7zCv;F1S|2|kxu8< z4lvSs4FqL(LDr#a-FdXb6BzqfsJP884LIq3$)|LiT~8^qnxp97V@_*eeXyJSE^z7L zTkkxmu7TPFeOU?Kok7Onzf#Lwv0O0b{3n>9C-JJKBu zM;|gAc)Y!ROv=EZ3H^5;y$h&ZhThCKVXJ^>yH-Vd!%^G0PK&VxW`f`=Rwyb41G%){ zH(6k|z8OgPjg{aFJmQ0_AZf=NxyJl?5Nc7Gz+Z;GC$ak?aQa7%qlSj2+GeHMZ26T)2AlbpKF{xuZXKO%+!YKsmdGJm>p9s zxL_vm5Z-?4?zyy0XksQNRQ4}!GG)n?XP@OBJH&XN(Z4x_!*{ZgvGat~@lfkhwigC! z#J@W?PH*Tyi^{$*8k z8IEi3&4=X44F{$>J-)zTC{)Tu;hV$$gC+?(p7zmPjj{_@p`a&k#iYA;o-!pBV))kQ zY5?>_k+(@tx~FE+74-x@*FTnV~ z>{8*1D*^it&_vdH=M^O&rT5K3NbL2)WF+RVM61-cMX1%Mwk)*;^gD+qukvr1JInh> z>Io=`7hzl~?U)`w$?Vd}kEXIT)8=2t*ady*eV0*-r@BKWHzZ|V{0an2iU0KD6N2_M zp=7TKJAgTEw;}VDiXfaxIJ9>mCpVQcJ6)n^H^qs=#nC~P9;+CdF8k;L?1#*0+R7=| z3FK^=J!p`8>gNf zw|_%WE+3m)Vix2vLoKzzB~LJC5q4?L^b z3Ci8$KG+z!471Ltv${gVJlz&r)&Bf7s;G>ZdLZAGJ|)jlE1(9>LYzm*F7X*vJ*`~L5`F7v`@(Jq-gbr?s)NI6g^3~)S!vYH>H@rY1*FPpj2DjJ3m z;E);T8CLfv0IncFfc(O9bo0&^v|IA0k-%mk`5&MmZWQD?*rD_1bFlE`Q_D8d^>0qB z;Xi%aUKS6O`FuO>ug*$a&J{T%AT=g&?<53_v}xX=H(iY;zwUE=oT=auRwmqU;IeV5 z*fvV;6~I?8`CCg_XS|p1Yf&J(=D5HmF8t_9*_20BONrt68>5tt)uv+DsC0Qc>T3ex zzkmQio(8 ziEW_AK;CgHSxg!0q__GZh?i&zQZ(JlGtV?xi#Xl3+_w*IJyT~%JTyt)>RJOAT=8el zKLv;}SE2!~Q7lg~DY-3GW(b_t+!jnq@C)6qTLV&{HPS8+uHX6Jub)yE z0PvbFLp9wd?((spH?DYaYN6|R@b&9aqc58!17!^hOH zTRBYSyU zyh!(cmO{dT2p_8mo*t!kQ;UT${8@ z0L1_JZm!N^lnFQCg==hu;J4_bpQNO=fy_5kH-im8Q&rr4X88OO?bXl*{QM#c?kq-w z{iTBM?$r~N$-9Q1a}Cv`dPcj-bKoVMY>-qx4%Zq;#?&PmGbY z;4H^Gg;Oh(Z~jdTC^jSBcU0K-C>4Xn_%EoIa>vzMF6%p21axT+On@hL%c3bk+Snkh zAY4=1+&dHX<9MCn+z$SgSnHX~mHs>Q{KL9~qG>!|&$h*Bk-q`Zq;Ew!#4#Qft4rB- z9XK&+aYa%?5<-GxO}V<|H3KH^bA9@OuC>U1DCLz+OF&N^Uw7n-_n(fAx0?Vt;$-Hb zCTueD)lX@It{eK0q7C@2ul#V{67Wz;V){dF-q>$a3KXzd$jj1?(9Mq~a( z{q4rHV^#F0x+ifK85;yduFsDaQ-%hFuqOXW)Z>3kLDk)sQOE;J4=RM{o%Rt+&%7?Y ztATale~@JPKa*Gi3nMQx;Bj~v=0aaq?WxswCZ%{aUMP&HqB~zCu_$e5K%?-96*Z^k zxc~!C-|7I}=L#7=9ucx4eOdEIj^_@A&cm-3<(1gV7l)e+8M_#Hit4F6zbt(3rJ$O4 zFhHTsq7_GB3e+tCCvQrg|2Q7>Pca_u>D^)HS zNXMSR9L$wltxPXPgI?8sS-L~8vDMmAeqHptGBe|B@ponB0U+prfyzwB6A^1kFPB!D zao~q3ah;GL!@9GVzqdmB1qFY1t}eZQP)5|e87-;@3X?Ce;9yWa8oBfOM?oTR%A1Osa1Iel&WF~+v5A)Lkj3j^_N67gdickhz=HqA31u4Ka zVscgukPr_q+qP-W$9@yE6TO+c7Y;Kng$ywozXpcqS6|OK&dq;~t7EI=kA)C}bN0SE z3x0iw@mo9aQbYq?9t}Lt2Zn0vP=H=1mFwWAC*g?*@R}O_UT7EwFVv2;BKV<^1B@klDl`!lG=&fBkDK6oL@Xe|$4@$(789In~ z@Zvyf$ZjY9HfKKNf-Mtiqy|Q|0HfJY741@Jptt<|RZaYhsAu;h=lI>*OL#-O(#^J*O(1gt$h>6kEPoRd?pV z9n{l29M?m(W;1Cfu+$`aGAtvcz6uaY9=K*E37@E*aaPT zE?{j>)ssl}>2u+t+2aNqr;$glPO|Qk=1mu==slXMy>5L9V;WR^(kFxLqPPlYr<)V3 z6H$aKl&&1O{-O~Hv218fo3n8Q{%sQE<_AZk{2*1VMfg*E%BeRv)MuV8;n|JpXA{f% zqeW~H-n|8-ySH-*svwFe?T+vYgQXu5@gL1Te_rQJWRzA@5h`_GCDy%;7}ksH z9oSalwRl{nY83UO9PZL@yF=HQQ>jApBy+jeqU2@X!djx{^X%IZn)$qa@@Z7auLI^ zJUzH~@`&ZCf)u~q>on`Sy%6dEwE#@)-=KB}t7o$HoH?+0D=%|EnBHdMnz%B7plfBR zyzO5%wAV!Te(FayHS50Y%d@rS=WWlRsk!^+qR}U1{7i?M}XR_a+bqep|Fatn2(j%Q;_Xji)&O6*7!arYw!5&EQXqs4p5=BR zK4c9uGGsG0XNqopH*^M|FsY;-t;^n7!rXS`d|5YLZHZRRqL7EPhsm(9W!zd5p?HE5 z@(ki;II7PX<8O&fU@+GIk$Y?A<>yNFkOXo$p_ATw4}9J}$uENsd&Qa_TIZ7*VKNXS zt3=gdSt{{!mb0gqDv!+t>ad7g&jrjP$BtvkllJX8-?bT4Eqh0t_pV@1RUCN`J)EuP zJEhH(lmxrHkKM;zMn^p64^ohte{ZtW@;L#(tb%NRjorj}pZx*4Td9FN#pz?#n852F z+sRTuZPeNGQNiwKb(raQ?{tJnmY-OF!eyZHL2<;T)TI1dv6~;a!Ls|Apt^iaLBE7? z!{;65yFU*&9(jn^R0@+Y=`k&D-8LC^PsDq!u7uCVSX|_xfhq1q4REK}w`kc?j_3`J z`OpwbAo~E-x8#Kc5mqjygO;-jmU~_jEW>z*n~4cRqG|?$A8}`^PWWh6?o&-lEEkf<`M> z=Olu8fxB2s&#+ttjY&|KYBgv=44%g#y|lu3sD8r-!^Of7-TXWEq@G(hEsgNEdBL@STS%n@^%)PV@Y7{u7Z3jl>k$o#2QR{owaK(y zeY3NP*Er)Vu9SW3?WFhuaBC|vT4RG!US}|KyC#m%!VgQ_l0x!)gM>XCyxgzCEM3t)afz#)*yBOEF9pOGlg~2#;Xx z4gOT>u)iYu^$NwL!&Xhb@|g0Q&QO)Q2=F7?Osj>WZ`ch_hrUW;tu&hidot0~+$;ij zQL|fy4OR6Ai1mPZ@r^R`g3FfU@%iAzV%k^!^GM?bX39h3KR{qqao8;yr_2^i_$b-z}F0lY)>eua59sIE~1$7JUaV@u1XQ_D^!48Q9$Xx)em@w0sawbBjtq z^BLRp1y#~Jb5R+$0frCHy0K~!CFd2b-`xzij#Q0f9ja@@I; z0u1k5&!yq)gXAsFj-=!jg1f}Sm-1WmITQ~!4$p+ViTZxc-qe&~{Q7?dsUx(zh!KASq_Vl?jII(U4x@N3xc!i#FE zqNalopSUnIgy2`GPFYp@=YL}W>FCUfs9TS~8l(P=00bgVR-4Ie_=h!0|6U|x`ZKj} z{x2c>`hPXHj%Bn+7sj>WG^)WY$?S$JV~FfF1v4>6AUfJy0+&lKfa;g5fX{P_7~OF<9BylD-x_5Qn?P+hB3GnWLHYCkxOb;p=e( zKkoI+Q=L(RYk98wjMRg*`AaRgZ8=M}8im!OZkZ5yo4-MIWG=)PA;7vnFO`bOa3z%o zr(j|PW#1Qbu_ZR?S?xoQuHM{vQPG&3gY2U66W6BOygD$ z({$=%m3t~UuPL>kAM)J4s0FO_@lQxu6arkz{Z#1=K5zJw)h zhU>m$a4F|E)vMKAGxOWdhD7FybWT{Ib6PN(dUHH#F%2~LvlOGOEE5N5qEbINn0x^8 zd0z80LjYO3Gmfu1kl7aC#Vl~ZD(s(NTs`9799eS>>O7C{+~=Z%oV`Cqv=~6bWpF}O zsjPc4rG{YkD$->`g1#wSw>A^1+zhXB@n%ldKz5J~13&`moK<*tKYZiF9M&Q)cTe(8 zC$~)nI5rLLn*l~RwbMky_tuM};Z5jm3;urg+$$!T=}sG3{A6kAmmoRBn1Mb9yv|3Y zyE9eQ&OXn5;T|gu#sMXZ#fq0bIRfwoFB?I=kp-{e`|bwsT_s zBnCSo5di_0pgT&~nZWkUnnsw1x=S5npg-BbBXjv8S#cv5!ScoMB1M_yiA-7fn?m0Uwkll!*eL_t0PkhAV=OcE>!N*L3@s1dM^bFsZCIc1~0eaG~of^uB{Pw zNLj@yV@z)EF0dbbL~Xxwg4WJyo&3B8dmt_hI?O04{AV4k=`#jY`E`H6dY}i4I%k(R z)GDr9Z|-#hVpxypro*M|)oVoC z$w{l%61LM4pK)t@IT5nG9-Mn`8qt(NhcoMFouy{EvXJf4ao+d>lx}rf_aVdncI@0y zus=O;?o40oL=>PoU}u$i$8;T+YAG{F3WvQd2YV)@g4CGMV5PFJOD4|14fxKe7rBfTx(KDGJ#;{*fz<4@y=nt!{??{ zHY-t?H#7^BJ-0~Nvg1>~?#VDWp{Qdzh<~(DmYEpo#o3xhZ9R^ilBeh1xJLnD%O($U zCh_!@%1n>HxsP${>y?}TT^r_kZUfeibKGi8HZ3C`As%?U&yzMF>5>WiuQ9v}?9rqq zlZRJo1(=22*D<-Q)hw!uDJb7G@@)anB*+%h2e!k9GH3<+{ytzj` z@wI8;%TpNCoc0sBe6)e!A@udfWiD6={{GA6WFU zyFAMVZ_qrI!&|rP4#k&8Ir-KQVbLh}j4SGD!1Uij73opFu=7jvN4jT=znzoL>W24< z5F2D+5@{+skhD^PxlMF**BhygZY=&}Y%@7zb|<}farIN>P`FW%mj}-NNE5x%_)yA1 zkR%@w)Cp>)4=g(Hb=GymNkGA+DD?Xc8ZecQoqKLepDZ-kQ+Ibf2<LXM zY{o8{ykO1cjMs^qogojQC8Y(F%Y}c<8r*CW!wgh4TK=wTT)n>gx4%AfAZ8!ixliN= z#O#@ZfG(-O&&B-3cUp}w!dFG}#<>*Piy#og{!T{yiYyxfUpAhw+*M$uO#1uNE)d*2 zd5IDIC_IkuKvtUKwhAn^T-1>?BC}!jeR7~d~)@v z7hCaZ;ew$FuRuL*7?=F90&llhFy$CY9(;{Rtwu!#%dOZNCNooIJl_5UoZM5+*bZi! zj>jD_>P>#vvbqthIQnTB0K=3ZY};vbJxD63D|o!LNCN`VuB3^0Z8uarOqT?}UZm*k8CrKu+0P3)^>zgfM zNY!aab&>qiwb(~PqJ&}1Ej#uNZs#qG=nWYk4BD@NP=Op6$ZiM+oaJ#k9i2iSF6J!# zI$%nj1-}P232@(+^}iyc18I$H7o=-xop>u7viusO@Y&mHLY~rc;y3sLKp4@qL?Z*S zWWq_yxB+<`TAV<TZaQH7xa0CLxGL%Bhf2jLl`{ z9w}ZqX1c%8n4+;>^vUvyiHY)=9~ZsY)lij1x0w0_2#Y-mbmw!k?hUK`f<=N0Qtg(B zjRX^_K-~a1(y()4ZM~2zFwT&DLMsjs&6iQuLGDLH%fd&Cr*YO=HlwnTIX=2w%h>Gq z8qO3J#B0QB)?($q#>2Tipj6&&eWC(Om51SglEdckN%RCsaM_KMjRhyzuCn`t=@RWH zTidL|RgJm+!uMi{dG*5Bc&s!2VCCD9krC)Oi6|PVXzc<1=n1{D+^&nRGpZ;w+=NuxdJcPnTEBl+bsz^Z(;Dmc2j@dv2=>(aqlwZdm* zA?I*G#iR(+XuY5j@*wzbjIW=z?1-08e$Qcjxvnm;|x&<=th%PXP^SB@A z{5reYrR9{hY0n$aN&4&=D+$<$a2^-_L6On*laxz}W5uv8X`Ay<)H?`@nlkS7H=9niqqGB-L& zk$F%39!Y_(Kc@O6!>9L~_uoKP6V6<6Pj`ht>c-X;{>roWJ7-LuqI8NXf9M<5%K4Q( zbEAMtnQ!0i8*pD#)j~3qVv7OeffqnIO*`Fy)^y{F5qc>D#t&PG2;KAh&g)*KpTetn2 z2mH6IQ8zjdL4UG&{^o&^$Zi<6{lFht|J54t&r%V8|9Wj37#O~rVj$|XRHXGI zjzm%--DeG>O?}R#-4{8-pMnV4gFq=jbrj0_>a~Xn+1~j00>nTK#u=f=L;LArmkWTj zVzzhoVYe_$3M!w~0f!4E>@$<>EEuSrWjKD+%G~%o*PKq9Y(*<8*lZ2pOl#PZ-Sq$E z)ARp#+Wt#`quC@1ddv%Kt-skT0W5^L_TO_}Lxs3D7mot_G@x2{C0O>I|4ZR=lYlth zf=0!)J9k?Lw_}r^f*2A37&qjlPw~4zPGf-h=y615mwRu<0Rrf|@ybNa+EfsJMI+N)J?%%w?pOJm;pUQ{wsfZk@@jkROhR8O)0D<$=`oz}#9tRKR_ z5Tz$ghVo|dW;|_6?Z8nrKnE)Q{vge$HPso9dXokppcHTx*e~$rp|KG~Jv*}IEmQe5 zO*4?2nenrJs;_&Ob;koW&x~cxTMas+R>iQT&xOsc+OqGsq z)D1iP;NadMYc%Lof@`FW6uI4i34ppF^fqQZ9Lcq^o@X!0Me*@!s56*>#g^6!jsQ~x zw%FuEn@_n&ooz9uw?{OW;btNu|4WnKpi@CcPZ|MS@WWDB4JbrLL+~k6@Jny6UbTA$ z-UjlR1gIPFynqriYti1%#$`)QDDGaNeKLqGw{VR(b6-jvd94bi)W<;kJ1Cn_n`ikc zO2yP25j(s(EJU&JKrmJvEEj7lNE$DC+n)?!hAD__ z}Q$3`s3S1g3AX=zs^fGbvu65Zn;Cy-LF1*^U&zKxAk+nh6>SNZMmFG z6dm40$Eh55cCFyk-?bZE&Rc*>ILsA|9*A>llSDx7{O}EoyDs&slnX@hErih|uaNR< zrm}9c@hV~Yo=i3KeTNv#H{?Zl78}*(4aN>SHs~F_I11GFi4Ch>Q3=Dnh+3X`gI}Yr z)evByHd#qBR}MseoCu6g6e;ehf@pV@SU}=z^TPIsN&|!TdL{G+w!tK7hkWruYp zTwbGZqariMVv(--J6|7Sm)F#V^vpUQu#)u@Cg!IMv89Z=D#^yF8QYip>)w2wg*ZUh zu`t12XSTV__4+?nECdeTiWWdF-XmGgalp^kOlYA!24_c@VkxCh0qNFP5fy=B+fK_j z)mxG^usoICIbeIsC8;xcAgmlGf!I`Rnm)uGYby;J{Pwt)&E3*ULz=XTV68*c(6C2J zg3r369_44G=rNK-?U5$dXVFKf_Cem{C@B{75PQ0$`p%RT=Q^iWCx*THdw131(tsOJ zi@nAO?iAHE!jP4gfH>>RqALyQtEE3cS;c>Vng#y=K}xV^ZK@y$g(z_M4engSnU-fQ zjE_#dryls71XgGT3J-RpAab+ojUDK|h4@wk>1m}8`_D-N3o*9m@7B%VJndp$roh_O z0>>KeoTqTc$xxf@3hWZ{#++SqAP(Aw<~Vt?7)}F{AFsEp#m?M3MhjvR&H|^mVHO5Q zS|JHx@B=Jc}u9q--jUxYN) z9ZPe0T_bwt7{_9hWn60C-jQ;ZdMIUI+{0h%dh!7!*d3puFa7}OhxRPM;-r+U(VveE zye@6&URKWjoSRdzC~IZBU0Wc|4zozU^AP*TZLT1USN_PS-ScD{x?#IO{I0ndCkE9HTOYY)PiK$&Z$!abn{I=G19xU*BZu${D-K~rJw-1SnYI>4Z zz64@H#}ojE?YPDtpaplV-Foo*zzNp@ViQvKj5)CsxP+TzbJrWG9wq5;9fNLk+413` zTE}r4mbs*XQI=2ic-bouyV&M^P{Q-oSD-VXikTZa#EnkB4u(K~%FS-sx3j*PaL*xs z7FExo#M;yx?H=xa`hw{VnK>`bRVUvvTt)p)wHAMkbud)_JueN}X;VTnmKVfwuZ_cm zdgljQ?0U)r^`t|tnm=7Qg@h|sV5bSWV$IuGwYV9Wt89Rt8e8XV9A2-k(7UxBxy8B* zVGUshdgv5_{RM3STX63gSKb{Zc0|GGF@4j9Y)5cLloelII@{H{y^wxY0m^M4P_We_ zT??@AdP%zF)pmUmi~r{9K)bxVxi`_Kx$cQ(s{1(R697}1w!SpjL2$=p1YIvmZXbTU zXgt_h+m0Rp92iguUCf?JsIKnMgnJ62DfVZE*CBeJ9&wefp5VPTaiY#X8DhiM{8K2> z=X+D;fG%d)vt|5@_kE=eYiB&O(4xxO%aa_fyUMOXZu2zf(-VOV-X}_5zD$!N3VUDG zhw~JKkl=l1eEaft85{e%F6@*PBU+60C+0}%6%y-~t&Y% z@=HD`O%BhMAfgj@kBJhM7gdj4y4RQ{>Kjq+yL~YEiuRQhkD!R+$gM6%uAh{%{^BUl zu+DmuzBol-Xa9L8MQ=%8XTQwpHZU{c+UAmmP z1SrYr2YZ6IK|)S6kQd#ak8d9?No+Hg7d4=y&X~!o+w?x~xfv|lxxib}G94%9c0R=p zkQ0)QWv%YT44o-u6J#76{)nNaL9--CDvJ0cVHx=^P? zz7&Y2^+(L%Y^wTB;AiatG02r*wCs9EiYc#rp2u}5!PIun;eE1(EW+Ct;nCY0xNf1} zJSw?ND2y>`>%a<-%rJ(Md3(`0X1Js^lbbmdo`2oc@V-d87S#Xc)yt^pz1Yk2Xui$J zqrxsztXWTL@kO+Fnr`FcPxpzaru3qEsRnUS{9n_iWjL?&Q8(4=A3b*k7~0`g)U9s1@)eFHz5i7s$A5OIDN zZbjnTvV>HKS+a!4-V)cw*jDaNT`-BE$IjmgX(t)E&(=gtvV%6bN3#cIj+h^cZC5?S zj>cq9boS*@375t$!g?<2XcOpPU5v+?H*&RMnAcVKUZISGtDZ4bm)l7QCodU}2sT@g zJ$i9lbygc6+lEnmOcxFA4X*Ys(4C#vq#7ylehaxnfZMoE{b93h|Bx2$Qiolvzk9`5 z2t6xtYAqu_VdMsk6@5%vV`-b;-7-6+6u*US%})PWw7HgE58^rP}g)-M_yT zE+AurVAqk0TQ)Su#=1Fhy}4V9if=CKb}O-i`5r|%(pu>@beT0ZIx7)3hO572iKl(T zgwI*&8(_7&K$TiPZYfh*ys)fhDX?Uq#BG*IOR=e^_U0L53HJ6Xl|A&%C$vr-(?>KE zftTl-UdMgr3G?D2X$$`PV}gL-b}&z4*dn}2j)^|%^Bq-}Zm*v<7=SR=J3KQ@g7Y^WywN=!umg=; z=k$+XJtpC{`*!J-7jV|zXFYmpxOfsTu~mD6kMUhJ!LYBXVU!Ny`WD=K)$2xMI}8T6 zbLFnWtO)=j&@PvzF2=S@xx|iZ{SOe`u0B(E=?L3=iv!i9qSv5|8*zoGT+?Q};K)7x z%$0ffc4g&Bf6-!$h?_ZX+;z4_PvhRhv>`=3R`%fU$Nq~0;%~&36S3wd|1psK{{@FC zmmuM)W1^zKKo1Py8-=c7-7Zm=yCH_cg7%p;^< z#?h&Yb+3+Bi`$R+mQVFyFj)nP7Tf9Rq8u?7S;{!&s&^;6#U$Q+{!i#K3c zFc3F$6r;i|Oq0i=z}1kaIqX`@j^4j{Lz*=#tMnPi20P>HfrK_Mv-oq(#u0g#@L;W#&-|avy06{SPK}gB6p7nb_n640kN{1yQrg{tRvbVrE6*gCPup=>B`cxqf@H}pFfz> z_sV8EAGMm2on&uh-wBKX$h!75z;HmY8+!Ni9UR(*@$AyENWXFRk*^^F%2{B7pW{0o*v*%HV}&!vhAW>Z+at`G|vzq{u1O3 zgVV>!b!Rqg737DI#yG;`$z(&-WqI0GvOhWxg)K0pujMH8iZDAhqk_Ms zMZ2(_jT1&|KZnM~J{i~OIIW}(t~$K3$Xtz*dg)fasAo9jRg%h2=&i>F2pOD0++02lc7@&!l|LAVLM427|ctX-C>+QFN2 z*TuF+T=eRR!CjmT7D#4MoHeA$nb8c3NrdMOefrlJ{fWXfp63g?M#Lm+$1Nm%jtoBw;Y1!Yq_6H9$H~vL}T;_ zxB80pZ0dq6ja}2np>Z-eWe9GckK&C&1kfL>qEaz{c&jFA{T(Pl)1%Bwid*bhmxNjR z{#-mV(xw0bM)LrO9;!3y=Ybxwy#p1qDBW<{Q1m6^;PcXLLo@KGC4AGA5IZ#fbb0bA zvyAtMF{(Ntb4oTM(`^~kXY#bhZo*bW?mGA0OKaOA54Cht7Z|5xO}yQCoWJ=;Z`QNq z7!9=a`8S6mR3c1CDuaDA0{wybLCT~-wt4h9z|9@yJMAR$cR+DQ{Ujx_EA?Kr*7EYR zHPvp1$D>%KQ5{l%ADwK0HM}DaO$#*wzS-Rlc*yAylE)MFr+bzlRPyFJ! zW^D3F#Zl}zTkXgk@PI@_jz|vinfi{e1+`MGn^V=4P<&^1`!_Q+G4;%oBLX~VOxnt= z$1>yVIe^4yblK)3@Tnf;IcN{tzE0X3m;fFLr){|03Zf3SYD-u(P$pT5W5edV` zR5As_&5(YDO25t2dQVSh{jQI1Lxkf%`(;mLQ&kMXasHA>MicF5D6#k64cQ)h7%QMJ zS;%>HsEjHfxe^RM=AYgi_XQo;58lUwI<8C7SJER+i~>Hb_~7UlF4!wO24IWxf8kfC zf1*KAH92^cIXmTlA5FEWU6=O;Q*gHT@Uueh{0-TqO&g~7)=--W*m-bp!&-Pp3u}X! z@ZjK3hGnk>7UmvR55XNWCnh5Qo~3wr*jq>YDRwQK;CV{D#Whz91{($K>fpp^=i6r< zhVhWg8g+8$;yQ&KVSPGD@)0MTDtTP z?1pM;)O@r%;DXWdTA@V;R06@{@5?G8S*889Y;(1XV_b?Q`ofZodc&!#6Y&I<2b?|3 zWR496;-{q1vQD+p7Cr~ib>_yH@z^KaS-qBC#If=6ZpNuk8AQYU?cI<(?#lVPuR18A z8OKVEx=;->ad9!X8VR3@^U6zL85^Ni5$U0AWMx;+r~TC`0wT^#sCKCdnZH}5^QUR! zKMeaDETAJANNq_XnaV$6_ImP2j@)<1)h8PGsK3jlMgOK+lAHe+WKP_We z`|?mZ-l}63_3pY2*8&vXO)!&IDO2c(BHVjmw3)%{^wmS`Te37i1dP1{=Xm7BIp&ec zMndwjBhEGXUiX7~))i&>nL;y5(SN5l!>ZjE{YDOCcAdxAW8;KarSL6m&I3GK6EI{I z%zvj;1F4Ze<$$pIZDsJ=r-aI-Mb*%-sQa~b;XG>z!(SYeK835N*!^214)`yj1~#}q z{Lq}&nCZ?7s+KZ~(&tas9tH^8jJwf3j;H zVwe3Vvg+5T=UD$ zb@`?(VDQ>bU)WTJ2r~EAeO-pd9>C>YCH`xWzrPns|0j};>ra@O{{VdsXcmah`U>c} zJJc!wW^gkFJiefROTt}B4qvLS3R<~sA0j>Z>!J_rhyOj;#WHUIO1%8TGqX>^I*R^v zx=T~Dig024AeX?F^>eL&rFCTnfn+>;oy)#VM_AuTD9#OQM|(`qK`BR`%?Nuoim=HB z@l}5_VVLxJA@MveYD_B-K4187TYfeQS-R?SL-Ds5d@11f7)njQ0Zcd0-c{y38P4lm zf0cleI4fzpfmiKWm-@Od$d<$}pUJ~K;IGXn7_jPt!Tw~Zo&djYU%YA`G&$md;VxZ3 z`%*bo<8!Vdx|-X!$zxMuQ*>8}`3~5xlRYX$Bukjpzf7LKY;DlPN?WQkOufgcJvc;* zEmpCL1i(Qvk9{L%{?i?v&>1E8&#&KP~|gtG^`bevH<9CLIBj;6W2 z4g>qUG+WY(HvwHNpky_%D$W6CZ~gh6RpR<1>?Pf$A3C`rHBq=ls|!iAu~ow+ZVa0z zA<%c8{qCz|Pa*N01i_#LOja&*@4F55r`f;QnCLr!|Lki9XzJ3A7O+-YbBmSj$eTjp{QNAbp#Sv|gS?N@Zd z;d{GYA{NSoN+I0pwA5U!zG-l``F5M7kU4ZUJfO5|HjiFW>1o@A*CFc=o<~?|ZiQx8M7`fAFwa3?_5UG3OZH_(X;~ z=&K<7k%-*Upk^BG(`m`(367`Mxz%V0cggY+ju9Ei)*b-PMhcA0g6Qe->jssd;g>Z6 zgF(2^EC6>hW>UJ@<4sg~WF35^|DJh5>9Ng%4NGS<@?{Xi&ItX=n{z}T4kwXd68jOZ zj3DOHCb|yT)&0NU+nztgh8q@oruo(girpm5}OBd-oUUfKLps$&SJE z@1{3Y|4wQXlwTb|(YZ8wT6IJaPb`4=Hq6wC#MJjo#qko^mm*pZ=EvP4ht=Oeb=Ivb zc)+tlbxpVqP|Oo&TSm{N_O68unj4AsB2VEg+YRsl&r2_LJ)TaI-np`uujd6JCdo`v z#Hy(caFdDQo5@>TxBT)difm#Yb|(CNangeK1|+Bu;e4p<$qe75V#$=+v>O~&KxzOy z-Rm_NHpT7|^(6!#t(l)REewWVrh?~MmjLedl#k%_nsts>9;5^QHp9cg9GXG!Sx529 z)6vTco}>ou_RBYb85t7(M^DisieOHIDD`9WxCLD^C^@Ol^)0(zs2t(!EFZP%uwa)u zW~y;8^t@yws`W%cWY=LF0?k2yzgCVGrM!5IKyd}jL}_8S)WDj(5vU(^B3||T2I|&! zRHUi?=;untM-RwTv-OBAPqfZzEFF#+%w&M;VMD;l%Y9!@box)cXX-xAq*~AQ?3$8k zq}@md8lbxdwJqYXw?Z{;XrLs4F_g;cymB96mxP+??5gdK`F+n71TrogAlGps&?_I_ zvXDi@mP5c|83LqaSS^&Yw>-g9v%dfQyn3O9c?el zb9fX*kau=kLLj+}6|yg{b)>07lX~BVwx5K@kT0I?bFDx5!`EeU1ZQbSnC7T>5%&<9 zf5lar0mh%ogP<}FdyaMRzMMx^7AIhmeg-$yG`=dxabeUYjCdFm@eKs1RACt#9_cri&1gQVZyB!{45;U5LmD=UGcz`M9?ge@6lpoRTl%uO z%5Qt2PD0R*VBoA6yV3mCxhaXU^o-aErPWk*MA6}}(>EiLScmX zua#22lM1OBUg?#*zdP+)&0-xVWQxJ~O)>$(yh80?dCPZLM_Tw|>M z8wmb}^TU)N@yf0J&MIpc(f)zswZ{x-$^oabr_{DMXubcd>{^MLywNh4YPmJ4dMSv< z-D*lMS6^3G+9cnr%s9$w01anX$hK&a$$?!`J*U4f0=$m>W6hWtwq_Yx6{oB;D z>k`y{&TG|A2QMt~qXw_3L(qbPOA(Q+pqpjx%f-L{+ztfs*XB}00=%iFowhQR_3rE+ zPcgRFD7+(M4*o*4w$Z11^sUP3hHtSUxd#-ZAndjkyGT;zz}xlq9-r z0+=MLl`)+HdQMAKdmAY$MfAG}Q@KQ^hbnf=6)|2><>pTKR@)h=A())p@Xdv`t_ylK8+a%m7>C+32xKU~E z`qG289uWdhrO6vR6Fh&QwiP8gzORc<_~Pl<;6wi#(%O9M3*ObHycM2yK;>s?SwACx z=oR=z+T(tk-V?<~pLYa9U-vc|f*AbR`_2K_jmrv4QGS1kYiH;AZ0gleX^;!L`fTbo z+5kD_9w|PEKx#SF1%Kv3M+;c?{Kab~00g8H^W%{PSV)1`mwD-$(3`Ee@HMJ*F~oWb z->ZP|7HlsD42Ef`iBq@P5#{o_@GR=JqEa`8HFTo5X!leNhn#J7{^r*jvub-aXK(5O zeeN7BBTt-r#Ii^T*k_17%>SIX0*JGJNr1n5oBnfS{}YlLV8i;GIt%~d7$n9u)=Q!r zK*Y$fK;(464S)i+Z~$?2R2!fH8TSn&KOH~$hfm_}UrdOStSq>7T+n5O6tf;`Q)_X3 z1<3spEb0rG?lsmqA0PQQQUSu3Pk|465mmN;xj6S(OMB}puop6!(#qyZBE>ZDG0g<8 zl>1ZX5*Ge3ko}JhjC#z_X*R8kr;J7YS$?w^I!|M@Ol%8CSl(I7u?vDg)Ny)VcyI#% ze76@6w7aJdq#5nm6gO(BzzsURG7T+*X53J>V8h0ay@#N!b#rcu>U)d&w=;8%Y4tsB zrBk7x6>yW*;qbH+zhr6a@@K~c>F^n8536!K&jSM{?)m$@RAgVSM$K180-ary7PNL? z7=9aQYL%gyW#IU=I+YmI=oxH3I82@e+dF@EC6M6i(=GoHS!fnd1Pjv~IdUue8 zZA3&6qEZBLHJ6TzMZOE)MYsdq$4&L*r4AhdO|aFO$Q?28-Lb`PJPm@-n0flPBvD=* z5D1;`yaX+ZW9e$mnjMar&Q(Q-8N+5TqS7(^@SEoXFoLy3e6w zZpx+3ef2hfm-m&vp>4g_S_7#DDpfRxQP9LVs7=jn%N!tbVtstRKfEx%H~e_WxmpPc zH{W$+r6+r;B>y6=gAi;9^p}$kO0wAoTAjw3kIQ$ZA11jb_j@y+5JGodwkg5dDcIR$NE+5FA(j^~! z&Admabd=EHWDMiIcXD;s4L?UpYG$lr`s_b2%R6!jqzUOE zrcn0vC10*_novT5&vH#!arTR)4Jx@{l$X7yXSKg)i0-8@_V|;xTK`4fs&M^nqZ5En z$qR460o)r}O<`k`6nhko)=L$&w|k#^tz611fI_H7#}fA0$R5x+etKha71Pu+D5hY~biE0H zml>1O@gkxUBx)FF=%1c9RZ}A9wM_c`A-U07G5fpD?L^5Wl_U{rCO06r11fC+o?(sd zR`jv^;`m2M{_6KdtMnjEjIp1BlEc^S=No@AqTzcQB+{OXdAqW4@l&o zuqfwZdSxoc5I-`0)d2bPjARDO;w)^Iew;ckKpkWp>z)0#XIKdsH9->4eIrbJ_{L?L zp@6HyU=D=_?OcL;H(J0nNBI!wLy}*ZiU-M+A3*}fX8CC1m4(C?IaR1j9-zKEEJRC% zYa_z{s`@t@)*qfeRmcxZaAAj=w^T9{T+)~8 z6K4XAalF@6U6s}9$1J=I;8lOidqkl51nM)ju;mB_FC?JA6ytg%G@Ow)H~VxH=XpBs zq^ztY|R88P_X1#4O+mO~v9 zsR3Nc8L=Qj1GK>eHg$A=B6+BTGi8jO1woF2I=Jf*0bZ;|9#I?URQm_6=^wsC|HFUJ z_hSpBDE=t%CfpkV;80CuTv;~($elm>8U9i{F2;DE6eD|)m*+;o1N9DbfTk}R%+mSy z?@YVQm~N$7Z4&FAsSSK>-J-z0dG3TcWP=eOm_g;(_0^izxL2R5!MAFBv&WtY^q--) zeoUag|6Ji|lcQMX%4#siv`Ae)!Fe4fldKH13rOjiMCpjBcoA!XKy-)#wf6n0khJun z|F&{>o&|qE$%lI_FJ529GI02b!O4Fds>VXGR}`GylK(H%505o=&z9dJ?cuKu7SYoL z0j%B0FWf6M2vki`=+A3+t1wxI_|C-QhB2pA{|}YypOgH*@N2jqqXpd`Gw& zb4Kk~ssTTDHE%(>g}z_CzG$(OYc_8R+G_2u^P5%R50^tI8qupKM!*F;n-0fel7l1H z7#2%cb{_z#mtVTs{=4sGHP-tLPg(vg&qpw)Nzg!z$qG++=?VhL(pEI-t!fGinG<2( z7Ae=y*Ctq8Kg%{^8uG8?>YKmd?E^jLC~{p2wkbVhRv?%ny!O!2tCF-NFs!O-e?WV@ z@L9^m`gU{V(Z>{yq8fKILsK2KtbNy{TCbo%W)zQc_x#d@Id_^3m~^JWjhu#?(cylG zQ$eoX-P5@4yKg!hkp|`JN~f@~=CPck&xW^KxQ_UFR~*=6Xf0Qa@&em)b-&eeF&s zQ%;pRg)nO6u9es)hS3AkfMF4W#?Y0?!s_tUm3sEcf>dLM%v4Y!2jv5ASv41Ygyp^` z?E^j(d`4v}gZ;$^EY_a91`?AJgbn2l@`ruKBL_5oe0K!6IcTIX-ppzSIF>%GcXuCy z&|Qg~2#3MW&J}j(i{<=ZUP#rag$F*5SOcGC->DSuRf2H@=J%KhG6@{AM?C=gqapq@0Y=1x5T$|BN87P zFlFS1%a~t>{lRHphp`$4;@J>E$^WSgY5)kJb-ffcOLGD#nOK2MzQt^)Twn(fYoiha z?PC3a;+Pu{i2kea{C^04_-E)uu>s-Ek*kA5`dPH1qgdqFxc5{;YQZC%R5DW}WIA?Z8= ziJ_s29oCM4N{xh3y)UP7H#gxWQX5x0TCNyNX(db2oB9T?iE0BB@TnxC{h2yAts<$N zIoP~Tt3MO$(N&C&ZBIYbTCgr#v>(^w&tM)UtB9j~CqeZhK$L2`)NghWe&(y~d{d%I zLBpht(SYp3HFGP!XJ9H2o^vGM9enx^Ti}(@S>)xqh=+kR$N(rzRf!D*7z^zg$SNey zFw)%W+>CVFxz^WiE9j0T)Oop_XTWA_<#J{alX7RaqB*$uRp0<>l<~sF;B#RL!dZoO@;wELTB!B-w=mrYqhX|TL zHTt85Kn-O!F(g0az323g+|xMOIkLxW7H%5nMh?AHr1axFT+fG(1`_lijHQ^RVZB|K z25lPhnNjx;qpZAtQPRK_?LqOH>!L~eUAuceWdlgs#k|!)dl-)}BofgsCa?`XN7Fp%Y;2DinpMRs*;PsQ2{*kyy`5?&GXA>psDeSOqB%RIdfbn=dc&jTLI8Wt5(FYRyE zy(#e$c;pN-o|amwd@hNQEqg23@wzuQIn0}O$61A?aZ zD_VX4dih^t6@WnT10L$%RcODPTm7CdNf(E^X~okTagukdk;mkiO7W>AiJ7_%L7-T9 zKurX_BoFY_SP{_aGx6KNpdI6T8IRgzU7pF%c8pBvhavf66YCEzyuY?kj~v}ALiEqH zaBp6H3Z3;TuCp%|B{Yse!^(_)>|+bTVCxT3#O;0%=OW8E|w7J6rN0ro4jPgNLg zzWy5{E7gaEu0>jhuQ)GHL-6H`WBZ`z>@*F}U@xd+mzu4xL`gMrH8bQusWt+7-asiw zV)a9PPhC}~!|}jSj*U~D8Drztk$~BG=UsQEBue3?Cw&Cvx^fp$@_?v6Zo z<{D@Ib&RjAAKtxbO{N@B&}=oyo2#nkPREnMPW9_nUZ$r5p)b2@sH`1aVtWF-;TLlm zw|JAgDruQ>Iut1{4+k{gN^y)iTgu0cvc~e{moa{7lH@MPynuAH5H$rdD_%1!9I%AJ zLuGENk<4I^_{bcI`vJj{PIru;AjgA>t%`VcBkD>4>sV7Ez0b$8g?SpYo{SQL`taK! zg_Y-JS#=5rdaR?CUZ?6d>Y9n5J-CsVdp3aPH%qTaOZ+i=aXx`V6}{|4N<5N?cR z|GB{?unEpG+wz>8Ja*d1{CI9r-qib**@_eO*(+3UT|eo=TT7~^heNGlT=xMH>YfKR zk7^>=55mQzLj`Oj2oqvn8}_hJ2!!x$MLMLeKN3o4aR=l_)9!r~Vo-hW#7BeVI1gql zbJG@_8hzxI)0%Xa5mckewFm!fa(7I%c7pk3V~7ceKRcKR5fqb(orS`>{wWwEsAfV0m%#>JgYlBy~Z^E@tPRJ)m? z0KDfi<^R09{{>&e|6AqcPr#S{B964Ml8H{W?v*p)9b$3TmyPe|A$JH*L;?yyK*(kO z4fJq*^ER-?=9YI}Lru4=Q}L3J6^Jm4AX`1F|1O61dy6}KKkBThLxLUif%#S$V0VllovKM+Mma9ET5oX}oMY=9S@nA$YQ%Y5}d1BQp_#A#S>JToBe2Bt9! zzE|)NpGFqJ6k&~WdZa;ZvcobNyqFn+KlRRP7JSUgh`(3G$4*BVp3+qNG=tgJjkK8w z-oA;|Ee>r%`LOc3mA(m4{K|5bab|Qmt1=#$Gz#zXZH(iq0WRb;)pC( zTmh5K#X@n!_BP>pr_vzxBj=#ivO5=b*NEqP2Cv3noj@G7>Kqm84(LY~goGG9Iz+xE z)RAyzFeO_B(#OHlLTK;Qf60%+-WSs5A?Bq%&?rH5FyAh|XQu$N(!y$s_2XV*^sj^?sS~N z*v&@poPI|SX`{LbCr(sT-rNVF9!86DPnBHDWS}ct?kRQ~vA%x-`4qc0G|YXwcD#m^ zr+cl&;612$yD;pw3Ts&4-(#$uNV}a|sNPL6Z>}EQnM|4NSa6NM;VIazg|S^fOV+I- z-Yt`S7fT)N`!-`xA2oG3+8$FIkY*6(0rdR*zk%Lpiu5!Nc%NSJ&Na76$>$sDrM_2_ z^VT<>EGe}2tGX0o^$tq9u-oObA)m3($0+xZr(w?JIhQj7uQswqF{@%VzQxjU=BIF9 zzY!^M#g(6I(!vZ|9bdeynX8kq%S)OYoCjU{aU)9DWo+tiNxnKfkV4P+O|iX65UiGfM_@_ZMzwM1oj6WlS}t-i0mh#3S4M@eEUVrBKs)GA50G7CYQ)%+yk;&Te;}u-36X@TnQh zoa+&Sa^9`L9rX2zJ{5AKd4A4d4i4l@mQu8fFwOEO3CCJgV}JuKjvIEkT@kt;N`10s zKaCW=BK!tBZ@<&iMQxW^Sekp9rgn89qX(a?{MXbn+J(##79C4B^8pyeLq%V0pCiQ( zPa(SkZ49o{Ft9jufH5wp(PJktCK;=ZKxXZMoUfnmTBPFWWK!nWgk*rywUyAG6ZWh& za%xeweC+NMWE9GKGGxoB<7KJV`@6TfSgNbgR#hr3ii3Sjym@-$5nyr+X7x{>}X8Te?~p{=N-8W>mp-i%Sup! zZ5%3|oB}a3ALCZ%I5cF* ztBj*YOx&ZOLCKMz694kv1jF^C0!P8!X;8(5`SWX?+$*lS!S}~jhv)Uzicp&n&abcN zjo9_)0zNKkQ2T}TCp<#r{t}JecBc#VD|jPx19`J92FOA0#Zn<^D03iF`5_-@x>PKh z^RIV}%{1{mXIW70AghliL*CC?J1@M$c30F?w}5mN8@V`*=^a>3q5(EON*60@9C)Yl z(j>L2AB}$SW_|DhliMYmDwP)vf5>)?;#KP<)O6LgiF)}qb(-mYPR7WBP3v)Us`pw> zR-t)ve0mrqMZ5_8vd?bU0`X*Qv3 zwxZ2E4(;I&JIAI(3^IQzL=+J-tceRL7)6)yt5 ziMj#dG}uW9ULEm>*)dIFJx0rzl_oH=%MhP#*4LEMYTac;!6-(ZyX;*dW1|@>^Q)Ss zz(;#|QjwZDqWurq+SP$N7B!Y-ZXkoBqYgPOIC`Z@#NAzCs$p-YBzb2+C|THMV!yhd zPV4O2vII>f#2G`MEoRF%sg(UsO5S9Mcd8O9k$gmvkL(=<~m(M<5hE~NSlqnExD^E6xB;V9sM+J2q z<_bqOA{X=yCTKfX@2(xkJ-RLWp4=mkLJloifugi3;nEzIcJpvW*;cZA6ryzCJY#2E zM@Wu+P%NQcu=OrpOz5$z#TB#qk~{?M;-mh&1alVvF6Lp^e^;E zMBFm2Bvj!~5IVPz9=4{AQC+=S#}5sX(rrRaG^NVtl^_EIDnto!Fff@g~|eX(EmkOH2K(*@=Gu zI)?d$``ESG#0H5$T&bmb1B$hHb8|#;0cq9=C|YU;tsc&eI5hSSNaYigFbQLmvTK-5 z!^AjWdJpeIzX8LUr-nIm$xzQd}h6M$e^rDDa zqz>mSza7nl@t3GK?8KCJ{*B!IXY|$K2DXczsvM(QcJ$_y*ZH=E}&V1hpsSz(! z9c?0b{!}FrD5?IZq}JbLnf`OA_Y+?KlsoJ%MAR)V!{4HqTO^%utjX9NoA2ny=#w7; zvpgmn;1D*pEzQOfQxZdXRb!TkUWN()bb*oMHB$cHN0xC_cmX5XshMnnk$r7GV#>$} zFKaC04>NA$nq*hOm@qBs{=zwi;{yCq`)%1T6(2&k`o6$D1lb*z{c#-SFNQbv1R2 zk&F_18-wB(?9E+b$r>g9o@ea|%<(i$Y)2xpxO-3`zSxzBLT@jr>3dnA{6= zmtRDbfnQZLjMan@s5Oq4c3j3F606^pRhMe-gpb6`0RhCh-~32w!(Y=Gt0!-aRt@gW zwe#zK6`vl7KdO}AC)9h(w+xrM7I*RKF62UyGwSl>hz^FE9Q6%E^d5#gL&7#|6|~%gv9(i{x7yn+>_WR+eaVJi}N1%eihq)H1Ri5$A2}IdIax1Y;w2G zHKr?zxobx`$7Ooqu*H}Z%R$pAUq$e(ZCIShF=-jXxP^i=w zh+nX^dQeBcfgAr}Qh&HzbbG^F82eY=HhEEf#T4`=xJK;Zp}D&`>%56%I8)_vtpzQ6 zo_SB>#|uCYT!|lMcJPQb@=V7DOLvPL_b`4lc)k31_#KmrosP6klQnV<>?Xx_4w2Vn zGr1nAi<#CY$h3P0W4RhtV>g0;#q$tm5K{$ncgvu>KP;ehH|jShfT9y1kaEd-N*leu zXzbu=Axl&?M@)OXAnAm+PUxm#23SMZE6J#QwUu|r2OMUExknGU1cZcCH2gM>W_g8Y z-Y;rrN9K>=V=_IhpN+K3Sm6S!aew4J{jO%&?`f$08DsvPgaxRo{Xo?E!zcVJp2cb| zRu))gF^%jpp1WQj@h%-AFGmbkZi;`pR~Udm>xI#1mqx8R3^fNOnRukf%+7OqtnVWB zKlGh>8=(2R%TKgd?YZ8Zn42Y6$rpA-Fh8e#Hv^(9b|7lGAeI=VzwS4X@4jj1)Ta2v zs|2vLw4Jp{(x9w^wbYB$xWNR)_$3gr(c60jKKy?QymZ|g7l0Bm9opRbTD6{ve{T}} zt86Z4U0C=VXo&Z_*snYw_8Xs+bz!M^k+W(*tbftA&BNWGUw)+)nQr~XkDdTeVk00T zk!g3mj_fG(pb1d~Jn^$s^V1U2%lR3N&-^ zLF<$!#cJaL#=C=>DI{ocfU9-B!Ic+FEcf%CbM}ZS%Aq zMhLY+O(xq!q_eiQKMFI9Gm#uxV&o4| zCt{)!c7=X?9v@6uI`28TU}k{HSt8I$(h~vUS}eJ(9+NFt(CbgKPU;zx)x8TR3SwdR zT}s|qT2Ux+Gj3q1THCW!IxH-da@_DET93!O?PtM5GNA;ylbI%i+QMY~SCP7lNcU71 z=d>3tXvcKIWQ@&7#F#r<6ZW$?d^DnwhuKq&@7ra|E)OnYyXtf>do#0m3J9IOCd3u| zG&BF;xZ^!L6TFQ?9o;^Tz?4nMsZO&TG@Kxrw#@F`qQvs2scH42Vm}U6WVrV>@#9GQ z8Aj2fTwh^mhuO1ui5jylH7=z)iDn@}dmT(K7)(*fWRH95nQS>^1><~uPysSnQ`Cj( zBIY&A66-a?oB*VCJ>nba0&3CPZJ5jICupst6=8TIR+*POR%ELm# z#l1C{*`&5<@&FX8zCil$=DiuJZzR?Wr?VlD>v^yztLaLHk2YZ!2LGB8upF0mDvX;3 z?j4vgV9(w?_H3RLY%ZxYgQs*zx6HqASl&Z{n6-wGL{|Wu#&O!J57lwQap%f9&*4 zo(e5TuTn~eY`_J*fJml!owQDF=wq^H)FK>UBwqb&2hsa1tt@$nQ4X{LmSC3xhA3Ln zr10nUeZ!iJ*gc90GVtMF+`JmM+}Dq_JfBV0(4V0=`@Uc5g`yFFn~FVTb$qA&nLa?v zUKBRurkNCJ4w@9qin*E7&rv__xliQb-wkpd>E0=WH=Es0F)DEds8_<+uGJS)OA4QI z6G$PHj|0FJT?3eR-6OGGw^W4*wqXbjM~g}K{Ey^%uAteAHt~5D8Y<0ApF;+1Fi`yi z2GL^%qH;WXGE8mqG;)`j z6Rc~`$0Bt1Ns5c|qJM+~3^2>srZ_QFR`%nvFZ{b3cHLV8JViJWm!#xGn9MIt0{IA( zx;NO1UxRM#6%kOO5G*sOKIJHDb(%6OKj6$5XgrLfEI{7-X&McwGeUoVpWgHN8dzHI z6Z1x>tK*E-a&VQD1Zx@t#u%uL%(Izq2=vN(yjK0t6Yt1t;`!b5&0TNHFYD0xF2ZB{ z#I+F&?F-DV78F34*dhPEsmc+4Zc_fdq8pRn@uSzib??AUPD&tDchplzs6IAu{~WX> zX9U6)pqRCn23zDBDOJV=bPMzK!yldQn;ikahpYf`&TEHTf!&PHSCh46u%eGAO=(Mw z*PYfbUi)@{`ZAj^TG%^}Biw6gm@s68v9ZT4gK;2eU~BHx(l?O4<;O`UvG-)A*8(tt zI>FMV%Gme1F$h_Y5U1aJSRc9eV)nkoZ9n&lOZZ_? zFTR%`Tn=mJ(#4aexhl&l5laq08FTJtq}rzUYUJ_e!j32rge0K9>B0$$e)}GV)A(#T z{8C?c(MErcM+2Pa>LE8?vtXcAS#x~GtkZvOwP-}aGoT!Elf7b_9rXtNe8@hX?2w?y zW|dUlpu2^o>4>ZWl60Gao)DuWjd)buh;V&;w{PCAlbo+)kC?0GC?j|lc9i#NQ9vcH z;|1V9aIO37ozF*~7*gYOG<=XzG%D;z*7TpSVgDJC#IGIWr$iXyJM2v%@e?}1^Ze(` z@v{&R=?TT_Np;EB+X=hF9m^8*lDis?9#PBUFw;YTb@gwqGuuT!^x>8MvkIr~bVy0w z_!%h#d#ij<5c-w544fq{-(4h=lYw@^8GW9eOMkdZ02dkeNNqDb*1F1`2i2%Mgz{*9N$;j-H1xH+e%<3Lj?52Qp)hWd=fV z;3NMy<>TK_1gq5<@QYg{YUwF?7js?FGg=)9htlhs+e1DIrK;qM%DQbxL!4E0}bhG`HMBP$S*d^%rMygtf<95Yi8hnW(dO~F?J@c_6 z2{hK6ABS$||En3_zuh_i3kXn*jYdiVo)I&l5}QW*FY*RcU54GifiTx!zH@rJ_+((4 zxy$;#N5a6Kh!4hPRENdSgzfy}@^UoEXG5&El*P~iansf)jv4*e^HXsF4``mpq#FCx zcRn(#Aw&#C@pc&r?1UW zXdtH@e~_@cF+91}P#J*YF=s2Wr^II-9mwtnP*u|dY8A1v zfLaCf&x4`=g!DeQCU*K>-tfSZvOi=tnv7ZONc zy&E9IQlbN7fIRp=hn@s1(vo18Y00TF$N~Y6LhE^7sJg+clXci*p8iQy4d+k#+C!FZ z=m3Kyf~?}HFTh~&E5<~?lA)Xy$<`ftZ%Oq%&(@Ju;!bq4T~F7wVYM1|XpxzeKzvF3 zDWm0OtT^1*=&!5a|DjSo0C~;;>`46~yJ!H}BMYYijahsOx&cEocNsKdtNWmrZ57=U z3)i>#CFY)#H19TYDdYz`7XTBjsy@x8CuLld{OG-g>!8$%kK@bI`um-alm)P)EfW4P zLGvGr!vBr$KZ9`k;1`$GqK6$$a?YlPwh!Jsgfcb=^&UQeJigHW`68VEc;taJFvGI& zvz#e}FvW%z1unF*{6T!Y?_DiTM0I7!1HKdT zG^#Zf2*e??=>K-cXI-1e+pn@oA{%1SX>m9L1&jCC)M)AAEmp`?#BDr_*jAR7B1S@Y zj(<^{8vw*MW|z=uTdyd!npu_-wEdYz0X7)BAh0>p9AZ@V|l{`DPf1xTy-%Dpvdmb2iXL~=3qNUTijeN8~u>(`5-AC;GJKGmz;`>;!F`!&2# zRSD5Mq_Dkcwy8Y3dNm$43Ud+;LYua7-=@KawbZ z;>STvE$ML2GC{=KXkx9=J+tIulIEg8R5|ofzQEPl7YMb-J}41w)TRIh zl3`$AKub&0$Lv3vY^_;neXbe7!vn46z%c?FX2H&rrx(=HBvDE8KDMD~WVRT#)89bY zPH4^jD|>g>!oQ#mX+K9@zU{}so8K3B6Wy_Zgpk&tlEYv6NrU$&DUcMN+)7@OLZhtT z8YbBqH7gBWYA++uzJy698r$$#Eo4xJ)UHt-xOq6t@wN9b4z#|^K=X?0be|5{H|(lA zK@)ftyM+EQ++>DYNG+{4n)jn%tTgE&-H~(Y6m4&}FvBO#L4h1cMvQRVnvON}2xtMV z)H5DRT6cRyvCSsJ;+YGhXXB?Ls5g>fyPx<7YB>gt_yrmhK7S6EX-|q2Z>Zx%u$7jc zusEyq&IhV8Q%%h~_spdmUYA@_$c^eN+N`k>&DMJa--r}GAbrB#%jK(bd%)Ioc{*3_ zG`tc{p7P`(Csc(j z`Hipn1d3-EXp1*7p~N?N zp%(AMAck-UxkznfJK1Y8;HF{NpD@La7GuxnMUi_Zh**}AX*=`oOHtc9d?2dslALXV zhLYDB2{@Ov$HsS5ix}^y#lP`Ui}zJm2NfV-AwT?AjlI6V!gZ+M_19$D4s&czG>HmzdW@2(EcN!-{&z8;nrJH4i_F6^#r*&Cv??Ye77=Z%Z9 z=XJSv`3=-2tP=bo=vw491M^0HZYkc~Deh~FjhM@baFO7wE^C1WgcEni{mtB@uuVE= z??>_0p)Wl+lrH(kFGSyaI_5NZfFU}~lLsn@^86D5$erlrmL7KXS$xk!>cX)&T<*`R zAI@(_OW$pB(PoLlc`Y-l{wVL+3lQQn_PxF1!8kx=5PCD`%pI+%ol{e274q0e_N6Qz zlkEE2Sl|V9tSoJF{`gqG`GwcghPQzZ(dGQMY<)|?^%9@J%%!wKRK>?FyFtT^vY;ly zdx_L zRwLvSJJ&~b2xp{43Mgg9<8tjZWud29lhKu&;->m)K}3N{vXoH^dumjhToY6B(1;B^ zq5U)$u7H!}#s>>{UFet`RL6CacLS$q-%0qY?9MyGS{2(CjHuLLClG-epv6{tCA%{= zB9DpU8n`1?6RCICKxLGArTVgzn0(H>9m{68j(OkEydKo9g_nwujL@oH^@cGj8zwaPj| z!c5?(xHsV9(1DWpgjEgwz-+AU0t@+E2@;8Hb7sV^t4i-S^(v)_$iSMtZ*FY6-x%L@ zvhY{B=DDGUJ;Zi{=`)o)RG))JQQ0E%(s}60avDY2zD42#?;$C|21bi_pS3tf_Yla2 z?md>B{6a;y@oEqUC_2SjZWXA=(lfUzUI=6at{tf{C}@Ue;6g4RS9zxvj>_e34{_Y$ zJ=dcP{>QedGpOxhdALUufT>PC1BfTG1iEhU}Z4LZE!c9+11-JM3e zkS%`iweu3)6Ou0{>%uA)5e1~8{K=>MhZHC~Dw2>DA@5}-7ZEcO_4j0qshq=Mj01 zTq^#x^Y|GhjlWMvu*x6}wkB#F-Z)P+tiAa7ky|>S9)!G)>ZbsLqi#1F5gpLy z0ah9GTU<4NjT$#YS;J&Hwt1arpoB#wIQ9<+;lN%3tT$S6jEwhDyQfYI7Pq+ch*yI& zLlBH*WJ32TWshIA(GfGLwK0>jQ*uNn@s__dSO7!N z(kWI$azv1dlTpagN}lT|KbGnuqM4z&w(8|wAeP*u#Il#!=nc3bOsA63L(U?* z4}MT@%f*!WO0?H6FFZY{M&-!#N~ArF+gxM=-)bt6UidI^_jraQve&8-J#`ILhAw=p z?m{bTTLjZFO+vOjf~%*hu5p2>QqZBL`<1lvXSjMYBjPf>+|8QZL>-8p;_!QZ+s^83 zehW3~(yW{^orNMs`#p%nyK$~&@spLHP}AgnS!>6$);hmiWc4H?^%;7`PuG!aTn3%w z$cbu)Q*rsauAMz-TnpKc8*+2S10B&t2japrw8s*a%O}0>ZI5p`51QWDa$)Dnmv1$7 zitvAMKbQ~ZwG(yN8`wfHvQk0|R`#Mc=r>23N199`l2IZUxb#QjTZ6|}p6r3TJ2`&? zJtk31NHdpwngUIzevv(u!sVDaC{aJLcOZznEc6o0aiBV7^ALj*oG-uGmmQ#g4{0Ei zpm%yIU-QCx?RgDJWZc@`%Z;s#JE3IM{!7!gLa`AWQI&tmz_Uq$n zwbOO;!@45X7V=W^^&)O!vdzUggmoTtC5upswW1P>&5jnVP?*jTp>oUQUJ0vrw_U{q zn-jSBbvPL!JYB6DK7hkS-Gt?_5`P0Nj|1qcQk5P=FNu{pUy&8I*%ILr3)W{Jjc!MA z?&uz>?tV#UbI^dS;9p351BsBZ!tMbT^~fm*UW&O8!Ty5Ky+M9XDx!hs=Xr->^5!i2 zvU9ap_pM8o%l0TL0BDKas-;1d4o%$^Gi-#%8UmnASU+wG)_J0yjNIKK)M&vwYz;lQ zkz7SfiJ!Tx&C8yj8bQG;bFo zh_QH|s4!?Ckps}vt2m>u`-(OsGq*ccpU`1&_XOTX*MWzJeb#&L`ug z=wZ|oP1RuT&S{YboKQ!SGS%4@vAs}u96$}<-ONW zB&QbLU@}{u?wyYV5{!(v{xxX^7NMYlPc@J|3gOv(%!=*WM#;%{UUNLAmhWHKgw)nf zw+$0vm6nDTX=(4r+`j*!JF5s4QlGY~X+&v!wQDV{8*#)vIiEz_5v?|PUmi^ZHhQvG zx_nJuWLd4JO}J3y?A*YOc#&cW_C#OtMcw|INmBhgf>iwWo0m04D|;epx^Kp~kW1G^ zn}`oF8hKFa50a zLO3DXO;Bq+gscRve{d9v*WEK&OCoue zHtciD^++@qqD>dz}f*`nGv#%FBv{o?7(|fy$osCvqWS z=s0T>+|o!~NdT7LCQ#(7E~Xkg>B^)3F>v_MK;*;Pb%rHuv6KncXG_ctt-(Z&e*}XFy&`W;N`k)Ul14qbk`7&JK`n=IlPoN?L{fSbq8C|A;ywVLfvJ0rd?`&#kqQc^E=ro~aF^^LD%(Fp zm}C_VQB$8bzM^(emd0yXo?P1f=E{Uh{;Pk2=}CTw=q$6J(aSr8Yh}NXSNmlZE7hN=R`rjR?!WquA7A>Fn|~yR zxyxJawsg%Dg7w_ate4nq-amZ#PB#7v?s60A`+)ZW;gd;IkG!#(H=*}mQggr)J!Jgg z3qKr0oobwKOkHi&hBMH2P@{FV7?Ee0P+(IyE9pRHO%q(+*-43jLS=U%P1)aBfX?s7 zC?Yq(Qp~zKTC?Rj7sA0*HV~jQ35qQS!I`QdRQkaC=z-wIDDMF&*>8G>+(TQH4*GxC z`wD=nwzTa-r-XFZK^mk%X{F>M-QC?Ck`jWX0@6rGmvl?FNSAa8(j{>?{F^&7-~4lH z?wxO(x%Ymei0re@K6|};?e+HatO0GLDC95DN4K!L$(qJ1Eww*tF};W*bL(E0TBN6K zHJ<5sEyrk-YjJv8G*1R)ziDFHdiQCAK_#JLej`PU+pU8h3>YfJ!SdjXM~z3F%@~aV zhcdYx+T3P;uTY&;j;?`m2#v(V&xh`M`RMIqMlL_5h-bO7 zLoF3#Ew#0>9^IrJJ{_GL0$3kdE9DY&5_a$#oF0Jn+YH5RJ(WH6sNc_xq6YF zsNCjMT{NmSZ3Cq*HrlW%>9!Ep-#HL$8QL(fk90q5%(pIwPF#(ejoJ=R@)NcS0J~XB z?^SYUYeN+8rI?1RZ&gLF&eZ1`$Bj3VnxpCUW_07p6CB~X`92s!`@DsmN)tufbXtl- z4nCion0F=z68*u5GeTRj>`m1fg6gWQc}FuI(#vwV53Jv=ireMBW67@HtOJ?GO4y2! zE2tvW_A))=YIoH)_vY0=O^uss1O*GDwaxEoL> z2&7IvQM{Z{JC?5b08Ub`qK|w{TMTWgd6JfF+o?FMMcLD_y6D|!%E(8e0LQO3xxXIn zA~=^8WMqG+C->|`N16s2#m*hhcMDR_x4A!>B^NJw`M6GAYpq^C_8Wv~~ z;F8E-^b^?DWl?&3*XIiW>4$VEG(@>Hy<$du_G%_}obI%^I+bSfa!*< zsJl~RRC+Y7hSBF!m#-KOH^`3CTH4Rm^K?vkV>s%=9Y{iS*WNbBEyDR2?I-=m>VbZE zng8&60gw^`(nc()5M7(bS71aSZ4|7k3cvv96KO~>icki?6ar0y+!jv4A*`=QPo#6w zAEiz(k({SgbZy&LAC<4hN@4f;05;9Wz|5#~72aGWL41Yg`~%$6(JV=3#SEbJQNIwb z75+9d_n@g9l9OQP;81WBo8Z`JfdSxm2)-wAWat7qUB*jGKjst_1SaM=#&6O=l} z){fHL1uVzPA~xPw7&>MgG%s|BXgIGGEN1^GX{pK=&OLGhB{S%F2wVMLeIrikv@N>( zW$vChXBn9EoFQh4xBs)U>R34*gE#t-!?XnE-D{Bho8-NU%Zc28mgVrR8z#8{bza4Yj{xQxN_#BlF!P#)pj5u6`Dc)9ep?-HU`K&F9v zU}1++S!K|D(J{YAcK}~Tg;WN7gISFr&j&Q`!{%g$tqYcAhSC325#8_Y*jLG1{BDc-{^6nhnXMB; zZJSpY=jt@x){YBd>9|T{$Ascbu~^Bv%EsN;Zd-UQS7y198SPdNt6SqK!$6}QbBqj5 zFwkiCfPoZC6dqe~lG`n7C`(7Sz}<${HD*SpiFv@gFeRlzjBq>HI^H^>kcQJEr7Zp~ zMtoS|Kg|*s|5HjiB&GwIFS_t!dl%Or6A#Viq4UqD(uy1XL;HIGG3W+KMc{LCpkx0Z zh~ZCuJ5$})w#NV2`M+7~Kk8xyQf7f`3;jiBKKHG39Lh{hNodA!LGW$}qI7_xs9$N8 zVZuf-`=M%9$7m>$Z`a1o<}^I~e(iH5vB&oI?k2R_C|GaVC1wT#HLK!EHYsL=#0Rsl zK}O>?ZX{Y|$5nIDs7FtpKDZme|9n^$ zU*w1?x_|)mwh*?Uufy2J*lgw(wLXU0ayKM)h{$&e{|f*_D(G^<7FC^gv{Vz$V!aga zm3W+7yBr2)KD;ls$mrh-jt1d<(ERxaPUQB#tC05B7GeA^$Ne8GultqKf=Msll(#(1 z#~otke7J1o^-AjYY#$8lLjdaYHZo-)6GxeesZx5*R>DNWfrT#E%E*gHHfOC;7MH*s zArY37JyHdf8kFo9u=NU_Y;w6f&Ji{sk7xA3{*X-4vq#Lm~FR z4u$&PiY))1j~M?_M42h>aJP}>P+k4w!kP17^+W-&4Ht&gLog9#msAADClO^eRh969 zf7TvnxhFg3Y?WWy$VZ(tqGqvMnV{`a@>pZ%W0`ukb-anb!W4!P8#u&HW{kx}3%Qh; z_Q+IK8jAWJ{C5!A_%DWgS8tS-gmkfAFY@#`x zdZ~5>IW7=%=;xyR|0M=J*mfkB5)SBEuVgbcbT=NkjIBI$k$|*UXdRz0Tg;x^VC6d&ZOaJGBw91d$x>0+3j~)}9SP5$@CRUx+9zQoKzFJfT3g z62`V+GkQ5InkQ~>tK->P;()FZ{**K$stiuxhY&)#B+$j}pYfT0jbHuW81ps4lRpED z|8uNJ|B24qe}}cmuSb2^=4}z*VzWO3>6+l^T5k0!!VVI$e!!1y%zQ(y*5D>dgzoPKV<6$s@eIk@Fl(xXwxXyR0jpTZ zFmsGpPL}MNua?4bA@9excvA5ms< z;a>O;dXzpBqPASR)zdgCz?LHus&@I9EB3Pk5;LjnYL?4P_9G$rZhrd4o;0og&w0+X zh5gB!BqpcjF7>j>(tTa()~4us*cpdehUfCWuE&+VMIAd1ONEk?Y;&$c#ST2wl+lmQ zS4s1!nx*h!wDk*2n=JW|B{h>;+9A{D>qecmVLRQ(Db)21PTsR$^wVYLnpmu2WefBy zZ5>f@~{*2Q9D+n^8-^>V;w$x zYQZ|G-OZ}3IlxPW>~@Kom29q$9O@%J>Mj$nLRJbnG;aOqV{L=mQdY79#A(S&x9q!8 zy5XTZ1NtZJTwx|Aba_WGt?!t+D4XLqS#(D`iIwlYNjqB*Osz}Az>rL|cH`SHCqYj| zBMZoM*K|C~y7Daaq2FrTsO^*c!kxTbGM~Pb&SBTbA~d+$6w;n{Z}_AkZ(Duv&DMyS zX}V7#>0ABV-fvN)?Xw&Xr>g^aZ3NVm^mwxsSd(h*+3a*xWYqOOtmVDkUiFqXz~qjE ziM=#JVk@XiR3ze)9%iF?L41-=uIm?k&a&Wk`B$k+N0nOI_~%dkn^j!bLZ<+Rr`Cl2 z4*gW_!CPu4U$XW%Z0U0&l3s^uS9sD5H_v<~^0`i4 zf9US}mEnhmZOTEjZ)XOp$O06mKnE2m4eCuR&mMNuG|QB8t-f(I;3F4I)t*gk)a25#_?M_k*|w-iI{Vo(%HafR@GDE z+D_<>td3PX`t*knQg?x(yEEaK^K^VuHtc5?l{&BGcGs(BkJhwU-#$^WeUG_QJD?D1 zu;1YxhUT92t}#Mce}fOpI1ZTr1BG4}YpXBx2 z*jJt7MWrmdD~cbgN!l9TR5~2$;!)7Q7m-GWflqmESXq_{R1+fTeXBL^r#@w0 zn_({}dOLj!Cw|~52DI6xyu}X1QjPcShFM7H7`D>c@n+3=^+rr;v-TUVC77^T@DBHJ zocAtk)Z6?w16Ro*6?BnptZp8X5|^9KP>aIzkHBo#1Q)-8r~26FD*us^`;C5M_z^fJi=TvaM&Xsm|_&|Mg9(VW+ z!e+ZXN%0S|0V`yR?*zTRTD$aH7L7#m$x7&t<=b)V2jwM@^TN*5y9?5t=T!EK9hP zr2r*ClTAFaHx-5c+>+#1nL%}E^Yyq1dxw_h*Q7;_(|sJrvO}>=|DV%8I zKs<=E7}4_%%ie<&d!q33jPGelgoh#Rp>YyN`2c}K@Z)?+A_|UbLLilgj;;2o`~7B}+@ zw}vCbM)c0mE3ZK#JBLStsA2S_lvlX_4(Ir5p5rToCVmEsqu^)CN&a&f9sk>1tq6eh z-ITYQLCnq8709>A)9klkdMM%|jg`*`#3|^A5G(03Fq4Y*)|!M6CvubtG@m1)D2PrK zvecw?N;pMNd_t67tWgT!YQzNS6z0c#3(I4zkbAd`0?dL?fF`vf{S|IW~R)orD8J_;X0nloRvG1FZKF! zN@UtS#ZS_s8jbR`Ti^D}Us6nG&*u&tE;B;lVgkJUcXVvjP?60&}OhLHberSAPUU;WBtJXhJ+s1=*g;8qt?kCIK_H<0Edu-3V zm4x1Uf3o%IC##xRyW{+fh(m-Q9r5ETddhOc1rxUzQ^IA-no+?U3(ee`dc9^hAKk)F(+#0p4sqjkC+HdvOCK%vG4> zS4py4-b1X^rSJF&>1xTxCx(^t!I>=ykRmfB3dNj9tVa_Vtn zeGI$({RB!Cn~f*AbsiDk>K$Q*_S&J66$_4a8sBvWm!nw{n6LIp4!Dz_ERo@P-(QO0 zl-78-X93&Iyu?Ga+GQLUEH|*L@m?BS4t_D{p7^|&u>E3G?)}Clghc#(m9~tkD#Z9a z9V*lBqzUXj^q2ep+x7mH-~UyNs6S8Y;0GxNfurTFqV9-MubeQwr5l{Lyg2fX7L@L_toI`*hX34#R zJ|(*X74=m20w`ex*H={>j#|ZWMLgI!c>h*>b#A72RlAMEUYe)Sev0H}VIiEKeLK}- zWxbL|yA$qgOHV}F@jlJknH6US3=Q?)>H}pk;djBz4E7wRbTI;k1(-tr+*{J>D&ZO= zCuEjr?z>Zs_`X2cJwKjLXU=LSLdJsaGf-3$EX-B-)X^=g_=0V;P%rFK+V)FcX4r>i zRQjUi9nXpR&FvkdWce{1k{#xEZc#Ke1BPOfF+KDB4M?_fxGAw8>z#8IW&o7riM_W+ zY+D5O7N-Qf33h9l&#?zVFxb4l)cm%^=CB>MW}d{I}<%dE@E)SFzGCNDulxc64sg4Lp5 z#_dpVuBDR&KZ35ot(o}DZO8K@r)cOjnFaHTnKpT-75tAv%QF4HU% zXS*j$X-K6x*DMZ`IFXxKoWBPcC`X(Hp;uI}gFu{qpm)SBM{!%18gJeP zSzi6Fm%UpHCm#R{qvKfYcOFyz8ZqRbu}OZFm039ok)uD?xc083MOHFrx7{mCs*T{{_*CIl$e=Um-g+u@Oc?l_kp{`h~ zB!Nk8U+6}=+L1anZvt&_mIX~V5@=CujrQpdLlrMPswm14Vrk}QOx^vckgD^}u8J&m zoq1*-MV(&Mt4!Knn*NvGpG3g{*;tPyFu4~bDflb|)mFZw(XolhYjFMbzwTWq){#Gr5t*0Oscx<^-7LfRzsfZ1vpJp}`SA*cRRqpej*u z=_ZpL^ls_R+9P1u3b~5zJkls69wIpqjN$U&qy?7l`#2v>a;LhR{Q?@ zb#(v>xYs>-`wHqu6M-GuLT>Us$Y;oQDE^IDR<=UzfHY*S)K6)l4|cW%`m z`U*?UxB}k=^xO{_6e|f%dS;5dvj1jb4!HLDC}bnXX|)t1^5nq_jTyA+@DE4nC};Pe z=Tn>aOuC|s>_zIvU9j3tO^D!(9fM;MeM}Iaymrh{6+f3Nakmqz*gs8TVSZ3<$}uF6 zO=JtEgrkWDF^ji;f3mxwpr_3_0w_3>dK00lV=^fi6>{|o2-3UP{` zOB4r97%u7C?(~0Zm3@Uf{ilsc{Q(s6FDdn}qOAY45rBV3 zamjz{9ABfH`Hupd^n*aof9n?fr#|;<-0D9R*2#ZLQT`r2=PM}dpESP;e#Qm+eRLiF z0X?VR>J@$kPwS7^U;gb*mooiAC)Ur12lY={s{5Z(sbA}JzJ{v)o$_=1!9As4@}hpt zYhT6F`qLJy{kWO$543!~%B}tx^XtFQ4)t5@m_OV2uV9ybi-7+wqxE0G)%uMQ@KWx5 z$p~+zYzxnb$iiiv+g+zDRw_pP?Z~^{O_nLR7_2eztmTC-zGBo05UZn5yxGvwR2N^oVB0lN#WWoKX z=#M{yO+?VZ$0^XNxR2(K{+gg%XLwiGAMHH7ZJaBU2w$u~M^t`l74G?SDBL0L*6-5Y z{5@nB|J7@_o<=pXHFk1#G%>Kb`JbJkB`Oy?FJ_ zQ$nAzo%vp4_=!2+%;aXy>|B4JFxOv?X;E_W-At5{ z>qZ2MI{zaP?!OKIBqHx169^MKO8)*{c$!qzk|I;CF)`Dw5|!vh4T zjIx|MgcQU_Bz`$zBXucawa0TJ7q@W5Mrz-^#0-TUQPEeSV$dd*@RaZjMtv_df6!Xu zpG2jhT|%YpuMHZ|A%g!2dEH#sjlB5(E-wDRU%irxp|gj*37fQyftd*^o0^3&uv*x; zIq#yfNtsxfnFDg-=L3Ebvv78jH*tJmXJcyd=C1PBHO1cCwnfUajj4?u|U2#5&q zh=>S?NJxmts930|C@8477?|i-1h|BR1i1M4#ALJ-#3VGN`1q8}lr(qf7#JCdC|K^Y z(BGw{XQ0112@Dbv5-Kt(4k{`RJuyBp{crxdeh0!vMruU3fPc9X$gh7dHe8Q{5q|B`BoHx07`2}w) zDyyn%YU}FT+B-VCx_f&2Mn=cRCnl$+XO@;%R@c@yK5cFt93CB?oPIewzqpwf3<&No z(*pke$$4P|^MZwkhl5ADnHLPK8}JQ>4Ua&@j(A&C0m;AthnmA58CNXkb$Kfa4X5Hh zo}uF~Dn6KNiT2=TYTwW7*G(+oUz*wfOziLTngOB1!2mZ84jUv4x_I+5soXzX{QS=| zA0}blas6TE?xs3vWBG%2QU#E%hOtW|-y|5MF{g}ACE+MVL^Q4V(bT*qpH0;BES+Bk5x zYox=^#=?_jEaAYinX3L$<-#PRDvH9i%oIT`?l9PO#x+q7ymlHoA@S%FJzN|>(UYZi z4SJ2!aRZq27=R2Y5MH7mzXpAJctCe`4H|7ZXRI&yHt?HxzAcJxkHWW|;@kfCO)Gp; zn%_)?ZwAsgv+$eM{Gap{jwAvjG+bCH%y6XhEsk34;M~;em{wiRAE2O?Q!-dTKdw%= ztE<`WV#cL3`#2n|*s&D*&>*@`5`f%=SFRe=*;K0+9Gi&>(bX_;vnb&*R4`0^wJuF% z2oq`OV~vkpVfzs;+d>&GC$nJlUQkJCj>eXzce6{}DuV-j@}s?oF^&{0|ID1#B}3)Xzdd@dhP zu&te!?4A@}g9I<^4sFS2gzlk>!^?E*)bWF3Va647U9#N)V#6=t-VQD$=EM>?j!BgY zMK$pX16zS|6;z@obVT5lj}r?JrYN{;(1+xu76@MJHK^kQzlOhy>Y0m;ubGk4QYmt~mq*L?#G$$t^NVewCt+$V zm5vS{Trw~w!j_96c>7!H(8I3Tc3teG(;>4No0YO^3(9$pr1mMuBVntZ*rJ>Koih<92G1 zG~-L%HY3NV()DQ;?Xaw;FHOSIss(h0_j&zJxdzGoR2 z1z~HxKbT6^ zXnp^ehgs&d0INuQVP1XK-KvdKcY6C$w$T-T5KPjgT+KQ>SNOZI$_XQ&cy0OKbY%Fkk+zI91;fy(-6stqY-SO2Yq#CJ~5+sOJ zbvR&=PmpXtE-%&aa?Y5RCQ1$PPU8TPENIB2vo6oH&8RQH2L`K9E(Qo?3>UZqBVr6# zP78q%Q`6#69Lwu%GxVcin0OPAakNmjJd%~TW6OSt08j%bW_MEqrv}_Y9A#?=)&9f< zbhoR&y&1E%se)2_w$sG=P()64i-0MGyb|UkK#udHr_6`+j1)Z`@yv?i6zy*d;HdHg z6i2#1D49HAQFTmdPqVn`b)2Wmcg8Mc4dYizt$G-h;V5=hdbivqy84(s5(s$khb0mz z(JScT!2T!He)lz*`dXHIe8&M`-Cp8}4~_i$6&>Whrx+275pq4V>sMhD6LxH_uDdfB zoPp0zS~D=9VT&#;x4cEF5R91rgrI8(?B-dD_Uv zEc-=QkZ11Y6|yGib6)A)v*bkzBn=49fysFKQ;6_iQ9<#OQ5$W`>d>=UMd4wQOXYr% zz3R^sy88>uE{2*6@GP@MvT?n<21T*x5^-x8>qhsJNF*>t!WI%4M1xRRzo2)=qyZ#y zc)nR@Ev;(ukQyA_j~0i#+V4(ZeAgS9(rX20R@(b~u}o0qM=WK(#6SGE*YNrvT-Tr& z$0KOFW(_pOmZ{(e02d-ifxfFo2MbrhSwQg4B^4mGIpc*&2$NldrYwc8cr_+fM9A?j z`P?Vl>LpvFFx9u3X@{BZ3@;@U?VNmZ74O)Z&@c`l_fTFT7y8g0kcTW|MlPWGmbs+z zDjf<}c`uaffai5TE7Z7-IHZu8mE2OkN)fA^mLux=M5JavyJ`3-=!KGSSBRjf$CX_GhGU{2Kam~-@w-+ei> z&l3dc*bujz_AJe5olUWhIZJxAC!b<(_pcj>o`V=U23vPV-nJ|meNGDR_E^$`+439; znW}+&=QE8^7bkhpj+6R6HwCALCMIQ4ILG1(aZN{QBWIyTc6XeANDu}Vp^1}9+XCm7PH zhr?W%9>nXzH)_4w60l&H%&$J%Q(~&FLBZHbq!B5B$rR-ouSfpTV&)dKbQu#<*J5IM z{r(dUYz|qWtaU7!Hp~<2juk7?$XvWtUG~xA2DWLnPBr3NL!qqO+$2+biYwasX0NI~ zQuft?wx2Y#8oa1|G126^f)vKqNV_D(#?@{IH}u5LjCQBZF1U-I!s_wom^j^6LyGt1 z5oz~2cAP}*s@=Qaxm~b@3=DT(Wpk5wMKO6H++t)h!Nn)y=dL(_>#lHD>PRCRE_Rl# z(u%Ox34O{LI;~4M9%nCsvHb4#qaY$W?xhxz-J(4qk}U~a~AhZ!|@hEO4be@=RF_( zYs4vfJ&;#jz$qxB#cqQn9JK?(z&>edHF?Q!zN}IKGb@ z+4*pR>hmo1fo<0y66dJfpC=7xMeB!YN8&wdgXcGfG(=55C68ghh^l*jToNdA7Wl@h znLAKyzaxHTuzsd#{PeYjJvqr^kqBOT#(@pD{#q>DG;_ECD2e+EjS-4v+LmB%Cbwm# zy|eGQiF9tS-&fBPGlJug9*-nJ%}C?#l6ZnG;E?+{9j#Gx8EHgUs)(gj@yny8+1Pz1 zk7yxYR*a9%0=$pMBlgisJDe7vID){=U@^(GRC-REPVT$SUF!SEUxYlIBI0<5ZfP>u z#r?RB=3Y*5!RCcvK(OQCLn4Fc1`>Eq??Et5-H!^hyhXhHIXp8f^#{jGop3v|y$rC)b^> zjn{`ZUG}A@8`~^ZWdOfDVHf#>czr@Mv`Ej18b62yMOgN@^~R`eWvY>M992_Uy|LNz zdRqwu6a;lMq^Zf&`LnJS^#ikK)-LIx_-V*hBX&veNzk=&Iy(%opRP;S81t-D(v!OM z=Czn@1VO=&N3pYtV^uO1UCCgAnb5195f8>hAZLoV8<6O9^lQ-U zBs5M&vU}k?m*SLvv*l7TYT5}ZB^T_w^Z6R|C?{)W4>B5cNqW3+l`lfZ77j7vd69pM zLgd3PM6|S$!h*;3Fq;9_gGy6Fdq+fy(uEEQF0AnN**f&RyCfDJ20d~kobNN)McmsN3Tw1jra-f}XeusBdNLTCbxIFrr;?_Q z>0zp+NP&wwiJ0WhS!5w;d+RMfnmsIF18gA#mc%BNqeO4UMozn?!Q{HVr1b5=@&!(> zS_NQ4$g`G)@Ha1p{B0T)2dXF7%x!Zq7O&FHg$&D2#ELNG>a7sjLf=xLe0K>;h-zh$O(E52v)=CN5U8nAS-!&h6 zPw~y|3GdV$qM^Q`yN~>z2Y4kFzJaLj3+_axLS%C^q>%PlN^KSA&0o2wM)c^5_H;3L zqb*;i6IvxTO(eqt**rSie1z1Mj-D@0j>gX5#SR#G41UTZ5yBrl20N11ATV9W!YQ!! za}?Bsf0YI=#+;$k8mZcwz6N#jYLe#~_bu-wJ61cCz!mAF*(KMYiVjh(H1DfDJx)jbRQTpo{5oHMqG{Yz zV?~e8aV-1Vt836nFbKA`g}|GxoE&%L^*-Z+tk3mu9v3QoktO`bG4*eId#pD~q-ZFQ z7jAhQQ9O6XPqQ*D8{Yx*)JHrG*{Du+HxcU26KuWsfTW@8b?|;cv2I_CHI?k+gvArP zJB0{|1_ISoM;sE$fwAnPc|Ci&LE3g5dN>Pb4=(9cE;$C5Iub|Ko&DF6=BY!B`z!Yg z-r1gO11R6C{B$hg>PyL1GFu zOBxpU5WRU1*un%*kV3o*-K#5;9<|ZLI`|Xc7jLD?*2Uzr^DMju;Xi=p*FdGliZWJxm z_Gf{<&VN^f$<=YI1F1)R1xC#eRP^M5blI8FiZ7<_nTwj-2gm~-u7({R&QPHb2QScv zOCMTySNi+4hB#>6v+Il?szeDccQVR4%bd7PH^OaI_13Nu;qvtY=(TMuJq?mzxwcA8 zlyl2-AD;c-s2r_IhAcgvsyybSJBm_pU>PE@hZzmQA9G1WPC7xJxt&qxv}qgw?i$M; zG!$SZk2@;|mUKmz-YG)UHE0-9=U=mk|7IEg(SABM1G0#TdIuoW0X*%plQ*QOD{SY4 z5CCf2AYb@+&f{Mc$Uk=x2$Lj%qRNc3nr{61^_Fal8n!}l>P`sXs;@y5f4J9I^Q;gAdyLG?wDs_FfMepU=)|`u@yJUED_q-@jQ96eE-rU z_DLX0Q=mz8B%&uqoSMP`Tie{D3z9iW&3)OqRpG7Nw5Lh7F$daMAS#U;Jzr-B}?TOBPEG!I3(T=HfzXSprp# zqX;29!`7W|qL$f^HcvBRsj8Z*pTW?9cT{0--U)=ItoA=Yz6nb`^QN&lO-x$%rH0N3 z^Qd-hlH59Cf7eg`n329wWB)yq)}?yYH3%`MI^$5<}RVwbWus+DAcHrgWk!%F2luOAH~y>I?!upA^eW(iEnE>tQ&{S zsaC&wW0#;tbtX0O^xoMM+HJL{<#bf;RjJ`_{xO?@(C4Biw;CvK7sf2WjbzuJ`7biP(j|$) zKhss$Hi(t|%Uv6E0p5<1aI%poSFD?sg^k5P>5Ku7yCuShOiOQGPs=@_ z?e6@%^`&fw+i5@e5)sD>vEPOi{g{lY>SkfG0qeUm9J$E3^!C|JNax)3bn+Ak>3~xh z%izE-PVNBslRs!Gxp~6Uwsa}alnQjk-SW5Q5gQwVY9zTk2eTtX0;30{k^Ik9>mlO% z9Q4WuQNeK{@8FdDK*DLwiILeYF%xrLJS0x{rx~#&mFAAs z)yB}Q+U4t_I`DZo{QMt!&Am77VMY?L`GU6%T&Z(rPkI~fGi?WQq9QGrk4W4gd^_t945FvLVuiB3nRH&jq5r$>W zoU@Jh5@p!=a+KEIV)!SOWFgLCX&_xZ`+OsjjWT_ zzG`+%O6I)I%mD61M8`@#WQ!!iX-T%TD_tOIGEm@3NRDSjys28%zxML!T^c?jG7SFG zhrnmHFWW^ml6e4pIq}s#%=?fpoSVE3ow%AW|H8xWHw}&j!8Qr~1m$q%pZD0;KsES2 zhw_8?);9pxuLM^6724Nt+5?#4&H%TNdTrw>xXSK|@1vTEc4@oZIRTsSHg}=#X4mo` zkNW*XNi z@$o-fy#GHrpRWKD^8Mx4=>?}Bvg-OySpj+2(TL6)j{AQ|AkhEe80~+QS^phq)o7v|Mq*ohW4ZK(6OU_`mmFn*NM)AG7s%+mktVR$?Y|2xqW)t zwnz~NzbzkmuX-TzD(Xz?GkfE+xG}BJkN3W?#Lf7ENRB9;j=|{6Z+nnX`R#V6CWcOr z-uKZwf0RSZjLX9YWW}5Mkmlk z7S_PEI6-j~MDSGhWD6^1XVLt8lyS<%__k&_?w<-TZg`ciS_i{5kh_}Fn0>c%?qhr9 zdJ17y5Wyaa) z%`GH1v7ERnlbARYqGul~EEIcZz7y zuJ1!La7wI?Z-#H%8-Q~iC^5o>V)$O73NI=7j$MNefUp9R@KunD9=7eB%CjfSUdbBS z0n22pTT*KgVQ=p~3pJsM7G1^G^;+Bu;k44l{&1pIO74Eb%2uRb7h^PmEI&kCj*9x? zc^ZeTi@||=*h?MHs&kc{{oIi&Y*vQ*VC!H0TwC`CtrYtamWuPfZF0*ITHbdkXjI08 zhHtOE+(oN2Xx29Skgl0e*I5eNX_7nFyUb)93`wVPc0{DSe`j5%A;yjA)&OXwSaRZE z{LV_yi@XFCjQY)*7niTj4Vzie_s*0TPK0mhRa=3kECWdhquoV1loF_M140mGZ@ewf zB5aoAV4jppj%5&6=VI9~OmK2MYRH=35@Bi+TB=<A_(3ir~#ERFX05_~`&hClo;W-=ECFswr*gcIDfSaWgiUZVn< zy^z}N+p7oTu8VHFzU}XufnvV_peD`8HvJk@#Wb>MikGW*ODsoLYaId!Kpo6hQETEU z8M3oxg*lgh+=;wWXx+01@J$7#?smt#CB)eFn0^(J%2~5^-f%KIyI(Huf%ug1r#33= z!ue!1vzlrG5G0Sz@TtRiwXxf_Z`(NT&+N%aOh2_t$%=!1>jiX z$LtGmrI#erGL`h{hOhwJ>D*7w%<-o;@iBP>m7i2V{6DE_&~WA9zljIX&)>vzV*-3z zJ%8CYzCB#u_MX4nkl(iEzo^o0n(G%Wjc?-lCZ4}KuiwnVzeX~C)@pX}x$8ArbVhdL z;oq{Ay)nUk&2;}7bNEd5lP2$mw+X;xP2msZh3KHkH!K#&DkZl@3p=rD;MN?dSmnsn zY>|96AdKhrrI2%Vz|S%QT+D(GnSQ?|`t-XXDq~owpvyImo9sZ3Su=34Us*#50x$r7v9Epy9QE{qMP<+1d1D)H~M%s+=Ob$d=LA-mH+v5OyR4V_6t6bkL0wy#>&hs;oG zzfEm*ea_qe`~v5*rVq;gV0vI9yUA;d*pD(67A-~}IVw`$zjsuI8IQ7!OQRv8Fj7s* zA7prhaatXtB)3~n9GO40|I8%TZt5LZ$@^BZfNnZCc@Sm>2xgmUSm=H`*LA>kN{Ymcy$1Tk&A1;F7 zTv*!dUi3M(J`Bf>vaox(XF~hH?(t+7lxhUR`&w|KYCYLwcDt976;a&8gc8q0UnS0u@%vUE)l%}a&snot zdS|@qBSkg|n(3YRsC$iVH4l-d?wBCC^7xS!a=^~IGh^m`N-835D(pv2h>-3jZj~5= zdpQl9Wwls+*j{*Z z^s8)oG%8>I!Mm_QI@aCz(xlzSMZeEpJ1_tVDQt_oiP5cIR!zeF^#rvj(e2yR4WYNa z6U$J#Wbo|KBnG6=i#}Z{tFh7$=;4l*^71rPUxPSaD!WSf_!qR6m`^0d708gfq11NX zg_Y8_KahgCZ!CeJ;ndDRRw!0?r6gBh){>y{!SKiy+}c!zj%TL~f@1(9q$-1oq%c5| zl<@9Vm8oKrY9mD_EJAws`VMhx17vgDy0(ZOBf}eGw-S{&#OM9Nb9uit_xHE)Um*wh z8wni#leJDvNe$KM?FmYj#M&G~IgfW(PM*?hthn-9h2lC&gA=JKd=o_1Z8hpO>0y~< zUIDd_^%Y4BJGtVq42Jf-)OUMKXuj`t)?D*l{q7o6t_0LD>s<{3mWl7@gp0I--(;2j z77On8JoankA%7PZ>)#%cpZduZHv(jSVv<-GH=rU;18Q=0pQ;CGVyh$XF$T;U(4a+> zV-Zp%6y%lcWHeSKO&k*DR;Lp48^*zbL)#J5$&o3eMKe&$OROH&rAv-2JQz2Pmoj#A z4o^&Jki!xgMC3E$}0c)I}q9bHEZI}X-WJOs{GmUt_Mbr zq}_}>6YyAlA~f)Dx_Fo3(nao`?d|h4v6sY?!E6sF2qL@qs`$Y4Zy#>?8T!WYQqq@F zBz^Asw0w$5d`jT@pR6I%)R~b!Q@kG?m1Se zu=jp)s6s0?JTUIBqA`@yYKaI9TJc6ETYX`KXcxTi9=xzfI!@q$lBb!5D^|win^wPs zZPtH``nC+_9ef*(%_nLc1MpN7Qp$15(SuN)u6Q zeSG=H>Sn=XLd@?EjYRi9j<%r;%*1`DxXrd-X<5j0$RQPDWYA62qw9HAPw2Zm$foLO z=rCo?*yI@|RC+9^7iNa$cQ#YaVg{h2hN()PPzGPQ+U|-MTrd{3D&J1HR%J`|h z>d$iQ|CXup|KGJ$AxdxF{g$ZW?|f)RspD7g^pqN2&2xF zvyP7zj}(VB75^W1?*SE6mu-!fM3pF#a}*^?4w3}Pk|hf$L2{B*#6nPzoCG9;fPf^) zIcE@%EIAZGLO~U=u!`~>@BPPk_p|%Hue)#ed-wHl3`ID_UgMm-_gQ=@oYA4!J#y%Az6~*dn}e=$Xnw!EQ{Ov!IWUoc45nZ z__7_W3qDtEp&=|Q(@%JXYi#ta6zdw{b!K2G8GZ^7LInI6(aPWFDK9m7R9)UrAv1r2 z!v{v)Qvwp#sdZJN^LcI2GE|dO5wzVfa0##;WYyO(%$ToNeSuv3O_e7{7nOX+k#{Te zWQzam^ZIxC`d_f>N?E(T)V*z=yRp1uJ?Czg9)Pnzgpekm4!=#aSg?6p#$SFzy}x(7 z!*G(_(Q|2(i}n95p|=kqf@vsHb`_2Ipjf8dO7Q;8-r`uXv63Zm3w^NBdbVsch*JvW ztYZ$)V2H5S)p-pM7&)haZmfvMGJXtJ8g>^Iu>6~E7Bj^jxF2VG^m#UO_N|8h7%g2g zMz*NLig?gaB}FL@g5ZfTO9}c{vH4Q8AehS`OKn~H_O@c=b?qUxs!n}u_MB(9;G$?5 zesC1#7Ls2|WNq}JFzl&xdsgXFvxjs?cFU7b8l3yWrfsjChXy~GD+X5@ePw}boEMcZ zDT{?|*Il6}*fbL#-X(}RB({^?oT`n9fdM&rQ7|B{@(PffLdZ>yDg~U1vu4T-}hpGzdp?6ZDJCE`)b?kdC<8 z5G4AVtF zD*Iq)Y!vCd=rJagX>r4(ne^Jw=&YuRO+!L`uU zN|P3kjdH_>6P5@KOw2ihf^vJLU3vR-;$=dL(UJ@3Rl9_Z=0mmz;o<{A>lR2gfI$U8 zDtA=L3|-ZEwZK+ft}bc%#{{B->WUC-CzXM{3`)ZJ6h}R_e9q4PMcj^a3Ja$>Z&z=51#*q+ zPGN3e&KkxS_L4!mm7Q9kUW7%s4{31RlpN>wcUD6d!h7At&dHU#dNijF`$qQ~n^6i6 zJ%kf$dX<((|=>(8+`M3JocZ`g0o$L_E<;qeXI*RH&fbP zUU=}fw$!+CWxlJ+_|f%kws<*`Xqc0&eXqr05N9h;-En;#G*WZ)6XfjR{;)+_s-q?< z`cz`MhK71MDfmCs?W)m+#gIXTUhf5Kjgz;h{uDw=t4l&pX($zG*jTAYNJ)kT0fhTC zohBrEUl+lCLG%U4c3jOB+gj=ew~XdEvx-0E=YpPT;{y$1XdVbQfU|A^s)e+`o2Bm; z#0%~G2|B%lbo~j!b%P*8X0-9Se}ZmoC;SA-1hE5+v>w1kP;yAO&3VoxHrS&#J!SPQ z=ez9l<11MxB5yT2X#2n_)5c>)M~=P{%|Y97bv+ySSjP_l+~v?YKca_xZ3Bj50&wO* zum8AcvDF>GuP=T{!3E0x1hGtPAvaDga_rzMadD}GD5)PQN9X9#bL4(Z3$Z}ffH=c1 zyVR@j0jAUYzoNtu`UyI=VS0`jxFVFH1M($6(FUDnrf<9d!*TD2$Vv`lUf{>NZeNH) zm6s|MzoOsfSwVC(luZJMp7h#A2YsD1c6amrIz)KXS)jvZ{Iap#$+z7knx{4tvHPM% z17CXly-8{Xa2>ZR3DC>{8Pg?t0Y99-TsSQY=#W+w0Z*frs(-qU@2K@{j_vavY`B@WnCR}mCSXra&11CTozQ+W764k*S35%<={ zmxfxT{@p8inWJ|R*7$CV)4q;F`vc>ygrfRlW>(9)2P>pa>oNV*`HCq@V*HeV(f?)K|Dct ztu~f(x9n55nN>CiCR}duc;C^Q@1JaOHR?BMvDnb^XS;LJlbRlu^`WQ4Vb!i>>ImBI zA6J8OQcua^9r|WHcwAc2!!W=&w`W6|((y)S_m>;7HZ%hD*T;3TZ{XO*t9+u(iSdNV z{P6d#pLSuZ=WuaxhMA~14}#(kq~Gpl8dT($f3yGb*zetBYF(=BJ4@YdUE~5ev8n|1 zM@$`!yn+1+qI&Oaay8YJHD}I>%cDl~A|}zK%~Lgqq1mW^R*FO9D6Cdma83`!+n>x% zHsEpYhDvAWBJTc1pLr%NFe<-7KI@v7xM+^hABDYpPeMqUu;|J#UA?(>%4`)qTN#el zuq|6sb@*&PZ=sa!XtL62nfk)Lkr*o7RdQDD*Q1=>O)x~Vz+a>eW_?U)`32AYY9d?f ztg}?V$}P3Jp{=7kRk*4|3Yn3Pa+|}Qp?OQ`dgXWysVVb%z!Xg|kAWR!n2Ppp~e}~xp)${&IbQ9Ye9X>M!{9KzP$1xF(EMVEb_1OK>P)3llRO#FsqYb)?TKnB8+r_*|G+Q%@zn2?j2BavpwRNf9 z?ps&sPpud(0&5l-EdrPPrNKGf#q2p7rdni&ks~T;oKCN*iqdbBUM!#cHrvwu(jKes zkRM#i#>x@P*@hvRKDjL>jokA%vb``{gahbzo7K|)W(@ovjqP87opZNXX}<}GnU7T1 zu{wNKG?M8v<_AdpZCU1dylhCO`&If z%2(rs9!Fb3ZBw}@dYwnFbcJ<-KjHtfKzdSpjiRuY();tmd=EM0SJnY?9p)!7j15PBo54F*6y^ar#W6JTmiZR+|S*0dpA{&&|1SNV>0j?RAtgYWjwNmx$DK z3{&=J9FYgUZ7+X}i7?TAZ-x+2B+BI9?RQ((b!-=~d%DovU- zv$NcIz@pe48h_u1inG$Z?sAM#jeg31esN~^QgnRCttLjsx8alKN~KnpC`QSmhwQ=} zzdq$qO3R(M=SzXrwvfzAlC7r=uPa=NYLU(qy|zPE-bTq%)KIUupCATy)SS9Z_y)HG zU%4=Yf@ZjSbE2w;`)%RbzDwu#4@|dtln&EMFIMOlKiH=U!(Wb(@e@TOi?BMj%Jb)yp;`wd;3T1 z!0vc&v*OW&x$-@1Bo-S98cZ@)9pF`9{B6aK%B_FY%VUGUAkm(_nYViFI>hc=o^-ZF*cVx1!R{g47#&8u6huRu>5#|LD>OJK9H;U+1Q+x*0w-N4uaf9okp2&Lvl z5c{m=R+v4@)CamCW1MUA1qMeaOH$UvF$5l&Z_d>E;Vz*}VF5zV3kLYJzp@Bv)mCN+ zRry*kCN+4})PHpod*+7gG`IUrjetanJoQlm>JC2P=SHx$g$)PhxgxpY!|DIO30VCt z@%~FhuKpV)i@uMO18HSKi-1bNLEsniOOM#gaf@|y**}%xa%~iRyzNnBY)`4D?(9=x zMkK*!^)d#FdPOzM=wbv0pkd2tWDODC^{mw+sN?;GOxK4NdWb!Qnk|YcM1G zQo1`)EChvWnro!Ycko<~J~G)!)jp$d;d!lFnJbdTH)1lyIdvvZxiRU6oyYFU9ES6M zBf!|^G#hP{sIEzsg(`bbeP-n{0Yy2IW#dk^k)Zpgb}_L_6t=hGBF2tY<+W~w8)|ti zj`{{E?*u6J)VyBdzFA3Cs$P_SjBXPx1Sa!v4%vUs4($~)(|J?rz5|5m;zu!c?$`Q5 zokLQ@D&&*S^F*ASHccYlOgCG_e`FU?MY!faf9@n^F9ka>OP*9kEQ`uaOI&Ms@GOpZ zj|2})yKk1}f>yWU{TSP5UDXIu^-qYm(NbuMzWxZK@V3*@FXKNryB@GlQ0iaY>>47se;I0EFT8Qk zcek-c$>> zQ#6O{o2QL>SsKh4ry}1!rF$1WFX=z}xU#Uq%1}0YT=q&Uk2zDnSvM-GM*iJXvx2hj z$g;U}2bWMY(@;CHr!O9&5@P0qf^=eiVWV2)5j^(nnw50H!^1DB8DBH0(VHw|N14=0 zM&2z@`H$kMMvBpTbn94E?%o$Se5lT{*`kTBg+pT)iR44_AgebkJ0GIYIKO&m+&QO> z@@IA6x?Axq#Wyz+oNafVtiOUlNo8>9fwjk|nhGdU4m(kUNTgACHu~Dhx@XFNOtonfVF&{1%$zh=puhfB-;O1?Hm9bQT$tsjwwb1)S9vv zJ5AQA(v3vuq6})P!I}A2pIn#aD8iTT7|PKx6K=*|6TU{(y}E+D{kUWIs&sn~CA*Uf z)QNKVW&3Kz7F(iWV|Z?opCTt02p$+YJFQ0ob_iCHcjLK~A^~>r8nBPuO!>P=K2lH% zq_GtnPdiyU5tgnyJL~etTAKlQCj*XiLS~+}kHE9ukk+8QwIgc#Y9~o4$yV$5B>M)P z5@rZ_!q~*Y@-$cN`%TIcDF}zn>lpbjo%RIyT6di(S@t zkGS|*!S3)blPoXCA_vDm2LK%NL}MsF3Tp+>Hw&-$)OywG4hllSa-X% zd|_`j8L$cH^&AHw8=h!iU~7GS&YW=m!Icw--q|kSMsb||ep+L{Av^2{&9TP7DVIpF z3pG=C`Y>ghQ&&v@M=bGm!p-eBe_tFnjdcwe$w56nvJoQ< zf_&kDgZmOtn>|@F+q?Z#xawQtYoX`&jbgFhForZ!NWXwD8k?GV`9PACpV&LQT%QjaX!kv5r)9O3}XWolKPJT~1_ZfFN(U z5U@)TC0$r(G|YM86E_a!90B4d8i?MROc`F(p7Yvw)_PLoPbAYzSyPw%DtYtS!$i4) zSHW(0TDn>e8=4vJ=R}&k>fMIvl@Z~Y09M%;W4?TBlqdfMj7=>k-7+&7?O^=Gewb@v zza_}tuJyFZ^ZCtseUo<|$_}TNJC4{VP4{5_ruE}dUL(tp^~WY*$>iFiA9Qbg$Z%&d zLsyf=qTX&I8f0b~cmW) z4bOD^xU$4so04R1y4crjb5rcr=d~TMHiW6z^)1ZYf=WZCY5hvsbZR?<@L zqE-f5%Xo*mkz1_aIzNgKnso;k#FGbWQPdRgHQYxQDNn-k-+X9_wXa|}Y0|-ZvlzSe z-s^zK8riwDfXtVh?oWdho0zcgR}U#vtF7xBl|1iXUOFFrSO~FyiX1nbIb)FYx2d1X z51SN98MHJDQy#Y95~LDevz|2{yB%3NIqu`*yRd#=XEO1&o|2wYlN>;uZe|P{<(TJ;8 zf_Fp1o8;mp_OxG}pjdIAkKaY|c!iNa=x1RKDvF8{M{Y^fbr6Xe$-MkTU(qkTL~2 z==m}IwTDsyJ9d-{7UwHXObIMWun3>12$_rF@mS^2DW-1ed($bjh%KE}GtP!Fi`(|D*MP+WF zIACo9X!e!6IYSB7#~(YE;zF~^M2|`2rMW9A0`v+N&Q${{f7HLU;!qs&Cr>=y22|cN zr1tw3UX*xMA_E*~OYA<*kPq16_*>pmqSeaCYzfG<4VLDvo)yk3UfC5Af|=6gyBRY1 zU%2E3IgzXzrxzA91j$aY+uaeJ6>YeE!@D7cJ@74_pUYxPTz@lN6QO95TJhLmbk`H> zL_9Zq4-!<-7Bq20-xl%IiIgPx`Qv47#LA`)i|-MJ;};Pe=}U<~ zD!yY#t0!{d=O2F5#@7_~yxPQzPg!P5q%oq}QW<+VP_9n=Ml9s$G)8H%e_yYmVTw4* zo#EZHLAoy|QhoADFZ*i7-OXQ5lP^xFnkkzdq_@mXuGp673eTuM;Nb8gke-ZEZS(vN z-O2NYYSm8w=WuUc;^CD^?o$6ea6EIgAXkaJT)&D{G%EejF&Pd^J_DF)e60$lrfqrm zqtv+#Wu5C)D7Or87D3Nm*%T%{R3KQ-Mq_v#%*UU{%3Wt zV*t@>B4++yptAM1Du4Ye)VQ!(>P4;_4lchhknxt;z8TOo5U^7T#~5%?8OLlsuK2K| zv_9A5R567Ft-eR!`RDO8DhxL)&`~LnbJ|JBDby8^zx+)%`rY5(odIO$*K#%(xQP7a zrca{=l6mV0jGZ~`-V&FvQv7NR!+|o-Sl~F+?5_}f74h$l;PWDqSvk~yOB#8sR3;yy z+7J9G2iin#14cwVaM!C>P93N6zmikSeGKQ6CtayJu;VmHk4~zx>llC2@;*p-N8BqP zEr<7rMjX{$0~mryP0H?!_vRoq9c0m#!D3!nvM4=`^l_MvchC!2h&^X2*ZQkVQ+%3! zk}8oekZzzDsAn4mfdd_a-)1V2TEcOcCkRf=?Q{UBqt3_N9%aJ>pjCm*aXbGfsGr-< zD7`k7haq7~nabp~uYC)Dg5e)F!Z@THWX}oViXrSk(boOdDxz-zWIQKA7M?i`LH607 z?fnFeBH1ipJX$9~1n9^Pc<@iq^!&yung((%{P)Ip_s1Dz8%+waw}fQCEjIOmxbZO` z$0>nsl@WkRpZ|wg%OTx=h=mEBZO?SFv!R9N6k);$D9-`yXJTuiDD~Uu)ezvYP>E)i zJre~t07B6gS20ZgHBb@9-|y62VCWN-pP(FBGRV3_G0>+IDbr?g*@45fV3f0srn;nn ztX~6^eQNqTkb*$64CL2;2({GWw`kCu>B{I!WD;;sN0onqqILfB&M{*qdw+%5F$hF^ zo#aX*8%TM&QUM_t-YPF`t1SM2>wl%{U49OKlx?G z0o*8vez{SkV&1C#f?9Nr(SqoGx|=_Nb^_=&TC^y#d=9j=u1|^>pQb(jcDebM zZ~N)(Ych;r)y0?WZI?ux^q0g+%EXu3W)HE)2zkb$p@?+o5jd%>iUc6Aqf zvNQ{k6A6>LE38%jP-?(n#`*O9Bcn_9cbP)gaqn=Y1^wL36*rc}R1jj(UdT#eSu!wC z6KWy2ZT$G$pxO9V#W(#g!!gY4RnKmWyu=Su&}#@Eu)vogbYQ%v+|ioTt7ES_eB5Zy zyJBzUWl6_g-Nk5Hp^Rhw6@O;hFec#fl&3gtA(e#f5N&ywM;1$tG(q?nm=V5{@W214#z zZ_(?d=1t3)+3yD+TCBf7!8^{rzt#YdXDKvRK-R}YYk9Rcc0!8Ut4?gRuhFw;W4X>Adh%~K>i53{%y0yx5_XQ{!2g-@h7}lEN z2!(*_SIN&b)D=&NCyM%sK4x)_$;Z{@-H}(4F-Q=U5U6kiNqf`eXA7{CvAtwDv~TuTx<4B)n=RFfkx|iExjh4w(qrAg#yP%=y{mTYj(B~5nU|qy zWyC=#&qLN(P}X!lz8FoQE7$vo+Xt~DdoWkHpPtno^ah(g|;l_MBGLi$^+L#oA@MMl?Tn)-!#5q==YrZ z#nWQWtzC0~?RFE@!vqK98IJ)%+IxO9yDS31kA_^eT=@Vv=RnX=9n*CT6WB@#34@Lw zEDH@`I#KxNu0I}?Z~C5BZl77Tcx$bUJpgVOCUW?zlyo5yf>KAZ&H+bL|;%cb(l|9NQ`kyE={qkVlvvfU~#TJO;F!2LS7Xjp-L43uLt!W#9i- zt5W|<&X<4n9Qmo5hx19p3vVhc8hL)pmi#3M7S)ODn@|5VrplJrU%u4Le}Wz%L)X=J zB_cQImhWL*t@A`tbXWZZ+1h00W;aGbiXbZQBaKC-sl&l%gwYJdgLP0U}a|m=`fzHYE^@UW8Ib_ zl13r7);n@LZKASarA6~dMNbCpd5iBtLTyW*eaiz9v3CkWU;YG@ISELJGt+yrmBEkR zp03_vcw#Rk-1E3SNwbNA#(SLFY)?=E+l}Tsz-mh*?WC zhu$;|RvqfZ7RlNmiK>@WjuNpTF$J6UEsP$fZ3PydW=XrSX$junO{BjS@BeBLaN2Y1 zS|vSoC3hhCI6z!jxPR|@R_(IEM0tf&wRxo->RD+}7IzC7rvYD^A}$qr0;OPD_03 z?EFHIdwN_?mO;ONI2=FP1`mno{n)qK%t{b=Vyu z$9_J<;86KW%FqU?MbuTc``K(!fj#ljvsSqzrNJ#~!4NqK3-i-!T^(KfjkPfra#O8V z#U^0gWA0aYiS-E-4Zc1(XQ9LsVNRFND%?X1JDb*h_EW~%?QdKX+ zE%1%g*K0aU4=KKo5Pi`ZB@A^OU=1^1e9c(LL4Hm7(WK`U!Q&{+iG%g(s<&0K*9{%+ zZ1cE1u&$DgF$ehgHoXE%57~u243S6bOf(B7gb?|@LK>IQxgH2XowbqNLnzsEfx2)= z^3*U&Q|!_|=xN{qgu*YG{94S0*-dGyu7%3*wFIY)w$CYD40>*F%mstr42}s+u@#wY z2dKmMQLby#GFX`DW2qKyb=ii%9PfZon&quSCjXJ$mgqC_@^L2QloFgX{Nt?DLpQ4i zg?jP)t`r|>G7)xbj5s!XJf1yy+^3Qd4E< zT=<$HKz~CvL*QwFEtqE=^GsI99lISF+pfz%kIGT@G}xzG_S?z>ginOb@(u)8n^#Q3 zi<`c;s4?fz7H^NQRx9OTg+6Z?F3cikJaSw1AWJil3nKl*C55*o%}vvAo0=2A71t?T55 z-u-zuFX?K?!^Z}ey0lWok223NOv8PDwSGSRH@rpurdtR+3h0Go+-v@=OJ`n@!jsjC zamf{bJLH}R4}zaT%z6z=8R$gl?YN}k`IVIv0GUev%0FmU^Vd2!)*wrJGW?&TwrXo< zGX^zVr1rsl);lF%YKE6imtO%qAv{0=j6<%2uW>KO%N$zKA#*k;OM(9e4FvkI>cdJ73YG zvjE9X%&4usx(hUoTiKhRm9Il?fGfNG8e)i(JU%?#^=q3MD}B)*}w+09G2 zd*2BdC7*~gk$45-w(=K$_o+|XpPiCD-|Cx=4b_QtO?Q!R_`-fun-tV9PEU&W2e;Q5 z+5Sh4fspm~d@CH+lA;KfIzzT?Q(wz>n%DMY@@0efjps1r$f$w#C9!HSG}gr?*rO$0YaYFr-BR7D%I zY($N$zJ=Vx5bv8*6csP5njs^JWNd5RD>kL8vZ3Uzxp7!Z?b)XN-h=PDWu z_x1+2q-8!!VJ!||p0PnlAdW)M=*q2hC!GwgJ8#di&U=4dl3z^G)>qw9#SK$=<_P(f zrvzlPO#~ROqhPoJio|JG8G%Hn9wPZ+UOwQE`Yo1M{l09VY%Z-Z3;_c0Ahq2n_voc9 z4Ey-w*z`eLtHzB;mC%aL*Pp*pq~d#ncb9_QwO5xMSFcOmUN`MD|AEqvdemX?@?}b* zKn`aKF7NmpM5F_+IvaO7h)aH`p3ZLIt+S3M_KiH2PKJndN?Ewn*_|>wCSnl{Sttc? zzOrrn>`D~D$Irv7lQR~1xXxnyw8SizA@L=3&ikJr@VX3NfcT8m^9^U(3)QC2A$|F# zybce-y!@l|8zY~+rBLC#$4Mx6S+(>PpTE*!Zk0ra9xVmWN_2qg%&=K`dz$Ka_`i-D z&Fn7kvSN#_`d%0-C|(86@0HwYh~e?H1CG}bRJ6EDLcVh{JXLh%g*t85Ga&?^Jq4fn z*pF>&8zirb5VZEd@jh30)I+%mr5`gT&mpIl3|Z?I^vcxA2>)u*9K@B{go9mQBP`EG&+MTwh}N@a5B{Rnt?&y}GP z5?l7wVFizcL6TN`Em{BJvsEEA?ag8*lKZw>XZvQAA;Kl6Ot{}^6`{Qsl0iAH_S}zD zmB3Ja4pk788Op4U&$K~8;{bujtLG1i6uovvpM^0f8^nDPYvPfefIpJU#1eZTCe<%K z+NRY5?P({U<>zg6^eEgj48i7qD`HQ!&bk1ljv4NL6)LzcAKkboSFyLZLeS#Nd$=A8 zW~VckAQ$|6$_!WZNOuiJOB5~aiR#!7@g)atrFkxfyr|WEols3R%n4|2U~{#27(NH6`9kflJ_M#4i zCKpT}+By=IX9_%Y#^P~TB*RsiP{Jyv2lz>V+4en@CelJJZaprlRsO5gLT!mX?Wk}J z^DEq5E&~qETcOcexz=Z+{=}690FlQMWl4!*7&hyhin#qiYtJzaIl8WXM28lc1x~r@ z&P1@Cq^-6Q!G6)lu`i$8p3rh>te6d!fgo+x0T_mC8U64WtyT8DJ|5hoZLPueD54_h!95a{5hM1bapH*2Ijh{<11C3ZnAGs4({gR_ z%bs>_s)umpUJ`;8254=Il#X{af@xN9Ta6Ja{Ugf{|*4`1K{c(L4tqq)%*KE|Dyl@dm-`Z$P8!c zogP<8lldN=|6^~dV!Y}724FBJsxpJP3qJ(2);5J4&(f7je8^t#G-gfVBur!@4AT{7 z4!O=+2nd8oZ7XVuL_6-h z<=eo_#?H8El!$u}JYaU;6T62`GeFb7o@s#x;HU%84iphkeEhgCxzR4`kh((B0r!b5 zr`=ZPWj$AyR$HJ>d!5q2qEJIRETcyTBRWpC8)5_C4Hlz&y_6K#W#bAzdKMi=k&JW`O^e^OL{!ZJ71sNn_xDt%)Ch) z8!hw|%Ep!sa~us|$pz|({N+gOM|QA8QzpVy1+n=sHv31-koVA6S>sLBa_os(ciOmu z1#3x2cY}Z`DQ2)4rF`ynkRCX9I4{)%?LWN?9ut(H!LKSexjgAMzuN?F2*WUs6!y8w zR273UM;cQbjh0n)y`!`xPA}zXEb-a-UFs(H7vf^u85estNPx4v`kW_IT@PiR>s2-{ z?c_ar<#tX&ALkdk3b9JQ48hWVktbTD`!YbaA+}ETCQ?klYQl2T`FYAukWR`svtf>m zJK~dq@^Q)PWb=FCXG6`1@Q717S^Dp^S7*^b&XaT1%XZU~%UES)m}6VADVOpRA2H@` zy6;^(5HZxBE~|~YQCX+n$aAnxSCH9?jAyv=J z+s|g;?f6E*&`E&K@ELD3sgSONn1Q(%vSIxJK_l*LINs|O)_P-dVZF!4fQ@ZFxq+p$ zSg0HWQy7K^OxN4oHF@fKYe5UrVc9#3@QoS2ZYCELewbv|XNj!oG4YA?q>JIyi+N4P zM`rZ7TCOKKQIr|x#Z2lxZI}a2GC%~Z(pbX7!$pdD4l;Ti{f?JS%C;qNhZOEI-Fv~z z1>>PgbWf0D%qrWfr@fOVlV7^>(8dacXT0O~wuzZAX-@@vI-Uy_P>1j_M(|$rkh~3| zo;!Q%QW+rNQzYHDDXLC7&AY>;L-X>H6Mbo7#}dKUBnuU=$-tATT5eM_e?!dtbpG=8 zYG9f(dZ~&VRk!X|z|Y{GeR{1_(LIO-(P9FAi}XZf78(*`?(yl&W#zxEL^rvTxE0sI4&4A-?5Eq@yNb}$>C*fF!1Zlc?HrA z?|+%D&EbT7zI2kR6j2{IxfM1X5~SOS~)_y0vtAjL10vWO)lI-+s(%NYL{09A^ z;0N1Go5;BSIpdO>AA^BzD&6gm^JWNJkJ|P5KNGMjdpZx3~n{hTkYrTWP zKAQrOU20XXSZXpVnp528#A{>!yem;GnyhH+S!abLqclibFuy6A&eWH)DMkEQjCyP0 zeSLifRnB1UJ{fuqgfdWvAgPu1$B^KfpR@!vQLgLj<&Mv<_mh7lqi?c z+q@L?AZa}|3E~t}xIbrj+d(_u^-V!-%=^w!wEA|XCQ2fgxMBdx)UywBMcrBK6D9JE z&wr3|hm)G%-ZkoDaYBgN(WkKd{N06=IMHN(8=Pj(s`u&3@lFd$_wgT^TR;gqZXrzp zypa&ivd(MtzVdlneY%;NNLB%9=NpDWP+}AB8}7zn67p;NhN<_BO|-mxh22c$VtA^D zyMS)L#4lPP&<*w}Cw|^D0s_aydx`$)?`Q376p}rk-TZvc9BPgaHPh>xZT5)^AgKh0 zt!e|szSrhvE~|P`nkriH6+^r&%8hYp59NB|sWTIC{Wv@Q8FWY1ZNmY>o-+tcR%Up` z-{RCJ{NS_jt%J}l6v{2gV`yCX+UkV)b40^{2hve)wsujPpigLNykMN&q$2Hw$;!k$ zyY~3~XWDt%y{|gE^4C&hcMyE9KI}H6Q$;>&3RMkJ^)l1^Qr@=sNvSZ=vbX7F-z&C+ zBss<$9j2ED|7rE1bu|VIMQ# z4I7MgARRA&&|>Sm$#>(pD1wT!1FsIP`U$+)?uZ^=&7OqsY3+*P>- znk6pC4hpBUI{#D?7# z--GTTg3^D2S^(kIDD8&ez?l#`QYvk6vkTJYL~)J=0VZ+IPZb6i7!Js-1HL%QAIk|- z%NdzkF6MT()B!@P#%;S8crZRON#u zHRy?OxVThM^xO~x4}HTiH)tlCc?vS4_(8$l--@1$Qir~UQrHse-fKvIk~K0No6Dr= z@J9m3FF)ys+A9wXSvi~WS7*<~j_P@{`4J)*bP-bF?_*$KR4^t5DAfk8k21LcS{wu` zbO8126wu-bmt*v?fiIdh!B?YMz_0_6Q0P6h;)fA81EWPpwnw9%6Z3*?WP7jee*5mY z;1i2sF;!Uq3A%;!Q2$!XU#GyA=YEyTH@c+Lm}IR&?o2qGn<_;J_dn11Z4~9-bws}6 zKiFWP>&4I^W{Wl|@$3yGr%me0?(flhHn7ebq|m?JRK3m>WWc3hmAf;Q1E4c!^Jr-V zUB3)}eO2|eOMr-bk@2^M!55;l`{c1*Tb+boVA*(h6LSrDfh~ae2tU{ru-%&i>bne2 zW>WhW+LQf1?lIE9mjLCTwpIkNF+Fqxq<^@2Sijtg*J9oVkOzWQ^rKlcZr(2C561X< zzpxk=NW*3;9hn5{(@+O0_jqo`Yu8owgkDV}i@KU)is9RZYlbzU`d^=+$PF%hNzF`1 zKYgvzNoKRG{!CACD&nDPL1j;?pPf;JwC!=5H||b>1L^n;+#aVGa<1qMK}`^SQhLK5 zC09JJjcE8H#QF&Mk~za+QcO-?$ei`P9<{t17=vPQ#gxgdwF@*deyCgo+v+wT_bFRb z4j1TyC~2QH`zF61<7>Tiy=vBp*Y#i%^>wMY znq@#CL^94~r(BA_(Mg2v&h(jj4vEErsy$zVhnr1=0$fc6;@5G{rZXU5$qK9R&xVlD zLfVdpF`WW9_2$(aAfjF=2X6?k?YQ~fpjkB3@mxc6(I=VY{iO3!-{Q8V>gD@vEx+ME z`=#O;N8wZ}%m~iDK@k;$MRf!_ZGbn`V_i9O>g)WUMU{p`v)wb@_f^XV5X!yn^v&>( zdAWA=OiuMEj+N%-xQyFMP^WTwI)y{-Ogv`mSjUDx8&G2P7FfaH>)9#^IJ`3@h02iD zJl)g&6qP0wS8Ebb_KF?!#>Sc&$BNL;M@l*$oYR~AU`k3K%F8#P7_M5E&LFE1xoM_W zJ^G40j`^DMaGu=LGpQe^7Jt4P6VSwSQb9R4T7_Kmz&`3TyquAq;o9kFQ{In51=<|Z z_iRzEP;}kMrv#Bp1JraB>3cc$|!zv*)bgf@yIa_6W z;7#o~8Q4(1ZlV?_?zv29)Gu!-084=4ONNht_htImq!R(D?6cC}*T5Hz@_;APkDH&$ zkWPB~8hZnBOEP5*q<|*7iab8Mbvzw*tj2>UaPM-aI1H89VqJfsRi1M3ojiz#U>bqC z%cqLY%qrXQWqii&W{UzfM68zVJqT*lD&Tq1Stb}FUEhzPCyiec*Xc{ zylC;o;bu%N26F}8;+vl%@L>NU|8DHSSz=6JQKBMeot}0pF8Fj*sCVJ9pV@XAq4)I? z&ju{;Mhv+|GPPMRQ);5Xk>1sBJI`NiwRGkzleu%XL|Q7XzPgLrbt#huZ-e&|S#+Po zE4gV35-~DP=6>}g5>l!0HDA=Ki=4dkF61B~SKBBU&kfHF*YZyXV9?nS;DrB7oGmcVz-?5LG*>+mYTJ_03!jbu7=lXQ3P<#c{=0G!TgfP6Sfq# zdHn0g=^DQDUOO(4%AN_`2&C)BNPLzdMNq~RF4#Hq;Gv8nkyWj+5)^}xATgyc+O{5~ zEsea92bkZspSV9hms|o1(pprxMW+nMlqa%ve{ocBd*%8C?kZdFa6Wsmpvq>ZzShmf zJ#%`bUjAZRaE8&8r;(MYlBe9s0Ep2dZ8*9bwmit-r~CU25>@Y@9in5;rh|{K3gv>>w+6`af0Ijo5L6qV^cP2*Gv{YBO&iH`-Naj^ z&>)Q!JBd9$!V)`X<+-k$l^Jf~7pj!c%f_SR=TiVBpi102f!bOyp$o8Pq2PVljti7V z3>RCuIhnpK$_!v;UT3tA@5f}Yhb9`g#=tK6{+{ae)1#OGeaCfw99ym0Ju$kJ$x#c( z$2~NbZr(~wbUFAmAiNj)_L)#kJ`Zn|$YeG(;9qrbm*u4{TSt+Z%?SX`7fu}EegnYy z>H<V!dhFK~!|h)GQE?Fm=LjN=5y zC7T0319*XYQe;~AUNT6Bqd%1;0kJ%+`N`<)fxeO-NW3EMF|sHr z$+p*q3;M9Svv*C$hrETxRsm$hG^+SGx6bg&4aX?WgHlVfv=p<-yjbNq_widG{~4fd2;H`YuuuU^P`zEouRhM#zwE8r!BRv;6G4@hu~^_jCk{Y1m6tK3;p$;!8($ z^}He_5)?VPO)z5QQnnrGZ$DujX_P6b5-muhvIZQwB2$_Seu5$;9V0Swh@NV2d>IQm z&*F(SSEasqm6etjCqT)L`HtBs{C_AV1atiP3@QDzN7-zU=2h%)n}^c7N_a|T`4+i# z&Y(7~EIBx2pvOUOy9E}S*a{?`vlF?eW3JB|qde6}!sc|-{xA040xGU- z%Ns8&KyY^n5*&g%Bq4aC!3zm4ML}=~Qb+;>mjDTx1PETZTX1&^?(SY_f49H?|E=|2 z&vei9%=DZ0zV#NXRO>9$~Rb@}AIpGk2y0++30qJ6;B zMqnwqF}=F6(kN;3M9hFaB&674+!aUY+D$fbV}>o2{*eqHvQzrpl(gjvq~{Ee)I>sO z#pvlEQ~Kdm=yDDVQ=Pc)L04xRrly02iDlLuxO8g7aQaxny@`1A zTzqZO%h!>p3ktf*P8g=i6&2~ZMYG-F$?q}WfV_v0^p%>D)G*04ekhgZe-i_`$d-^; zCS$WLYV<{Wi6!Rbk{)((4)K2DAz<^h#yicrL%4}!vSI*D;F)8N7X@U5d*hUGenJ(G z{(>fN_zU~Mbhf1jOsiRl++Ls**RY70#C^7`a`bjaJPcBB6*GW3Iy?V#MUR_-UVB^rsA$?RD+}MJAAf%!;bXA;FSz!UWFT_QUzvciV?r02_Hnh?ekZ zBM$;Wy?pbbs)tELM9RW4RMk_IoPy=JXxkLR{(dyB5oo4BeFu}t*>?(!FgX@_awezd zt{s6?KI~Z+^dZLVKnQluP5NlJz3m6mDQw?OF?JnOF8tzc~HqI5`KO$L+ z)O&{-9|8QBe6jqv2v>iZ7-t~35k0}@)3SQhn>F#RB5rS_5)jP!{N~GlO4;LAG?stx z_n>pE@?4SMV*U&=&67Tx_xiWw)r9}N%n{Q6f3m3D{#lpwoZ zKhC3#AlJmYjpi)dYVJVUu#*cBqnJ1pP(Lmo#!zVy__s}_IQrL*1^np71*P$Po*W^K zub0LeoZClGx@EcW1yywaz5$GeB8;!cGjT4yZHJfjay)726?zOzsnV|Igj z6OTHS;=(~3m_!+V!$Kayiw}JCNj?ON>h$;bKX9SstB>v=30XA`GSuz#PO3&g3grTn z7OuoxKkd`>_n^ey59{j%b$0^=dn;%4@c6BtHolMvmq~!zESf@W1>$8TE-^1YZG;~v zbG~heY5<{#^L__mFM&h~4~gwatD8uUC3MO;i=oyM&ih+M>qOO({1Mip`8`W2B8v9` zz6INp>wT3)X{+*B7Hg3WOKwZ%MfThQreqhB~?Q5U3;`%elj;%ItW>B#}j%`}$x+4CKhlmlw=+B_GYsZjVZ5MGn(gJg8 z;U}SG(4t=WWOS33xks^dNFG>?WTN5aYcMd=x0O6u?<9GS`^10j9?)>G2x=$Lo)%{!a z6-0*oAOrW@hG2w8s{vYMR#|wTa%g(bd(>!Vr^Y5tDhgwf^?)r5ctlfXv8F&$`?@bl z;^dZ_rJM2NLe}iVUX`sl6D%+nNkeSjN--i<4{{N*K2|9%{)y`viKHvBV{EI9Q?kul z7hq5$SLz971l<$VRVr$VoFVoxVn80P5=jz7EjB&0Kek7krOtT>cEvs>xZ$XttcdE> z%Q9tk#cyS}dcSg-CAo0iODXOWZt;@(VOm}?0^bZ8NPLRiNxbD)c)O z_F6>7-}2s{(rAOBxwaRV&V@SH zp0ZwyI**=JvQV&4Jgx$y703Vz`j+=G%N=5@`HJ)}3~3Wx;KUExGcH$w1Z~Yg`d^3r za}P)|!ppUSjaxsm36aBUN z+$(%c)cclWnw&!cK>B2EuGdd9xiiC2;*sz3*+Oip9Omv8X*MQ;|7&3I!^a7r2jc|; z_*xmjz~J$}<8nX?S)Dy3Qd<_^=hq)ToAp+)?i*>EFwGb20}GCaJ21O?n)Mc^q_Q!k zWr9fE&hk5ss}cZ-F~HfU%rmp8rqZn<@%hu>CF~CFr_4$U1VKnKS_IuBkY^LGCM((- zs}#*OPh^-h{b||E-J=v{Q3JI{J|r-!@?nxQfhmTwwept#O^kCaU z!eUuY@ANyIqlHw{GrY?nBs!-ud2|7cI_lcJsTtSfP)0#%EpzEnO7(2hF3>4emuFS@ z_&#&hcEKcM43bV&_Q5xBqOt&DV`V9!#!k~QD5niZ@?`dK7LP{r4DS?cD&VALIpVox zoK(hit-GHJKJ-cXfcnFqAVzO9r!VRZsItka@u*tg7i5UTd6(xcOA{`{Y z#}@FL?}6RlZ9g)5s)^J5Z^Ma(Y0ukh7;##TQ zWcj~b;$KCb{G3j2>l$GDsPOLjw3k@Kr$d^G9`S(t5xtK{-+L(Noy84SDjnaYqmR25 z%+eubE0onLT959&PN@cpex1v5l$$;&8GN?)G%rGlgm>inwXms)tmT>H3(ngm6(aq09S68n)?=8+>&Zy1*mc0@d~0 zTo$%*7V$QN(x}5g8dcpqHK5b_5_=sCL6f7UE8SeU|1iJg= z$4CMbU&-DxR2?4whC}HNIw0nP@5+VvBlf0g2Q&6o3Ow0+#qWgMb?QD4%;`&2x|&Bl zrO-$9j{-o3lcfc6ap93geSP`6zSpy(lf$h|v=OGPGjpkR1yu)?BlT|#W*mJ7)Nnn& zjkQz43m`dpHULO&ar3?M!A&O3g9SXF<4#P+h+BR0WvJ+8&E4G~KTVks#Z{-8QNhs5 z>(qyXNBM6NA*Dghqy5wDw=*Hrq=B;`h)DpPbS1 z5hweUtpOY2{>=KTW(18uFd6pgLstWzxQjO?S0BLjSB;G%bslaT3r|Lw5bu{+4=WIw z9QC&ALf%Bt8&7=v$+VlV|K5i|EH(rsj;vqTrQMBUXWc?p9Zoxa^gG_;+P z{7U7eA$LX%%J`SxJ0aMD`le>3_=w`19?0c9Ps4hvPU1t}jxoel#hLQL%|X(FPaj^O zgbLSHxe?)+5=D}We3eAR=4Hrw7d7?L#UNxiV>)ShFyR}5asRqACCg4M=^|@%)>zRd zT0lxeFI3kwp}nMAD`+_QN|D}dVP3}4T5@7+uR2gDQLY6jjVpc!q`GINC~d~Jq#lgg zJDS@RM?hUX2rM1BSFCLsNnOU_?nk0J0)XeGa?EuypDsjN#0kD;k5JW^^YD1J8{j)3}OXT>IGHD#|CvmAS=+0>h_Ok z#Sd)wX=Wnp>`r%(C=5D(V0ZqYCy9Ih{GEun$40GV813WgW0t+Pl^1%Z72^W6TxYYp ztWEn3$3`zA@}+B>5-y}Z52IT(Bl)1&l_`GRo|=(8eqOpTZKun@`2yfM#I0Gltr2wa z1JvZ~QiQLEaA;P%)?`JRu^OHNS0=_~wK8kelP{s_dVGW~0X-LaM5|r?2a_*G1hMwb zuGH)F^|q6EIJ4Z<%~9e5dQg%#1vz-|5z5O{3;9O2$-$LynC)({S(9Z)1R0UThpuHG+t9ME;5(7ZRWPzmSX#L`IykZVnQ}8yo4n0=Hmn*{ugA1PM$*cEB zo(1h5)lFiKM7I23+Y$)b*nqE8R{33&_DMiF)_6X*g44;dKgWj=>tA#bD4VEvq=-}6 z$!6Sle|(#$l&kYxk5jfL=!_IWkr00Pz*%fHs(#r$PbdL){Icz0L_tFPt~?JSoGa6dCSWz>9Rd6yID;PW+kJgLM%D`7%Y&R=L@OIx_XBhw_DHniJvS! z0D_JFNfkC-n1SwTXM*oHG^LXyE_?Z&i@Wl{t6F66*OM<@J`QSdac#P3;Qk4tv8!8* z$bMQ=Q8tQi9&_BWp)&L(&REi4atq~aJ>q?#8jJ&B-Xa!N`vt7bNwlM3)P`vl8P*(6 z6f+9y?aN4taY}X!mEr*F&3m%BLfJPBs*hg?6V3|Ozm5ILsepaYG^nNqHBr5WX_I87 zPCDqG%F}LiR}qo446xma+uX!tSiw{G6Po?U4Jt(TOkdRHQSn^{A+;fjK`)LEzt>Y4 zQQhDQgzLrM2=8;fhJM;_E2E%F6gAK225`nfOBpnCyMB+FjxIw5u%huGlasriRb_Ks zuOC-ivoh(*1O8eWh{~tsLA4R4e2-c@ zTYRZM9f+siU%y8C4nObyT=!V5y%`~YK3fFHb{13Z_{lAvH3}=87Ol;FX_8;yk61cx zLDddV&OJa|>8?Tk!674)Q-(>N-L&? zu5_e-yf*aGQ>VI&aGrhzPXE+p2dZ2uruzk>n%@T$3NbRmD;&9rgl7aJh4+rCDCEA+ zMu9g5C6UhHN$rF?F9m7QucYUV{RI2VCh%3QM1yh^#L-Y)osmJ z+>2z=2LwNZvWm2uZ86s>#~RR`a4M3VJ63iv2^TmWKLoI0)Y4m=QP8_QrFjs(I!9QZlX9&k@MMD1uY{21JR&oM5c;K;+} z3f0;cBHi{7Aea@vc(<+|Q5(|`c}jg$5bb#@zaq}_KK;>A)Td>X-0o|sdfc4cIYmnW zOuLxOgZKSm&Ek$&71n`jN^x!Nbv&&ZM2KRwWBU5?%1O7E1$u_^5P{>lhU3qk0kZZA zOp#GzQ4~&HPqCVDZ)J))j#dq-$Dj@p8gNe!o!XH}j_~Y2=I7 zI}_{apoY>T>9!*44r5mR3U8>cR?Vb?j!jyYzu`}$%&zJR&~HzkQWFii80P?%XKiX% zWIWP)f(5Yc&J_Ud;71ATuJr;c^F=_xSXG$hj~C+qQjq_%p8u5Y0h&H3Q9ig_94i3( z3t6er$bobK)>TI9#BXuXK_tDmK&BPze0twzP<@~3@Qdo#a?WjdQpN@4MDy7yz^TwC z)_D5gHk)_3{ub^=b6Zy&0&nW`L)h+HbWImeKh@Z{&5o^#{HoPLuF@(Ssaf}s6(!+* z0Z0H3&hgL8hj!jX6sKw79k(%l{aKUh6 zOy|)@bBC0u?Wb+*o3CTvnUbNNd2AKK zFT4xRtL{`GI!Ehkb*a^L+?7%vF2!YgIWgJ$D$HNwT!P7|LO!olo~iKB&?yIY&Zmt& zaXz80FBP>ipNmtJHfWS(TVYpyNce__c?{AxUuW_vFRVcGVQPAKkL!rh!CqC`l?fliLc8DTz(dEnfKu0X2let*A$~Z|2^j&GgND%Ei8$!zYTH znq9DfdMOEs!bEc;6?omEY4;JQwziI7{ADIYQmR+cchV#;sc>6*Cdt6ON9YIVfz;@T z{ZMj?g0V{{=8(*=PB!tB_35Kv49l9jw03oHJCavwpgUZF28k&S*YflrqHl|vvd7SIkQtlsB=3sB0po6WiNrJ{k_EJ;JFMOB zBYjln36WE)2+f*=$I_|SKG&1*_iblFX3*4QSbD7}YGoxU>6ehOX2V1fS+HP-H3jfl4`{miIxNVVC-U3#@eDSQs zd%^;2U{cYa;Bo-eyI+e({(tqAUx~%C{_D7ZhH+suIMxX$v=x5iwx97nvS{3jBEd&A z*QeVP0PSfEM+EJu82!eDT#kvqC$MEoT-aC~8b9ZF8?}KRtj4XpJ&!4ml*Hcq`l$#* zT|Vm{ra&P7$=Jp}0iTHR@8S^iyGznE=Rf^0#IVF@3_FN+y2UW*zbDNF{VL7@&HG;) zl>9l2AC9!+;&)IVjaOlZ7f`uH7XxE<2OGUrb~!o3EQ+&*H7Kw!zi&k2rHEXX;bZtG z61L7l;5mKkYSyr_{%GNo{W}N^<0rYN@oYt=SWkxXs)i!Rbz56Y~-7em&VD6FQ#`&JZN3LAxLM+Yjx z;F0Js!P;Wi@E(hbPn?WGyoLS5vq`V^8S*S+`O4s%p7`7p)voHxb5QxiY?6(iLA{$g zbZ<`|mQ}2LuCHoVz7G+!+}uh2G$x=&d83J@y&5fL)U+I99fQnZXc0MAg8s_oomAVB z37X7uG2g+-x*(2~HgDsPkY255`YWeLRzGq;)&0s(C`7chw*?x7x)e&nx|GTQzDl^1 zQDcQNv2C1UYc9wl&M#{s|GK}P<%|DiQX}`_+5VlKZ|BPx(~}`z@38|wg?H@q6cEB~ zbeaFJ$l?Aoyywpl3iw~Ox%@AxBM(iTp`~<{mxe< zVu@a9qw$oy34g+RqQVj?%Mh+p>0U9;5J93bL?3(YxUxVmtv$ z7dq~gB4}CtUgTxoB=$zwBZEUwt6;BKiQxJ~Hfwo!XtS@h^qC#Cc&AsK5=P(NFst zP{R~N&|4_~8feX1LYf$dZ>85@ju0`2Pn!oPO|z~sS1C^Q4lDeCq4zgg8w0}@F9^;= z>WCUtj5Ai5C|HpoScZ)n{~0R5Q^Jw|Hz($wF)6VMJ5L_#YB(^y-kqz`wY>#7_WKcO z|3*gtcgx)WqwL?A@~zsct)L43P~u`+jQEfFkIxgw^>PW@sRKz#ut!RtGo7O3+T3Pt zKt0QW{lHsTYu#Y^ns4TfSTAIV#czne@A-|#t5uQC*G}8=Z_lC;^0yqBHVd#B>GHxa z<)>BlrxF#I^$x215vwe;6-Y^LbZ`Brw%$a+6Dd`L%yKE0_o10V1G8xb?@+v*pGT>x z(b%QO1L-{8?zMKt`v!5_yMSD~lI)WmA)%uVcO6UI7g+_IDb+r`%bj~qt`hWIfJXBz zR<<22)p1Cun-}*v)klHwPn<Zq;t;Bo}FlJFaYeimIzRYzE_!C zKVn6ZX-h7=j5D#lPL`!D$`R|5PJEcP)l0z=sh3Dl-7h*wD~iv*H|Hv_|9nbAabeJe z*Ywl=+&)K$OfYrSYwswIl;fWYz9Gh1>oRY5*%!8D#h`>QxPAIByMDOucS%{foGdLP zAnM~wM+X7f+h%n8P<`fc=9*X+ng;uuK9WJjtBI#WweVyS7zCZU4l-snd!@J6w(MIe zswnx=II$es{32TEYfZhRnhxLwV4jT#e;M*J@SY+ocngd{LrG5$w+^X7Q;YiUx4 z-=nf{{V~Aro_kW=qN+4*T**>xnNMmj@Xc)_|3cBy%w=j4k9<-3z3$fao5UkR0(gk_2|qf#TgB-8f|Rb%3uzERbA8*RU1$C*k*pk-5etx7#HXD+p?Wf6~%03 z4~M4x!_gW2^X)HD>i z;aP9G{0c_~!W*rrl%^{zM?-M^c9fG(WGEh4R7)29AUcU$b;4?l z{1T)T@vQAQK9En8W~)Fo^6|FPZC|nSMP>22_ibP$C9z>{@9nNfHoVSYYEgM{urp1@ z%MIq@J-~+|)beakvU9{{4M1f(&q{Gq#)OA^?BkDi81cY>sjnpnu-bU&uR-vAfqL`4J=9gfth^S{RYZgvAJG`jRT}0kXoYZ5d z$gR{4@=wuDgsqp?4$y+79Am?a5Y11A4@PIT#+L$@LupMo`_fYagDSjJbvm-g$r4NF zW(KGyPq^1&$w^FiaGZF1q=N({9X2(~l6MuF>mcPYyhB=$7IGYmQFu@we}Dm5#;ai; z^n!&g`{3#2~NYPLc(Y6g9kD*%kMkloaClXiQ=Zie15>N2wN(6i-h6u%)On zQn!9ER##_~1mm+CZ5z;XB{EFj`Q-(|s_k>}hXqn!AP+Jj=A1Qkb-JGMw&H>2PTl0> z-MdkhcC~tKMz<;n)p#6gVxS0dXp# zU`0e_Sxe}Cgxmi>^n8+=cenUdO@cfUTHUhugEew!+vtr$10s2ov1jb;I|wE1R588z zpi^3Gw;<>(&6vC5;;pjs8>8a*tF-eH;_doxdtVRlnKQvq_a-&JZ~e&&8Tb=ebFXZ} z>-P5S<%As1u9}QJhc*p2Z@K8uVZ%V@LEwWFw9gVdW*>QhQnOAi;#0`D>B6IQW zolVM}@QP90{sT#VRWJUnQxO!vfgrM3Oe6C-{|ENRSGsaZYDZ0g6xElIw&z*f6PiBx zmzv62l?EMmMbiBffH1be5P^ZBOQzx7H;lzTtCRUBKhWu((1aElu)-;7YNcc?H;cEL z83%Hg*5*i(QtEH^nipE6roidfRsSgz+1 z>r)wwXeoqSKt8a~CT)s}`;yJn?P_GJK2$n{IuzcVjTDQg{fLmH)LLbo-!GcTaI3DH zvUUNe&hIjp4zICcYlVxqvW^Ikc1r8eBBt6AxZfD6<9fN5!$*6R=#RMVI$Dd{&4l|? zHoV)(E;X@jkh%%%^^9WX`Rcl)oCw5LI9iy~fXU~B3ca8-Pp67Q*)2?`e zNI^t85;CijC~Z@DwuL5l>C3BxZ%-^>AL5XD^Jt#IO>`&V65csIncD_Z**49#kq+=; z5>ugieZv@G(#42Z=7@rtV;aQh8IBxc^Nx0Y4dn4i5#=;DFC<&lDLlOXMxytQ^RREK zGPK}brcxHeDo3BHv87+4helQP8-r`Fk3t!QJ}h1yIF(feOk;qeGPMf%=-JU5e zzQ@_0Z;UKV5{~aeYcS_w0&+<2czsH;@Cq9D_5HIVC93yhqS0u2t9eNN-Ivr_#$uz~ zm64C6l};zkZ*huM+2%iBspxd#i?<;I6rllxMaij?V0$EuObnGS*l zL*-PF3nm9?m(WhXEs-6u$rsW@K8ylA#0I6dG=cfy`n4?nQtMWTAVaA1ie}HuZXZ`n8*w~Px6<){2NH}yQ+%&qaKLaPz0D05+p zJ2CH=MV~ct&%`MotUH?L>}V~^@h6BX?d<2t^3--T7L89&ocl`4RgqB^tqGOHR|phE zb`8JD$kqn@Fy6bu@H4BTVI)1O`VX|nU3+vu0SFzI;IGwF4H|H}OS{KVsr`yw*%*sw zrE(YQZ%C~~rTkysI?_#74q=&0w@WQthZ)rKpnZ1rUAhkNciPu4l$3HbI~;;6ejUB2 z-i5`6wT^CF;ND&|o|U;b7D29zcYundx&jJIfUJdQ>v_fDL%U1cXg&^o3jQ(ovFIt1 zE>&D-bt5&Y1Yu1(EcZR5(Q_=Qu3F%As|;6RU!5?!?(6dw&?M}=#LG-io7qUa)4k4B zkEEL%-&v=OI$W`+O7k`$>FFk#G0yjM(EZGaRC6X))YV->k5IwRv3q9DjOpZWnIzv* zN*-rGH~A#WEE3mVnehUalDVeKIu&2xEAY#5-^%J7nQEMOIbZ@-j+%nTQ7BiX-FzLT z`mM@Q#2Ax?T}PJt!+b8QwRF%;LZejUw`axAz7Dt+_ZFBXo{iH4{|jQeAh(CMptpY<&lFmuvKQnyB&L+*I>(ZlR9L5pW}GmMcIio=9`#NBP15xgvcaA6U7mHn89 z8AQ;W1_X4a4=iGtzTx}$K;-LtEQzS$nunjA6`4S2bl8AaJ_5Qd4(_%L+`xG}Dr%PS zu)(3^GyC@w4EjJE*}Li$Z|N&cPx*cD{pJOC4)KlCRR7J>>Mo7Q`qQAa8j9XymBT3O zVK|)A9$Mk~W!ANG5>~at_q32wL6eB=LwSX_|5dip5^J|3?^DL(gvzP+ZcsUz$2=9^ zVolvFnH*Yl_p33Ho(Dt;GvPcoiQwv-VBtd>9(R?LQJfIiH)*?wF;;;9l0epqRwq~D zE2w;X_V^f#&E^I;%Ne*3DT>lkJ%;*`05Y9@nzoV;B`gD{C|1vBr^DlvNjVi+1k(WR z;rf=vGk`(Tlh=%>J9{#*{hYcye%Gzf^)Tm@XE$%hlvluZOe2qFayM~%p1EK%{I+Ng z3`N(RI^?dzBg)bVXHHGjxp>mE;e|<0YtFx9Imp`udYEU67g1Eh(x*CS{&Nu!H8ob0kGD1Gi`55LA4=UU+&NeZCS0Vg+;ON4bFI`y%Zw^=NTf)tGQOLwL8%N?nQoyr zkE$HDdE-|AgTw1rFwD#1$gxSQczc;og7A%|zf+j|i!32&OE2qsir@PKB1(e7KZD5R zZ`lulZ72XEdi)L8hHmA(Z&Lw(T9|BRZ_b#lDr!pFeq9jJsufwA?QL)ycD?g6XnnmB zde*G`BdT|*U5Z4XQvmvSN_#Zf!`sIQ%e`9HtV0j~nY!ZkkR*q$;^^W2tj5GS9Xr%& zhbx?zW$ir~GlQm6x*~HFpPH%u;!!~Zpi%M^cAK2?GblXsp2Q=lc?<7nQ2a~Su^WGJ zNZQ?|NBz34UlZ`L=3*aHnZ_E@77k;L&ZjOD&&za4aY*mmmenpK6RK~2iVO(v(baB; zOF5>9ER~85i0h74QmM$aI%ez1ds%-A4pV4Y5ACW&#uTM~XLO6}b^Ga8*Wgzpqhe;` zA}T8EK6|M2WrA!4v|6o;zYQk62h zeGTFHo3;I`l~JDXlSJxlYUBRh!~)Qbo$J+pUFOELqjb7BX9UbrY4Oa8T*p_!LN4E# z;utyY(=F_^;~6PXoiWbg^1t_gOEp?^+(cnZ0B>dmUSFUi>FXHPx6HKeSOYg*-VAjN?Snr}I1+dKi0D1TK&N&(?^QlKwahm6y zO+sQiKvp&N_7Ub!&SRQUx($C3XW(~QR-N5*c*_H|U7$K`J40g``qikg zOUUPgs>h?|cQ%91X2YS5?H-q}z0|y2)Ai@F8Wbt>)A6VemQM9Ees3PTt{cD2>|>96 z176mxJiNW@;#8%5y_TRa$>k^O?cxF(8r&$F%y4mB*)`H^3gbM#rn1x(36L!1XF@{{7qC$MLpKjSPumx&wHM zZ<#q5i=s8BB4HKc9sX_VZd0X^Q2Z%~ocbXL;6w0x_nAV`C(T1Vw`i~vYvJ-1*ia8_ z2@9A<0unNgMYDECb~EH;`7r`Swxe?&<5WF3inZ}tC>X!&Y76wynCl&rW)wjZ32c>3 ziSuys4ZQ~UA0u)1A}^sWxlGM`{rwMyf1GTSqs~{osJznNyY_Lv<#y{LcpD+Am9{r= zMY4D3cB^c^?`_hb6}@7_*nWLCAN|g6k>+-*Px|7mdR%A#@vF59mQ*)*P}?=U+4s!| zgqG^QubLo{$hS%KWSZP4pMN+$!><4LzeIi>7+phu;X>&ae$4?J>hnX>ZhytzIjRc0 z5_QeNbL9<9GM&<)JP0bX6P`hO=*EMsXq%&VSEtDGE*+2896M~en>k8!Ho7zK zZ-a64-+t_rBw28_poXe0K&*WT#GOF{!j|DY%F?{YD~Cti8JGCUT}H=-Q&q=Cp2B;R zhYW@BFgt)xAKwQ>VL?g7t^D}=*P@{L_tuNs2qi+K+A>oIl5p94d)KVGspTYsQQcc% zH{jd^$XyQYo*mdCVA@lM#RgpFZLn$g6~0!->MkiTBUYu{8$y?Tl_RSJuEc21x_2eP zD;Fm#8#a9p1}{Z=P=ikP3lIPHRDZqo?A3l!B+^ln1I?VB%;ZfoB+`svX3c?qnYr5m zwq3C=mp4Psp*;HaP-9YjeK3wxt5pJdA4bBg$jg)C^{A&?9L;dKuH~+GoQ}|geb-{{ z6--jS#eKrG!W{agDm=zUw}~jB3ds0Htj87j+*-;!H286GWst+YVYBl_;?4CtVhuy< zzh_hb&**9X(>B`w0{ceiSZ+qdK%6}d0r$}O=a(~J+OIqSiDT(CDG}2nsjX>tdh}i^ zNo_Mkvr>l6$S2p$FfN0M$wRfo=h~XfqU!C)twI~ZvptdXu&^Q<%0kMGNZUMvtkSO6 zDG@J0Oz*nzi2aGGUcAyylHiM-@fTqI2Ns$BuYR{bffxO5(%g%PBe$BM#_a&iQE?9v z-jK%3{|!~K|5@>{{|RJdEwGheNj)~7uf7dDzxo+2Nh7jWFb?Ge?`&LHR z2WdAcWxWJBhGkvO#Mo#Fx}I1uId808?a`n8_d)#s5ftF>{CDbKL#Hpukq-`4Clf_2zg9dGaF`WebHT z)XoMVT3K=j?A(Gn^|oI$K!6=vdx&;M8wPbf0RUt1e-v>5a;&)~4uYW`^>BLqw|4D`aXA#I5kz19a7_3Q z*UleVXa9r8{ww_b&R|l*fo>!5Lfy>HakhzlxXutJwA93Mx$7304HJEhpwvPEEU7K) z0YzIcoUD%NkgV7m=_3ZjU**WzzaKqA4a9M|r>V_Q9)~ScPdrXs@r^h9VOXEUKT{Yc zBr592$0NkV{GR(^(}p?2XLRNNN227vqT}yOsQ-Hx_|HelMw1(}Rz>EZz3Qql$)fpE z-%bkkrBi>Ul9??9&F-xqRHX)$1D?q z3uGpv6jq;&Er#{m;*qS#27i+0R6-D+3B z(CVh_Zf*Md(FcYLjylP%qen1)gu!RzT9e?66K-SJ4+x;?JQmpj_)-5YHJTNW5dB|N z_=amsuf$K7`uog~7QDGp+_iM$mk4p8#n$ZB!%pkt3=4AZE+Es^Fq(bl1raP-;|0L@ z0?r-m4*)R!!x9PnXY@e;7~gO*$VDpBH5s>5psc(hM5Q62&-WW=Ifj0;l&fvaoU%gR zhGldz&t~z_y$(B>e&pIu@UL@n%Tu-X z@G%5v@#F2|fBYE*%w`m6@aX4>eNDD>J*)Talb26on5#eh!dzz*VZwi=qhtB1xBpx5 zyL0`+B9(82vCoS2zjEC*yn4Ivti9ACZn##5b$U;`WNW8hI|05;HzOrG08nzwC!iiw z;F6Roht4&(?nNS~QBQ0t8=8^EIEqeK@6llKU3s>=#ISGNy@af{g^7!SDlysns0U6l zGUnLXM$Uzp6aR2@)jvXq{S&CI56d`Nw5qM#$&e|Y^O)6V&cTq$PNm=F|CTx+h$8`E z`!k4Pee*83((z7Y?ibS3-$-QqW7rMzx;3(U)T!vod48E>ct$};$WR|j=<}%rvs0Pm zHI`hz0(RlnMFoxyj*DEyc#)>wYK=-Kn?K?6)sV2Kn6nH|*!$8c6!r~BhIW#<#yw7U znrQ28xNeqnD&y&_nk|v!Q%(})W`y3qL^T!0Bo$NwZLt>K5orhMR(hE#nkKV6nFId> zN?cG!;;liA00jM+_4W0YIXGw@{#9=JwZ1lRa1v6`^EGe=)s{I?_hIbUh(t3_5J>T{ zKQo-FeUY%jm)mx)qU3rqk4V4~EfbF&9X0Ur$J<7iobrtEb zU{XkG%li7vWPstMU*#SZ|L3{5}bV-1eJh zL{5A=yF3aDy1V51Ms4oi{rIs|z03Nhb%HZz)h67ppJ9I3cmKzJL~2#oRdVg1P=QD8 z)VGY-;|W9%Z{eb#PVuZ-x3Y4iajOh>%8H8Lf|*cK;?*INam}>L2K^e|M^=aF7W!S= zd*0*Vl*h+~G6kIqJh<5q$~g3+E+#D6fq5*xo+u1s4EuaG%|YIZs@z3*^T*uH=}6L4 zi12Rlrpu@RPgtE{O?U@B>=htNCb^!}U+$0-9>J8Ch>HITDG0BO^PEd^)fJ$Fo+*e6ev#)GT z#$`t;@mwV?3XV-V$}DWHp%kf6Mn?`nB6BsuU!J1t4XAJOEN@|3(1>Cs zMDIPSg@QuZ0lngh)pr&OPVMhpRJ`a+*?xX2UrCL^`gn1ktN_Kj&=S9U5dpw)7Cg`;66>u^ekS*EnXQSK)jjZ3EB1j z;+wM1!?1v{I22K5PapRhT@)q#(`P)luld{34)?v~_ft2*7fEp$b~oIIECVybd-H?y z)16+O{5rXyVsb>%3J*fT&0}QWbM&m>vvrJ+?0jqS{L(&e=fI$|4$R)=4lj#F>SA8{uLi-A>*!@_Acx{&1eF>U3ta&q>u(Gnir|vmvprAa}p& zx{+t>KunAy=GZZeM<7j8#GdO*`po1bw>tf{#jzP3b)YYz~@G!h;LIKA0DXk)~A0Q^xl9s z5o!@SC@z-s5CtaEvh@PLHQgy!=ssHJAvU&~$%wk)W+1i*;KbeQZ%AI9SpvkR)=7q_2w?(vVwZW$=&s$ZUF+2Ij; zQ;04H9d*kurlrIqBnd@yl=E`yvhbm($Ol>ongKHkO zH|J{8DV_4|>F8qGa}b>SP=?SKSutEpDi>YiPnY@WG#BgVy0}cBZ9l@|a@dJ%bN9x! zX90*C=#K22leV>-o?WD(j8%r}A`gNF&*W=E>+Xu>&@DHVr==1AZB#6x0oFtI`LI;d{sd{#`_d`BU0mS+9Ow6XHT2!6Kub$$P^3 z39d;|0GvDW`o*#`g+|0%)6g0Gh=@)4$?oF|02A}@@}39}uo<+vlCoM{J>{?N>AJkU z{doQvw<@gtNPDu;B_|Rt$rF^RX?T{s>&zZAf4JPt2I$apYhrfN<9;t@yt-K zI#TQ4faNWFG zf8KivPqza<>>mbpNPdg?PiG>E`GgM+tX#yJND>0%E$It5kUs}&BGHO3OVjlnm9KO~ zd_KOb?BDge`{d}7r>}6SKGR#`6Yy!m=tHI`>OnjKzR%>`=8mVC!lNkG;2$H;b7}m^ zN!FYACM3{~7Ilwxs#lDZ2O5t#pJ1guR*A3ZAy%Vge@-TD_&{^eov4MuU#uC+=fzP- zSW|BuUg+6ueR$e}c5y0W4YYRtCh`BU_Z{$brCZ-ebWx&4CqeWU1R(^`BYJNM(R=iI zkRVY*f*>J!@4X(K5JZpOBl^(~j^o>z_rCZ0?%bKVH}j1<<;whIV((`^dp~up^?%m- zuhypljL~0t2IE(Acct5QzQ%8b#Cf478(JLj)U?<<+%5kYCE}8;?B7_~y<_XeEnvij zo(UJIg>9r5j$0g4znhn?JRQ$3$hQO*AATz)e$ZPaf@oCxyk}6PP94yS59M_IvM-!4 zqhjf)LQ!?yxGpRGtLe$>71Mr^4_~4!Mhf8yPKryw6^3jF^mKJBl$#u$Hoola<=MQt zXSrO*3SHA2G;0|2lFYS?(e!YXL<($CzJ{;ESGDteBI5PrEtg`{xb4#~>kH6^1l$1E zvAVb@>Ky%2BrWy$&q`pWWF=P|w&&#H2)_Hw|r zXfX;sW(KWLGdgmBVW<>ZGU2qZV)Z1mAS#( zv(^hF0jBHY^X%~%xpU)K5ff~t^R<^OL;h>}gk7ao z!7ymS7LRJk!61nuFEY1Xf)3OrZEqgK8^ai`jzi&}mzj*7Rd9E$81_h2@~Ol&JN%Y; zaeC`5e>IbF3?x6YMuC;_w~O16%FhU1dm5S{ZX6?w-%dXwCbySUZs=J}to=}*l%!f* z$ON-Xg@vy*c{q*R1^YCaPn&jw*I`RzFGy+LynXvNslAq+rY&jQBlK3%XKLCqAOdae zTLfAo;}@F_vUc=p;y5$t>U7r_`+A+^yp^X@@8^^TjNDhRe6J!$DcFb`sy|y%w`8xX zH%iN^j!km(;%&%$;AF)|Cfk-$CRCl8RZG`5qXxS!CdJEf(nbxhs z*FO5WO^bv{9^hsLCpVrpABrJcY{-~SW#Bj~WcqbDf5p>2ZBk?G3FS{_C}`Hy?|Y2r zv^L779<+PwYBdBS2rnmeN3u$#Yf;5f64uVV&vJ%fT8t+~&(#yG_l_u8-uBLF@m&vN zx%aB@?RI(9^J< z%5V`Z154U4X$>1YjT)3I5|el7u^jul@^bqQJ)Lr6Akeqq<^vcIrHVlVrvvX^J~2EP z1%4BOFvc!{8+VheT?-9{>liXueVC!s^}Mn8Q(rI5>U0n?g#ljF6K=Gxe9^e1MapXU z1K6A*NZcY?Zw*YAZ`K~zm8FGd@b1ttC4fMoPkUvTGg!AG2E$!j5(&3)wu1N0K!k3` zC$d#Tyc6z^ipvIOo&3>aFUj)D$ny{@)d%vdX(!Quzq|#1UIuiq4Y_@tWw_y-JPk3} z2y8CzNOSNY6D=40Wf#9Z$wtl?I_oZ_-_uPdQ1WpQnWX-n!32BVhSy>b0Dofl--$H%hi&>mdM;JdF)Q(^mUywiy4h8Dy=0BL1&!~>{!=cYwH#RkrC#)nB z1UUda9lkt!3WRc>Yz#&v2HBh@%pB4h_g7SX^NT zvgaTA+OVMN@M-MDdmn$AGgv#fKrA@OP}-C~Uk2B1t-54Z&T|3miBDXoJ@pYwpxHv%T!jXbunSf8C0N4$ZT& zap~9<_le$3#B34S3?aI>`6Y>hl6c2f4UbaQd>Y@SHE-YL-yrr4_ zD9u7;wZ<=^*E~l3h4KAa5|>%RUUV<=^0^JmJEjBf!Y}eU*q6*XXy1VPt3V5M{#;@x z_Kw=O(chhcE~B?4f+u(K>fSDFT6>*=7AA7o(IzKhkanov`>1A(iU{L}xrAtxK9Ux_ zVSJcU?S5<|NGgWR(_kLb``swlBhTcYb z-7N@7s{c5BAn6`XHaU~J@1U==dsbY76pZy=>E&XzjA$1`QF+_`%H5X{uVFArINaf&Hjf zhxd%x*l5_;GAVn0VbE>&VUg=&A{3qd`P0WzDrjTr8iB-ut9gN6w?DO4(+QZpQgL=D z8?JCYDQBg9zh=rCrCAD@%HC8{mh_4DY5jj`QvWFbe$sFg=$?p1fGzpe8AxF?dRX(H zl0t&M_hZG^xa(d-59vOiynV&<~TGnkPr_vlTmIB^9nvZt*K=?FJnx=VGOhxF5 zwB_-Gy4ZP0kZ38#()JyHnUT?(%)_xctt5AH9TVS!B2z<&k@0p=URL%kA6d1j9F&dL z)3kwgY1rGyB-%fzuWl7mf`wQ2F`&c(LcEljI8?~p8#z}6mdQHg(obKUfrujx4#!28TGmCR`i?CiF6#)=js<|^ zI9CCnZPMS`MKwryot9WqkMMj$j(F z(X<;87HnYinALfA9gEEy?MHft0<$0x$OWYFj#v?2Md`q1k zp;d`(XFH-50AD&zJU3`|<_>7+%uab{YF)tC#@pFW`Hbs2F}dFK(@vuUxn`y_?8M?Z z&Vn6`!rlvQmddiDS;Gk{c2PqrtwT{ucJd564_3Sv7-Iyk0jUFI=ELM`tC7_2exOhE zuh*TUetIKzt|e=xaoaM!_HuTV8Y?}%@s(yVq}Mr5I%O|OzYfQ7NWv2q1e7I?;)Au; zm*zFr{U0uKURqt{XAhflY3+Qr5a?KzG}Rfl5q(f66jO7>Ek0yAW?CMBx9Ahl?0&Ck zXqqGEFr#e85DMm00uphrL==Co^7Ef4e;x|fUl?-v@sJ2dw2R^;Nrmgnw_FxJV3C(k zO9|W|9Qlk?<@@CRy-IZa1Y-;c16k`mZB5IRZ z6QK2!*6%FwqU<((08??+t(Zp3=M7>%hYWcj(n+wDqMiMI|(ak(#zvn$Q@-T46B3r0g@ z7_iHtFrIW%U)3-s0?NabPoanfYd?^nG@v-Sj8yw^Xe(K!uZW8*!BueSZlmy1UuQu7 z32b1jdqrN5oVKEL3E4ZudohanWI}s{FU~}Q*CzvZd#Q;F7@n=L-c*A+TmoqTOI=P8%C``+XW==6quOBr-S ztyRRr1qkXwKmK&Qp8M?U+~b`2B;i``=)*0T2NipI8Hr2L_*e@O$*1cbM9Q>kVMGsE z{2GD1j+mWDbAU$gd&TU3s{Fa=2eTp`1gN>W|b}vN^18t#psEIWW9pPkx!+P#O<;RFp)t=;>sYn zwA;)3(6|ljXOX3J#6nv9bl1z$#nY{JSU-=1ljnP$@Oe_bBaTb9a?zQ*Ss&8yRrhhR zJQW;v@L<+k2J~(QQ!@d%w{K1JJ}IknmQz?Uug|^fjM+52L5wA9+GM;3y7a`G zWr~p`$}a1zj%<0AvN}ME=#;Y*~ng#RBITr$;&JabiJ-y?e#{DnIQo+;u8q-!(Oh_q>gMux`CP96g2Yb=z<5$V}WN8n79|VPrI^zC--lc})=}WvG9dxkT643GEZr zOKd35P?fSL5_gJi(6(kr!Cr4NJ`39{J zoFS^5rv+%T|_Q?d2)4oWx*;C=!x96@2HBe!^k_6pjY!g@qNWEHU#CvAb(T%Z zxfBH1^U8J8Ak7mBQ#z|3SdXmSx+M@3+lU|xAW;Ta#jCEF$4QSA($#K4?K?iwCIS>d zd{YltPc2V5>ZEh-#*}RM&q#zzUoLa}QWWYx)~hLiOzg3yQA90JF}xuxJJ()(3u2Ne zSTOogtg6De#h8Ah7hH3D1RHzAgKsn7(Sj(pWcux%#gAra%gbyorFM-=#kH@3;`eGrSAHIklqJCM(kzm%XgR=jjMX$$K&y}3JW`|h+>6C_FM@sXL>;yh zHv*i`xYN-mPUR@VDVa@jg)jRK36gxN?J{xVo8IW!+mdxP^WGqo88`4(PKGm{G8 zzeFbmEl|J7Va~9MnZcVK;iwbKmdqN{<{NLNpQ3n~zRj^-8igw;K;_$}ye*RY2>0oH zd&NkZ?3Q!Fn}z3+E`=2Y1j3aWXgeN$8k@G)hiLU_RJLP-bX!)5wVLd+9dC0&1!u~T z{i5ERC89cI$ubD(Y#1tR5PPyZ+Z>-Rt7Otg%L7-Y>W_>HO>FZUMo&7{ZaczqTM@)@ zTl)%kmIkR;Wi~Q*#t8$O3~)z89;tZxqFU=(1xbTR?<|(;d53Qpkj8Zv?_Lj0GsnAM z+=x7qGie$B#BF3yy=0pvNTlgNu|$EW(&~#;a)_z$EkPm2#ID;T#+Kx`soOW^kM$iN zkeifU;h~RZ%I52e{Vb*U@ye|y0w1W_%sN*kr5wC+?m+52ZFb=IPv7P=i92{j25gw6 zF4tE~ZNT1b1?F?C@3|4GR(Xaje=IE(d`J~8SKz_a3);I>9YLt4pHcaw*h_q{%!$Im zn6JK;*N|yd|Ec3)^?pGRf}juJ_4)*sIRiCz0%s*T-SxFls{QUsgGo1oFLT>9+KK3< zjg23K6G6mn(&JL8*#dXKHA%CB((i)Qjdj#nR6Wi>&LZ_`@nmx=1%~%Wup9V`hqWJd z=}V%pR7hKStrI_|gY@|A(0DYRs2`pZhtZvZkl4YnniU&9Jmj$!2b_1yf$sz7T<%B8 zU&_n%=W3*u!dzRmEQIS89>0d{=+s;jl2d3}JMBCG@>9lkt_D2N?s||=S_!WWvhBTP z$oX-#ETtzyJGNGSge#d}2BaO{zw0Xi+FuB_iiBmRuk_62YpM?sXT(rwgri1@8;4CS zX{fCLNm+{HC!V%WlU4=_JRI3GPYx5m&M+yL^Zp?SX%H zjN#2AG-Fw0E>cFuaMmOotfE4)v2<^ziXaZ$cb0BhLrYPbqf%uJwN%(1cS_wXcr9L@ zzFJQie$Cz6li-k8FEq;RZRVU0m#qsNRPq$k7rigT;OX69$y*4)lDQ_UMtSVC7C8WJ z)}EU=##^C*kyjyd`nToN^hnT?fD$9L-ez8yYvU2jUimt(|!^IXNbsjy^ z*|pK0kk7QLDD2;>F2H){+4F&S+@;2)*7B4_J|U5qUu6;&(Gpu(S*i4ySGmn&>xDLb zgv-DJnaTcIXU;Tn|6VuE^Z2fnmuz68Vt@2&FN_9wgh&`;j4tiC=Zah%@)AlJ`*>qp zB-Yv6nN?3;k8jcVcX_i`5l3P|yfH7*W@=rnoJ+wxWdI!1DNLJkzEK+&p$1If z;g$KX%jOx9S*WgwP*s(exJ4cF2XB7*C=;=9rK|KFeIsM{O)0#fEny7)tlt-cqhdYRlCu2dd!7f#KJEr~rCFv`B!E&EX8R&6fla zdc1ba)%n!0kv6l!u7E9>OYkb z{l_ZubC{0X48MRq@h%?tfBNyS-%CZn&P4c|u9~0N5aE!^vB~~`TsQhaW&`h;PUJ2!qQhiaD?2PRA96#=DzGiLBqq+R-^PZ zw*|k27|SCEh`@0N99uV-;oSUJ$O}kM zNrfv)R`Gn(2~Im5RLwR7&3B`&Ql=G0;f~?^npoR+;aP${r4)Nnqp;h{+vj>-O1VOM`Xve{jh60G|7Yat z&ehzjZO3ZI6`|dk!pfE-1(hCNB?LLKm|cOiB>0uw4b%5+*Wl`Xg{>G2+tU8l*D9lJ z>g26FnjYW1QBmb*Y)q8uawTgwax{wS?gE;xP|m;UH~r(C<-C4Bj~(kT@4WU)aO?lx z+W`}JNe?wpSgu$s)G~8AT@C;jS&b;e`>wxdwR5;~q+tpiE#8in>b$0eKDGeC-`uw& zw9?^!?nOL@M@&>SbbZ8WuXd1Ty=}2Y(U00k+T13MLZ(~Zz7^gbk&0l`6?2Amv^h*k z5cLblez zCgW~u-2Vcevpxe2`E3KVWzIP{`jeU5-$FA)W73-lvm6{%q>@ z8&AH|1KxyTo}M4Q_a9$Tn}DOc5kHch2{v23;{CMi3?!FGRit+mtb53rlw`{_iA~LX zj9dJuaK&Nuwq%#SwoLDfn`Tz8U8LGQ!n)jn+-S^l8RRTmco|6+`=NxM&y4w=ClOCI{hZwAsI z*gK_~FlAcJI5bsy0(_JP?vH*)u9I`&CqeniGzjXCwH{>Keq853x%teX%~iSzfx`I( zd|3^o$qF3=oN4+_a4ctz9jXd)eoPwya#jPkx)mVb-)I2sZ{7UN;1h^DLHV}`FV zz;l^?v>AMW0k|0SGfTH_9o=YPI|CI-&LCcRh>!yL0r;Rvr~d4sdvclpb@t7z6Yv?x zhHe8MZgv0|*-@PHoF}elpn*480Ff@h!lQ!TN&_bZA2^#WAd=j3yy!>s_PXUmx~ql5 zUh1|F2pP@aw9mm5042T8nfZt1TTe;Fpv&ohV9=l4x1lo{CfYmWN8plpfQT!Kp9Ufy z(G90yPrB8ih25P`}(FWEJs%9y)f6BMEG!A=&9^)G#E35)D8y5MWCu~Pd5^SVf0h=gHgw74DI0`Nm1^T8GT)KQh>FAyCF~SSN zjO??Hze^aptpzTnPRN&>y~CKhNg?s`Kd+YVUewQ~7X$H1rl8Iu(wY7rM8^J?I`a&hQ?)&cLpmcHCB) z?`6Tt`yW#Td3FgXDUFMJoQznQW>@=DQp-v9!hpEWqr_1NU!jevU2R?Z)(to?#vSm< z%+oHz6L*7H1Ath7pZN^*Bo;BPnLhd|4&0ptmEPwKGW_O!)x-9`vg7yo|2fdG{T#)@ zPZE&+ep$uOv$tEer^0%(XCtnx9B?01x_UUbUg@afST>JSdpwIBB`B=K2rOQ7jtd4%!h4lxAPx#U#Ep86U`U}7fZ&;X?~5KitTx;gZGlJp z8&8SUOCbD`4~KvZ8Ge8ajYH5Zx@W>~(BMP9#sJq^Yybw#en4~wwb~ssK#uGuz}tK) zPRjeMG9^0D@C5{r6;%I}fI~pB9kn`V-{O zSQl+Ss?Z40IHHQ^Ei^ce!oaLVe?`IhTL|CZx{h;kHv2DeNdI03_TRhwx#+{FKjT68 zM^6{_dk@BWzyEv&`>#M<{I5tG|AhC?L116`Ne1(uaU=fgxC{TmU7F7$<;KsbV8oa6 z+IMbfD%;Z8uT55HTEIa-R3+iXNdJds$a#$P&x8c3|4b5tKX0huc?;Lyy8d$!))z?S zZ*4677WJKr!S!>gBYx5l8@jNq|1*I5xeWEsXkdT+K(7Cg-2Jr))Xqa*|D*<{{xde% zA2GSm?+Id_*SpWb*81~jkvgx={ypnGkAc2G!T*p)-RB~ye^UAS>(R3O*0#`j*LNgfMMkE06|{MRDY=lR@uSk)IO z_yr37-^5z+TO-)NMSbT2jsBeeb%BEa7DM)H>o}L8zCgh*P;kN=-BO{;e%Q%Zi}m|A zMg1-xB{dIU*jj&DTk8S^|2ZW3_q=|Ag8v~A>t8F4f9bh%k<>q_d|mj0|CWgMuc_+- z1^)yE-To)+#?=_si^xktB>I)S70tNpL6ddv)WmRKqXHp{H z(#KjlP~ostE+I&{Mv%@SF=tg8g&ee+l@bg(Pk!wGhPr=(S-owhep}IRE@C;FPq0A$ zYK&G)`x3dh5Rz;C?2RIN{eU@#CGwPsvCF|#cMQh`kG%mflH_+yyx$b+Tz-Yb|L?)zg@R@y9h~)tFT+ER^9lF*PV68(FleM(JG4ku`$b z`uX-6YP@eBPwV2C(sQ!s1-DUNLUJ=5 zYUa-ygO4kQ>~l_S<5Z-hTy3oc~Ae~m1FNjhJK z9AUea>Q)CU##`yC62E4snWLsBOnKT#?H~Z+5n#N77MnS~qJgjdS#n9YBMQmr<40Lj zQzPJqA?{67Ym_W~eEF~A4E|2Xk3V3f_xGN>{#na^r{mo(!JHTOe%)gEcl+(T5#K-P zA=kh1Sotg5|IRbFKX}aDUm0#g`cAipi$$gMdo57Z@AW$ScX!r{a=*Rgx=;cCEGprJ z3izk-^1o04|Cm%GKP3?_RKP!r+IXP?{%$JWPc58Zy7qG_Aa4p8iFl5_n9}D(Ts+)=zs&u&%fiB( z_f2fgoXlzYZUUE7usEeGtes4ra7tM}ax%GRVr=)=1WQB&>u6LP|V4}1)4=moP$$S#f>&|M6 z!p(4tdoPM%YiJ*w4Mph^Ga0$Eps4kt<#kIcSh<)-h)a=n}Cd z$Si^C_`WE;8ucxj9EERs@Iduj@v6P4yT>?0;saHUukoH=8c=_zevMg=T*~8a)|Ex_ zb@CLlsJ12YX5vm%P#iv~=}&alw~zYPS%SQO|8#->`{}CAMo#YbCY-W1kIYQ4I5jOE z15<^YkB1A3Q^v%?%pB+>K>^?waSJC$C6gx-b~g5QwkEbtv;tV15_Z;hPgLz68Jlq4 zGjXvnHc^ol|9k82NvQp8cKv+<{=FCfHrdWTpUr}<$Vtgcfsl|uASB>F(Afk?0)&Qw ziiV1UhK7oUj*fz2BqX$Kv~)KZnOT_0 zXxO>fnYb93n3=v+f`pEaj)j3mh=oPSL{37^^xc2X8bSCN=yj;a$Vk^gm++C0@sZA2 zK{UX3q9Xn92lTf;NSBa-??uPJ#JUU=D8B-_goKQI2?ZGy6$J$-?G4-qq2QwuT;mo) zBUFBbe%*oSre9<-2Az0u6R}D^l%B`vi9aS5$yHJ^a)uj>Ow262e7E=o1aC{+la!K{ zk(EDuo=={(%FgP?kGWvOJd~SYWap}wQ*Ok@no!!0tgG1QS@wav% zfsp^FS-{`_)36`43m<6LB@`576!dTHLb~J%{2=3_pkCufBM?(Yf8;=T{iYuVk$7Zs zaT6vTj|!C7=t(~o2|e!|!}hnP{m`<1uVMbbs%3v~*#B+UI0zdV2^c(Ne2^&UI3t+p z{iTbaKT#jBiW&?*?04#@ua>MsIN)OVWQX{!0^+bk@_L7aNO7Q?2 zL&LD0O`dg1N1THrOB?xP-H?syR$B zk@UQp>OOL{548H)Sa`4x#co?RT&p=zKQ?(^9zkPTWQwX7y%Xp%?h?`<=lo`D7-M=Uit7X>f6=VDS^EQO1m z;$nZikO~(<^Fmd)P>?Rv!V9hWKWQuMN<9tJc4ntBBb3dy*ln^ycGawAU35N@z{D!1 zWws98tBBg9?SO*9bnR6guLkGnMP>(-1i7uSp_^~7 zV06;?^Jsi`h}{n%c?BBX2u2?vNL4K2j<9G5({a%#;x^%-8Qd3Zyn^qzy!? z$yxHD@;P}h!nt%*u(_WLL|`4;?buR}i`>SRM3L{%uNI__LK;>!a87emY>7V%@p5o3 zFejHve-dA&oL3p6{BiZEV(B$86Gk%ng~^c_IBNv*8R%2O90X3>bOvhugsv{>pkwIY zKxKJ=Z)jma4h`FXUA?o(p2waZ29*&oQNhKaTgACbjRkYI0h<{+&J|*`c)CN@M|QMy z*>hZ~r=Rd8eqeD^beQ3Ic+m^^REO_F=+wE}P@0#b`TCILq`) z$#b*%f>5H&kqc9*FDn9tc19?2MS((|7X6QdwaO-3Wbp$fm@oTd(E76&!ZfMT0h^j1 z2p(g7dIoy&9ycd=3H+l5M*LK%`7#Kq*X`l;>kR{Sd~+0Q%#KTwx>~yO6tgewyV5AH zNDqKX+E-K&(Gy)-!Pf=#nokV~0n%yln<$YDCe zAnbMfoRromZ&aruFA*r^HhqzsbPK_~1(ubJ#>NOE65rdatX+`#PVn%pGtdX}%JdZo zdbuYo&ncHP=y0@Txx2C=xL2D7^eR9}wh`qN={=rYN|lXqGYyWDuBu}m%(H3SyNH&| zHZMQr!2@)*_o{n+sBnSd{Fr5V=*%-ao6naRDvBy1W$p;7U-uQVWbJWQ;GaE+1ha?d znm`fl2W;*!huqq2*B@WHnTJG%LX%9aieL*mRkedhzQNH0y0<7Dp|rSiR)`#VCEs7k;}2VL^LBNDu8 zNC9JQu91q(oB>vBKbxJ=NLeAr{CG1{+Wnb{r-AAyj|oJcji&!;4&Hw0Q0{y0p|hp~ z`&w+r)p$8@M@%7he0~Xl_J^g)M|U8phZc2$P+~m;jXV3)^$LR_{cywC+$yo%7vst3SlKF8PpyJFDH#Kz~clKs>C;3LQj9cK4m& zSk4?fRJ})Tg6wd^wh%4KXP}juUSON|qBsN5(S)CY*7;}<33+Qd@A0rs-wIpJ>C?@2 z1m!s!5`2x}FZNFTSXm7TW+vpn85wPg^7x9+Gj#|dEJ9)%y~8d*^z-fBgzO`l@dmrk z1yOdK&RG++76QGvJfmniKE2df(1Jp!am(mY#>JA18?2FgytbmuiYyl=M~qfRZwytBi8Kij@#ay=JUT3l>RPt%{b z5DP#$88h4Ry>z$1LHqJD1k=0nv8}9@HYQ@_sWNd-uHf(ZC34@TZkaE95u>BnoB*M; zsCZ)7WLK>4HqT~JlZTBhBHAc4uY(Rfx}#p6Gs2d41MLi?xs4uF$h+p?NYxOB5qBd= zc^3nJ4*j^o$e}!3S~YlwlXT@+KXyHLJ~s?)x%H|GdDyyZwnG6I&qtljD<*m%7m=yi zq7Rd@YX*?*r)^iK37~0L;~!P}EjLt_Mjg!Yw-{4KlxI43b`{q2bHt|~fohliL9;-RnNp)+BJ8m>t=`%I~@B=7mDyU!O-aQrH6o!raP{-SkdLvr;p z7e&SXib4K1MlReDCf?|ud7=l%AKTo!6vvg}wq@^lB!jnFMxVek)uhb#gk%Ra#Zx(S z46a;XH0dLwBg=x*E!3Wzdaz$^OC+m?j+6>>I@l0SNjnoaUqh;pduvOkqUP6>XGbz6 zHU|!?k$&O4R&4Wr$~KeW{beD#nM7$#xG-v2?iDAm0pmQ?i?pyp8FU zjlt@PQdt!qPA08X!fRqv$J(Vy3@k-nq%UBcI@!DxylsM+77^mwZQ?`t4f4=}7mieU z%E{b*arCPlH~~tElnZns&l3d(_xl2-X}6JAIO#P=MzcNB4K_Zw5!ZFnP{g9!-lnF= zv}}i=j?N2ioq;eDfvurzEgCuskLrsMJs=;2?A?8jCmGRx?D7h_)^fYfLof23%E++O zDRq;Cp!;>~qIRxStmGmwd!>#S?AU3w%h`;9ibhHLWl2HwFPl!f7bx}ghUr;@HaaQS{aVd)g} z29lbRZPYKxtYnBbCwg5WXB8c@$JppXYRs%rE%BhjeApRC-9}Sou#Rw!0z%N2(M4Mu zyYX^djIs*b81Gs)7dB5o#~mx(MjzgYHWkYX$CXN*2gPY!FQ-52-{fi6=cl{$%s-Fk z>AF?#mY1CYHvMqJe%N7)(E^plPIam?SmVSdfjLOsel1q()XTM9_OY zW$1E<)2Ufw#Wb_2uGQ5te$^m*pT060Zmr{F4fM<@zNc4PwebR-F1JHZ7i%`F2^Gy! zbvg?fV+5x+o79O|uhByZ)?&VxnhLPvub29gxyr&zv@KWEgI2#AD=r)GE1K5nnuzDqR| zSm&J8m#e*Ezzr>*33R5IYW`IJ(N$x&#J>%t7)oQ#Xn{;iX+|6d-9pG$w)LGn1Fx`Z z(q)<(;8tyS1lsVPfu1+;Zrdgk&(BEMk(5&H*F#?4eEc?`nNjHWrV#XY{8|GQpgXz1 zfMK+cIAyQ|?crTEw2TiAuyQW6p!q!hI-zcYrYyfBI`A6Ut?rf@+CqlIc-A2Ev*l-8f(y0wWI-?*uCu&X4K%A$HT~i&=U%aR4 z%v@LwBSdgvhWb$W%dhbquTm|cTva7?WhKEkUvaWfqP8Rp6I+LSJjNP?NiN{eLvVTd zE3z}!j`ae&Rg2WeY2(}&q!00w{k?BG+@+R<5+aDr;4I#k5d;vJxM&3gd=Rn0S#p|s z>XqQSb=0sqX;vYmneQe(G57Yk>%;6p`S*_BihW*yyux zS!mH?DHM^-IN?#l6qr@haYlKD)eLqyJKlrDu7{jbFQ0Eu=|=8KT$k!1IvpVOR|^l~ zt-)*cW((=LJg+|TDi!8GpsAf)OJi199pdS((rRKvrhON2U93-TY5y`9!@x@l`g#Vd zH~O>^m=tl@J*f|y*|7NIZ*aehGyQy3csX*~msJN4&v<8`%MY)oq;e! z;hc6a&Op#aa5G>GazRSKHtsaLYr982c5q~YgFef3b`GD*V^b2&X8bKx91oqNn2kIc z+@>B#FjM+tpy1ynfNMcD1Hf}g+cB9yeIqYU(@*0(9S@7IlC5@L35f*$8 ztLz}D`2tC^sN~F*yx!*SNy*Xabizf7_R!&_ly{g7Fl#%YqNlh9z)&`&(ZJQ6)s)bQ z(_y1?Bax4Y!=vX7F+Pt;CUH!UBgUBq>0bqCfF4Krr1d*27zSzbAGnT+FhD~`D?AZB z4h*NIvS`~upW8}mgV3p@bvDf3b0b~48y%nO~EM0r2Otbb}! z5sv188?C9l&DlI9cT6!Qtpin zT!X0_XY^%iTO!aa1~-Y)Mv5lK=~b9l$&i}RC)KlsjiKZ6xfF52(z6HEMc5Tl<@?2+ z_TA;r%v_X+L6pX{MztPH$S-TQ4}EQC-8kUda~5+Bf#LdcQ_(?H>`&GAB1qx4`mNhC zBIY^KR}S7sT`O;>c!9)7zoBvI+mis)Vg+4$@C|Bt;YDY05EuUid>t_^dP}2yg#u@n zyRn=40Si-|Hnf*j_e87w41|_m@qS0vonU$brP>{hDvhFODG255a=j}sI27pN4K!lL zRIjO-p=lZp?bl=ol`FM|L5)huMMSvVgT%Tr+lDRdr1yEf!A&ujTyM%B=yq$>wcpXM zhH(2{NG&70`2*^IGZ(&N63U;p|ZmsNhduqFR`GbxJ8M2I6 zd7uyUr?RO^-%3cY&x}(uK*MInGIZYvpPX0-XKM;Q)~oDlVZ;_BD;Nm|&F^zkz=ej^ z7t4m~6z5pGwb7_4!o;WVJ=#ov&O61~4#wpTkt`pmlbxfWFj*l6ULqqBoQLW%q=Zh6 zxDE4WSl5i0Pr~9`l+B+Q3O*KpcU*Dbc0YkJ!HM4{F7 zpqu^#jnEUV+lCT*kBYVY+r;Dq=66{LMxIk40ZgjBi|xqeVDzA0DvtgwOA#{ttNj~c zsz8ZyPfTmTfQ3mNcj*D(2>-!AJB&6omm3`gdH+(a&JW%s0TBTFM_C8#_)ld-VL7Qb z08>+7Ma{dBbenk^bcw7fP~}zS=?S({Bwyqz>k6*hiNll1cd6CSKqL}~>`H{pa6P9A z>`t0tn|HbaT(r0z7?8HI3I~}u6v~?~rNrpIDtM?9A+=ahbHFU}bt$~fTB&h|*!$om zwe=Oz9?PlRRChC1e0X3EX*&58(esKJS`(Zy`Gxr@VlY-D{c<9tFEttIP)|)r%!ztE z4D9X6A>17jXT1~&1(BK3zXhRx!pi>p$BgFm-=z$giKs(%x8loR4iRR*Q}(sLo2Vwb zmi~DQsuoET3IPE1xScfKaw!@ggOxUa(!Co(sVteTnMSRy9@KZz5_XghDz`tD> z;Oxz*SUgZCpJHgxoo`_POf|SlCk#>v%(WjdtU|fp*dO=LLa6r>q~wq6kX@b1+TQv1E4ii%CAF*E}r{) zzX=+Wfjc{96;E(v&p;V#U8}j#+p+LaACxmt(NNN{ZC$~+A#eZ$f8jCt|LZY|tNEkF z_D{?4*EwWX8wMura2S9;TLH&KgJEsX>OWHWn2>XRg1hpUxi0I1+Kz3OU-&qR;?;L`H(%?OJPy6$W_KSdI9~=FP^p54&OqD1nGL$=X@ExrlK9()t0SdR z4<_?BrFlH*2EG;o>Sq98wyFYHGYy;)Fno!?%^7DPKmyF;A#lMbSV=j+8O!n+2qD)J zuOA_wQa6Yo=08gTEplnIOvhtT3`8s zj}u)Q26m)4R}S zz%oPS;Ci z!yx~I?U;fF_Y?ffgl2s6oix4xEf>THgr+|`$3 zQNHC*KWE$sx-Eh->wuq$T{YWfOF=5bvo~00J zQhx(m`UyH&0bbTzeZ0XT|MkSxY0Hs@389s-=#N{wOkT{e@^o0H{%~1ty*dM=YSBYx?2yxQ>mpn!2f8($I`@di)iglF1KzWwFm+pw!l7ea_`ZeIlp= z5@0Oi3xG->%+5e36wY|3naVyrF5A*jD+#ACiTk5?*E*&}yh2{VK%!VP+$|61*VLcU zSLe@~xNrEJ(KS6{CA5qsE2d0~~=tjCXD+S^N0m&J>u zTjW{990w+FzB9A0oquJazxLVpu0Y{c1#xfw=Hr=`dJ$vl+|%OINK@sKZ5=%XOm?G$ zkcp~6Y_CwF==SI{Yn%1VVtr$Y@-U;|g$JS1&M0zR!reYmsOlfgWlW8TX$$$Oo`zp- zD4g02D@f{Hoer()e$#fE09g!yxgw@T_cC<$YIbJE;y0(4XM3N=?6$Es9^&86YJ8K_ z=Q7>`doZHlloKr zV-4w7dYk+sJfg4u1cD>Fs0SdnMI-rVpiGM3@8{^=RXnOzJb4W{*s15J#=8pVhbDzO zGnM1vuNYd_hT~t7J$PZ<%CRJ6JzwtD-&+<5zJE z2~~0Nw^9^wJ|atJ@Z?V7yMNpK+o5b5Q5ThCPdH@O*w?bx>NJ_?Isxnt+>#2Au8g2sOL zO4Ou}Cb-BZO3xT+S|vT&00Hxltx81@8MY)HUj^@YET4hG)s0z}U~w5aL(0Ljj2N{H z%Nth}DH;_9ak5s;OrX<-&I)IoN!f6!7^O~F!jUG%`zWHKlkGsE zx=b80T3c1^Gtj^Kz(uCjth|5YeSgSoU*}CQat_(Ve)!~n+SmY_@*iz^CBWzHw_|!h zKL2{CubIv)(B1*18 zLIFkD$NPJ)$GhMCM&Eb4@9Xa09rwSgF;4AWwQHZV*P3h2x$2f4F&N?4C&K&a<*O!@m999b!f|!Dcsl$9Mw_Y3}+mql!D+)Knb`DkmfiWq>hX&s-0z3dKyRkzFhbaXAG6IgXP zFEdm@EE&1qC6nv$5erpL;bv*|Ca=E3!$arDYbM{(k68+GX@gZD34VcIB!>WXEGx`k zAQCwwyDvJxyxazumy|#--2?C;_DNI9(rtb7_2ir^oXC?Xa)dS2c(97!p$+~`S%U6w z2GsE(g43pMtPw2P=unSHq&w=#urV^&M)xa|-w}R0tkJKD!HY&@;eioaC}3f}4gsV{KHyA@$ob=c zUDR8+aVx35U#JO!4i(CU2hdF?2~eytf1J21ci|1iN8P%1jqe`dDIlt3tv%}ymZpB6MrR}iY}3EDmEWttle<){xu|Ow zC#|r#>ujCpB`@qhNhWEI{sMV^oL@RINRXl~|Kp|rK!HDutUjZ`Ul&%&>80xp{b7<` zc35iMn4!n@DO=XQFm2bZUzt0~Bn1Qv`iI*>@(;f{i;fT}mO+)O*PNj%E)F)Ef?BEa zt|(Gf`fNX_@b1wC;l^UOmyB?2jK;YX|K7?6z3!Uz9x7yRuVUzqLnYTTs_(+2fqO6IW9F2X|BZ`|FHJA{ETSc5U` z8M@H!WW$Q+rhfXFz$GDqu5ZssggqSMtTukg$Z*M+R!A-kI?uwd`};FZj(aKQ9IVpB z=uehI>nFcO4PE%zlgOX3 zenkHR$OanD;DP(YM^9MbOMXp>Q9U&ZlfpAmSf6VQ}Uvl(T6x%v5aH?E<>{DOz zUjwKr_?>@)o;csX%$r#_Itp%=WW}EUO6_9%h31A1=F~EOD)0hrB}SB&uqw|L*(T`I zJ^qF+yQlLAki+p%vazvqWJO)3n#JBo!P4t*S_)B|3ZGFDCtmv_Ms+m0Z9yjM}M#Ty~Ewc69D7_=( zTm8X!?hx&cZWH77id6c-dhe4(w8z?rYQyL%d{zr-%ApchxuElL#w+<#{ zdfmlEO55p`3AtkZv|G~Jw|Z4YJ|kk6~(?eoGt9L)E*ifA-S}|NLZ`d5EU} zrvqWK=~3ltMljf64sJ;6Wr&n5LJLmV@J#z$0u zG=Ssn1VfKR))eYV8#8K(boNN+Fa)nSc-QMOqk?f|A)_K^#cO|+m$HJxXvu-iTi&%X z$W~sly0k3gY2ox;GC}M$4SC@{&ojBNd}uLH6)YPRIsB0VS%eD*_(em+3wP2g$yC0# zX0Ch~s-XNG3~Z1?)La~bXRdp(?eLZ^>R8NaL#T#BVDRF2QRw(#A4B+#WZP=V&03EiRQnAO)b(H9_G{0M~}Gsp`lsyN3B zEwlYnla#AiA%9H=+r;e|_)9K}<2wP&&%CGCK=dov>(=^cs+DX3`PT5EH9(dYPu#tD ztsI&EIS=9=)>p@LsR;lUR*;ho^Csw!A(3?oZ5;H#MkC!hPb)uT!+w&} z?7feS3>^#gvG7?T(hvp^)@OY{9qXRDkq>8brVed!D@DTuJ15A5@9Sa?30--MsE-js zRJxN4I9uUde&uHnE`A}SK-HQVj+N}x!F~mF*%$Zjcbml8i>P8|2&76RJHRh+M&912 zZT%qa*)>y7h-3?XLvJ8>q|W5Wo3?I2;?zO=3=wAoTk3*Y8RC*2FLJQ1aFkQ&)!i|D zP@pYVVn;zP>XhN2t7Fk+NGL7wRH1OcR8eQKkwE)|blL8dvb_8jL_mQsogV|0dM zV1EsFkB-7wiTKsT*HkF1qM|Nh#Ox7#`*cG39^*E~ciws8J*kI$wyh)x6{xLl7iHqn zOeTOt)>zUF$BaaJa*RX5p*hC-j@W3=ngzw>KJI3Aqj-UZ2|g>;x$d1gJmyzCC>7Yw z{v@s1g@xN%&ft(1)ib^s*-AI*Y#3@l*cjPEITI$5yEP|xSyz@cv7$GUWRE7a^%Tuf znY5v8VNN!QgI8mA$DOIV;j@+%0p|-}bKN8@k?UiV3?%L6EPQ4t-VIXX_VbCC8U9ya z7qM}Lt%a3A)0)~(dP79+Z~O#8EYy&v?i)Wm@b=Hg!3)dR>D`QLbzj1Zf`KuT#G^VyV9!<&xG%I+zUWS~?-so+&IoMw`p-IsV8Rrgc?M5e_ zoNv4O5WxF_H&NX%*+OW)80X{&lc8O8V%dkF=ur*vD8hF04|Yrb(bZ^^kSBBmkif21 z;yJztI-R}aefhf-AMay*A*f%d{y9wvTMMOpQY~qIV@Rw8Y0{oDn`AFPJ}fz03KkRWyn^|9+4h4mVevCi!vK39wb|!*?-2)s2MFJy zA8Q~BnD|UjaLKN zmW*+P$~ru*eqDj%l;<=!&|{zsgjF8;!As?K zU0agP>XPPFsv@(i3*?Q}zX230ONQP7@)*x9hUPjK`OIQq-9t)r8qzYB0?ZI|hxZ zGZh>~2kVglO4tasV?EvKqQcmX#cGR%-sA_D722PQGo`aToyWWnC%73MGjiww0Y-qR zrsU=tww}%DUP@AUVQt(79;d9Q;uy=Ts3=hUQ({KPXXy3xesZsN@?dk_VB(TSizt^i zf(1*?_SbwY`MRm~4THS>^HH?Cbz(#;=!~1Rkv64X$_TUU*SuD4R;4;=6+#+S>Z2Yg zz9SXG1~_x4B*Bja>I0GOWe<$~e2mw28b_`Ek3Q`#8#fUUB1HCYHpu*3O2tbVro6=J z3i;TFsY}M$Eg3-%?B#?Rqra4VB!IRYXTk$j;p}s@W6)Fc#_!+N8P=QA85Oq0Om?uA zw{Cy7Z^hyR2TqA0HcC{EGWg{z|F)_Kh@M)r7|9H%Q?%FDZtg7j{s=0wkq7RC1q9cI zK^#XdK*A!eUFEk;BE((W;%+eD6m=p5dl? zydWV}5SkQJ+Fy$lMF3wd7f8>84C#)SH4Eo2KeyKzoqgFjjr)=ZyN)JIu&81oZIfCR zvuKVER#Qd>4Gp+U_!6E@J2uS4pqA6G?DurcXQS!WxZ-FM_y?Gg&Y%r-cdCEj}fr{;g-^W&=mbsNHhNkufAs% z$(wIIr{FcjkylEIwK4r+ZLnVEZftJoS`_!nwvgojx?{@N4LGbCpI;Pwbj0twecJmh z*`eTsqfNm+D+%Cfnct7q1WJ4sKT<~1%XC_L6WvIL9U3B3^9gYM?wG_8j8F$Mva~<@ zAys<+pEKL<;`)DQw*OnK{NIcBhqLQ9F~8II>Tw28-uupxj0$@dxe*h7jO2pWn@*b4 zCTmY~(N8&_H5fDU4&S`16{g1qYY;8~slSs+i)Z22G3*RHE`Li3tm8z?Rf%Ndx zz^L_8drT*t8i2t2;7pQ2M=*e@+lT)990w!ZF5TW#kh_W#7f=56ewS`6VR?^e^nz1^O z#X;49BlfKyM(r!gi)kUWp_65E!>eL*E}3D5_&$V?fH^g#CmWaD8ZeQ^MT3QIEt`tL zxE>7A(ht&}B_y0ioSo2E(=tvR@xH5b4=qwT2zcdb`7CA;hTr71?`~sXa*GP2kCDW z5$eS@t&|NbE~GUQ6%IL%iup(nZ?-DT*giQ>toR2u`={q;Z1%3QWGHcUPCzu^8YkVF zv+yOoEIAx-jEE+hKjx);*VpY(RRlNq(NZWyxGvt@nTF)N1MD@VA@s8w|My$Aw+ zoWy+UH30=5J{`0uPrPWh815TtJGMwO_LImpzn}ZrFUvGxT*EiCrbV#Zs4 zQPoq6dO!04DVi&<+?6M?sxSX#{c2dOdQA9!dGv@B%{ECpBp*eZd2uv{e3S_b@-;@b zS)e9wG#4d)th8ASUDlbQ^4 zv}b5@t1CVj36U8RE5b-xoyOQzU|b@XtpOFE;3ckS1VFQsulf`J> z{q4^%G4Dp(?YW5l+mY4XUX@m&4WVB-xp#4V&{84;U#;E+r`UPnhtt>RG)M2+r#=nPlO*J zC;EAKqR{b!?vIxM(eDKiZcFdbmx;(9IU76a!KZ+ID$#PWjjTC9*NQAMkE)Bp0?g*oL)_l zRvKDscLPJzo_E~!eqQ|UAMNaeHu%?heSySNh`~V*B}vSMu5MXruC>5*Y0M%HR*I!A zgDzNz{`*PPPCh~fFes_&XuH6u`~{l81_b($g$<<)un^v^*G>CE) z1o!&U6AlsV^AjAK&3B}k)-sCI(e7z?6S9wqaP2@*ju_4veB($Z2S6K(4{2TM1o$=I z_d+JUNq4UKNO_ys_$vDQUp(Lm+GN7VA6?2HTFnX+mOW03y$xAcpf-5b?DM8yWBFm>=t_RYkzQu!QcO7NF6_w{Xd6O< z4tztFii!hb0E`md%fVCcwN&!8==@yITSvIp3)s6#CI?7cR}5opQE_3rBJns{qaUvi zH3F>heHy7$j)>KLQD}2XD1V_*SslCd?M>LY9lf3LJuN@pzFddQ`+OFX#5MgUu_{nt z0{2?Vx6#rqL+q1Tl4m2*y_iPV9%EtEN^Ctk7`=%lOS#9%km4P=X210ZgD;-mbws;M z3&^zyexz80Iu|&v;1A+ZkyllcYgz{=D_k;9k7K4o=sG<{(~G>MV5qv>7tA3wHwPoH z#1%L{CEv)-JR^6gfABTj*kOqp{#LFU@%1ajajfHl(k^zu7F1OSXKAJ~3brpevK~~3 zeIhiV7p*LEeJvlY$5{MOR0};lAGCf={lJ&f1;n#LE5xW^W@W05_U%2IQ?vrQf2Q?1 z>JG&79jv_58%K7o)Mubj*B$ji!g!$0`BVfsC@;x|-f^N|n_Hm0m*l9wujzW>S`pPe zN5pQKvl0$^lebT*lbfQymz;E&ROy(YJ6Or+O`&klk3B<{;MJy!Wazb%3jb~>r(H9D zp?t4grXmB8Ec4@UL4i~W(F%Gub7nFid)lW6(RCFPn>wA*mapFBY7I*}BNqdkD>c$w zgJqzrclemrHS6WUt@tq6V$NLXN4i77%1+x=l^P{Z6ZR5Wn*RObQQjWcYE?`|xM1f` zM2_tD8+7T$yo7?K{aIx3V;`lk= zbnl3~{(u|bt4{H+4?n!F-K^gQXlv(cQg`D))bZ9KiKSK^eVMljh1!PR>BDcmQ=F5B z9A>2x@ksd3>}2{T zef#E+ume=xZA{CNPBq$AxD_0|ldS;a+nGT(3u zK99)=gX9$aL1+!YasF7IJ}uy0bWDj=%1ZuXwXN)!YVD}lfx_&&vAk_2_4@2+j@5UT zsq;;?syuy#5@(?6fBj>>?C7I3$*G|EQ-buEhJZ{?hc)2?q-0{mpN0bkp#QIdfIkLF zyXPwRwN}=K3g(BLzFD7c4!2ExF^Sg$<&0?HQO~QYdrf=$r8lYYYu;GsO-L9L?zMrd z>3$MTym#xnb*>(I)tvZd`%6{8$aVz4p1$8`kZV#rF9X;nEE#GmW&%c%>Pdnf^w3YS z_Rr~5t!JFB^Ml##PPV5%m^DoDyF2U{?hRKAaDv|K6yJ;fz{1*UEzz#LlAlt1TUBP6 z$rX0CR<}rS6>j-mbQ9I6W0q_1s^D~Zp~L*N2hcLA1ERHxzxbJ!+m@H)jwL(TvJU;l z%~W29KBj;l2(td`_G2T{gB0Vf!>+P&9*V~5d`U92lRh;^$=2ph< z-`_iI?|=AUDtUypi`C<4hfZt?|Km>ptiwpc)?8j0_jM z-^w|~XBr_%W;KWH@NHTCfbzr)`%ypI%FpuQ229L+io<4w4Hasj;*H)VV(xF2yEheP zu-Q$lgl=Gj&K<3{As9z2|nGX}Kb4Zx= zdx}(rYm{z+?|L!A$wHu0S-NY4y3ZnD{a#Ho=JL~t=-l_T1F7vKpRAtm`!LKlTiD_! z=Ki=gNaSqc53g@+Yvh&7)9r6kPt0Zv8&a_bIy!) zs%r-{FRhstOrJK6sSoSwO2QZxvyo?=*?rEw5Xma$oADJZo>a~ePG3HMR8 zhUMs0dN0m1acb9t6woqExuOW73&lmzPvwMcO2ufTT&&J?SkX@`8r*@lYP@_Rg>Ro@ z$2&Fd<+9=Vk@@vR+xOYkP1RYhqr;=SMPn42qTd|RFmZ^QT=p>yLdl@6;RQG+ZE*Ilda-sUuOf5EG!4b0dUel*dF^rZ%V`FLNDQi?N zbo2)YPU~QVTyv(Fm7EUU;*!YiTEmX*FHn-cn?qwwGiJ6SPI)*AZ?@W7c@+=*W||+X zhKuc%NS;$VJ9{AQ>hr)-G)QcYKj%lj0&F`oq|66zeic!Rg;8YfxK}&5H$~Ek6K(;8 z$x;`hjfww2pK~F=fq3>_ddRjFvdol_PPyc!w=39^E7SxnVToqiftca~ASZge{%5-S zTpO3+zR-sOi$h&+tYFXGZLR$oP~)p3rv+E)Nsm(f=z1ISkg{;)La2PT66QeBI8J#dR#Y(K@yS;pb3d?5c)6-P9Unl(UKh-6f6 z-{;v}U?23Bljh#sV;NMX*npPB$>U1F7o&oWnd)V2suk7KCEL~099fMuiLVB5;h}Pv z1+0)q4LF(i_C-|Py$H+oth(dZjM`S*%@xG&RDq*B?%L4JCYSEPd{7AL!(FdVX9`~(y>$!kt zG$4d4E9SUFKF;8r_3D2uX)(*sRW03MCfa#K4o;>xW1jUR_$ctPz>5=(_sJto#57p| z^-Ayc(z~ShVpSbCzd%lYDkFXTyBV1Jj{r4LMxZBj4M!jG2!~Ddvz>9vltxdD;j<^* zQ6`uE_sN)`ttT`#<31dgg4a8Nd5Yh1#$3Mb*ESYZY2U%=3BKfenIU{PE<+GwPp{|v zxDd{402|goQNqbWOP$5_`PrIU)R^kHwsZU+YMFCVw_>AP>HUFc5Njj3+KhiocOWa-<9hVv~{H*6SaMJ<*ivu!u)=LEEe=uZc}?|$#|~_`7+)q?%2hBmr$pmFlis56`cZ? z`S2n+TWc#DQU=Fv-W~}Oc8^d~9esLofsRuT7ciB;rzFxlb5P+ zlAf(feZ9_7G5IHuoOeF2Y+p(V#7&92m(UT|Od!@eCGR=oSv_v7o;BDmS2L;C!@*ic zV;I%qi}*OE=k&uvoK9m-g4${>gK!E-Txy*snp()`S&Wr)!`R1IT~yg%v~^^4pE~f; zQDh_E_pSj%u45I{Fv$lkvd$njp3>C~)=Yo$F@AT58C}>L!Mw8ocz7!~<^DinBi5i; z&Ek1Wdlis~-fKVxA=ItfBvr^GEYo?64q3kE^FcGml5Qyz&I9bY&>KONr0EU8yc-ax zm(v0?P?XO3O5OHe#5z)x|1ugyzbEmy3AS3CZKXq#-tF492rCgJ)>Bs33p;&P^v%>X z!wuf!ilTr&q(r6++v^zWDCAlbSxs>)OYFF-b&y2RNE3K?Mf7Da_ zQ;b;tpKI~aGiSt}nz;FL=9qAd#uX360GmbGELy?-P7J>mpOevZ^`CDp?zkR_%^3bY zND8nHwCTCdjNt2E1mi*M`t&}&QTcRTzQAn35%7>t=Gi@b#pq3HTt5dxLjH8Qu0_*d zAVMFymH7i5g_o$AsT_$9f(|)HHlIx+Ma^X}D5AIL1MP3eF&klAk!QZt5W6*9*ll0B z71!X8d7|g^=+2H@u&G5Z(D`arQuTX)cJY5TcGdid>RTDkESkvcV-$xuxTSPxFppZRXO>_Z|C zL(Qb!ZjJn>H0TPAj>Zo`YJyKBFCSOQVyR(W$Td;04-adnhd=)Ed8>*@fn9+qUV&*k z5%w8H-)&6wk!*mD0|i)aB2|WeN<8f`Uiyf62;FWQK}Alf)n?`|?2T88c;~i!d%ZOH zoU%9f`#pP11I%p=%j{+{W@cBf;cr_f%&sJ_ICxeUt@5T;50sKz*F^W~)E26b;++`6 zliewVR?b; z?hzOb^#Ahy2LkO&3S+!H4xUF@a-r7#?=BjewR5v-yF>N0iDrN4p~3W*J`GnFExpdVwh8(LMsIOGE zjuy4J0N!1@9DAOVsL0N+*Oc<$y?C8&$H9Cz#}BYbSHr*moj%~(9V~jk=Om7;J))UD z>F3Y?i^}IMgEEdq<%sPNgC@kpsAlZ$2xuz{iq;TH}A?kZk|;(a^*|dL$n4N z2tjxG1DnQS6k4bu>?0~*Zxc_%GX0pbAzJ=YHcP_x*rfIg>7YK!H))o+w z9dka6p|9&n=PsXm<5kK%89xl8K92J<+XR_z@}d23)(r5{hNE(dA)3&PhV^36;#7{e z`n9n|tEEi}k1Ccdn3=o|sfiTSxt-D?&Nw`KuanYg6Y>`z#+z^8X6NB8-jCS&<7^Y9 zL)Szf)Z;^+gLd!wOVNyw^dr!Fl{P|W*j?~gZK?DpO{3XvCA8yB2%Yhx%0h9!Sztzo zMqC}Nw*k1R=IA<*X5&nUa z&Fu>nVn2zQ{L%LrV$X!-Xhckwi9~07u@ELou)NO~I%=<9R#yKUb9kC$wPjb%SjWDM zmN1WI;Iv*&x-UV4u)c21eR!P|2rd}_+q(0O?0jSys$@$@eB9nI7Wav&YW{Ln04{<6 zzplu@F>7sVYpJWKsUtf4X`qSD@O_!}*r`uOTx1+mP6iTsc)I~6w$_RHIM=Q7a`uAo zK(KPaQ{r3IZM+#bx+z)VJH6P=?M;xzPcOCUAWR)v>=}Z9AW2Kq;>~N(VR5gMDhuD_ zx}CArKG-;B!Gs=jHvyj2Dob6YDjYxG5k**274Lp_0OrRSpeJPHdri|W)h>(qxaq6} z?EjX%tGiFGF~bn6&m+$2!OGx?1OD_Kv-ew#<$y!D*E3K?5WqouqkfKapd>J=+akS# zqpS6)Izfg0rIbi~pC4On13W3GC^WKz60bM=Iorj-?X2tjz-eC2E6lGiS$$iiXj*<( zEq@oCua9QG{6_vho=Y-jA7lSP8ghVQUm~#2_E}a85MW zzh1MPbrCTY}o)=SToSO2un|atRE>P*( z9a2Y8Q=YB`S0Z$L!(9QdEpQo~f}+hAu@}^rWcZcxOJYfPG_JlamnW;rqET$AVzx~f zig?MuZXldP@{RRBa}|NMF7^ka4=}yU$d6P-GL!vhTe!La0s)xQ%Mc7bA%+!v3BNbBNi-j0QwMZ*pRLP_d3}U#qdYVpURLN-C{bFVr=QMqOttV; z#7mK}i#!+|!+;Uj5nn<0tf0h8lQ|km2CI{8oaW3)?ml9fX7*2^FjthHAO4z5<<=j8 z&mG%G+fI9;s-xXuX(0d);vF=P-HIQTx|`XKhPZ#~O(!$uL+Paf+X;ovHPuXry)c7h zE{r)ajpue#F%dmEeHCA_{xh)8J=!jm4xN~?HEMOkwp0O+i5CItA+qXm{vCMJ zbdOUvY1`IIWpU^tC5V!hYu&ir-YM zWP+;%ipjxQZ**tghxUrl%tR^X$zgnH_U4n-w)km_#q(sB^<^;k#~iV!3qyiZF_J>W zq_`WjEY~Rio4~2A^`dx9v6KZ>o9hVn)9p$j@aM1n#zF^Dv__k4cK|~o{hs5Nbze$6 z;vO9@cy8_|$~0njVzAa11HmUsX?0&3qGvc?eW$`*yqBN9!Vs_ql*-HJTSkUDSV@!Q z948ek6c#C#)9~*d0J`_= zwj+QT@iGO*O-}O4#W;PMG^VW?2;X?OE3~b@sx`kW%PPzCRFcWx19C0gkzBZ}-!O^G z2up{s%hO3aSnI{?=oqq#B;f1) zM5{LmJNcfgv#zeLb*rwd~Kb*y278&-E8mVbZ_Q3MBN0qmpN@{ zWLzhQi#;``yJgsD<*uh;(o#>XIBcif%L&af$c{3dtg<_>dGZTHMf2%dy_b?YmQt>j zpi9wqq&J_{()5K&sLmejG9qcz;@zZ6p?qwuq>FonhTyXNVp+wNsZ;W)w4ZkP1=o?j z=%JgYFSz=KBX83|Fs+GUu_@Kl0>{tMY23+>`5>izS_~cRL@?k|5M~r8=^?!0ch`eQ za2RWgq<>4}vZFdla-nOZNKaSBhG(p(xPxptJUGRSETLTtUqv~ubu1K4oIx{D+)UG| zh^&=-4*j71>a(VFUC+JAGsEq=WzUiF7$l9GeXI_ms_UonE;hBtC-z})5MF{l3lLJ? zrF~Aay@uyQhY(anSU|N;yq;!_IRHk<3hZO~-KMUVs!1GmK*Y{0obN^BkOK+rZN&<( z`BEY#>0);w;d%0GX<3>{>jka6G&Sze0AcZ#&Ak|v|FC#NPy9nEUaO7j>|m({{lzpp zLqmf;v0l}T9Y<+wb3zw!`hF1s)4i2wpOaUMfB4kz0zO$cIBXFdQRanh3k~!Z{|YzH zNKPiwQNVTh9vg?v!}9p_8!~ItXn+DVJ?D}xve}!#Qi+K4-YBo_aozi7nPRb+M3pKP zSuxlDrsZ=&eU&|=JewtpAdxTq+U@WXHhO$aF-b>&DCKl{zRm3^zu8c~st;P`ZgAwz zuxUl)Y}iuXBlq78Ur@OP=bKWIV8m=O@6?gAm`!A8SBPrnEj#6F#BevL7v?V-8 zAWy1mMkD5ksE4BFl|NsjHGiE7RQ#o13t)~R2h8e60Zj+Wkwb(^br8rI$m=BTjd z*aTa-ZDaGBV*hB!&UfS;ej3dU(o|@=(N-GdK84r9{O%Ev;;D}CIk@VW`8E&P;@e%T z6P@!FKnEL(Ew7{e+y#g160Tl8W4Z#L)=DvE8a%TRY)27?cFxw7%q8rLIbJVQ7ax-j>&p^tF%B()S+H>RJxGIr^y-Vdi9L@W)6;qFGK zQT|+^>oUasfE2dKJ@CPp*+m3X-yxgCG3cGs#0lc8WFrsyuqFU=^>d1zIR{+0+xJmV zs}W8X*+4(Wmlp=4A?+{0rNecKrtn}KoT9JBO-|w1Tjh*tvzmTop%Fe$tx;U#!NWe} z$?^d`rYQ?w$$o~@bQe+IV<4>EB^RyVGsu?#nIRIot_{PT&nssSHyiz#i2CXiEx&>M zezb?kQ3#pQN5O2;8m7dIvOsrvI0AJUJW8$YgMk?h_8x%1?9kP{t;+(@o45ysyur^TA0lDXT6-TR#AO(N2z+@#yFZ#b8 z;)bsKI_89*i`W;?5fDAkUX(etC{lRbIka=Me(znRSbVczhn9Sf6-S*Uk zUY$OKEimu~+n0uy`5@N5(*n(oQp1xi8mbo3j{WhX=$qM-`1LAPA@3R$pXE91Ej;W01-Lw6f0Kge`!QRnmB2J}NL7B)`{9 zkaL_f(>8B!vV(oFYe?E)3ZDXAlSAa(j~R7~Q-*K?`WVLDw&YkfX2vxQ-q;o?Z6Eg@ zHTn12^G4T`6id;iy7}Ta8_&Jv&kXQ-OH$#=EytP37hRZv{_Nw62i)-wEykV8jSwb) z`VzB;Qn~ixlvk>Xbs3W6D5cE^DhYJU&}8|nXQZ2kTkF%6?I*!CC8p?*dtmT1{y=Kx z7`0ZqVkjq&jMh-=92bJ?nBFwK$?%;AOB}Ax>Azukl-gH^>X|(h=r#QbF7^5*L~o19 zoZ%E>@)p8{dU=F{YNiLMgf_bTS4on@$~9I4U8}YSZdY{CJCH%Gh;ydBMWq%yK<5&U z@Xg^{!!0>$(OI>mnKgrKy`>KSq8Aw0FOG#|l?=T|DWPS7zg`^1j~}?un)ME1x*`13 zn9wnbM(@l_vhqf2jOOW$Zuy4jwRJ|OL-6ZCr;AI&9=EgRPt`Z^Vr}gb^bO-)97ehN z2#f9*Cv1BiQR45%ai1OK54%cTd?>418)muAM|9>6os=VO!FF1_efQ%XyB!+BnbGq} zCTGNryKYpJQ>KnIT{Fxjy{(v&S=R$kYw>xb=!~roj5l>0<73!TXZA1M%;x&K2-O@_ zRbA+sk5`Y6*XH6J8_J2b*8pA^x{M`=MiLo4@*BM2Rbb#;N-nT_nQxU0 z*Z_rh%rB6MzCeu8?U(!)Ru{!hZCsMAO$oz^l?qp>=t85I-$~N<#=XwAM_CKoBe2WYv-f}Nzj~mvG8LNKi|Yz9)LoOv^Ij=j0V=C>@jO34JsP}_+7v> z!U|eTW^C0-RPn*}<5==8ca9PCrP%Y(jPw~j;@F8a@^)}T zU&63Gm2VNIx7DzE_I#JIVC%-P`JavH1&BM{-R{hps}v2?5(kO4OYzxE$S)JkQs+zf?oGVVfY3&h2V3>of1(8VnovogH{w0OpPqCG$QB>l zAW{vcym-H^>|d`;>g-(JpY7&=VG4&5UWgw4zM!54*_~90NazhOhAhst&(>0Yiu1M5 zmb1lM*b# z28wB{Rh@J!HHE9O>8g-*@OO{e$7VG&3<>|pGIjK}nQVOoi6=z_W-TrOMuxD_O!sdJ zuB}Xvqm|>g!>@I__(Cn!uwr4)$$LN^1OZ+~`Hd17f(k=2Yo#x!E!B=-KD98cU#e6g2=H8JQ)#KfW*O&G>2bDj zRdAj&Op9UQC`vp>kYepftp)Fu8&vmHyXweNM z3F?GVe2w>Gj1yB?@&V4;9iKhF z_3kE9g6>DSZ`TI0eBNa9{$n?kuBFOY3UKxYNrPFn)G&ZvZwrZzD(E08T7Yn6~{49*K`5^8c(4~+^zGxYH|-;uaONN{r`vKUTN~zBwo<;Ohj9lk zEn~d-XFZbnDWM=+tGh;;t`LqVnEVMh0=}KS7g=J~pHMGL0H z2p-2IA$A{Y^DbDTWjsantgGBVsM8T>DZ5Qjt6kdZx)9!4YIspTT=4u#iaTcoTH+8^q58c~OV0?F$9?#6fa5f&&tU7m|x!CxVWf5*AdhCzqq*Kklsc zDBjvABHYUj5C){F+9C5bjoHWV9nsA6XnNiyp<^5(JP{~ z-7>w{(bOEhWp#>|@%Byxu4k%aSU5UWv`|^{rq^Zd>cgq+y14AgIgKjRd>ln$eAh6o z)!-@S%F2@6;oMVCH>jo8+jWEwkpdK2s8X1J5#=G7SW|Kryz?c<;ER54TUd+^Xat@+ z7beDNk-uWSc$`bqves?6g+E8cqA%P<$6U@0%HO_eo!K)WL&SW81a=c-mnK!^?cWnf zS>ad2ti5@s7}c!X=wEMd?_HdMKz&Vy4t~c_iK*T>>?XHdK5m z$b64dqXAB*)C~8@+_VKN^62S5iLo(yg!3|5&@wJpI)8L|B1u@X$A5~S6|oAK*{zb;0^(TLvVK*clY4#PJnK-vCgf`oV(7M%$_}a&fed?cb#?q zV6m#ZnqpN|*YACw_Yp3=cGVODAIxVm;?JmT)@Hpk!QzYJ3x+WEsS>dscc#Rfk2IPY z)wC^Pag9&L2A3?*LeB;+uo-%Pf+#$g9M_NJSJi^L5;P&2JoAH9-4XVMM3#V?51p(% zj+cQaU`abw;zU~m&~{c9_3x9->oWS|O;2<6Y$GbXG|0){^pAjae$Oue7{q_Ou>K-a zF#oS^6q$dja_CP8>cOaDV}1)UcP2$9f5mCsl)dDQOnN3BQ1p*FQ_%kxnOZDmg@s!C zBm}G0kh&RuRg>ZRhIr!$ZV7U8I;>c|ppwEfNlbqA2*1~VCsxw`vx?R^XomB0 zw4wB_m1@$f!_s?)sZ?S=<7U??KE44ffB5<4BmW{=%F&_dk&Nc3riCTe)&@DW*B!QWpnEjG)wA0W@Cry{xR&P5&g-mS3r%mt z4W%%#G7uIROkt}nP={be+ip-AI*1^T2Rj#t1TzYfF*V%W4GDHNk`2aq>d%fD!qGMx zE{WHxF)G~!oc1n$f_zT}Pl%VZU1JAKcNS%8Q#9%+9sAWPfd*MJvRQ*FohIOpVC5+( zY1js#$$i#kPrZjfWMqz1*x7k8GQJ^G=Sd4~NO|7%x2Y}nr;*;9Ktx1)}w)z}J!nYrtb&xm9rWd&mpy22X z6Mo@6y(H;?=}94ngm4-lf4RO@dR*8yGn27r-}`Ze!~xP+Bsnwk_Fl{|B!xLB%liQ& z^kL5i{PWyTkfmp(EiK*Ane)TZ<+QrZTx-KIyNzWNnTdQi@9LdNr9xh=CYhZ;mVy## zoYkaAo;eMZfB$5ZD!#(BdjzJCE*AL!JfFJq(m1TLrQ-(FS^9@jl~&^eJ!MCnhZY>& zuXdXoMA>tj+Y*+YMIYT7*sU0*pKYDjC7dF3tvo}T`LJ|w!#SJ9t=M{deq^^m{A4&D zcYS%6v$b}Y|6sZtkot9ZbtfZAyewy=Cf5Y}hDd+`_~wt*s}WO~VqN7zu-9|H7hYXf zd8MVzRSeAOF1()$-kX|c4xA+K-_hoaC9XGNYB$v5U&m4Ha&s;1B5s~EaA|%vd*Pud zC9bP~ciC3l&=cMwDDMd0$|m)?R5BE0@M>&It;>fdc0p#(mWo#v9_hNo+3r;qp41Qv zQo~Yl=BP9)5u>VeRx;h6L|aNKVm>le*T?d`t*?9g+H%9I3f-I=?XWiYI>g1;4SX15 zWyRS0!rY8Z_M85M{MNcE8cdjg2xrT8r3I`6b(%?o-bz>0xSPVBd>{G`(7(hGH+v;Qi{|LwJUAr&FbC!)U2ngY>VLu9@Iz zBg7e*2Nhr)V}nJ;%0jotvCkxMi7K097;XGsvg{4Y$L5ni2q(-k)becqA3o2&OB%~^ z%{7j-Veu z&yhWrI$&9lMqa{-c}lk4t2{CrH=^vAwJ)HP`YB>G(TG2b;z*&8{d=zJ9#yz6d`8B< zmCjYS*KxUPJ=zjEpWu{K)f%tsTFgKY@APV{Z_-46$58QK|H3HejM17_#vjs*{ zD>UZm@IYFyU22ia@)5Ijc_CvgShzO>FS{11lvWH^&uX$UxWN|c-PsV({phA^nV^I; z_uOrb&^H~-`L+U{wLZkUY)wlz=bF;5EV7p`LxM0a=ZGGltUh57{y@o0#;c05& z9v$`LTAOUPYRMAiys{#;JU`hj1?P83?+Y+GA~E9XX{Hsl;Qs3oln4UaBX;EhMEkAy zW#WxU>6RP(wDH+;6T|T?H4s~`u0DCbNG*H>w4E9=8CFSU7N_)Dck{ZGIqz|kB2l$Y z9rU)Nx}(rmU1K*TYGDt7IbAeYf}U@K8&#PUpfS=6aMkmW0$PU6N9TZ2#(Qo?$0C@Mx1Qa*lD&+J>ODr< zE?PFys51G$h*LTVY@|1}m8}BSGpr~OXzt6wCk)oq%8NABlzG}z7t^%UL5HIRcxWgR zD`-x$)kM&os83mFy=ON9hvap;_!j4HQYWVZ`xeIen;4*j`(Z-*1A{1?ld(HO(kXVv2hQ_u9FuQvGo4 zEs^W8^5zc2%@N~ObxpUtLyl+}1Owah4U6Y=CV+W{jsa@Swe-Y5ywAZS9k2&mOl;_sp(ml8O z37S48XAFlTG3SD;4fcej5ZQL#p1E3jtGYk}VngyWjcnVpF}FBIn+TWQE9e-70%1f+ zWLA7o7Fwy}-zQnz4B1K67eJk8nBVjpRB8${LR@z}y_?^Z{gc#kT z4qRAas+rl*tUwQ(2({9A-xKz7cd*Ar>_z91u?$t2U?vVC^h|-ZjTkPV^F0gZ9m39c zFRs(d&sDWwY{gVELMif&_yaj^!chNm0-9(sVb8)?u#+JzSVKp-8&er=??zr%C$XUt zl4fhWh4rJzjBbnd_47wmk=L2H=HD8mrZwAFkSU@fn_u%itR`=qmP$-u#N{BoQbp|* z_y$W)5~jCy%-cUB3-+ST>)o5p!0m7i!ubvx;lfO;JQhfFKKC zII_<)oVxxBqMxo88lFTk&Cfc$B$%w)qAsq*A^)T3KmxGkZk!eKrqI(hT!1i02jac| zazSGb)p6E~X{gKUtO(J6Nv#fYyfF-9f7ceKKCOGJtglzmoJvr^gG{E|D~I>&P0iu}{sDeel^<_3~7838!|0|IDrb zI$|UCg{hy`DrI)8z|%chMuL(O?nh`;1kb_y6u%^mUXMIq$?=sU^C4#1Z>8#9m7eF!tq7ke^!`F%=;r z>?F#WTRr|uF$1zB>$47x2Y7D*4htT7A?w+^RL}gem*&%Y2stY+Al`iZ*O)8y6C6vI z)~8b|L(0yOS|49`4Q5--rv&C#C5el)VLk*FL~$P2daSOtQ=Fy5yJ?|G2?BQZW(_T`;49e8ymynCFuo*fie zw|wPkcrm{1Bo5E_c$?g|JW*@-WbmBxuDX$3zy5`Ph5g`P9pi zkA{CEf@S?S_1?xw_|cDAOxH3SW=X1w!L0bHiw86{NMox&3&kzsk`?BY0gdyFbuifu z;o0(NH9u~te`;q z{IQ2zEoAB{+~bn;1$ds10>iAwA3ouT7TNH0ERZCv%|de{qT(|}cyw#a(-_QOD3GI` z_B5<4?J|fM`-nUd^mthWk6PE`7ke7kb*j7ukI4OT>9E2(`{1=%I9m{9Xz-%_?lwcq z$3`Xp(tR36Sk2L9!JX7b23%%=zee$~4YK&C&GHIQO@-LI#jA?>y@#Iyv)0OStPRMk zpor`oUHyF$RYv}qGe3mdw;%c?N^7rRO)strn;F&>F*|OIChz$2I`S71mWF8LoO`*4 z<;(@C<#>^HSBL?u@`V>NTmtUjj~Kk=3%Q>;T?G%C*u|fnoPF9{6)|s~+(3=m5H;Lj z?m7AK=&`5Ru$4e^V7fZw;1PAb5s0;4?b|Dft?2BAa%h`0$!#jxQK zMt;x>Ccj-vTjgO?&qE7k=w)I)xUsd`0=#{o(-wC*-`r~_FsK%Dm$Po46A2pg7)K*6 zheKXALT5iC=5uwH;GEs^>^t>ool@o$qT|Jn_1;y?#}3u_&Q#plt%GTU5QJ?o=0Fk6 zlD%E!S~vD1<|)OIv>~J~!F^oskLjK+yu2=+DDhy<(%R$Mi?)iUrgw>zV`mz!jAJ12 zjt^;PjPq?D18Iupc+f&oV~V}4v|NpQI8jPM#~P)isr(AMi=sV2nK%~G&FP1iK@*%8 znC4ZaNcpJWS)?TVsf}4H;-+U4wKp=#y>0!#`ZKxDE5C4U}dQot9;-^d~Olm zjKAJSA!$b&a-QNTpcR!yzg`KW@ZwFI{!9^4;|F+0RVGd_HNauZi+6%D`Mg#HbY*z{zhH?Asbz z8oQQwW1FO5daYj0Pl*cKA4}s}&rG+X-U&@#=q@X$K(Er=-FN_k&!VlSM`X{}HCfOA zvk#X(f5>_SYli_%69j4=1ja0p+N_f~9qA5jV`b7a0x8GVamF7$kGk`xc#Q?;&XSSy@Gj_u8pXzdogNT7E>RsP1jt0XD@r$-0GMlGC&Q%b8On# z!x6%`wDYf1wOYk$76pTgTdTp;kQ>o6o!ZI-`tH8lx@`%yBAzL%t6Ugq7bmJ~l$O4x z4)iEsCXTyj&3O0u#>7w9FmZESF+PkMHV|NZ~%QBkNIa6v1 z`p$jEzM0me-xrWE?gmxxln0eh)RdGTqFPVU(39Rc7iYD7E~lT`s7RKY;MkC=YnI@@ z1`94f(qJQfu!TCHhw_VSwnjU@&~{H56$k{(ndE!J8UY9nNru;euu>rdd(qWcb;owX zCMQxBSgTx@DV`G@-`?SrIF5ode=)JNCfHgZdnf^4%{wdGiM<8&(k}~6U+XOcI-+Gw zQ?^+8u(F=Zq1ZZ%fIXfz#Lnu#>;l;)U4lHs9qhn)BW=zo5+%^x!qWk&Smlw(>2dgE zdpbF+2489;f_~*r3Q;;&CF@TYU6%744TX*dtoic$$5q_@7^?-Qh?axt*6fJxhtR+#;DnY{AeiBv zMy2r9lWvO4*^Rac#S#@p{&Cj+A12{gu^zQ6-J2pvsWiLF(6+7?Z*3USAs{}D%%|R0 zlshT)m?y9aYv)0Jb+j0f!JPkT4(jdW!M-+=bjSB?VNQWxa<$-XI$YK3?V_jF&EEzN{fBtP_fI?0tItVP5^dYk(aC5sNFgKuga z>6aGlcc;u>$(Vm@g9Y^HM5=#U9O<7B`ANK7S@5b=`bW>>v&yUIZ?;E;cSXH}PAgR! zhwbRioJckVa9W1UoHN={@A}sHK*WQ0ygxxPAI=b6IuJyvEg=5<+hFAXq7$G#&Bsbj z->G|~ak`_jM<`im{ekjw42 z5c^7ReNcq4d+2mDa`VPGwTYbN}iS~Yl7b~0gukb+X zt0k@(!4tcZzz{=WPo$Eq%4d`&Bw4NsVS8dmR?T^&w+SN{B+s2zX6LBA3D!}e;M?mNhfTZEXa8>lY zrOO9hQ(`28W9D4Zu?s?v{YJ5&MROqVP_8C@pGn0b@wK#NO4h;$v3JBvfqmm|HB%x7 z?dO)QSrr<;{{$K2$9&y#{i9E(vZkq&_Kr4L%zE+yQ&gHHMY##m6ryY7%BW4mkJS6} zu>bC0#61DBE{+49m2)byq>#@25X_)$$CjNmjl}qrEeEhab5C9qQHSn!X*6C17}wOb z*7>VUGy1vOTnHCrpZ26pW#N-ZR2I07`}lxJQ6gD)5A2J7f)bs)fXC{C>rc&utcU~1 zO4}MDi2UuhsiR}_WNJ~4+Gp=<;__0!?3)tv3vHIY^ zPY_XG2>$H$_(f6z0|hj}r9?kGNaSH^{3|5d*7*SQv^l50nEw48>itdIB=AYBh1RBO zg1I2*Q=DGg-@4@#!dyBbt(?#tXNva;D;}iL29)68`*f)EVmS92VP*aP5(a3W*TzL| z_A!rTV{TwJ-dam;=O5WHH7ic1Bl<2?NJZ=Pd-n1&@u@{c`w+&q0dAbWjFac( z!8bO6Ezsk>PO--gemkOKCx0Z1SFe0~XoZA-G|-NPQb>o>TW^T!YSL@K3%%c|TcL&9 ze7d@Fk>1e%L>Xe2ZNyqA?L?-Z`A|Q{=+!vdq-JtihKY*}*xlR8&gmm{W}tRF&AYIM z!DlaQvg0EC;{a!Z1?O@U<($<8!&fD$Idw^9DqRIka=Qzyo{3VP3Wjjf9M`fdrP(&e zqMTV0$E2gV+kqa@9qy8Ej!?8X#?1losYm?1{YkT4r-7~e+Gz+@(A5o`l zYeAVSa%|D0(bs*u18iLnD@TPK6rfN_TC%(6O)aVHxmUi~l{M09o>?AAWGMF=X)Snw zoYi5&FgTsmZ&wqR5Vzixf!pZ;+%=W?sY?rz8A3hB4sC}0oQm+)~QwIzuv{7(+v^*dCX?WP= zhjeLCmtDI<&CCYBjd>u59o(0Pl^q;KsJ@ zauQef4hL7M_cfeVww~IyucLN5Y5mFR)&hSB_8C}5^+aK~DtAG|kW=Kozdt{m2XM_E z{K^m`+>l34BOwXmOg78Ae}dprBKiP9b3W{ra|~dEyw^=QqL9<&QBXP}EhIu8fmk0BIVsuF^;TbY<$x(O~Djkga@fqC`y2j{-PZUQN zHjZ-NlaY!K68KSF@H;um2spW-r1ZN@Z!u1z{4ZS=g2FCXqiC&XKSf2`zexsN=|pb0mS(z@nMIPBP^Qa~u@qb| zp69Kycg^duf2ovm{$3F%r_#uYB8l5J7WBvlVo=zJ+TmMpuNP0KF~Co zeLe8z@-?VeqHp+nD;lbFuPj=jWpZ6c*?x?*km!~`9d`o$7^bbvLXQ%z2QdS*czV;D zIHi4saY97E-Fuzgt~VLX_7h~3*cCItYmb#_-}?*?D;|WBt{Ozf*9TXA4R<09t+4{C zpwF~;yth*=0h!H>H4R!eTR8mvTcZ-{f{fA9NNeM06Y<-t&^q>7+7JU$-~`a=F0;OI z&rMNh@ko5$IjV*_N)FZ6G2m$h56>GHd2S zlz-*AB=%i-VuW_6v{v1??ka?jv?tgEu)i?deAZlZ)>d&sIJ_WWbE>x~mULubLhIN3 zT-+Rfkvs8Tsgg8s=0;Ruh}KHsa~)QW!d35#3J47aq=HuF+SZe77qI4klqYv`Jj9fc z@xJMpW66Y-!lhSQuAO{mP8M}xqh6u!Mf;blhyIAzgCV-@jWvQE&L0k+;Ic1uL~dC+iW*<-*ON z-n9$KL`h}qBQ&fWe?Rmae~qHD#JstE5`3+F4Gn;$Vlf1%3jtUva)Rn0tXSo#4nLJpNEIn?EAUR#2d*sOVL=F@ zWh0R2EI_ex7)2$+>gr!Xx=js06$dUJ_y$yQR!j++zpA(dV^}OV}-?Tksa>x6f*`CHj$I0z&UO8;ksmzn~^D?7a(|Rxolc9(cL##*D+Yrp)`=! zuQ9MxSyi4mm}I(diCmVLzp$@?uRSNVt=kuiWIPNYgyzP;4^Y9!b^}f+$+iEIk5>)C{=Q% zlv;V|3GmpUb4+DE`%nbxY#T;L!PsX(?hv5y1A?20tgfWNqF|Y@k?HfhZ{1o*4mJ$E z7C}37wq_C9k643V4?W;OM|&xyKH-F^Zzx|qRdele2wF}dv9+;P^ z{O)s7)2z8ZBHSbNab}%c=6_4V%kD#P08d5*1pe4L zZS7Ccd$7iW%*`t2z!}8r>^N<1@yc}+NI)-L1D;f$TmozH3kC`glUMR8Yq(ax0wYe0 zvfU(a`;lI@kD>_{QH*sO;vNX4EibpMKysPR^T4-?JgTuPD;L3ChWhT z7+%VKj(`5uV^u)ddDq$XFx>e>ADakiM?n0c2M=G%QFQa{8`*|{P5r0VI5YMX&^fk3i;vO_-y34(oZ^mDL{yl{)A zChSRTwEPoD?Tiz1gC8n+$J=%^a=xow@}%+|Z6ty;XQxpZjj+Q2TQ ziEQ*}$wIKt_JY*ESr<2W;c^B{S<0$aX{OsE^n)`A&nW)g+j!IWRL=$1ZZs7ns1OnB z3e*fe=?pOJ8VI|4*?r28v%=cP1N#IEx)ZdV8;C$KqjCl0u~VUtg>trEbQ- zXQd=)EZb1epEORCmGc?mTa$h=&mzeJi(XvUyEfOcJyu&g3Qje}BCP$eq^X(hs@5k9 zvk1PEv|%4IIBYY&m6shfQKsh(sQ{t(i)^KTGKS3i6`IpMC1*S*ijj?b9sBwKR*zMZ z|JZl$OsmKGCKd2DiLMqr1Y#) zQmv~UUj*sf`nL+jX*AbII@^Tb`bh&f!#4#tMKF#I>_47bn4*{_0b_84q2JZ@X_I(>H{x;`+cgn9;Zi=5c=OO0p^r?Sh%O z5@p`n(A>!KjWHb8z#ZNAmhslfOVfxi(g+VDT35O_AHfc)ixCy5clg!}AL;jtWs1Wt z1YIP){d-$eIStt}KbZXI;sRUTSKQn$%36JR?j&2p_QIoNxM|5r5a@+dQGLqCp$C zn7T-URg!|O7F7?PS%TGbdjW%A+TZZDEfXm7ePGT{(4^D$U%`ie z^znb|btKG^-qRU#u+BO8xK0;=Y*I8J*LA zF6yYs=Tc}bG%__KM#Uv*MOZ_sQ(fngkA{`7_qL>p1EZP?JzR!>DE2v$o$f5n=sxc~ zN3XuJ#zz9r^cN(&zK>X{hJSd;4j~I-?Sj9^%+2vCBC*+KsJ7Mv(l_mR3d2a3m|PH)#ec6O$WC?%AhtP$3POq9~sMapmwzBSv4_Q8sMah(&rW;T}~D#w@g zKTFpC45Ivd74rAx=D&^DQk7=hy=i4j{tkew`Y9vTnEjzwLoVr}*~i5>2KIDRZ3~#s zIeZ(7#%9k8_(mvB6dT=4BG>stjOgSrBh|D9(B22PbJq!)q1CEGM~g+zT}VEClftPs z-4Xs8O^lQBRYnL|i6KVQe!DKMU#rH(2Oz|L2K?z1L;xb;J6+Cuy!b&6KpdRx04N;O zQvh2A*5cowcA2QZ1S;9xF=Xtonj-pUN^89@3@p;Nj_+4jC>@(l9K%2IBDL?eQcNPA zxhD2%wmuh%7%59E5sNp;PideFeHeguon>M+B>cjrPsF&2Q$)E4PTFZme4c{YB7KFx z&xas}8|%eB#;{L3d(_Z+^<`LjB$Y$hLI1J^daGiGw|Xds3)8;}JiZ{LG?Y&GuK$wf z>0+}C-8EGi>dPNELOX~6=$ZUvGgpb_?3w<->Y^fJa@zD#Qo??!4P$(}3K0l-lZ+ae zevqNfZ!6!;_ywgP?>2)0tyV&%43~k8>^g^;U#N$1TkVFtPVGAp!Q$kw4 z6v$YT4xC=SKfSD5&cyN^bt^ZjgWG}80-BZc>vCOOis61Uy5JE5=p!bZ?^T?G{5}O_ z>!@gkdVtaydU$KMHYJ9Pm^u|{VpR}_cxE_z_>(VhAJe)I?Kg#A09-WY^)de%ru%OQ zM(bC7_X2+;k8VsT3n?$lIoUBNIW(a;n6LSvy7stpv~lF4MmatS0Nc0}vP3CauB#*$uZ6*50~cj~quMuQC%`GnnD=v{c=^69v? zh(*T5-IdryIx`@GL4_F4EE05}B)8!sD2{%|G108LX2ab5sW-P|XrolV!w#pSF?@g# zmpiE2x&&Wc4#cr!B;|Oc2QehzXvJJTxDu$F<6$)kHwkzc!VDND#%SN1bQQ0c90;}fd8m7?haE{J zDAZ4^2p4OcQjv=!p`yh2$TA3`m3=^3(2IBuCQQZT%+=;x@>PeAe+;X!lA&q^+=%=(fCV%CP>PmZy ztCpfYQ|k^m@Vt3N=YE@`)$IV4m!gQMEClXAQEnxc#K2Z+Z(|RNly<{~bnhfH7mLf2 z>Z87NQ{m>8zT@jO0msN}UL=691x3Vdv_+et0xc{>{k_;%#Q|6J+X{a;q@lU3FjV61Q_CEC3i^{IHh3{Ibr?$TOQf{&$TFT*V{MuG*yDaWF9KbcBRDedI9IP@#n%~uHPHf* z-a2q;SnIv&1y~=zaaKRwu*%)KT&ibWw#@_8@0VN6c^5Y^+wX1W$Ii#2JfmE|kmbF1 zt#=Kg$L2nF3+U^-)UH=Fj#dorENqXRcgc5vCLbFHmY&pYM{v#P^ezJ{^!;|#^4E@d zvr1NI}m{FmraJ#6|-b8KOF4aOs)=b5jiNS8op0AT>=E=2(ghE8>WQOZ2;z2S7p`2*0v zxkVtdy_2eKo$rqw!*4gL+Sc)b*qwY}r^Lm!9nAZfOL102%5|&x{c7NH>-CWaHD4tR zhgqT54m*u~M&S*zPvR@HdAc~tNR@ilXw-4H<#sfw|I0V{)A$Cz7{Lq~_n{d#z)Ey% zcTfEjq}MhJqP1;=SsZ_?bhOA6;4EVO3RZ|@3M18@Awb{OZK3VP+|dhqXn*jd;IL*35Vkw?vWl;Z2Q({eln07Y zPha2$(=gOcVX4X4+U#g5nSQ`R7$R&h$`i8MJg%<=EHFC;HmW>~HkTKBeT%; z6>hy0=(@K9YE)&meB7U5>C%({#umT;46mk*(BR%@-ui~W#Du#nqeQ#5WYlM^%0Id* zf0>z_yhpeP*on6sYn3B)rm?KJn9Ku~zt3;yBp4-#^$MDqy6HgPQF`=7da{;vng0~A zB$${>r zoThh@IjU(&H%DkV$>9c@FJWdir0jY9oUh0Aq)tO#@tUG-n%Km+9=sqgR{-=3r*>gH zU_uWyI5>OnX8AadB>O%Of^B;zRD%9N<*uaNc0r3eXP3y8=|UXK<6SFMzqhIAFxm8m z{t$1dNWN+W^FFh?pX}*i>Mk`Z2-!!}JhT&*SL>c>`N7btk#D9_sBV>hX|13`c(It6 zj2yje35emsW*I|}JkZ20a_!;xu^AJq&-p5*RD&M<`iaMNPmsgcKJkw#XnCpzFE}hQzMlE{~x98$UZ{2aGgflyPE^UQy%ZmXNXeq&0pSh-uIeqfCGSe z(+x~HvXR>=K@3}wJOQ(Kl}dZrEi%g|tviDYn0Dfb>$DZ>9vEWZNv#(*cxaa%3VAgY z%j7OET8GC5#A>Y$xrTnbcKxVY;}9sW1KRh{g)t~VcWUpyG}<^_)OVvQM6F1ehEyzC&AmK^z4gQ^@ERBY^D$v0vur==N=tzQWWk<$q%* zBwbyS!LYVQ!jX@XCk1lH{zovz|DDMFc@sHD%~_tqo3x#%{1Nuj__z|C_$6C4wI5-0 zkk65CzkD?RVq#s7?h*_2dA$nL8gamMSTA-1h%>k=Q)Ur^a+EmZti`O)cGPlcmvL8} z)QNLzNSrGc=DUbU#_9WrRO#ME5WQpZZ3lrs#O(j_(DL6KauWYD%<&%&X@9?+6ndOL z^&Cf=Kz9mhk_y>E=oQ)PlLe5fzBdAa!2N^KGAiIS=v9!2N#-7fd5pz|GHy#<2ADWU zkt2Z1S$6y>o75b6J9#^C)+H^K_juQO+Z`Uj>=^ihGzNF<6l0zeG0O#fC})N6+9uYM zDP?(Ox8I6cVvI2Ke}JoYZh}%T%Fx!-A>FKPpVP^Kg5FX0JN-l%Lp_krz@C$^Gxq+J zgTxYvh>*!Pfc`9K@fOR%XKC#;i4~Cr| zQtlzOMs3hLdV0g8yDYBen^W5ugG%s67B;2HHlqa{j^M804>7@?psb)SZ}{VkpP;y> zx44nwdq&Vz1iHmf(AFTRcU**ZBTZSiVn*!oLF4-gtL>cHN#5Wsg|}a}+{#8>WIL2W zK1j^vI*JyZ22UoOj^1d)J&UZIPYNrNPn4$Lxj`A0h}uw=J0f(ss3vX3hkXT`Gk(&SO#M8Dwud7j)OWBCGdK@iHJ z?kcqM4)-TWGVvF!+Y0R`h*(vBpN6ZsHhJbu7g}>UnJvSn2KO?T!wx_Y$=s z1Fmj(fddEPv_9Jbk&-H?fm!(-o659sh8{198QusVa4V)eI~tT7#Q zF+MVSGeLLsiL1~?abO;2(^l=hPKy{kg$ShW+~b7h|!Y6 zgeHsyuif2(X8NCAqS_)?*3QP3JIF$LV2#4zyu*&3-kcO$ln-c#JJudVE5Twz*y%+z zZkv86InEowzdhbNF+VSZSw-+jP5ouBgy1D{#&0*gXHXyQ`ExjMjvnv;P^}rrNxjEa zvomo)rn{W_*R09BYSya@m^FRvPk+q>%$ks5T{}dadUXHU)#VX;?#iPED@$8nw4uLY zef06(c2PBUeQY$Qmx_>Vp}l?y9gC~)Nue%WrsvaDFmF>it+fu-jdO%E=ljx+L)v&_ z+=Xuw-Y`(-x^Q7MTL2o*%zt*s=NE0*u6+uyC)tIrC@SOMYNxj%brt zgono#`4l_EkGkI;eNgLbe4s=u8;fB>LZ{(taJzsp^tD&U)lKw9jdptV46#2=-GkM?Py zfN!Am_hz7D2HfK$Eq33}@3>hKIxnSKkoPKyTB2x#KK-kj_D}fzCwTav>zfP2a5siI zPzD)nS2ZcGp?H}v93 zo}`@(I;w7nETgnfG>?xQV}QDbIZM$n2pHlP=*xM7zgbTFXluAWw#ApM4XC5Mnlpkp za$j3gzF^7#mnhYi=I&oMs(;pS#&^?zZTVE{ls{A@RxxNri;k01m5-xSPm3W9XRwq9@%AZb`%?;^d z3|_EV$I7t>1gugY8-b<0cwe*8Hj6aP%nfsKjAo;0(}|^v&X4=i7S-&40Xn=8pl{}X zt{I{2*+noLV9u1$>$;>k{*htS5-I49ymj;?IPBqE=y&H#+}w{Rgad_lGShdW#&siR z-{xOkopL!mZ%9oDA$rI0oq~sJU?2w6J5yHFta+(Y+2|cKi(r~otXW75z7P)E=P;3| z5(=CT>huwJt$wcBj@!#E<^Hd8zy7^4>c8{7e?Dpa-ypVWI`?K_TvJvP1&#JCbtoqa zo}^wNXa{><9db2?#82r4F9}_-$1iw;D9>m=Pl13Z&?G`?XzUi-diBPk{ug8tm~qNs z;5`S{07lgjzXEjs1#Tbl;GQ8&6Gq8^@C`Sku-_K2r=DG&w%?O;S8t{ud)h#`> zX;OHuArcC@EDu`1y`x8hND4crh_7|T znS6`PNzTKoXA3Z<%3i7e&Nh)qRa@*S>|s>kd0y+3c0U_KAi59tlI%-*-Us289U{@7 zhhGEKUVZtZzS8;S%bPE0vFu=>+=Q?^4!%L5*VNXb4DK`WH~yJ9CCAU zw>SQUsJ_l(m;G3d4q?U-`80NykMd2uwzjI4jd0Gj&r%+rpwZAFsfz*K^sL7012qGg z7#o7S@AHqzp5fC`#av~88V-;Hq|7|bO}b;_K0=c@?!9Do=OHAcY9A|vIClIY{sc$3-0@m%_C`S3MT076>mJsdrn#?FC~sfz^#?dK#u={(|np>yhRh{1R(j zkOjzT%}d=p^tz}%c{=Stu}#Cn)5FX|(za%)e7UMFD}Vmrd5KU!Zp)JVVy7}ie^!OG zy^MkKu5^<14Ff84dwV6gJ>ikjlYSR`zY!!{Sm*E(G>qrdurYuEeMFP~{@49VNDXA(i^eNv~ z&D8YsVRJjx*Q63e0|EfZw1LL=85rg73!=y`cWs*^LwLa^%l=88*-2+cTDO0#%H;EYI zM8d6-1&Axsk_n_>M+f@4glykM2bHA@R8#jAn!a?1jcuH}N99(NS9SRVz|u|rCJz1g zT!a6gZT}x;;ZmjvOvys>yQxWf+`?o66*WFIb1Trdv^1t(Xh=6R;=ByumY@>=_+)+n z8{op5#5&`UzJ3ZZVk7LiN!+a34GTXdwk0{lY#$QFp=CrV`rQ=tKf7I??quWCtXtaJ ztb9W${cbXv;H|D5qk@0GmGrDFDM&iUTqNT)e71`>#oYy-oG3VUf827g1Qz;DIsZx?G37M<$=-efspJ8-cIojel`WerbU z--)uaV}*O@S?TzYhi*_N;gA9T3tU*DFOQOUn>7n{U8CAtaa*Q_jTa8zLUgO-B0$Je zajD@rl&P>oecpdGygioVz8F1S=5j{nF`ob3L8*n11Gs@A<V0#ZR@Ry^SvV2bx|1HD0W^LXUy*nyzG+SBukut@&8Ci!9i1VAA{p|=|KZzDTw+16 z&pX2nm{vh{ILa9>de%2%LS-dsxph9^pxA5^Nj?b@oPA}a=W zSg1WD*SD0QHw}cR17J1*C$c|4sp16CCBa-kP9Fk*YsFv$ApmxJ-2iY~z&e2Zwln?r zcK>}|es>%c-lA)jOq8{b@^r-QC9g(ae63@LQIy#hMxZ>#F2ta9F2ej}=5uSxe#C*}#_ohHxs~`;F8jJH zWe5=ey7=8++v5NnkF~ECf~Ofyphcrgh_R1&H6?SLRng#~XWdPbU4XdDov}@P{zhzk z7kq1*gLke@e>)bx6RazFbNZ7QU3;JJuQ2gfiQCbnq0{}aRVEnGGv!>2- z4&+6gaFLO_$_)plEfWG$?OaX+V=zK!m()?hEfheFv-rw28+iwqg+6YFpN{Oy-uS=U zuK5(Fd8O^$1#Jnm2l~|e=_RFydt)QJmHs*v@zPDg8qZ?v1w}CTnToM_O{Md5$M%jz zdKp}Ti^z-g*^_*P5He&Ot!xkXr_~RSs>fWgjhJXFqD&)EoVa{5MdfyJUO`$E%MTCy zL>`#tUfNh%=j$dFep#c#9X56ET&p@T$d+;*Zdc1>*v%MLI?y)=w==cYKizg!=@@D0 z7i-6n60Ysu+Z>*VW3aBZ96eEn%OXgj12_O}0!Uamj_^Wxl<^d?h1#xkdzcf{G5Tkm!lKd^J1-q7j9d3iahZ_SCBpEJM8&w z(wWq7!;?)yB|GvV9XCkPtN5i)4SigB_|k>F(kFwI$jT_Z7oU8dVN)(?D^{~z3&R=_ z6#KWrEK7;*-m*sbXA&1K^+)t!U#`xloNw=BR2#yzeC~&aKhv!kHr=KjN3k3Mq<|0^ zd;E|y3g0H$uvJQDa)WH+f=MawY=ap?ZG}|!p9R~n64rRn=n;qb8UpBl6jfb9-4?|B^?0$A!z!5jLgLY@4ZpyWzWmF2S z2%M1a=T*bbGhc!WoquHh=@mFgM zG&x#<$Pb?}usNU=$mSeWC_O!R>1pDjxzMT%g19x~ExzZ`=GYTiAhzt-9dKhkntfp+ z=t$32UhxT6nmfe_hy-B_xpAE~D}5BWG)HjVYCtQC)*2t*`%MP9!j;U-k%<4Ey`aDb zX>J|oO2JH#&V=kya8Pj_cY%IEdA@=ZRWAveJ9A8W`(Y#BFjBk2XAQgn96T8osPvU$s9HIJ$31(7gDY1ESlFzBv4uPs}d zg?r=aLih2x&5fJwd56adleyh{oeMt^-es21mM?uvOlP-G=jY7=IZUEDNM)Fx*`U_G z!tbK8R%kJx8WG{WekNs{tv4@(cekrze%U-}_vrQ;Uw-Y{J4W{=Mw%CxK(And=*Dfi z2y;Sv(1+L?rdU0<2234yvGMn(+bGsdP`$ck=*m4v-qsn?gb=C(*@$f@jFn9VkW`Sc z79N%|nF@c1>Q-OHKQKT$Oj3vEWO!kXoN-`IK~%4wl}9XV*{mxh)Y~&gHFjq`5U#w3 zv}#=M0ew5>9Ek!%-`pL2Fu|*DG`j7iXo(cTr}g?Flgiy$K3|z$rx>RY(3us>>K@~> zqxjPFX-K3p+&gbzIdq061&<(-IHQ(3*9A%&l_3)46$3(9gUL^1Rvj(0(%{1R+pOKp z%~B|Z#`AJ?_3z7VSQ67P%yVHeQ*Er#5=N9E?Vf_)!4+D@Q%G*Jq!Y3MoMUPTB7RFv z_QletKlKewt)`K#g&-|~!)Ip@I2S&=VOTb}n$R52S2_Lejk_Cx4bl_GZZ4Dxhd@@} zjRQ>3bc)5|`?Zwk5%gr{u9%>UN2vyi+0(#6I5EBKD5bzV-x_JMIIg(kTy_E#T2RrP zl`qhOIJsTX8)#!Q{2t#RJi0W|08p|eM-#eFocH*)ohySmALze*{feu~*w5YgGz|~> zMVZI-u&%T-`nkW8@K+6$ZMiK2&O^R2R%H@M%Bi-nXv#MSo~SI(m0n*F zS$<@7bHNsB79a=oQH$<~>Bw2A0LL3sW)nYW+{ki&Z2zVv5CWK>;CRdIpH`sw@K~iG zI(Ign*S2EQ$~}&z1e6&o`{DjLsY!yAuRd%0uyhd*@Jl!^tl%&4&N)i2iL%Rdjoe1w zcx1qBLuooVLgmQwABIzDsJ{ZS>H0E9?je17MiuEt`^~J>vyoh@R*~#7UWNd9MQ|hi zblF!lriz9DexOltgw`LuB!1Tb5}}L7x=qmGMpWi{-M$!Nc+gde^VK-Wz`fY8Rg7jB zK>`nL7Rm`qQt`hw)(e&&ec(A|u8=NbiyA?1b~zS@yX5aHQ*L`hLh|%tnejaG#tR_B zfZf7Y#K3WQaU&IdjflEIbi>5~0&RF@OMGH`N^INx>$vnP#h8|doH8;7y@gpmMCAtJ zhZ8Lo4UZ3Dk*9Wqx)k-q8*LuJad;m)$r+NhUPlF?^lFNFdVs(F)ZzZ?PWGP{UHvm5 z*1vk~uh4yIN(F4k@Afw@K4X&F1v`62EI!`W%&d2aBbr@sNC*WVD=@t#vcTl&{u+kKc zKa=9ZDU2Eb4Dg{}AP3ESG)}th3M5Wr3rq&#O$nx=5szbF@{kWeCp~v?J3LHP=WaVm)Wb&(dMOT zNd}f+XtlRU3)hZktz8`qXoCGU9V#pqdfegcB$U# zTp4?|?x-OrZ&I)8r^PopSY{gcE)s-US*%e$Z?RxcXQQowsdC)(>G|@62|g!hGa1#_ z>TP#2(azKF#0^vucVeMFNv$3!=?>o1OOeqtm<}_{X$W3Jph7uT@v`{=vK7CgAL2zj zdzV{8bSt{KS?husk_gF_W|8td_c~N$f6r1Mbepo#rqhsnw4)Ok7@zEPE}Qu+TFp5m zJ^AzE{@S<7oF2^^{vv~}rKE%u{?RjGtWT`jTF9C5o7a*}v>a%18xvdVWmvcTfI-^3 zT^EH-*44z@aty=>tuiNr#+qEaeRm5=3iC!wE@;^9U<4AWHpx0{8~xaRGp?h z**Q~jUTk}9ZFpit-|K2yL|!H9GIO6@NuSNL$VF$TcES^XYNbZlzCea~W3G039JA6W@O7yq%^1-mV{-p4&%Aue z@buQraBP5S1d9~Uj-Ap^W^C#Q=z*HPlzN;lgN4lSafo37^q~VYXMxbT9MdzUgbxoJ zH4)M@VF=<$xW9%_VBEs_o8i^|0=$nU{1@MCnqO*@338%BD7UHV0}*D(VppM+{N6S{^_x( zSoN)1lL67#!CJ$Z7jK54K~E&t@_O@Q6EDym?GLfOperPOG@|p4&0t_LgK@_XAvXPo0;ya@IiGxTSZc^Id_r9`l!{g z7SVnbAM^S3=H z7GNupiFO3yQLAg>`g3w&l2Y~ z+gM-DL0XmEUWI#f7j_;qe!aGGZ)lS$MxQCPnWNwbXz*fL`T8gaddvpmZl5O|=S>Rr z9KeB>zC1in$F?C>rLV4xRzOL3i%@Mry+caJn7f?6nTlJ;R+f5C*Lp(D+O2^W$wgsm z$jH-|&?pxJBvCMk`>9VKID3X*fJ}DKntHLK1hB_+ew*6rD_AQYc(KP29$&p83f4Xx z3vHt(*KfsV;g)txaqdQuMZ&aq=sro@5)oda*|npB*S4nGo-|e>IuMa-Hpe3G!l0fG zbG3q-oCh*D53d?;@qB=#c_XWv|6vmI-6D-0ThSgN(8>QAtJbu0J;zkps3M5$INez8kD{~0P4!K9)Q8^ z3>yyD8|jhfbwf?$GO9GLQ{J%iRU6nt1nAAbkS*DP^mPE&jD^q}8MkF`t1)dh)5LjU z2wSBIam4*aP6I3gWj$kFK!WnyBm0NzNDVrSym@GN7!5o)y$bLns@qM?xeL;hN{bG$a>hUKR!Ciu0SPKvfIfK!GN2XN^n#2=+9Jc1v(%)y z64l*L#MGgyX-A(Xv<|bTye%j*Z99RQdcqk_K0bav%@c*8B<_4vHN6#uDI`~C{j=5V!*hU;O2ZR6ObK<$@EIPK%C)-iXgfvVa}T)L6Y*Eh5ZULZF*9K{ zGKaYULh-$`pLxT7e+$zbhHe9;jwn19abvk~6DmPLjtI<#geqH8xha=7mf5}l;|GJT z!cN7O%L?NDakT!!Jt~yX@<%qq!-dOSQ*`*SA2H6<9qa)PbU#3um%3h&59w&;XWeZ3 zJ+M8;pdr*~ksUQYq2_$)Y+*V+1-Kb|1j)x3Eq)44l$g=g})ul|8PWPI^w>( zNXuBF%56R@jYkAq!=IdYEQ``BBknMRJ>Pvlp+ufE4m1Dao_)memRC3Rp5FF6;gQWo z&|2ehd-{FXXuS@HuQs^ph}9(f+IEP>O33IRI1k)s%D7fy#VGCymeT;j1r-GiIfiCaT{QkHA3-A8#Jz z|4stluS~iV)D|BRdpvWg2Q{@Qxx8)+-E-<#+dJ!+%%1yf>}V_~04yj*psRWCV8r(t zb3|lgalY@bhK!lFr@euPwZT?RfPqWgDuSyU34WA4wjJEv?k5kjyrV2LVBO>)mvd>Z2UY$c-L%uu|Kt|Mj|W1pca zf;8S;f zkB=-XJId^*B=`M{J~2LV7h&?G3A>U+tttcy;>*Dur8L%sl13jR@e+#@^DYUIP|VBl z8E^52i}{){ITRJUMeqkWK=7Q)o!Bg5^0=+Zh*Mkc_E zC6*9&L^7i#p(Oxeyq^SbR`cnfVG<{Fuo-SP7$y&lL!gfly)8OW%5rGNrH@VEeHDZ1 zecC8FLkSBPAwhb4QiX(#n5gJFcYj{J_-V;?D8zG+DRVY8Vnh zN}{M%X7yE8Y@q9b*Y^%**2zsbTGo7eGg_K-CKx|e-0P$6GotLdpM?EmZq`_=j|F4l z**85;{IA`>yy)<%~OpJorM_G<%^AImI$)G z@r}B1hR~jr^QW_6tA!SF_>Z366m(4n_I#bw*C0BDP!{051%H9DA3)*g<7?HANZ7&I z6g`(IfD}MXQtCc9%VX&eHmUwsnbN=N_km{FZ(J$=k>LBMY2IkRw%JaI8?1{jMk1xYzyVmN^tR2H${}>Oqf&j#t#rl1DDR0>%>(; z5W}43G8AvC%M9@vToKvZcw*>m@|M*!jP{(R{GwJ4>4hIqIYy;d&AlB2^8zUA5n(VCGi_~ zq#XsQJaFTN|F1-!|HF#1pT)&rQkbE#mr`_o(wC|VZ-F^Z>E|3GHFClgHU7CMMg3Qb zPaGR?fR1@&2S8bh1pLs)U4YqfDfLvD9NylpWLlLtq4BiQS&E@%CO`GOa;jT)D@e&v z_OBf9@CEr)gF}PHEbTfur(DYm;%J_%0B{W7OD$g|E@^ulFQh~6mP1JD@g2ksmQ%%t{n0CO%Ix(L_k&LdWe2QCr%2V-yXmXjOf2F{B^IZ|ukK3yU{1`984EA*%OUB7Vpr~LYkAtn<{ z93H%4KC&7sHAru`_Xe*_Z;@*5NwIB$Y_E=K-$i1g^+Z^tmquQfz_+Th zp*N<2?^QI&>aSg5>?|DNmv#zFr1<4R_Jm#aOPpXGed%qdgmM1Ol0S z2BAx!DHsw(EX$u6veV2(F8=`atX-rguZ!rvFTS)-S@qnUxh3g2psfyCKj}jZj-Ayu ziFv`-M>mem<}Uo7Qc9Jrt|13Bwa+?eHy(z-JrTY-U<6VJf~Zsq;?!=$EZm<7<@1_* z(L$s#?_z>@<2Ox_B+haz4j$iaB|WAKR!i*)wwuGc>qI>CHh@_Tg~FlDxdsdz(-%2V zLsi*$p^;#m!Kjowb4pdTzR@iA_v6uf$T3`cuZHw_xWi1*A)|H^h6AUEh1JH7t2lrw<}Ny_34ss+%cu`EWa{iL-H^*A$+a%}?u7tmW%nIr6eKec zLH83<g1HhKK>F2bRYLgYz=${cDkwA%duQB0giIyO+WT_=Lou0>W8U$R zA6A)_d~oM6#sgWpDe7I5Qf^K&SNeD}URGOuSY`Chu^jc!qvat!l< zc6W`Cd0y~W1CiHjAu7>h#H_xO#m_n}5`)i&VijwvgQ$qd?273^R73epY3Pt9R%Jx4 zBGn9ul9eE{>K4+PA<74}Iya|4yo0#NGTCUa!?zY`%>cF2`dNL12m1o|;AXLPJHL}? zL$u{wEKdBM(&{XCj*CvgI2-Ec;UF_&77d+@jH#8T0(uPyHtU#b&f zf@nbIA7*7S!a3_BzkV zy&}Li)7ea%`D&+6G6r|?iQo#^1#hF?7#(T%VBEy4gJ!@37PSPf18cyM9lkFdx|rb%TqB?<0r8$%|=l8DpxJ_1McLOjv=m#Zf*F( z=^n6a%$bc*b%YuHrzICuK4sOcIB^Nj@z|#RFjG}7xX#)1#U=S@&-Tl({C1tDqRJ^@ zn4&oMCM<~hQLNz*`HV`eYx$Sm$~vcVpFw%#O3X}B@MYC^q;V-UKa+Fbw*dG;KN!Gp{<`2VX#G>Cg0bJ>LH=sK;}QSb zVVM&@zV5b%O>e)?u8NE|N*5h!1Y0#;1}=N+pPcMa zJ^c=%mrYe-B*^F;l~{D;M%jRvngP|3wVyFH3h(HrftZ@~4~xFil9c#~ARs&E*R@Ht z8u-V|2favO+vk>qvs+i*ZLDXi3dDT~w& zU#$(K!pkoyeN2TxPmLobcP!QOjmUg=as7%VRSe#uq|e{Pw_q~6@%)M2Q@xwE*R<3! zj&B=<>;jDa^(&8vvzAOM#%!rk8IunmUIM`e?j7|mBW;w2UD!ui(X!c8l*olyul(>- zUX+$smQ*NsGCi!Ue6bfok5Lc{v}z&@3o@(z0#TaCZe|56=&5nmp7DQ{!ObWItmmd zA%T$gp-`m-;l}p$(q7u5vLnv$%sa^qUIRzAt)m*E#@ElTh3t0iO1v8*Ircp3iZg{P zPDTVeyug5&6A3yZTlwZ=v5vZEMkAL&;Yw!E`Ox}C$eHWo8;KFtvs7}3P>X7Ce_6s_ z(ujlJGVkk7+B4+JQ#|xd1DZO|qKAMbFCN+Zr2gpREdMZW{87x>FFLb|Hs%g6$(#n* zof`$)fI`prG!N`Pn%d(PS!#|sxDW{}tuXf7A1NKW?prt#Vp+-0f7#q@BmMYGtgFvy z^4^J|!+N6f&HDxXAE2EdAk`nBA*1r$!Y{E+Vicp!r*pZJS}pYQ;%oV&6JCag53T}5 z3knp)`W{pVvayY`#G5ChS`ll$4r1jzAzTo(vUnJQVH|`pERgx~-8+!R%O?Q7T*Pc# zUA$bgux72hZmG+qS{rf=zI2>|rLNl3;0`Ys0C8%e#*d`RM|l;>J1O+&-!)|V`_F>M zQ!vKNC+bMjtS;UY=xvjG*UuK*dqA`rt`&$H8wPwC;8>d5n9&o*AAVuIRB{S;pfkppxrv0U!Fn}8|>+M9#9zk2< z_*T>pkm(DVIZGR@na-Z=>}8{@#VBbB5nO;mjttG5h3C9bvf1U$tNS7jxy=xO(Ku|i zCa5C$ioHx*^^^U>ob^8hC+WGhQ*5QcAi69*;5Ri1&>jnu zhwM*d^=KE_JqYo%9LkJ;{E|yH?1{$PMZdeK_h|9EZs^J z*yfYCCwu7Af)jd#H8s(r*J-su^hdOUw0@=y)e+s@%iFG4_wmajS$F7&Vj^wHDU`wMerDhr#m7d;W!;e9e7pj!;^j?!lBXpvXMD*M{ z)5PDtv=wf3PQK&a=FxwDM15G(tE(2uJP+6i z@+F^%b|}SIeNbHkUgIbw%J+n=k2F+D8NO>^ZW!iCmawQO`Ly@ceZo=ZUHZH_PHmOz zWiSe@+o`B)jwf}pG27@CcNXOGby(-F90-&d+eOsl^>>r^6qra#dHZxV^E@ln&6K*4 z-?k%JL-i{Aajxz>kZ15g=w`0geaA

    1sbaA0FGvH~j&5T$s~lb%v5yV!JP%t^mK1 zE^TXD&WW$K*y`fQBJnf1O-d^B_ulblvlRXGkCf5n5~h?+pGSR2fQLrtiruvXFfBgH zK74Nd8oHb$XksXQt*TIxP6N&`d5aVv8ShA zK_Uoo+vQp5zM`7u?!Zk*ekjl4&y3sq}4bItCB>F{%K?hxRa94Zb z3JFG6)&+PL4w=ZqsFGG>tZ9fG%_+2u_vlaN4a&w#wq)o3vRvJSEr?2;rqRumyKdvS zpuBVQ&M7t|{8V4m?IvMXt$c)a&xK+K{$R?@vvd!yD*5`(*y3kwycZf&PR-NowIXWU z(_UagsqkVswYP%=7@ez!kfMAR+3`Fwr*=iLi;2orOKd4EZrO#krxpfDWs~GF7t-0r>Q8e6%1;R(3;JL zTU_EImRfqD6Y^Y7T*IChX5CY4jy7o2a84GRkR2{OofG4D)ZKr-(oeLlLOO&95Qr=y zbqN+|T-oAbQ8JJY1@&B2UsI!?W8+c_Kx~LE8er?dsB%tz{VP{UfAPNl&G03lW>dKB z2m-6pFrI7d6{pj$97v+7rB}O%LRS_BP&rr&yOHMn$26huoO5~iP}GJwsMRY**-Xpg zGH8Xe-l@mY<9{X$^OqpMugT%Zt9^EWwn<*U{5(M@DAmr5xfMgoolf(CB>f8TH9q#I zy957f&LL9P9^Nf4;_?<(duz+a2ofTYd5ZB$8uKgdz3+Y)sP`mz9Ye^gc)iJ%#pn*j zs+Z0!SqZ#7RJxUCe-+t^fdwae$uxT|ld|nt!;_BG6e+4i!I<=~rL8@iMGgMnKo2yVRX} zqhuNsCp$GXRC{-2G4nGSKE{Av-lg|NdQ^`)vqbgnIO*jPd!;>uy9F;Al?&<)#{Zo&2VHZ3vHU*a}r*E*2L1|Jul>-&m*8y0BuNA z=rQbH$eRjUtQmG|RhM(uZ)_jGQDzvv5zOD#DUP!%Xmek>&|`n zs+qR4cqWUCS}pS-_XC*YP+2V-$qRqw5n5eOStJbK*wGlR*#GRd#wcnYDMjDbrt50~ z$81(*Q!=CXW?@yC;_ib4TM3&OX1nI>lCu5VrM+4;y6W|n}0Dep6GSQ^E#L9HToU7ER4(}5%u>DSTmPf zJT`ZR=U=@7Q&(?=2L^IWu(nqe z!ZJVGWE=LhK#^8LZu5@k$ZFi)>ubR|7OS57nrIDGBaj01uM4)UZ`d9tf;Sv%<3&EN zP~4|Zz8cqPs9o0g;B;H67gq9r!Ev2e{+J$IC3zzs!j*Q;SShexsihO?$?&CU##?2G zl1QdLro_#*N5kdBI(=G2ON{0SslIX)tPvzCcA^-SJx%sZI@5NE)>ce4M`VTaN;7dg z9*?cg(%WiSk2Z#g@G%$c;)8i~=c=Y!rT{;2V^MF;(`-S3^2&jMocM;o8s?gXsrnu5 zqOn^2+}XjqNcN)g8v+^YSHt648Pzp@WTwK#a`c#bS0InkWBK!Msq`>wVy0rTjW#g; z);vdjn68M>vogL()ct5c?8W+vTbiU3zbOdA0PCwp8`mh4v>)?L}#H?g-$ zZNAB{$4pnX&dO(CVI3B%W}O{t9hcFBm)Z4WalI7@Ot5NV*#QBgJJJ~9;gZYZ1X7k+ zQex3grcNRgQBxf!m+$Lmk;PO6Q5@PmHKCe$d!ljW^Qzc6yjtyS4XaK;I2x>I@%0k3!0>MBxpM;IGZ+(CNVq!zJwMid$u3-qjj}9l zzqI1jNCE?8cM0dOtiAutzW$0j{l5?<|9R+whN#eVLwJ(3IP=uDquzI#_tY#=?AXC$ zUYG1DTG&Pp>q@=>J!aiVc*2chY0`dMp=G2EU03sE#jsrvkNCVvRgFp;blpyN3lyff*cO z?LtI!IlP56L$OU0LeO_*x6gDM%06!ig}L%A&1+(inKM^m(1&LvU@EmR>8&XV-tuj? z_q+_*S43GRI*6LtTdE7pj=0lArolFW-e5ic{i*!tY>J(k9@|Fm{Y25;5<>NCrUirX zIU94AvD!JF358e%%VJ1sYvSBoQ9^L>-tPW%J5%|`Ri}FrTq@u-r1$+EscH8kO>;L> z?jE^K8_K_i^w{8hiP7x|0wX`tE|Y%N$r+I%45z+B(`#r^6D?zcMVui!7@LnJt8^ zSWydU?4c`{9EraZd6sL<*X4e$&_1%aSQD=QKz2;dRFyNHRmq!E!v*ht3pCfV(g|YN zF1br@X)HAoG;a2^kza6;FbrQiVPe{QzK8)x)1WgDt+cGJ3YwqG2w7YN=|6M&-f>cB zOgY&uBK+uYZKmnqmNq{uZY1HAOkKgF`J zC*m&d%AuoTJB1l%8%6Gx$G$qeXywdVu{(DIqKnMhJ!}>}yS6(-j266%e|Qu(mOL^v zr_JWFpxhSwu0@#$(!cd++JkQ_W>~MQ%4o+cjppUK7cSh2O zaikjArl`Y;^%JHh*CByIr-B*+WwEj46Q5|-JBH(G_xviK87MqaeeOBbC(qNg-@2j)QRkPZ5i7qM+m zx?s-=_yccD%!B5NhQv#QzLULWBY?I6SuMSEm>Hyqjf=*S)w#%C2pC z*z5DdON8Fzjy!gt3lit=B4rdwK`Kgi9cWABxi>ctZ8^-ztWH7$PtbY4r*0|+q(t`7Gm5+s z2(vWC_2~MJQ-LJUjO{kt_LZRx0dv+j%I(pS&<{y9p&kw4^V1BKsyNni6#&a1z`WV@ zSnoDir8-`>TKjkc>0W2|9%2Unvn#4vc@c`Ne|rOQ<;WWwn=FDZ7gl>|e0k|$>yn7O zxDx0v#`#jaGf&}Lf&s9pOoqZh0{_q88dhEtq)p z%Gxz%d9b@53nkuYYJik-5gC$As-aRpx5qwR>21(py?@_w;^((4dk6eb_nj$N)o|75 z`cSzt(c~19Q1aR=j!|&(0FR`b!_*5~<&p{ObjzsCE5-7_YBO0d+RTA4wRU74eT;XX zdl~r_lwua*cK{^l%vSVRzsbvqk?3OGi+Q4C4;rAlERIAF9$bC6(FG!477*RlM_N`T zDq(a(h8p!T2O}qM*4kgQ^m7K#4e{sCNwMFG^Yto|O~S402090iuHG4H4fTdP6WiZ>{T68771JJ@~zA7#*@)CnaTUXR-0$?LHE& z+_Iq2@+=&LG@eu9?IwOrxx%Jjru^ z`bN7bozQ9JfIx{5U#G>!EWG{h^zaiJ#T|Wp_xZTxkIn!kD;=1~k`Ib;T7wh?D5=uz zpU8lic2bKx7~Chj9m8T{N=ghJhVq46!X~~GlmC5^;FXGbrDfmF77ogCW<@;XaIH)JEbvlyix@x%)0Tm z3*NG-)~IjaFQZ%Nkde@2Y4JlqWB<(xWcsf&6!_bcZ|zOvGWduZG3sDfG=(EcZ?|YpE|-;Wn9gVr;xae19x^uwNGt%aipu-|MGm3^0=Ix4pk@7KWkL(+ zan~b7%_(r4Y;kCUsxm`(D_BW}_U=8D$T(%V#A)Q^%@HHwz6ZeRrzyN{yaQ3fF;|W_ zP#s$tD<;s|9XBL2yt^t{o~_lC>OjNHjdVN4n3jgS9kRkpa~I;ISQc}jGFCpLpw(_* zd7}a)XF6jw|DIb0#ewMRl#%H0RTo+jw?;iGJJLAKi|&}$^@bd5XYs>?^c=M+j#uEyy-6~++eRj*Cm-r1~m8dV#t^d%W|Np&_ z!w_7!<#=Avk~$AoKZ+U2%ChV&QD-$Zt?hN=>soPlr|e1tutT~79qh@2b{w4B-Go;* z9-aLFiHNVP+}d5!cuxBYU;WLs1y2@mHXi_-&1G@{XY(t+>!x{{uce9m*Xk2{MXyzl z`}p6Vp*~j`EhRfduTH~l4_SjQp$v;}oV2FGRkp=%d?J5yL4VR`*L=vx#FvO;1Z~-E zMZ%R;E9KbD-LsBY8j|mkIBI{*&!ms_Wy$}Ov<>DC%C>Mo*=Fb^Lkb}K`O21ncqb1sZ#^Ip_xBRF^F%8I^YgoX z)q2Vp)rM`y@=BxxWm6~;=PSnYm$96?^aDTRg0^3G2LXUIy z?wZ_IKesNmI2W{;TPP=(u=Sg8#y}2t8rO3zTT@)VQl{0%bOPHr?myj%@g90TEgQQb zTY}z$KWk~Gh}=`q*W*!eqqD((x5ULamql0$GWKOck!hml1-xVz(6*>)fp=Z%iz$p0 z0h17XztD2STE+WF``z%<4>k=@uAf{WJx_dht^Lx zq&^KE*+%_je3zkQu$%)2;)-lq6AKQctEr`XU?f{2&+g7@FN;FuBtf|mb~>ZW@qRj} zbOnYp3yEyGApR=(MULogL!>e@MIZQ`Ep7FK%D`PTeYm&fVv%xt^iCs=3k#0ugUXm0 z&7r*_9Tb7N>k11wWwz)RuQuFg_#HZxPI*A&g~xd{TYGphJhNpwu&KTxrd_#gR-01; z5BSalPZ3(CJjms)$Dxiwkek`M;9tcf3u>$p$GerjkJX3@HpD&)Uaz)X7_2 zS@LH3vb*S7*s7!2o$8RubWs)<-|9o(i%4d}t(A4>Y>$Pts3q@vzBP+~dKV)E$=u05 z@TFV_a#iZ?%mLhzV^92>9?&QP&@+Z}yYfrL)yu{=b883f{5Bi5P7&6FwdSuI$o)Av4eCVx-d(78?c?A>O;Lmn0Vg(vN zpFfpqYkF_2*#B_n+FUWxF3$V58m%!Y4z1C(g(Zl=eJAXqfJ6*u)H&@KPG?Xx@}8lg z1zL058{>gywm^D{u_SY0)fnPK4sDh!p0k1iuG9-JPR@UTGAwIgv3AsBSjUfA!d4hl zH5>x*J9uDyfcV; z9?z3lw0Knwq>GhL52A;8kqysC!)uQ;+ZA@|pQ;O~$+AoC*^>pvMij!F7P}t(f$H5| zGRG&}5+rZD)Ru2)T6OeOBb@6A*u=G}`QqKk^?M^;CG?nUtr0NIRngt_wA*veR~<|z zNRQe2P{L!?>c_P#`BKgJbX>}Ow9G6sG~E~IyL$M>NKyP8CFqR72^#RYWjySuR4nU6 zqM*P+srrRs+w` zeQLtPhV39No z*H=-(1qF0c=g&_X` zq09~t74-+^_OVV!L@dwtaL322&xSP#GA6L5vNV9WI-XFnIhXfA8m2w1i#S?|@AZiG zC+<-mJrA7M6_kG;C(*?qFZBd7d!}=;aR&$FT&Av>@Weo;#l@UQZAK}SUbS^8=K1TW zZW+{jlBnus|H^s#|I^RF$q+iVi8301pCPsJ_D14D$S2j#l10iP=Yx3!uc~@dnnRRB z6w8nW(11V?gc~9PlmWlaTfna~1}KXIGQq%7jSz4t{Q>%EQ<}e>n})}GNT3I&Yjzc* z9BEuMi;j{EHR=Is(4^;%ebePWY^cxTu4p^%&1CYKS9W z!d7r)`a)} zj}gTXD^~jV+}+pevQ$unZPh)>y9>+~si4FC+l*+4`O@vx5XRD$lC`6+f`H}o)O5qv98~wv?01X%i zhs-3{BVI~mxe?j|LvVBMF7c}3h<^F9n3C$uB-7JLWiNEs?l)mM!Tbllk~;omr9&0o zX6h}iiuq&8X(_mZc5@V4}uytdjDZw}6@c2W%bxcoE}+&SG{O95Oe= z_q9Q19QKxQxw*)fxu_CcGC|rQ<(Lz93PMv)=Lv17?L6m^2w5JooxUAicyyhiZL@2?F>-d3FJ zJ)NjbI{XPzkKAMn5!qYhx;>+m z!l_Zed4vGWDO}_LZY}3_Y4-Nm@9Mm6+EMCDg;Ih5{Q8NjB1ZL7=`HP-Z9Ww4;zsuO za4JLogoEUd-}>K5uQmICMMle^er#5a@bA0fd63~;&nyfl>Yh?j+dF*ypDbUgz8C3{ zDo8$JtE zdQR!gKcw9rJcP=RMeT&U+82he;jCnfutY0y%_#8Yhgi6le$mH|u;+Mj`ob?71o@YZ z2mke>;~$NlU*G=*BMAGi01J@G3%9;+SZG;yr~zfNQIuHG#qj5nj2X7 z-;>vQjfH`JatnyeK9~34;?KF`9kMoSw1?cHbu7V=oFPknrMoCEF&k{XGUKJEBlqh# zkhn$Zbsb|$(HO_mJZUIVtPw`MkM_7k)ZySwSTF|B)GSO-=72Q5%4b<|3|v_VEEn_& zv>vt8i&in$!?3>3Wx-E0v3mr|3=H=o+ynf2#9Fh!9$c-J+2vJj&#{#V&!(%Z1FXd*iJm< zWEi)B!r&RD2_0X8Hd0$ZuJTyO6O*OUT4DDk$xW31wl*Ex;vFAGVZei1!ig^%6q82g$X<)1vb__W45PomQ4l2TtwNTqksB zNHg!`cEu2!YeA+Vfzx8UJjuFpT6IqFbBl|R)kt#D_;rG}QUd$Cxyw7~-KN;^MnC8~ z6O{rpB(c#$^AxdVTZFDI7vpVnFaBy#e-J?nTX_|({e%?T{#;hYhNe?ZYuKxJW)*G) zHlz|-%BsHQLWsC-#eZC%e=mIDzv{dHpT!XWv(=(AE`X#lZ8xIP?L%Dl83U>_yqwjo z*o^F-LqpImUjsn!^#f8N|8vJH&HcTXv>ALiS$a){b*1|(>fa0)by-=Z`K^DaC&Sp~ z8+7@0;J$IBe-zD_roJkywz+q$3`YLoWem~R*biXVYvwdvWBWOZiS5)tSr>cku?Ieq z_l(jqY`;91Vz{6Ty0R(8ot8d*nyj1lc*u7vTQ}KqXh07wMo*S2LQR1$&n!47xwT=YE>{xd6ZB_bPLimI1yE`VR%wDYvY?0SLhIDVe7Cl0)$BkId4zH=-IQEL_ zI^3^=SR6CUdAZ%rDxcI#mb+btJ)*){Nx~>c!TEI`1WHl``JY_TcxP{#;2G?jR<_FR zM(}#mzl&VcpUwCtON;KGbW2H;z7MSnGa%b>SlAdv?p>qBwY7E`#i%Ic$W)(xJWu5O+6?S_ShIuB| z)ol;>JB5Z*nn&DK)nr^*qSuV>4Xao^Gw6=DM`PHl9PNh`L4qETt+F z%>%$v0|p4|FR(ORQ-nSQo1w5O(;e3GeK&inBW+}(R&mY1{7KWCClis?o9lvVT#8AD zH+QgZwS<0d6^!`WYE`&eV|)2c+0yTcaC#N(<0A&wvKINrPAMeje2;ntP7DHFWNCK0 zl{|xr-F95dukOD0OE|Nh{)MJh;5Pv@VA`kc{{#1Kzrj8Y_kY+)=bzg1cTQZhE)Mu^ za6<>s6~`(yFzmTjQ{2QpFooSt$+S$4cQeukC_4evRVv5n$mTK}R?ych1NL}mN={ZZ zR-e+0=5iQzxSvlYlYlKB1P6pHJ-6z4wh23`V$rYnvmS!7y);UwEX* zD2fGa&VH%b!1qJ^CrqhF-M3z!Io3_drMCNSr_?xClr$;$-TE$g&}Wbg>r^^=N7}3Syb`g-ctkcKP?-HU@VMX@H=#?pTuTb$LwHTGhJ4+ zQAUJ%)B%X?-i95(NhJ^77&16vU)Gx!g21q4hUnoY z=EXqFy+I%)md2`c^<#{SD$B9DEtj)OC>P6a->jtYfPf0+%EH}me2VByuMG~jeDz`_ zR0=oJrHU;|e@y(ijNRHe!+E3<`Ra9rrh<&;tu7_dLUm}#^UcqcbPfkXu&W(XaWH;w z;Icg_m&D}bL+Yo=@-K}oqVNxu?j-J93~kd9A_9-p4`K(t6|X)lw>>>-CmZ8x`uwHI z)i~zboOH<@*aUQlkuALngPaw-DNt6Yoy-csT7$Me`vH=$)L7H3|$X_mrDBK%Yyx zuo>yc_tnaPAX6GT%@)P{)&{6NJo?e&@P#`nq&UpViZsf}3EH7O0%(7g3(AyanSi)= z>8kyc&^!V1S>O=mCx(OJPu%2j6nw(+nDRnBuALaJ{^0W@)=txfhc^6g-LE&Z=WbGmx?EqIJochDBjHah+1 z?=7=y6>i(Kpty8Kj^|CQu7?tr$^-1Q1FItZu66A;e4VVvkw?O8PlpEvV(XY1K_j}5{8M#Nxm zctR-QyyE#0Qbhbdb=B3=_?K$>c8P0E=U%9`C;d3O5;J`>@Xe;3qeH`tIt}B=w-O3I z`F3Ke;TZ)#LAJA-w2oh|6eDQ$@A%Niy}5M%m@n^9+FHuEf)uEXm)0 zw5ky^v@g3z7{C}o&OTn!=VqXn;6|fL18xk#e(;+8a|!)Xx>WU&c!fN<2M=CA$Z&1z z@|BFJ=L;gK=X|ct(v(~hTBos8Ujajp1@b1|#SL>vSjsC2UYqIPc)U(~((HUvqMlCL zR9{jIAJrawx9CFrs!+A8%$`CHvD>db2a^gr_gbo50!yul_bf%|R(nKmiVPASekdO< zVSWSdp%|oKGOi!!F0V?Hz+2WQJgy>_DI%|sl`WA`d*)dK=39@shFiP?n|x!$0?r?um43ndMo%Yc#h(9T>Wds0;+X zzid^HgYZS{>6FSH_WD$|NnX+D0O~qXoB!5+?%&x1{*(7mSH-lg0Ni6Y;Xq4|daV8u zo#_WeXKn>e*{R6YA}_XFcwg~P&?RuhZ+ zt)W%LZ$0`mXoNNVGzz&+R_Bvq3EkKtF<-pz;TrYA%6yKcV)}A_>8OiqVT%JPiPv#x zWEU?~L6%YREUv_hf9A~#E^@1)-CR2d~LD$q>%3_bm0agN>!Pav&w=mo<9G` zRL89y;e}?({|3J%>VDPl?9?WXJhMX!0gg&~t6tEiYHx!G&6qRn&Hy}yB;Hi5&(ez$ zfTQLR;Har)Cw*=H%k-T36BHJ|5Ohi1EDQ*SDN3IMrtC^R6v<>L**gi2JR@aMr5%U- z5Sxrzrbu)~{M?jZTmo_+55VvM>{5g>b0jMZmlV0l-mRkMn$s*i!AZ))^#tN32I?}{ zJ^3iY3P+x~C(E(G6RkaMg8q7<$D5EJodGgUN&MkjJ-<7+^&E7<_JZpCg-gJIrNiu# zy2YC3PmsyFYAsFBc)d#;e*+z&l?QgSvln(ey)(I|P_%_<6guT#@FARkkiSW8S){l9 zmtQpCi25jfetrC!Ye`jXX20epU3><1=4q%T5E_%!DRU6=g#JE?6f1&Z{W-jG#QX>A z1Shw^4}-QQriQ1QvufX6AG4BUf#`(%MdF|P1t_l@#xad0?b<6D@_!9ug~)sE!M~;J ztkba<(+p{_)saoCX<#9RYTAuxU(Mm&-iyO@*)h&)jq)nJ$^UA|QtOdFYoF9wEFez0 z#LO?Qj5$G_s)y5HA^zm^h7lz}L|g6d913*_R?$wx0K4&pAzGC4;)w4Lom36fifWZb zmKY&ScQ&j8=O7o?@jbOs!xeAKB2Qs(TMqG!Vtb?YASRbU5}->K?_{$A7r(3f+c`)* zqt@BAz4^pUhh_5aEj@RFot$$+p!4GpoCYBPeMPnTPkYm~L*#=fw@g&(___U4)K}Cv zpn-XWnhoMQn?cqFN|_dmbel@01ephQTHLgp#$PyJ5hT{4(w%1E(&4=4qa|_0566@^ zM5e{*r^xJ)#NhkFQjfXE$DcY({FFc`!3ykZ9~?>(TKHTL{+e5Uf~ci zu~GK={Pj&PP#eXeZPl&4fVforNWhy1VVNYmSXVj+Is#eb>C3QXWVSqF5e zwiBKkQjU?W^tyf6^sy7+R6woQX=mrRtLt(fDc7AY{v(UYm@CcPsEFoOD=(Tf>yMw# z`vQ;BHQ;ekw)t@0V`kLX5edoir@Yo~7WTK#DHbm57}NHz3N8t65GOg)?1PfxUrbqR zUT5XjAJB}k4L&h1qo%(fcTUo4d#SC9qNyL@7MkfGDK%`g`kW|pTa71>k)L!3geCeXU zhuFK)YNt6rpMu#|TXFl5*?7BOWLfb||I|6@H`4$3Yi0iy?hpUXf%bpxHUFc$&S44Q z*owKaTPKOGIO=F}2h5d~;tYR4pUSLFZHXTL5;(U0E$%_AeiUhliaLS5fiZV~8F^T0 zyCqGs>{TNfV`zjt1qBubMx4E7!8m7*(t%lI-Lw~}%7qi7r%ASh7pL_dtTS09CZk4- zue12t3TCNm#97ojic+yRv(o4X5+iSOW6D&Ildr0Z7oLwn6&<+iu6&3TAg4|&f{*dB zH9O-J7jB>J=(~FFDaCE1E{)xeZcFjF?=Wvg0C$uas-ozU+>C1xfSJ-y>{jUc*`#Z~ z#l}^?d|Ij>?*_g9`ex5J;cLXg+-$znDebo31;x<1$-NHEm=~KY$eOu{R@ha=d6xUW zJ0rGO35;8Nd&kipzKt5FI1;CNzXXLP`MoD%v(OyebuPuyRMpx?Re>uv9&HLyp$2%J z!zM9yTpPO^jc+)_RYzY;9CO{;(vK>Dh}*0_s(mE;K#r-#-5h&awA0$3?ib-}K@igE zhWg~qM;QTTj;rA$Q^TX6!~qFGk;P(hm`E=Vmp0(r6-EV1KMAXBd70lFR<$Qg9Q(+V zy#ze)1Ahdo>$bGO{GdqVCt_OkqBDo+0K74B6y_28E2|w z)Jt7Ut8_VORkA_qTQE^$)-}onCslku1kqoHn8wJ947$kK3B24!IF9HApdNCynsgA# zgh^X2&2#2^%`YV(E*+X8B2N6kUP=)fpXN_(-@f5)V6{&jl#YN>ps}b)0iOWEo)Ts8+e7$>+@5#|8Yoq(mHaTj}Sux_5=txXU*CzXFYUUGB zP10ft#vY5@4@5VPUQmZ%QJJRhOZ6`87p@gtg>0T3&dG4>ya(tfv%{&(i*G3G;`6KEu9Y z0zGV(%cTa7Xz`po*J7jS_tJ=r1~+++uow9Vk7qFLhrh@Ot*7}(Kg|g8)x8?h<1WT? z8!#~)x_&6k)52i}9n5N061X?y5>RN2{A^-gqq;e2%5NPO6EKGvXpYaP_Q(#8huDle z7Ywel5vJ7ka`O=y75wVPQIDeoE@oH6iVK8h9LTChAA<3hwPERdGWF@|Fj?Gc2fmkd zD;J-ND<$oD+SBPmc~0OeRd;^4soripYO7;Pa*w4^?;{qyKr)V^(}jU?1!xHD*;=%w zZJL<9n9Jq{#OG!6vgz(}O4p~*mTXt%jgRQL={io60vo@(BGZ+`NSVT@!n(ENN2>IMy79e*L=K^l;m-sJBH9Mv5-DVmzZ_KmT`UK z5{E*PN;%-Bp)kuUDtZ zOGZ&A)kSuQrZINsY7?Bf$ybajAsV;8MGjvaDTBndgO$T*)ZKRU1a~I0#rIbe zUNF`x?X4JFCK1c$s98#Id=LRXUg%HU2D{R-oW%C7B83>&6*>e(^1eXrJVhW=f9KV*cRlzM8S8c!S zWUg#7fzF+d7Bx|6e34JJV#T}qu4G@CmTa;I78C#BD;%)`Pg8(`WxB7VRvzlsAH9Kt zm+9B-$q z9)c`-t)&4n*=6<$=0lMmamCGgIF?Q3A}T^{c~VJ9+vk>CX4!nv_H=&Er@`r^SdvG1 zT1INCu4Yis9Kg*ko%O+ZGiNG&CGcs8bahJ+H`7%cUy%=;Fkk@1^VPM!W}QEIcn137+XmY(EAB`8S7to5opFEZj|pD)UsocvmbZfjf%**E|5hD zLPZv{K@GlwA}0b&5S4ufrH+z*(iJI4g7KE%^9N5~U-d$Invj+IFv524R{i zRN`Z654Ni=e@hhX)$ z)Mm%-AxsQ;viE)BTv&88U1JTV>IF6fdqxchd$20!JzuZyH(43=v#(PF5;=O`X^-S< zbz2PX+y#5ELKqT_?vMxj`gtr>H{&*yx3V2@BF4A9Tpxc&%A0FO=CGXVn0BmdWUefy zkM3i>cPai+s~UtIa;4D(L3xK-_uJyj+{lDs%?lEAB(7~VW#=|GwvFvtV`K|O9F7q< z(C4WUFB(2lMsQs3wSnnAs*V=o9uxs%&97xIe`mMNv?x9nFy*RD{se8)xd4Gfh=vL? z)YG=`oYQ-w(#HmslU+YShs;|)L8k-_0P8W>SQqd-XE5tfzrwc7x#XO9M;9W!6m+Q~ z*dG)@chGjjoSkEwoxIdvVY*~A7oFL>1A`( zM07yJTIyV93a`3J${&XLSh@vgW};6@9E6%$6>do3ma@Ls`DJD&Jbj|c})B9MF9$^j6lx-ewvef- zvUR4kKtMdiJUc%4o>+!P{CPuce!2?-S`UIh`~|v2PJ6|?z4NTM{<)rfO(P1C^xZ(P zAVI?30eAbA7o+2MVd@aKII)q-0xfv)IM-}aeO1$}Q|)lnix&mRLXU6B6S~ngu70!r zDof{vwan*HQi-Le-i95z>1c%}D$FzS0_{v}i8O6OPkQ<8nAd&)EYZbVmk;(azC)37 zJV;W+!Fv2Q(kSpJ=zZ``%o#)81djx7{`ufs$Jd(2r<9J#B+zDlB*mnUqY;`>R%up% z-9TLvcWvd5_yuuh*rS;XnAgOFJoa@k{*QMF@V7*mqFww5*c&Ug6!XRuAG33s-Eowz z*h`9$T=Gf}eBHfMAmi%C$jQ`hg`kCWB$Vdi2b@#=m+vj-a~?@8cz2U?Y`SHS_j>nt zk{x-A>oyK^PIaGFeUf!gqtXxYq|mmIP&xz=Nc(-r?{W%oT2`?UsA%6Z97XgfjXl=r z@G1UL`4gm7U+%qa@Pn3om`l;dJ;QIy67o1^i7j8e#u-PHEq`;oS0+|uFtgEVz@E$3 z=?q#tq#8#pi2J-m-oJU!xPr^qUws^!cQR^z=pBAJsagl6yt7v+AQzWG(k>E(#$JB7 z|3L^-{A6Kae4b$Ia8o#0-_Fpz=~c-c6@H^$h8_y?1PTK+>>fs_^Bm`=?_^zK;rYvc zKC2_UFM_9LZogyF#}E@v8&sk{+A^W*xFL|M%ihx%b{DPtG2_FlDv{)rCBn4rs$BWF z%SU90cuOOf65qda$Mke$FVE2s`Ko;W-2u73g!67O2V7|mVX|d8b5cLx+GyLRrLsiT zuLN%PP=GKQ4uSzHH_)p~Nj+%HRWs1q^xnk<6UGp>Da zM{D*bYe_MYxa2J-7N-$8&FkZeps28d{c?!XgV+>;oGf``)})&w`xEw3 zZHqXiEnNx6w|qY+1)k_|?=9VFJMN>mq9-^9(ZyQYrgyfz5tP1XXfLx<$>Tx6!zBUt zO5VZVY|x=6OstC`64S1lD>h64Ys{9Jz)kG8TIcF@9~jn|_&$Q_c~*Gtd~4a$*<%@p zPaCezEgb#XfJH z9I@lb68Ax@5b@`$abo)%_Ma~UW-G#GPfN2+^Gjv~XNE25n5Z%0Md&;p(;1Hk;S$uH zS9QX!`U&bfU*$`%Mbj(N?X!xOuWOi=DM=EwUjE2#^@X4CX6Ya4*|ORc2JGHU!KEcl zE~5(jAku`;05Lup)9KES+uPK+apeI91>5G?K5BRt3VzK4%*3QJf83 zXSnFXORn~P6&`nm?qaEa8r^ZCKPU{rQY0O|?#^7aROR7CL7NEt;x?vJy? z#O0oT1urw=1M6ea!6W0yiGO^~R`t~{<#rAeKkO8MUT|C8OtxIjo5Y(@MWk$u76NXllBWf>ka#}b+Fo5RnN}mBNsAA zKa1Kb`gd9^(=2<=c0TtdM2(@r-cJykt;gosSckxySvI9g9U4v)m!4zd$W|c|dSb;x zLI<>KJA?|4tpRc}WJTEZm=$%K{-aTsp4YQ8O0tMus49z)mEY8QEIN4M-S^Cf9!8iN z|MN}VGs@yv=(`=(X|AHTr`~FqjDTbt{7|lxk~N0Qz3tA_kNQ)+k@M(@@dv0u(m|?k zpoivP!8q`w>5Zp0C0fxMYqng1BWGToFprAurZ1|B znSWbuF)Dy8V@f{-KMTr5w1bjN`DWEX8e`ch>2y)tjvh>-9xV)D#^(?r2(fLFWvccO zbh4qRr`va>?uzdG36DEomeDsclh!omx|&WZ<@&R`^odgo_rq7LX-cotGkw?KbWsc; zHpi;?gG>63Yx3$+3Qv-v<(0#*lE<=d6Sa@jK960lD6Bm5R4HmQF=&NjeA3pm%XGrb zDy}@Ud+0u?QYKtxFLO-G-`WgoPE*-G={z(t>33Wc9hd(qG7mxL^byySS}UArR$$4^ z-Jsi#Q)Yxu%*Z(jyv<>DHkgT9eJtU-^httwsSM2eCEYQHrf?;F8-j8WYL!iP9y-E0 zdZcGNgX3_J?Xy%QMU&GZrWabp2!m`K%d#~^&##NW(puw-tANHii(5xGzTD6%v8FO; zHM%KdwV&Pb^RYVf--ksUo9#4&off)C=(GHa_yXA??GC36P z!`V#l$SwGgAaz}^w!kw2-spkOaWm)Y%x+@J@3lO1*P!=df&p^KkDlItCoEPU#n~Nq z60Kv!RP9^nW$pK)4P(%~Oift?Sy#Ee=bq%W^4?ncfNQ*~waK(NZ^pJlLRGM~SHRV$ z6z*mDP+Warl%+8i z%u!WXRk1y8hyx;hzHNUoCXKuIp=H0r;nkJl%(qFG8oqahJ`{b_uanTxI`79kkavwC zEftAkR^XMqWwhW55SGcriFqz*Nk%v&4&k9S1LSwEPbJe^^2L-STmHPO^^|F!YQPZ$d&Q)e&+-Sro_YC zfLTmFdGX!T@&Rl41FpG30fcq*Pf+a+6%|)oZC=_dV)Uc?$;rv*6WUoq`MCAU16v+t zfl9}w;lwm7xoXfPr4}DZ#ZQojaLw@%_qmf9YUWDeTG=B&ychWs^Z?I^HPG$MN3=`y zGTJ(>xV3&iu0$wV;Q3quQ$f2AWEw?da_Kd?{u7H_jufK(5Ry~*K{l05_PKq?pK#Zr=4!V^56sFa};_e z|NUUzOj>)RE=Wb5w^!F?3etZ-BR`xEyH}R-DH<#J7wc>Gt{gvtXbJlwyL9$Jfh|1S zr!ZAKc^Kxi+cA!yPbTn|hO#*^4IjV7ibt)a>zW2kYEte|hB8v`bt1_q2Dp4Ne>? zJU5hi6x?~1a!)Lia0YjUXBXN=Iv+}_pmML_` zJ5;z;Xn!>rp*f@aQIWtb)xYirK!(hx%p{9Ln$4=5G|%*eUE5tg<6V91ZkwUY5+jNs zD#7NqWr9I=Ro(5~0oO4+d$+qHTG3^=_UTH@M?p22a>|6~vXTf@Cm{?Md%fV1Vzp(Jv4k(<6j>~AD(qrq>^J+S?f|l`Jq@HEQsxQoH-pbFw&J9I1!dwk)6MG%4 zQnvNp`du!wb2n~2z5C<*;))l-;mwa7)ItQQ&=z-E_1>=vBbqaRf=ahwyVvlhD?K+k ze}9)$MpkC92htwwB)gCsUxw3IW-`5*Tx(*7 z?C%!e|H^f|S9ekRb=QgSQCXb@R^p&y#U)#w2eTk~TTOW@utOGNPc78sjj4~WIfe&i zSTp8&2WgE2QvU>*%L#rQn*heUtS|%*e$NdifqA@T!kuPCnpK3De#}eKtU`1{Y+gRf z3K4mbWV@oc6srPnMALcP%ak2bS>ZF`lu_oiHehdFYEIn;q)56nd`YfeEU)vwr;p0-C1ZHxau5*4ZIVy5F4{Qo5z=)oY$n|0su6fz z%BRE%!dDt_md2Ifk*rJheyazA;7t#Is0n|T-(iQOh>03g+zn2cW-lXe7k)=jpf|tb zjTPE4XFmt2IyU1Os6C;j>YFnQYmwU<(p#POZxaIicdKkJ|2yMu|619U%1^Y*I*KW>SKXKF5&00HW~f>`voRXauOIfaLWe%|ZMPf%Ju9 z#I;?XxA51ElKzi8vAjNT3!^zLyZHh@u<5WuS-)+VNXVn0Ey zbk|Y;pkU%wxK>@zK6cO{K)7HtzC(9?>z}wOz_Zu}DC-`8rO95&SN$Qa#)Bf92c$T@ zAN>TSLm+jf>s&m4gi;-KQ0%p%yzk>22}EApxB;>(^%6# zq%+N~8C!V)7LN6wpohTY#{!$W|A*BgG!!Q7Jbz#J(to2BfOq}lk}F4|rT$QZ4{q}H zeqWcYWPjSg3V&9rXNtxNA}jwVC>V&+P9)f&Z23c4L}d@>yz;VdX=JNw&Z_yKPLuWY znXK!lFBDjep@_?{-C= z%CY}5LZttbD*9j6dsUM=0(p{zwQ$k0O`<8-`mGhI|jmW|7^xA{G)k->@?PTJ)X_yqdEEV3uX`=R4;3uE`BisCc$wb4XkkQ_YHEej)b)^c5Wsw zrH`%#Z$%Ho_p4$Y3JCib+hDv@%>#UwWHEmCMZ;h&*~Bq~y`tzWx~<^~(aTQ`D4)G4 z%lmQ07xwZC+OoX-`gv+}_J_m)|C49MRO(8aLCisY>-{=CN4RPu`8-K&tFDIZDyrgN z$qwGT&*N@+-3zU2uI_#%>(SMp7CVID|3&$9@CmwB2QBUaK~$Z+q#@M}t8#ST^0&KJL4uRCNpOiJ0#$OYhOG!T&JsSHAhldPX2ov45 zHI^d+8LTE8Kh@}O?8g0&JVm)HMd7V)=cQ?fxMq3iew9ybs9mUn9DGB^=Ztf^b*t+` zDx#NLzs4t^JItRf&)X_yg0#y-ZnQt`d83oi(qm`Exxh`L+~zt&@Jbl*jhA{;s7|Qq z2*c6di?E7a&JK(#hufhb@&t*o2QgNI^K**DPVX$$%li2!y+zrfbOL}icmriUV|mT1 zfW~ZCyPl2&4)jMsF5fkD+*@QObv{KOwwnpfJquP&wR!>Qp(Wtfd}8nWOhO-mhM`k> ziN5)JqJm|os*|!kDzgnSIfN1Ni^Y9`q^C8UeEht#u<%#xAoK?=Nj_c95(>*MwjWjV za)>$$`z=eTCu{Ttzt;8!>zA@koIc&fLlv~k$^#*%cLb99bui8;bnd(sOKHkc0=UgwfM&V3;SlkZ^sR7fzZQ9FE~= zw%$WS(uZnsauhWdj4Ds61bFN?ao1Z8VQz5y)Qvz#d<(`e%X~a)f4mB6AKv%6!IVDK zbwV*Tf$!_qOdS8%J8o>gQo_Mw=`vne*#AuXVS+XS^?rHi-drjbmwCt+su^@fR3-H8 z&MvIV?h-hZ`;n&Ezvw51Re`crQi3}*ls~xf{sarTzdJeLCG?MhtaoKTUN$$zfitOzIPg z9YZPR*0JLuOv4L3iz{;rupRd^LAGp^P~$TUvTKGq#t|_09;$yul&Z zVSCAquwMQK*)~KPggES-AG1a;^zk@U87jgPhU zl*H`SA#c{T1y##YG_pBbse&aM2QjCoS;qd+{LLBYAaTz?Er+SmF(-Yhy^zOR%GC0D z;-f=a{qVf(t0T6iRD%(oCH98iOIOj4z!9oK8k(Vcq>dy)bM3AE#K@^lG=&_a<$D@lfEU%t1o*8l~{+g`f!hPzMI2|RG$*^z-B(6Idy%?`Fdi|tI-pw81m6F@U zJ+KLHiyvRvi; zO~UMY&L>|$<7rk;UwPsJM}85IUOJAy>>?eGt#9G%Y|X~$bE8g|qPPB^G&S&i-uF7I zIb({uO+W)5+J-E5_*U$!-h79K3^&6S=Ph47yI20zbtdtP$byUZzRPJTyXkg@(jHPR zeY3f_&l}s%rc-?;*;cS}z_tcH?&Fgc7CS>Wk!WJ5$P7#MVvLKCRDjBQl^P)Ibj1R` zBDwdPhibc_aW`)p8`06xCyCSVCT%p)=2*T*Iyq54&MvllJ+x{(6y3H`a88AqZ#z~j zx77?&u8BQXR`pM|KYWxgLt?Lt^CJbY3c}10*HAG(6V1Ur&DPPKwRta#)tfxYav>*^ z{$6rX+V^G9&T~DKvU*(5PB$Q2lHPWJrwt!z7GJZi;WHg@xkE^ds}D)IMf`{(iBGgN zo9b-15WiIXq5!oMxK#RLFER|*Y3ccVb6&=U{TU4xFnuMPwe1(aHL<5mtsMy9>vW=< z?g{_?0%8HGh$$5A9%`IUnT*yBuk5rgyd0qe$xSF&EYPxu8-pS2(JP)7ID9lj{x!u_-XdIEiz3 znk!F^b=w^K3wLU()BD#h0#-e%?6=EjPq&*)k>dRIm1YJ9d*O9pW&67-num^yUB`z^ z4wkn>br^GLHY*BIwFTZV^^ubnnY%JHIv_~TDu8khSLi^;1cfNkTEf+UWfsT;^ zdD3(e{f8#PjwDy#5TTRe;z%b)iTk;N<+;!SQ?|uu)w07LJw9cebPD zx=>&a6CR@Vb3A{5>#x>+ zOsnx47B0xjn6`oYHxJ~dXqTr||2}7&i(zh_M>76pY4WDfCp)2fqW-t=RIezZesJh;##39UoO8KV1>KiXyhGcF9C$%&g4Y+{7Cv`L}?*><{V{IMAuj|IzfEqV+^@| zWBU^npvREkFWOa<3A;MI9wcA9uolr7g`Axj@_haAv62VH&2yt{cEN7~_@w9GWDuNA zPD|H`9tHNo`>wjFyxc}9hWv+hBWm^5)Q7_yglpo3CCu_0cJwrBM;zw%G#ld(vx>J@ zL?|S&8cWl$B9G(m88ss$kM$nX&7-sTPEu&1(GX}L8t18-lg=Zais{rfWtSA!&d^92 zXbQ!S6`gr5DoT5mY;`;DVed`&%K?IPF$^6Vakod;M#s;{!&SmxE%3hRz`zGxPRg`@ zd3jR+gPxh})L-rmz+_8aY?SlVr|#MB)=l)f?pe19y)9aQ;~ z7m9vTLRdPI>qO-$Kn{F7U*F-jf{nokPf@H7am87oUY}1deyzi`ZF*U72IE&LF8*QE zpK7WnW3-t(H#5jl2zRodmo0BTa16CBD|+uyYNX)b?UZ7ayBy++-m{Qsl=^iu{TU@s zdzSLViz@oj72ATB$xNO2#>rz4(K2DfXOpeOqv2H_XXQv*qM<9#g(0jjg6r4Oohh(h z$E>GEJuet>PFCLWYD+gOUb2!9#7xO$NkzaXPB)XTGj>Wwrsj}lPY(^g)yl^WLrmpY zMHnUby~{}Y{dsDP2tYroxdXj1x}_>r0N1neu}ML1`78q&?hTQJFaNGmubu9IbK?5R;_?ya{UE!~H%ifm2AO5F zQZL1f7tn&U^_XG5#03BVXUU-AJm@Rqnm!AvFH0<$WaZNbD{B9C_xfH|G>JJp@Gt!e zfN9KLvpz?5!qEeXZPi%Uq&TTj8-^z`odDxyxVgBMP}#uwBXN68FitIGWDT!h-?4lx z+Rt{_GFm^APcep%LUADkn?4%SXrh36D1Pwq3*dOF#c!vPbK?2z%e&dCGo74UPhuN` z1X7S3A^-y&NowVJyet3_Cf>A^Z!}rw0P_iWM0O3_wF8eFQ5hc1b4 zHDIXwdA4dPXPOpZ&5{V^KTVELgH>@pPo(M1@BApo(wOoHRrMB()vd=*r(M zHO_dB^`&XFzLb*zPS(A{u*A`btJ1bMS7?Soyyh z+%?%tNwB{N0z;~+(0=0;F@lK-yC)l`+oaZi%!UYXgPWfTkY`hEy_z6hwDxWf3(FsR zbW1yd_u9;l@nd-fLx*nTfw_I!rCe(mHLr3S2T9+z$wqWra49AkMu^YONa3FqO1EFu zO!E`;IOsY(<0t5CG3B{UQL^v6sa);G0dh}m7B`#~hrIWpq*GO`ibB&!VGDOw6FCaE z>ENj;RrFGaVr`>|rfuc;O4A`~U`?E``NbX$zC3|QH$~-S`~Sz@SHM-3=I>u51p(=9 z6ltV8R7wP-8>FSX<042%3J54t64D@2(%m9TD&386$qQUA|Kt33_P66W&d%&IGrJ4( zae%|Q=bSgr`+np3K2Np4``!B+^hx96T(tx5#3@aR+Mc}3VC1 z1Un`(s+s_V1m|I0NCC%Cnu`Fx z3t)lXwzPw@MM2dju}(p0VsLr+Q&8J*7}3pwwRlmFrQYB?X?LnhTFMsl8yj}65wVhgt~fZe zvvIW@dce7KiP#ZML>!R2BXA37SjIjD#j1cI&fuRs%d4cV?U=-*SoKlnmwj{Ni^+HB zvq?iUV`Z6h*h0HbL3lobs4%8YRPcMCe(LI%QqDESR?$oEy(K*pJlldtZE>&THYC#; zO3+_oMi`0!Jk0O3bg1@@?vrHC1bu^(s{D4zF8NtYJ?o9~1E5+tC^fj2Bn|4i_j%U~ zyHr=$>?&ALx;y3~u^C-n=&}{CynJsi73AFx)N)eI=Y`Ft!Y2*YH7)y>^0gg(##K&1 z<3XuGWn*DBR;_L$_Gl^l8{RLwV<7aFdF~=yH37ZS5DdB6;aYy@HMF70*;yPKYnxlR zC}z~rZ!qgnujYKWJ;R|z6l!W!3odrAt8MmS5o+E{g`}&Q#bi3;>L2JE7M30-sQc1D zuJo3_EH$)wxe~vVIl!$!YwUVANKL8CM(-noFhn~=V8E8ghme`f9chJUq9G+S=fag} ztw&w+hMq13eLtNlKu8O{rfF&y6>u?g8=%#`Q&?FfQu2=LRcggkbAUM3Fh{6;(v>Sc zdAHF=Heqsb1`Az-e{fkczBd)pAHFKfTnbS|wjg(`ny4|I-Vg^N8aSeu?uQ|F4YWD>iuLO=!sMCsX~H8Qo;_5D0PS7;LM z_RmMhkR+vd$FPm)jZg13by&vUeBP>Ykn5DMEs^;to#UAFPH7i2<@)qJghAx_rPSMR zzdvWPHtZ*RCAw`zR#@?*Eg3Hse!;a4i{vPPuL);z(XcDtXr ztxm3Xs2Z6ZixwR);yjFTmAOkz(@6LE$j4F+l3yIj{n2?h67EAMJHf2Tkgy*V?H~OZ zYgmZS9LXV4aA@=U136)|)g$kDl5%`fKS2Px~(_D)hKN1>qi$W2V&;Y|*M4 zoFCZ>yT4JCR_<RL9CU}a#XsyBH+W6YtzhF23AubarMKU}UhvFX!` zjc!4t&L>z=SU~1HSk6eWAVd5llz+sMO;H&|mY+P;vIT0GC9t!{_(^~GqIr3L^T|*p zXDJaygd}tLfU;c7yH7*u@ zb$=>j-IXJs{(5E%356<(BZ$Svo_}dHcO}K4YEa*c{q>?Z-LrthRUC0|>}nyK{XWmd z@854Nj)h5aD!yUD0QRgs{vyM1m(>Z7pzcBZ$(!mjuso`l685qsd*$&V;o~I}uV*Fk zEFSbJnIk~z_Io3%JyfjldLdWa#v}g}w6Qo;f}h_JUqqXkkfZ`z#kJ(s9*&yTIWf5P zDoia(DH1>NBHJxiJSj9}StKCRPMKZ|m6NF{eXcTCZiqI-!>BGBkE=y@i_BPDhNN-T zNA}m&r(E@xZt|o)0AHhFVO=letS3kyEJf&iD6rrfV;8NLWyNsZV`2JQhdI$T(WVJ9 znUU9r@=@{$Z~S(=O2eX?_oaD9jUp~B!Qepi5ju#cK>(tqJUMT{TVk|XwQCLIJJmHLPR6rTcqb_> z2Cpgx%HCvYv3b`#xL*75CNiR3cc34zN{3EDlFNsd9`5PL3*zw%?r&al2*OBip^!y< z!Sm?*4arG2;y_?`2{XCR9;dThH>}Wkh{wFDL zemw6CsBj2OG!=4f->$PEaX!>zCS0Kya{$-g{-DnaTj1rw&1_~33>M;vQ8hL+8h z`yp7lv;>lOz!ycaf|ji3>z1edNt5Vi}%?&C^9@^%;Hw63sRugbO#_wOA7SOW;+W_u0b zIKX6F6YPuLk6K@2EEY~a7Vcxk*q8_ipCf7N$O1W5i#~J7F<4DBi_OzrfnP3ps?$O7 z3WK>Db@N4#t*Z{(hTm0sS;AWy5k_ixMD>hY27WF&8_X?~#3^eU7-W;5+>NDiWzv?+ z6Ynww__v}6G`$m8s@h742EYWscI#|I)(0RkU7qmzy7s1+$3Nh+>1uaKGMO7r&ALD8 z8g?~HBwSQ(YP@`5tSo$No+(d(j|mQWRL?TF(noxuszFbMkcidPVW!wxf|=s z19u-yEz+9AaMEjZi3z@r;lqA*ligsZSKX_Nz3fDuHcEXU**LWaS=rh2QfzyH9NO3- z`4TpH2+6*!uv-9*upH#32BhfeHs&RZlI8t=#E{M8E9M?gz_>*>m=6w1q674>LmJ-0 z+-ArCnxp;H3JWqpn;jz}Q8TZ(bsg7(9j@p~q~9f)@o#s<|K|FiNp#~(0c8IYWyYB@ zm=qY`S(bk6urtrfRI%bKqN{k+bnj8st=Kn6X8c2ylo}4B4+3PWKud6HD^HCeVWk;) zW3|C`YqgX)g@g?awAAGtrt2=sH?QMKT4sg^YLUM}T-3vcYEqJiCExhGBpa5Ns`={8 zzzZ|@a?A{loQse|7bxi-xys0AYdEF5=bCCLS)GAqZ9KCH9}#kP{TtUYe6PM9QX*HH z%PbaE-8o$ZeXEolkdcRUf*p}%4OrNiBHB8E2_(O?_gjAC{0iLtaVy%YU#{&V>L|MA{u!72Oai?se@3;(d6s{mru0a30pivCIEWok-B8Eeh6~$Q=jb(d%?QBPz#WTqNO^9| zk?TE;#CCYd`wCS}Um9Z9as<;&R5KqB|LD%8Db!**89yfTINQX~Sga5&Dgyd%*h3G~sdl~)~6oAyXB zD@@G3UbWvsy_9w0?Je(R?{-GY&krNU@%sHl@NN!n>=hCz4zWD4(phu=Y)F6kwqTGx zwgOrKd*CUkdP^+}DDTJ{xLVc!f?<ZR=M>at^$Z0lfv$9SWC4?nX7s} zcOSjFI%}ANWY$@r)T>1EDOy9m%(<9&3+`&9H8Ha6%A9FAK5>#$_ zID2bEx)zf4E+i3_;x?+jq+{iQa@GD>`0W~B@wy->Y){Y;GaSdq03O@`$oEAE0Ri?+h{R*VqFUs5ty7`-u9EhnP(QdUfa(7wmvI`0X6QFLvMmKP`-BjXa4Ucjn7{ud@7`qgm8r?lV9ocIBQk(}s<^J>* zQBcV}@DU|U_7vpHy$P2rphAa94hhT6ibyLAcr!9aWj=IegqeA+ zE02Gi#nvY%MTKTf~t>Id!JpYlA!shTP!pde$PByBM-_vV;4}1lh>0A_(;c? z>#v>z)z2z&PkWegf*l3(cETazgugnkit=jbIubN|sUeHe(^eD;OY;75j68Y@I?|tn z#_~h@uh{00d|U|T*Ts72#|QqENm`^oH@zR5F5#5pEozla)LOM;T;+BAi1y86@{Kp@ zW?12}sNt~INUJ31F=4UWoI!AWqS|;xb?lhs0JXomsz-Wdq^e3dZq55klxe$Z*mA!< zZC}Gw=BW5)h#@GUEgPPNnMy0uQHOR8<#bd5{C)37p1adB7W$&Y%wMOh&aQv5B<;=X zabT8NFs@J|0mKcSAgq18eEw{{jy#9)K^dl*H!nZ|-Ce0f5%r}z6;&~-WXDgZdfnrm z1<^MOFr>9{Lr0Xh6d%CpEi{e_sw1;z=|%BlH=pqO?P$0>lO*lig4-20V;hk!Uk^R71OfD^ z-(Ng3W;wASQ_++O7}vp4i|U}L@(cWsmGQMjdnqeqLy zL{j)A=Qfa6@IUbuEEo`$VWYc)_st-}z87@t)^&2z-r(x!mA`eA-G7P`;@F$|1_hy! z$!js=%~o(Dh2W%44Xch+*_%L;7%#EQodYx-suzr8_nXqNfpFQcExom2vzU`5KF1WY zPP1x{EnjPolH7SV*|OoVZq_FhYQr#|7&F&Q1#({Vuw5^=A?=^VHPRZFvYULyI+I#^fqv=1m@5jWv?#w%4j{NQzR@&fk)2 zj_IQKoO#r{UmF&+;o%||ST*tPcAS0w;1-HN8dmJD-)XwYCF}rd2hnIDo!A`PW5?Fi zZP|a>7~|z(Ln@;$E*6F4?-keUP>;Ih*7(VrWafB6|M*6*wvXtf)l0$SHYNpQ<@uba zHupZwA)cXK~4bocIk%Ww!M0jyAM?=Ji2${am zqq>Qg!bx{+u6pGsGrjY<(YMa`x;aXuIPuChJrl-NNQ;dC2|2l$pTH^TLT`>waqB*5 ze6AA^?l-rzvO_w!-Wua!V`0OF{UjtClX<6-zwHadH6Orm4WxrVT8f?UX^s4Lit8^S z!}DR4zB`c(BKp@|9KkIeI6_bv+CqqHj#1FL)^ zxKnGKFJx^q&CvWF-@b`s^r~Y!ZM3$cmF-=N!)TGlOz@FdfOT2$?A)z)(bt5p9}8^v zOX=q>N|kiuFD=B`YhLci`=a3)Mzt*`YIz48J^7`WSK07lv-NpKQA$z^;375r`LxelgLTos>>NbNJw9k4$i1>LanO zq(LyW4dj>#YFGqHVLmS9f;R-WivvzUJ9eoD8~{>iZxXkkPg#(xP z*%c95VV4J~UqK97#V{v5-mkIGGEZnxyX&HqKW@hrhmQGsURoLp(AU^9Z)-p)>kFzD zNsVAFlOCDdsqY^Vq|=pg;(GGd|LVjx!Do98A&n0PTPYS)J4>^QFa{nf_;n>+nB;pK zV_ZGSSu|sFnHJfZ4G9UidpT7cE-X#rpJgbP5j)@v(9}lH13)Qh&dMN|%23Wqqcmof zSqP;}(u2(yy%qniEKYQEYBkqrO>tDij)K^_(*Srs%LV+?TSMZWvFk zeo(R?9wPoKKk8apXqA~cx~h5j2>2U4`28{2jj)n^HNxGsyJ7SWV~nH`S3(d)x(UgI z>IMSkLv#T8@o-1x6qG843!eFcK8A#T#hLEM*fRsDRm$izYfp_9MvKd{YhTrDL_{!t zLsh3g-tY{nN=g6T+oed(5U}ifg_$rjjg}PUIm!0$wsjvFAVuETMO^6f9QgJ7aMrTU z*io#EZWIpJL5Pmc<)hr58=nLtH$0D{0lQ~22hqG)3wJAmSACJIiaOkZFu?H=qfSA@ z8F0K_I=J^2lmFqDx!8UU@yQ9$l=+9y=K#z1ZXS~;Ex5}S3d}$U@W~Zz!0r1fEqv5h z7fi#ZImszIE<|lgp|2iDv*3;ZudjJN3fGyC<~B9!$Vj)gbBP)pG5n279x>%)6Kx3r_GshMTz|B{D92N{8*iDr2TjwzM-B~IJ zx$P}BT&Atsnu>I`BOkSJ(~c`}b+iyna&_>C?Le&VjLE~&QyZMe5;yW9ovqy@2Y*lk zI*bgc+Jz2zq6XILCb|Y%SrzL+W3>h|CKji@+{12h;gP{a0lzLIMu)C>9mup75+yqv zuO~LMOXPVFB8L_~QLq%m>?S~#?WTs7fhs&d{opQi{kABdHzm=f2!44@nNE(Z`tE1c zt|UbbKO2>wPXW+&DyGhauD5FC7SjH9GJA_)^1J#g?tSrForv1)wR4R0n;XY3BAv>; z0Y{I%bgu6tsC@I}GV>7l*9MRuY6U57bk#@MYL7f>?O&}JH7zfTe{6BUDVF#+$CNk< z6^SyKTqU*1ZIX8jyH)=7fn0*(AWT!)N{6{PMsu!GlCb%X&+rvKf{hwf;gNJ;0sP6r zNA=TJU!2lr4lp?Z9!;1Cwtv}kJ^4MK8;c)Ym<0-6K3svY8E_fO;upb~5wys}j?J}Va)KpY*4&qeafzcon3VcI~-NLb>S z%RNOAfv0kxwcx!@$VMUQDF6KN9jrzgwT>xN>U;4bJ9>((VnL+3+Aj5#(>AELOCXdH z3}dWD6|Y0NYZ?#J?1@kv=Me|VFH5?l{>w1WVPG>>1MPurn(D}*MBd{M z{M8}CHp|_O0lS68A>d2gZ_3tu>>5Y0;*4iSNEIuz+iXnnE!-aLh)=U&Ep(#h@KcAb zeYK+f>~+U-YNhvrI1AO4cf46?0NAs@Q*nmF1&Dpu^zlnX+63t%ImI7Vq7vf zpjk5V%+dJ~cTZ5&I9Hrrj#@RPL{ppduWts(4jEI~Em5J9*M^6vDl&}gYI4?!r(1|Zf~kjHuB7?p*bQ2G`+kZB!BU^; zPwx~xcNM={xPHD8UWj^7>tvM+MPJe!PKWnYedyOL4>QVqE=!|qLZ(3w}}KqymgfMxfX85>vH$^u;i9UIG%iis&u37!E6ER|1B9TLOb0G3f;HQt>t*Hd$( z!d~P{fU^%0jvirH^=i=vY{bWm)`nE5m&9LW$~Si8e<${E#Nf9p37(Ce`(LCG^E(t~ z5V}_kAk5H!Ld{AXE5NL5)QR^nR;_%a6d9URVS?DjS$`1}_$5ppe1uXnV`JjGL0c#2 zGdSUZ+`X4S&$&cjcln%%`EXQ zT8<`l)E_b+sby8EEeUs5jxziEvJ}<`B4F>d=3M!8JU(vA(xWYifgd_8+F1ArYM*H~ zKK;8o@Mobf!t`x5^1NRt_P7QDJmqXySVHPP=}^P&oCC1+G+>I&>(&C6({SM_D4Q%4 zP-03C1$|F}{IB#k<~V@V`4PBvOrU;UyZz~Yzi<-!bDOJB!W#$ybLH2R);>87Z%tC^mSF2;Ji^+}pa!k{F6VzWs-hRHxo-fRReCSuI za{q<}`I`%`nie5mo=&L{#)C^Qh)VQ6d=`6vahTdX1S05$0R{Tejyv!c?Mir(Epy&i zQ(64mgZHfg{VN<(8!9{2Gq_zh;DT1W#@wkt>3%>kMV6~hf`s!}k$SNZ2v7n{Dm)m4 zicQsbj*{waa~_9z?T-=>Ip9$=9*XNtZxwP27NDiB z&V{<~6Cjq7Y#5dHd=9B_I~1t3^#rPFBy2o~R82vz+eSWIxwo!Val zB@0mRF2r5*p;Ga|R!h{PiSFuWGjrS!mO$iYr&)M;gPzXqEl|wZ*~7&yr{*Fr3NR$Z zzk{;_3<#Gx{GPR-$A2hQ&Gkx_MM4GxcgGU&yki+sJBFQb)PA|{UmCO zkF2D3@^6h_{xbsW|D&4n|4i5XE9W>?1C<`NZZprJ4U2S3D|qR!np2ST!S&7#Xl+Aj zcV2e(D7n7?=9geC<){aY!izPDbA0?`tzeS+2O9G#FN>Jz8);4n*O8DABR(~?V@p^# z0dO%sbtg#xF7{fIMFD_|kzC5Om-r%BDEA9uJt)2nSue{o^j7-y473WHt&b%mS|o&} zb4*<3FN=#bElVH;%r@W%tIV;3${^4_nXtALkh#Q3p-q_AGKO3gsrbWdF~Wv=gd95E zG#}nEPv)dyKdLo_*!BYjQk1xmcR^R4tG_SGEUB_8CFdiG54NEIV8j& zS`kRvQB4~qXYidZeBW#R)ba`#5AF}=Y;$8UjmweJ5nj6;E<^TtDcq=5XHI&3!YXe` z4eEqh9=NdLo7-K%6zlJa_t z9fuPiqP{J!mWcP)y-bdEBE#fv%92eJA~fTka5=Bo&YZGBS^+x@a=Xm8)Euiq`v?}5 znN#G}q|a0ikqmgv@Tr{4C*RGiuj++y5(huooHa%9gQUwhUcW9Dntsg<1Q_@~V11z2 zsvMz43^;DxHk~_JmgqZHJK#vNlvKc4NzGKrB`r}2sP^LeaIH8+n6}=ajf^TpXs~70 z#tg`Fb{Yplj)#ZG?3~SPlwqUh+qW zud+Dw^^WG04qhuxgqZ{RwnVqKs9dO77F~eh%rQYo{ji^QTxE5SrWv(fn z&nf6zu7T4LOnQ7RgkpxT5}!<(MHC-y7}DV!hm|F&xr;`IP=H5qy)-PgHUR)ZTi@|R z@Cx%?)VvS+1Y6QgqeK9^hq)rUMLk2wvC9(`U0r%#=V&7d2f=_R_dK~AlAJUtFF}Q- z<)vpkTJ=Z|ZtQSRFdPf5-#vNlumGqIb?u<`m;yE7{2QU5BjwQi?-OKd0~^sH`*h#K zdQ3|&rn6TcsqXTl;;tp1EL)d~%Ew0L7oWD~SI%R6G`V+BYyc&5q&#TbPvjXtn8Yrt zd&C`+N&mi{Q@$K<78$0UO zcX-zyY1nlVz3Ob*)GsuvM}Qh{ZMNxOgF06`zo!?!y+0bJCr!W7Dx+D0Ebzph!`E;_ zoU%^R!&wZKTf~UWYLi?p$0~)Ist&+wzkGO;rim6`8iUjy1w_@%l~_7WmfwdB-PGLT z1?nR#?Iq1A^Iyul9xutcI+3+*gx8NK1qtw5Q$9gh`BzrRFP}Y=&F2?XebwBoEiV^G z*IbwJUiW#6f9Mo>*k>>UEBLQ-i+_kB07V-kCwML3k9MuqNPSrZ!mmO(Fl(-p6I!`G z_!!AKZz#hgn73dJR3}0x;e!r#g`Y4b2s~Q%7if|{rbsQ%m#QJs9VpmmE;I_}eb2w# zPK}x*_`tr)Y=ARi0THcyIjPxU<%+?aE;7&5Z7*&ZWR3EU50^u>SiJ~)4h)OUPdx(A zm<_wt=p8sP#v`%Lr8Xc*?i;l&Tn{kAb}6>p2xao2lT2+B?As?O=pU2X;vPwGFn6gH zK)(>(uR;It9ct&sj`hc}8T)}YHC2m3t$~1M)pqp=bUBR^gL&svwB50qKHP~l4q2Xx zXLdxNwBBP@Zrj>)It>|$wW7GyTr(YG;-)G?DVszdEHfZ!t%>@??(Bggz{;X;Eqrv5 zX6e(T4gC%a8|35y-U|CRS-aK z;S3=>T4n)sND`MXOJO&B?t)nj+%JlKwOt&Nx~*4_1C+=Dh(cNQI`z;6Z!$t)~D)F!j&|i0MPr zR?84(#V+ikeL-X0*S3Dm&B-@P?>_^Yu;ZNQ{?J{&+DO$L^}ua3Cx3%bbZoKcy2nO1&ra|vhUL$tNNipbjpjOpEo`J z!$~gMn1|ENY%}oO`3*lUBvd;g7lKdq_#(mtKBG{%{zzG+aRBR(bGEvjL83X?EG)* z?OgFLNv&9+G`ylm#sAZguX-YLHeoM`Z8xQ67IUZZ1uQHQ&%8beRvb!Qnx&`YTzC9v zU_nJp*)3GnXmmhEkjvlU@qNS_p>13G`J&}BKBD`Fv{6de4C_LvZ%De35idnJD%|Lf zOq&k~_m{dj`fbAdoToGGk&urc5<;EsJLpH(Jf)s)YSUycbq zBV`tH4kYOWm7s&(fk^fVUi@)|fM;SnXt=h&KtCia#SebhG2Wz@z`vQa2dC<8yRmk? z_EFrRPRO|60c+eS7(}*1^>FaNr8e?wJI=8l4~Rel$z%B!K-n|>GaemNeKp!@Ha%J4 zcs?9G0dhZjaV$}_F9{*`Bh>I(_WTCk&HV^kWnZ=6jSB*}QnpE5R^$>2eB5gRj|r;t z{!*dW1#bW=MMw2OY@LSz{=E20(5>BI`#Ka4-l7a%sowq_kDE{1>>}rCZfH;Qgm#~~ zTBKu(2FwN3&ijRmn=hcPpww+Peeg#s082d(@dW_*(@@~GpXVIX|6rD(-*G=gqV`19 zqmjTp3}&KGky~kr-CD922?nj{{iPZOFqr6Y{INJBNKl#P+8^^oJt${(BB)QEmCMQK zUBnr>QkHms(C`&KTXYep)0+n|5=CNqKvfQ7p*n`a<>${EkXD^I20j}Gux1L}lP~oH zaUfsG7~9FqpjJmm+inQe7wvbRB5+rctQg{6E4FA(I&Z#K!J9-xi&;W=56fl@6RKTM5L=2`zwUr7Lf(P|s10~?yRB)zh(X0>v zFy(msOt`12|AX!bi~$ai#JnhN0kAck!9e91kcK}60YogHfEYZC)zb)Micu3^w56Q@gzG0GxqzyTjc8whVBVt--Z_vtBL}7Dt(8<6XL(MnEZ{U2VptlcBLB_VJr=W6X z_Wf|E3ZMal*Wxg7|NR*IqXGec=|k;V7F?Si6NDHyMr%I_s%x&6yCsY)S5T^Vd`+Zh z&F15&&}aI~CT_1OZ22U|XQ7X4b-7vC*)2(_X%b+i?V5JBOG#Naz_g~0vZoFi0O`)v zda7aPsRu;bvsy17QKtG>*z-sb2YYkS(^t%DpvY_keuDXn**ZQr%jSv7CM>r2_T`ut zw=);i6)YOuOgC@y!q|6c>a^dF4|vl|Qz&-}^>VdL64jQ)3Nci^u8bx_3J6l8p7s|_ zF9bIu051|g40w_JKZ2o&g`!n2p}Ov#V+sR>v|I1eCsq04@8l!l%I-*SUmJUULxG&C zR+@^}a-EX?*dYAn``u&3vYY1E&%hpwhBouo9u5crRh>P6E}roq`py!I+3SZ{J^90W zcoo%~{bLjPwqd$i6hh6S2!rd!bpzfaGgdtUT(7;nm_6vMaj}RQQIT+?#l<{i_axgvsiXr`~3y2^B8dy4w*| zLnEx!jFx-DJ#q}Cx7ykjhWQ)(hPOs_+!sfOiKi=r+cZ9O;9C+XiH9TKEugBJ0hcl14`;N?Nw7BneI_Eg6`>4wW*2)Zz z*R&UCwRl%?@~)>8I1LVW{J27BC62TiJQQ4tJ*o7_UKLf?WZv++GvMLT?)o`oj-R9> z4dAbl_eBEt6@Phy#DQ(qtd7{QL?MvLDMOp>VzDb*-{h0x%H;Z6RZ<%h6yPWq5yH(G zn6_vjVQSvhir_o_8--7~u^Mq6-<>fIXQcP%U2kk^liqruBM}5ZJ4tiZN36-mdmO5s zPPNccRFW9UiZ!<|AUg~Y#2P{jW+;;YZaXhSOPlBnzoyK$Dau^7rn-{b{vOmW!b8tQ zxnS%u`^;TDPf54w@6RQdt-K8>jOZro=|n}O8+{dzO}6J@XdIN=J?7>RcUbLQUYN1t zw*2@o!`CXKFFj)6Bpkgpd>y|8oztG@g6t9KB8++2hD>XKr{|_ih9#kA;OmO^_+ity zoA)R(bNPY&zL>Ulv||pnIUiHN`Itg^c(h3Z!v^b_;4K9D$M}+wvh*kNS7RaI8HFgT zeLXsrvR4)32HoZTOON;{voS7{OsmapeH=GRJ!ZW%A=~R!Y%wOCt)O-giW~0R|FLH4 zCfxiKbWG-geUh!8ps#T(I;CToXcxhQK_WaR*MP6zcj@`<&r+J76tDo5+kjrrEDwRV zx(=m^QiX?fx54NDheU02^S4s4f55S`sF42!ai*e;h#Lgkd}fT;$x&7Qsca5Y0&6g_ zxX|A!+Vt1u-1t7q=g)rbvp^ZqxICS_)xFBvYD?i2-@PH`hj|#)Z21e0+<&dZc2;~i zzxTRl!lUyGz%iz8^6Q)r_3!Zef6s8A$xs)BT^wTllpNR?bT{l{(eeRRV<4<=#xlRg z_->$g#HY}kOU9q?W%nexz4JT;VJ{f@p)dH7f;+2#S{cJa9U$q=M=}dbZ_c(*y8colPFPgJD%z-Ref8lkF;xwYK@m6Bqx6z|H|8*$QzY=2gAD`t6!mGbz ze+3R3d*CtWRN}mG`K-fS1_$viid{S(>38vRQxA z{`z+bbGQ1BamUYMsQ>4Scg}Ld{a3Di2AlOa&9A&) zaKX+!tv|?vJOfV){TFZyIp6fa&PVz`c%;uG;NPux{j;pPv)HQ7Bj9K0G5y|apNXe+ z9s&Qo!*Q0MJCmXQ1@r4X0{&-5*U!K%JCA_>rGUjhx@TwLYMn>G|5rx(JOcjLgt?!| zW_=z3|6XnBpY*r0@U_k(;Qupj^?3yRFG{s_24>lL1pLqTs=jsqGw`&|BjCSWZ~O;E zyZ@+>K97L^&urD_5%9ANZ~oqEpNXe+9s&Qo!*Q0MJCmV4kAVM)JMy=7=^u}sfn9bU z0smi#i=Ico|5rx(JOX|m0cT-Qtu%8bxP?tcOL;*fD~J;)!qxqpe?OK>nq@v)3dl0*JbC ziz(JB@ODm0D>W*>n;s4~ZMfY@+It`K&P7c6f)0sC)U@>J`^73)=pq^6Jzh4PdfK`V z#|`7Kq)CwSF*~OTSR&V=Yq$~eC5@I7j|}uglYQ)1gGzCU;)f-TA`w8foN*Z3U`~pj z?oIL4aMy^x79rt}xS{`??^P!%deNH;A9m5;AM%TkV!H62TXBdBWjXk1GAh$tqNsdv zh!Y-)pgsk^+JLiI9USI^-YqXGbqY#}9e;Y&qyx!1@*0_N&<^CW(u37}G4cMi_q$f| zyh95yLe8V6PXp-Rd3cymWA+Q-zWuoHG-dC_&joCLg@BE~L{lNx_U$?w66ZtxHaF=v za3uCcFoxPMC}!b*VYx#{EnME$9?H|1%FI$~jqbYChg2epHXb9T_I~~bGKmmGI~(s>u0&w}$8;e0JPUk=ZAg!4`D+%7mbjn1vZ-(?`f zXsZLKU_ruKh2w1uy*!1vq20I>_EESOKS<1D^Cy8wlJHUjTkr5{6$lja{ml{N z^uK1x>@2Y}f0b)r_-#cj|2aXf{~W>Sf3{D5BZlJqr2>S^{+xy7!fyw$oo`ovT7vc5 z2K-O48_#XPKkX&?t@h=)4fyA5J-=tmJGTMf5vBi$p%bRx)esE z#+H_2?TFAW1x7eTcR2^g{F?zn;L}g&rnV+d&W@&rHeY_SGqObI5uoOv{_?|h9$p?U z?jH~Ha{TSEurT`_Q(JTA`_#PGfkVpZ>{1ri&Zds+Qr3pfrngLu?MzJ3MMcs7c2)OL zwa60m3lf)Z9Uq21(H_^I-MCs)m_z*$4a3(jojS!a-nZ_P)vXIOTpk=;G295?l^h;7a);O1X=>~WvB%}=f7nMz=1@6#d#CPRbo^eV&7PFO^6WL!pSSskmi*9W zZoa=anDcK9)}iL%{nAkCAG$zU@9!sY{a=sVb+j{9F?FW?u}AD|oq>Lm;-LO=j`El8 zVAoVMvNSdRa#)s!nuq_#~7q-1pDgoM;A z)O1%F7?~JJs8~5zuW?+t#(3?^MG#O?QPI)R@zBxnu8|UwUi-~or;Q*SG}Kz;BSZvR z&;=X>L>z?ERuC2NoX7}2d;!AiKYSrvKm?u_740HA25>_8WzYo#M8pe7h{(uDNWj@X zz~4bgILNp(*CkN!lnha69q>6HM880zyHV0ap!{Krp3BJ5{~|ge5itqrm8%Tb7@4?v zc=`AR1aIDwl#-T_y{)3Ermmr>rEP3tYG!`l!qUmv#nsK-!!zJvU{G+#qtKYxCvou! zPoE{GXJlr*%+ATpdtF*qUQzj`s=B$QwXMCQv#Yy*U~p)7#gb&o#{dceU*A4g0@#je;&AA^?Mj zhyxM>9lbnHbo?bN=6~5yXBN{Ry7$4kqpnKU{t?p-aKTDaO@$q}Z< zs~Y68R>fv|5kc3xsrD^Ldw;8+jfE%cU;@Olo~HU(?Z`B(Jc`Qfl^L>P+;))bsOvKW z`i1?FVX528*AM~O-iH|)fZ{G*Yw7_Y&vOcz&l8)uHgpPFz6D`80ko4F4w`r0in=G-b{3SsohturyV3?Fw(BVCq2QZ4V*ThXW%861rY z*4Hw0vnb*=QZh<@x+qIygb-=uYfXq#YCBGlX`zakm7cdE7+6%CrM0T#*=^fWj*1Fil0=g0(5n)lk3kqx(s#*pS8Pc< z2=jJuDY{Q8mF1XNrc_WFuk>~`K(UlY!jyr8er|kt3dS6TcnW&|9Kik(G@XK4-=nHY zI%pgC*Hc*T;}}@zlWs-qJ*nFM%v!*j6|p75Z>o%iMz@MdM2QY{u>qSKJIxfMwRm|n ztPgK%>afJFllX*cu$DPGymv{%8V;Q;gb{45F2c9l$6EAp68HPeM{VX_Raj6@ay}nq z)3Bd6&skAF1e2|j6&g=(5in)(ioL+^Y2%NM%OqMSOoaANk!6a0(Y|K>_C+H?4hCdp$Ka&Ae zgAx_+srg#KQ09PB(4#LBw+rB}8W{Dhvu4X0ki7+m)@keeYk6kK))<`@#&tAx#>gsLxB&ih#aX6;Zu$r4>Bgu($U18L^?Djr&C!aDt8p zz&0hnH3dhXJ_XI*|5=}dR&##$X_i?Hz%0_8n$#R~w`%6n|FnKAU+YTPOHbCW;u+Ze znLK=t;u>OkdezT*BQG%<_@o6P?v!OcF#jT+XzsAFS+k}`aEgyfsBuWSjEW`ac#u6I`s%T@5Z=_B#C&^FUDiX#%1>LawQU<62^f{h5 zg&IL4xLIJU^cdsV?wG8J>SM#sDQI8Ep!8%Tb?tiPrgxnuWH`?<(XMHO7Oq2~-ZOI& zv97tp`q`*7^j0U5spbVvG_f1k5c_kAnNC4rG^Zfdu~QJerQM@?ge{lU7CS;cEvRD4 zmTpt#L>GRE#jE`GHH^pIH|8&kQ-^|fkN(GTLIQg1aQb@w+I|(daJjq5kWIAbO%@wc z-6DSU0@EOI5Pi)!7p0VE-h#IpJZkn7gsgXSdtBbjA-i+J32{`O>#CsBl>*F^hO1ou zjlaD*`b(K9=qrKgqP!}Dpww+Peeg#sU^NJ%hD>;h;~Pfr~Z z!`uLw>YP*1?u5CX8pvH^eP+%8eT51|Sj0FFnsBS6E^LF+w5}JXB=fB5Nq9a79d*Di zZ6P$Z)srejRLGkV-Zbnyq1Xu@+VO33j`i>=l$scmZMSzwdBdZ!Z@;Ax=mHL)q^uwE z=1Diu@7a&yoLy{YH1XE$M$vi_>jyeQP=_hb^kTQ1f+*_oPeJ#VM{S=ioq{Y68;r_j zyn8D$Y&X)(N%;HjB!V`C*^4#xy`>?O30M(UrfyjncrH0F^bXQxYIZ6NCYGly9)FOiTTi2-V#S16 zNP4U1v0v1aOOQ~|J{5uYo!9-o_!H1M`O+9ThEJ&Vg0UTK)iXmA?|ghyGXY}ZB9T=s zZ(z*t^BpyW2#a`R>x4bs*zLU4#eEd@R6GhzY^W43qQFrwNh8|5L$QCo!PJ02_dcH} zb1RwmeO)w3pw}nYtURt2Ge|g@I(5@J*6Nf?#&lrL|DITuG|d z<4wXs5;&@f-C&e?azWMW|&yH~7YW58_sh2@I%!fa61ZYA8-TAU^GN)<6hex7c3 zS4u&2k*mKNlYJKJSrK#?6Qc!J$BK8&@<3xWFssqsM&JVXcv?PJ@)X;&xUxqYHW$=p zR^D6&91;&F*(#h0DQ=kI7&LX3d%9s1aWVW-7lS&#HIu*l5(&xfEl7K6kvAIzHH1pm zgZ5QLo!kV|fvsF);i5Qn{Ed*q`>hEfb-qTn2An=H^v)jpNw#irP{Vw@Hy{ZAYPjwc zl#UGYWbx%2C`%32CmEXSpATP+ugK3{-bW|t>AGwhbUlz*t&bms+(*Gw6ahh1*YoVS z14#||4CCctOvJ#5uM1se)MdOEX?z?hZjG3dY!%9I%-qs|SgYd0^5}&HFCzs6U5j>S zU7dfy+Zlb_oZN1exglXzKg?rR1W$6Sd?+D=o#&!v-#+sT+D~J7F#|%>_XI{?$m+(E zzeo15>p04{td38fPDPu5#{2LpA&)m$2lb;ZG#V3oU}^aoCb0>uX-^_TGJTn1)k;(4 ztNUFduBry@H?KseaIRDl3cQ#E{WAh?)mXz z%>a)grtUKQT4?X*>473!oY`uOcDiIl#2_YY60!#!Jc}tj@7D|Kxz{R1nIPFA-mKZH z`xUP(4tPnUKBhfx4Wtj1rY@THwZ{@0=F=Tc@GG-J?}FS^h`j}=41?+ASi}$9F?+5e zH%4ai*u#t7KI78Kjof#}NL#?9hzb_g$zBshu7JpKj%n7ts7?|J*!M|04DA>~e$^H~a&nTWi1 ztlsju(mCQYn)K?NgkFP}P*8ix{KQt?v<*oFdN8Y^Eb1JA-fbGeVrT&VB z)S!f5H#tj`KLLe;0OD1irBKjk7|&dxl#h1bZAesL5sWKm@-t!JXXEP1R}? zB(P0D8By;uNg?y)=tKpR(?>3>k)Fh&`~wXHS%o+3L2I_WeO4I!B}e>k{Lc=z%q}`AY16b#1oyumGav==SROl;V6H(<*(G& zE3!&y5GGH*N*l-*RGtpm?79YxT;1f}DFVUEXFJ$*9d<0>Qdn!gc}nb|P#z&C?n z%X9|2Dd@QTg_csXNb-$6r$*-Sy|$Os0X=fmXTr7DAUwu40HKM49j`&y?;!~7Uxhw& zlGjW_4HVw#Na%+9u$Ws?_%wRkU0UZnjaMVr!-!Y;nV`@c?FU3Fv&%-vh*s#_=(F@3f10yuSt&Wq23sv&LRcaj?M4&=DXQREEY!eDduDkFYukQt_z>m>3iB!A-qn7-ax^cus0Jnkrs|%FQL~1$xo<=Vdog)D z#R{?!R-Iy{j{9cQD4L|Xpgy*BRqr<>8|zf=?;Sdm=tx{MP8Wf>dBY%q^t~D7t^xki z5vSiv70mQZanW206XQ`xn}%5LQ*C>eZU}z$D}hk}!C~v&TIl1Sb>uZWraFB+r>I_E zb`SwtfijY!_$LnS4~2PM+jW!OFOID08liEpk#oIxCACQ#DQ7r>f9XO>eO0&_JVjAQ z6I>UUgY`bR)}ny*y@ZQh6)>}<{5`FN)seU*Z_9xx>FMXWa6g+q8&&+on#T1NlQrR# zJz5@U0cEU$Sc8*0L?N#~IlMeR+|NDAGun@HS?0!16Ig%UXuydXInm?@KYURYKdy<8 zc~{PBK?QaY#`7c&ZAfAof7?op5*y>Cn0|ubc=yIWIadd`2-8olujKQ2jAb8O##nkbt~|AkMZ2C7;92prKRPp+L)g=omZf-h<7S#!;e>=ND)>CT1@V- zRZRK-Eq3oYZyGKv&jSQRpkPTC-5sKd+xym8gj{RDP?b6E9hL#DsLS7+U!}lQFJH$K ztPxd2T6z!?O2j}d(Rabrqj9KLt4TUkOgm0@l&(&VEy*x|@LcJ)@SOD0>bLNG^{n_; zbbbxmIR?V>MTz4nC}h9(Llb{1F_kwQbRxuBuWktBk*yw!obKSf$j27=el1^Cg*=M z;njb=`~4>rYX41l_Ln@Dn|41+2w=Kgj?tY4wdgblPRyde*>KrJxylj54*-SBC(~{+ z^x#eI##T`;!=1eXk^Ub7)G9x6S`Bsqa{mQn;u?eixK|vRt6*=cs8)i|Vy*|}C5GyY z-e1gx#f9I-s%AHhqsc|D)UQEzAaK`f(9H8|(Ct+8mupa%3{w0Ww8za@1W?pK5QBcw zJH*$UH);aBNB*n@C%|Mqy9T`gk{FCAI)Kq7^ZiBm0;S)Mbm+$Ryo|{=GvS4;I}jwm zxCdpTJ7SSq%cn9Zxoc4S2=G8FO*%mUBKR7__Uex>!2Jo49DFE6SqK(6>g_QRXuh-x zD=Enj1o&T@yWsSXU%5cT?g1lI91&A7rlI9BR%*@j^NW7ju68=H&e;*%--P~Xss5{d z_TS;vL?m>T+PWTz^gu7koa7tqwA@{IW&^+MIv1%@x!EOQJP|iQOK$Os^iIDLQ_#1& z^`^6pXyw*W$o|?j=!s=nD$E0wU`hd*xqEmGdfe;{p2%>1E(0i!K_^vW*B}BfI-;N! zx}j3AlKiAYljqt*T}wsarb4V9v%HjT7blmRAh=I>4lLi!2#>baT!M6{!=ep+*cn;0 zC!|M7Ha;BSRljO6vihEBu!_0`@7+h^!cVtQ>}c_tOT~0Y(K!3!Hxay}_vRw2f~ni+ z{mFlr;KMUfcdtQjfK(H(qJ(3s_pU+jn-AxtN*i0Y`bD-pr0Wj?5nc|Y~I264{e~JW!;r#xGP6vJUJe9(GY|OKclPM9cS8v%7)t?1@>}aYJiBcKp1=6MInYkArIy5iFH)J9Je#9H{3hfn6w*g zP0n^rh`ub&=zfKG&>{L$p{3BSgj>(Z7B8>&fnk5vLgfLjoQ4vPWDMm}xs9|a{H&BB zl|8*695!JUKR4MWcr!`4{k6uE6{jy)D|F5&>uAYN`k~fbVA8yqDRt9|vAhc{=(I zD7UrIBCxk+$kKppb(DcBZ(UuWUFrw?jSqrJc(R=bmv^+2cZL4)?`XRn2xyy*HMeBPs?5SA40a!7Z;ihA6x( z$)20s%la2)F8;*Y{NH0R{^lymo?rGBfsCSQXX_c_8k8qE?OnG0e%F8@C(l?Y+|k#O ztvZ?-Bd{TnO$EyeCo0H@62;jXDs#dIh_g?Dt&A=QO=jAe`I8cIV|mFR|w_n z^^oI{UxRef-!ONF-hh|8Buqn2I2xv!#vLVnKe5D6ei&fEgbHM>x#RY=^!$}`(*muJA&pk#jT;qiI&;yHivWa>FD#isp8YaSy!8Di;^Ay|R# z>_xR)w76$1pCO<=Ek&&@dbJPipIzA%Ww(GQI02!+|9M~5e+T~j@8iz?d-Op6j1#$j zyMCGO>K9aGa^@M$Wb4VAv$3{ID}l_qQPZzHTj@3E3vC3T_V@?X%?ETW#OVGD6s_-7 zXzYc{QPcjz*8OFd3t#Wdm?KjW%Ts`*1oTT9@)%Ot0>NH}yjnvWHI+-MJ6?J$w3zBRE>s(Ss+C56fOHsNB_4tM zdoPI?k1jVa=DZ>EJ(EX2i_jNl3qw%R(|=$rIL!Lfj>iLMEd zH3cclAd7YivIuqmam|Wlx+F?y2m=at&cnIqZO$qe`zt`k@j^V00%eCWdX%&9O_6$N zATIhm0Emx-fKVtR=P$2bOxh(w@wSv!4W2$`y~H%Jju0+3o|)U8DipfJvSG$g?Pa#W z*;pKH<{Ak`bJ#R&7GtM+=O80H&udvrtG$*oraD#v;)yG@(yXGkg1SLAkd9us%oU*t zEf8wuGNQB@;T0$%pg4E(FIA2Wa5Ln_+7{s0E-%MW?2m5xDJ+Gi3SSIwD%v0St4*#r ziE(gXMt}x?H*_+8zyT)yr?#pUUNjhZGCObB-gka1 zHh!#=!^v0aWj3OFvAj(N(4cwWB33T^IMPm{kItR0WB$B=Ve7Arj=m`z`c~%RbfR_r zHLwxCxH5qBPM@Q%K|jkO&FCSI6AVDc@mG6M>dK`5aSbB!k-VxXr=BC_>ZB7{HPF{P z(-_2P!^-fwym~7dPb|(futa$L4XFC!mDCe0YDq!oC1ujf6-* z!BD=OU9nwC*X>e~%MWud(y~ zDF2oxdcutwD=MZMgYmEb2CWQo#91iJ*IR$Iy3Y#zeatF;X;a*cQ&jPis_;jr*!DP! z*tZ-QV{Eky$R^Gd9HKuZu2*7%rKo6jKLbbZ8?_iUlLr~7^>@od=`XLXtsZ7d%;Q50 z$acUH%9#a^N}tG4k#`KwD8y0%)>`#^J_iWW12B#Kcr58BvnQEy>cV0MF)@{rRV4b7-u*|)cN1hH3MLPq6<5vAHdYE!eTM?tL=HrQ%xAe%m21f1$5_ z$D`l$9=F{)JL_0sOvKvLh>@rnjJb+MiZAVX(lYxW^rn9bn;n<*GfizZZf?aR)4<}I z#@?QUg&77M{pvcVxE8b36-`{cl&Wi0TSEy#6>ylnbDpfR@YsWNOp;+3|6xZs+(xut zbR(D@aQb17j4~w376`9Kkk1rA+PcjmWh0Jn_%JRs)Vgukir;)YoB_7L^n>2GN-DxV z&)JXB=?`i*E*ekg=iwC!phu-n4(TSG!F7z>;kR7CJB?0~=Q67_&D&6SZ|_%5ME!y8 zoJ#bDua*3IQM}J($rt~yBNu3UgBvhnD?VkcY<+(kt#=a|H?{vgJoE5>56^$j(}Tm% zLRvR=kcO1rlr(|)Fd;)?4wcPUwp<_oaL@xlvZ`x%(d^>7-6?MjxC;ya z2j44B08lhua<3y2W6v05HqM8RtIq&kSak8msrJ=TcYUg@bcgOz(eK+l z%!dcN-fXA0@((SSEiDH}aNZ!d3wpbQc+A^&VWg~@(5pQkuv~u)!u{jdplt-G><@bi zN-QH7FYdlmi@D*<%%@JT>wx125S`inTz~jlY9Br~|2B({<1V!~s5ty-{s^`BbAKPH zm+jB2QzxocPWEVlD6#8Zy_B1A9sOk{Jlf=BuJK{>*E*aHIY}~>n9?eiR&z8(LDubq zKkP`{U}aIF={cU0v@QwBI%3j&#p3|dVas`==-;EWkNnpfoedw2X@YVj*^+-EwJ!XmFFN z9c-YS7)f}k9CEG^$ZdCNuS02VL7Y&jaQ}UKn>&>_PRs|4Zzx3w)ei;=xI*+)lUgZ% zWa*ovpNq48<8OLQdDL>O%@h~6&($O{s%_7tnc?MK5d0rBkf_svZ&KRyFeBB1YFFW6 zc^Z{X*&CpDWgD0`<;2@d7adnOYa!XlC%4=_7wph@gxjCaUq<%PadRc}({YE)-F=v^*6 zDJb9e{ZeFCtLn1g%4;!ma|)?yUJf2Px|eIh5F;dHjfxjdWehf1>)g_TCuOqbc0TuP z3a8(Py-S>F47)Hou0{HG8vr8pE=HO;0u~s-d9eKncFH{mrqR#o8x2}cXhWedw;K~Z zLBAxv)|As;{9V50oj=nj#%Fhw-Hf?Z)~&d(DPSPI4DyINdQbxB6&PU}Mv?3%2!)S? zl?gY$#(QYmORT?*Fj%bYB`CkoiU@jN`I^GbN$l9L%+(|marvUMaeQBBgvd6jbnOSf zd8`^V#L_M-#d2ojyG7B3-~$pxG3**2JNN%AafpnQJeym8@$il9xCuh}9~w=MdC5Bo^eaGE+lGK)UiUb==+a^Esk$ zp=fpW3WR&6wSAe#e07qp?Fwa7RH!xys9)~eVah06F&bv*Jusx(W6(rt5Itx^GHZi2 z(>LT=Pmfqna#0z`Z~_4}PE>nRCJzEW_xa}km^SFznfHlw4a~thbSTk!X>qsFwIgL+ zs8|N6M{FcgxJK4k6{D!~w)wUqR4-PNecC!@n~6!m9hyh5f%we{h5 zGs#O88oZCa+5PVX7-oB+ASaWDO%rAa%OgV{yHTn8caF}sMMZR6R2(m%J7Z$zjfY1P z0=-nFM8W5}b1u|gO z-V|1TcDHhXFEzU_S16SPwkrKf^dkn(0K+7AKsVeZqCI&%U1=LKUr!rVdyh_9oG~Tg=?=CC-xRviq^GuJ+x>_p`xa zL+p#u6h|>y$;y}Y^Yu@}qhODRU2@+)IT9;-084JaBI;tmnm8G`4Tf}jy@tvpo_V)c zuu7ZEK2P0x%p>?Y6f)|P|Z@GH#%J=b=|S|AbZ6= z-1(?ntZx$lXCcyLE%0&_Tjm_eyvkK646j*-i237wgmJ2NB{uDbI3~-&RA$gAff?HI z4tqU$Jl-=+LvN01LJHNFVc!g$H`-t8^k8ePn8#y;B5F3}S3*YZsqjYb7ClEj*GC0$Onl>9^Qcq0j5Z(#vv<+5wz@LO|B86$XUu1BXGlWQad207p!dGEHba}P zt9102XXOUFg7dNal3DpEpp~dBsh}w5sFYRyCT75iMr7NNci35Ks%-dB z(cFzap`@Kfj%*JCM)XnRe{Mk-5jS$Q()ba>%W4`{5R}oUhIUt$FOPWlOU$kJc_zP_ zzBJSS7#F=C6cBYAbmWNnb+k#P1o_ckZv}zQ%Ui4%`59S$PiaH2!?!-)Z&dxNIT!V) zWzJx{^UU4Af@o$$ctUU_)+rkUndx;xFSDArg<{Sm7J8-#8|;Jenw@J_#S0EH9lKNM zA7RrQV>+k3d^zRt8D4ADgd{IU>i9nDSnHN(9+@w9OsnkV*<58cQ+|5Ybz9n`(_aE) zjRKZO{60Ju4gAiZPoSed)>SplNL3i}&@4uIT($0#yuWN53*S4dI!n!m-4;GC;9nx3 z5DKtNmo#4TW5Y$f{nP{hLpBuL}4g({$5|+m91ELNiIF-QTN*L zG*{=wVw!7=XBp(o=$9#BHS^L7FeMb)O_FPqae<1kL71T1Ff=|=^Rk_h;4Os6wsQWN zx7C(s?3=;S^kc~=3ed@``7-Mp%YUv_e|!5pe{yzzKe$bX>(l zXJHHgG>IJO)N<~ke+LBr4wwENO#bf-;J-ud|B6HeW+WnLDc!2=H`>?E#r_woaVc^9 z|8T9LdVff=gi5aQ1J34KfCREo=488~?igSS%8^e-Y4PNkDpfYk4ddsoGlE zqE4*`jM^I7)MxouW*R)#VybPr%wzbeCLaw2Y)3cAf92&^t`d)tBA34s)EgU~zY^3f z8%IB@{5G-|hU(8xa?m7)d*ul|Ix@dWFqTDsNidc~%9AH`&j$brMqz8||M&CPwI_w~ zjJY}t2QGYy!SGwR^*@E*E-J#{8SLUJ3eP7ZXL7!Ohy9u$@=Y&vISfcXoom8-gP@W0Bm^{bw+;_;p_DG4UbDrsMZM2@^GJn5 zMxeJ8}|7>@#KjecliU&F`vwu&W*1}O_ThLgNn3Vw=PtAeRN8}kawZsZ%ye? zMor#!yy{)-BTtiXSDo=6RJL<*)9;C3Ryp6STfYGoR^$&$NeWtfc4KY}MiC18cIx|t zSHhRCr$=mV#7|2(o;6CDsG5Fu1tH6@W>++0yyG$F23;pL)e9S%z+Gu#c*!OjGYg8# zSeTrHmxoH2G{{)DhLdIzZ}u58s^j&bj!J`WSJoeS?^IbM@4Xx*V@#&v4U%POT{ETnVS+oBJ<+7@dq#Wj zHN`eZ0&D&IVgV`zj_g{oUh>dY$H*ltgib+?Kc`6A-h8^69k0$yDf1w01^>u48A$vptv$o0Co#nb7k6?C zZ#0D(PMsW9&d-Ex=+me_;K6)#J8H!;M2!=3VO(WAK`Y^fDxShbu12go^K)*_A9!o5 zL{CRcc4}zYKS}2lFUeV&gRu3toWkmv@Dp~FF(=-0e?-r>j!U!WCl)Mt&WS*6Hn(H6 zWkX=hmoqM);q|+6@#_6o{+Qn&)}`wD( zvQ~-0Z&XNAFL#>$F!stx}$UPDZH00mo!rxncLDiDQjF7*>Ix3^*NVyj)b$tV5(n zJ%894o%HoVqv!p*{x>#sSp$cvEz)!wfme{TA2XuS033#NRs2dRu^Oe^>X^Fc-bLT) zu6Q%A?NuB}3lYB7L`{lPBr{D+j%)e6@lLr7tB(7P<-T#)hodOuBKJ#wJcl2KAZJ;6 zU-Au8gg4-JN`g{*R;htP8uEu(-o8IbRS_h(x-FB@GmF7{=~d~DWY z36Shl+_7Y~-)*+<-(`C_d}I#VR{)eL)J)D9)~$%vP#zx!G*_xl07^PQrP*JoZy>A} z_m+$}2+_X#eVTO5E_Ihb0&d$vI+l-MZ?wv#RZZvK$8)5+Gr)I}I^+t~`?%=tuR`?$ zRM14QYR7m7C4*oOC{Cc?I4fp5DE#tG6z^7U9LF8b;oJU{_+oF84uM)H>5^R%COKt0 zKZO&gKNZ?YtIxkWn(;KTO^)4UAxOkR<*v1Got`c>2Y)#C81HtpyFtyCqH{Ye%c6qA zA5=~>UecsefU-xH+pXH0Oe?t4JWu&)DED?d@&!~=@X>KXyld23aadB-+C%v+liTCf zkUL6P=SsZ-U{g)7u7&O`y7t*X5g99x@Ibab(*)tp-e!N0{<{j8NXeQs~%@ljLxmhagA0! zcQ=y&YRwC~Gy}&Ih_eEwM2EXcq8h#ubl$fURknwwanrtmoRd`icUA9>fJ zi66u^#t+4OGM8lt|FSf8-o!Zwi1wKA-Q@oZuK2%)AO3_#{^2-6 z*zGe4jaOIm6>6duEySdMf5!({#`rUsE(dZ+=A@D&memLgVq!zmy2 zRRW3;<51&}ImumdN526sRa^^w@{fXvGYc_913fC`YT5o$WGHDwex)>^wX%&g#2X=* zpTQH)`bhP$m)0S&@#PH`9xaCrf9f`es?}vWyTVnr(6%|z(33?xgX-4!v1yIyjdU~L z`ly>)+P4Rt3}s@;4|IMeMh{(WL__zhr)#{W#~ta4#^jnTMGM4@JL58P@(i+wghz#B z?pQ-;rBsU)^5s2%Bp>ZL?nQF z8>I!Pp8co|ZM<$ZO`#+q`HM!|n+H^tyq_&!ZBon+*i%zq&bGG~rvSS0u5#)h2Fami zQW#cQa)pKuOHzWDYRUV%Vniy4dm2A|RiVdLGB~AoG#sm@)oPd)mZTS%T~MrCGGgT% zmSdJP!*;sWTT#@&AAyoA8~$#cf=(zH&f%rcTXz*;$i2q_1-USi2@TletqQqbl_qU< z-s$u-cjZRy^VVT#B@A1DKA#fWWj4dHOUwL*lN(6F)({Mp*k4@OF68Rt-Rj;-r;B*M z)Xm;C!G=%O!z6jVbw~Hhh3#00+ofjhBdES!xK^)*GsVRvMEuB$yBnRGILY!n>9rWh zD?ygQ4yf7Eg=QOX;(&z-4bXG+(C~I8L`&>!4TtBvr&69WiPLUS^FEoS6Q|=GFZdU5xNxh=svb z&ZT76ltJ+HA-Pl?%W^Z?>_Jovw#puNYstXj9qT#2&o_h7n{3)loBSi{hMd2;>^PDb@0XJg@&NYQBY#%9qE@3=7k5X zmx)fN;Jq>S8xh>Rnrq#)G-ol#%H_2C@%NamW>ju>X8irEQ7Lgc70MT-zkdeasKQaWbs=BJ}e195^$hfa*{!>N|m{R>ju` zbuq+lPH~=5UR(z(95Wg?q*e^Y@a0vtoPxer6wq>(p(2xcIhpwQ0iMQFef;fN6XXNCI)s#t9221i}XX80aJepY+aa1phG25|7aD{ovepBs= z`evih#1m4HZd;e^$m*JR5O?-#kiKJ^mPTH-!Z0&?-ruyV>#9CCo|p5q6E0o9uWm0P zfjeVsiSw*x0=xz01LP%X^X9Rz{ zM#q>EESh~kRQZhq#lh<>q*0bTO{(dRXbb^l$j;`T_@!8 z|AH^%ndP=$tsBgj7IG^&!K*Qx{`@?Z61{YG@gSaqBD^~};;i`ZF(P5H$V}U>U^52$ z-bC+WtAI1jkxD+Wqlj{Z;7VcmYkaLrhn-@|4jQeWYEi`nO`1E?YSUitD&qUki3V=6 zV&5+(&Odl;9f=Z#0;uwDf+yz@Qra-XSjwT*%o{dyM(0WHql?QXwp9{xxN%|19X)u- zFH2m%J5T3%5qL^x3-e>3o+9|eKEn?x%C=4JhKzWQO|mB~S(E3?nX{>lm3(nm6L6KK zTEd?=^=0W@ri7-ycvR=BV*j>2B-7&Qz9bI`6Y+aN?N4fif;i9H$;;3Z2#-)7^=w1% z)O5#g{;uxmHe4xh@#(qFSe>L#+YV;oOS1Ra4~&Xtz`J_&$N>+#d{Y~mvOK#l1oth> z`+3wG#MW1RhH^vZly%MfcASNIv6pss+iRsskou)RMr~3~)2BW|Jgu|Wd*WaDU(D%K z^48U=e;@^fV!EA~$S19<*=SY=bQgN)xa1mCeSh;}Nq2Vrl0R|YeX-^B!Gm;YDa;fw zjCZQHBFM|4v-FWJz59eyUeGSME#>_#JqLvuay)xBxyAQNr3UbInxtUFZV!Q5j;-c4 znl+|h)mRZX$WZ>w+g+EWMRCk9m`eOx`TctMGo8Jy zPmeQQoGNQ3`vlh`&TlLe-*H}D%G!BJe_l}!H8{v3uExu}vproE4imgrW1Z{1@R2Q~ z!=+AqJ7Tlx8H^}|;ciIhGo&^}g58?9W9d-vP=3l>BD%7YuE+#8|&TNxiWYf$EP zMRIV+D&6-V216Uek%cy*qDdlCYUi9^WjoXtSBSs$iczy<*r|3e2{s|lgHIg^wlfKR zOphdsXx^6pKe60H036SliXWB-XstU@ajrDQ5RNQe#)z=Iw zDf+nvm;@k5RqMup(yA#X?@gAL zLUUAMjKs>qg)g@}t?E?X`5ZmzD)NU^;*ep?B9nXOdV_p8a`?kXLPI0m?kz3qJEY93 zMMWPr=O%34zQYG*tp~XcX&}83f@_)x;o49sCCIAezTy!zdrduj6R;2J^#+bMhz>?q zue-?IUc9d@&19p?AUfVey@o5r;_NkN+%+T?zRFlms^TGui3H#Ti46ELZ|8-ZvDLv5V)_*JOq1)zBlV_j_%at44YAczLP_U-%BZ(iNNc z|43Vv5HW!u3a*a5^Tt~HX*Ab$V|Yq9(xVqGc-lBH)D28&*kTQ zHdwTqQ#upLV?Pw>-RE}Rcok;4#7~fjQMaAuUM>UJ2LLydHX}?OO(b)9`-BM3dKn)3 zL#M&&EGe(>g0^++^d4$AT=c$UI{ZP@igO!C11AZkYb;0_a~97e29pM} zEcKn^nkTko3J4~&n@QS>sD(8#5LAw{ zw|ua9I=CU7KY~AI9bwve`RsvawTNylnk%%!t3>38LP6T` zFt8QJP7(Lp)>dz`n+evqV`<9+)bp15%KDK0<4gX%X_ChSbeFszrF&Qis^QMxPs=0~ z;UN`ntFd%_42hhRZ%}T|ie{I(iL7dQ)S*fmJ9N$?fELB7*NZSdF2pv|98ZZt&>RRdC#1T;)Z0=Ql&#LVHJr7@=t!}U>q%ZndxOCIPkPi50Z0yB zvt?i!YQj3|!|*01Z}rOkSfxUu@f#dBCrtofE1600QmKx3i;@QIz@x@gCeXVR^6lzBS-Jo8|DR>){yW|B|AgE4?~^wB z6Yce9|NkRQsyX`07xvdMsWqSgjqiaV6OfJS=z+SXYmmYbe_)@Dm+8hV+49c%lE=;H zn*RP<_XCWzJwu~>o~;ew85Xc1&CSbgMr?mqykSv7qZ>UJ)67#4rc}rb2*n~-(ExT3W@v3~ z+>_>k!u}zy3|XujahZ*s0?Sad)!w!3mp0x{GT9c6tE7fw43*|4m$P@4PaA&#x>e+7 zsUsD~l}lx|FggOAlY?5nOriTZ*okUX4!l&CCHV?c7fYXCHk2Li&!;ZFd~gxXkmGXP zx#@ab!sC-GmG(v(L;?cA%AT9zL=RW!)%zG#>{VZ0W`252Cm-Fb3fqXa-abEtBwGYV zaF(;ezd7>SAKGUuo#B@8F4JZwVivz#w_qS*qN&JP+{s}4#Qt4a^5avRjl9zJaiiHV zgnu9=6D~IPGS6q@EGq}Cnus5ru-(=4A4BE4HG?}HYZX*VLysJ!W7Sh;Q6uVriaC{D zZBvroeGyPZ>1e%Ebt-ps*_*kA|HZ~?!%tDmOl6Ml-m9hQVbX3ocpp9@H%>W^p6*6T z?#n=;=f+!|%QSsIzUOiE- zm_L=3IfMpUoi07CJXUhDD9z8_KTK8|_^`W1F@juC1@LM1iD%(y0pS?=U#rXcD~D_( z6WQeEkq-`jOGE&6IqotTYpZqbCL5jab|e+~ZlZhPK_^04IOheN-2jV#w_<@amQ|4f zh{&#njl9iN``cv_rP{zouuZIK+>2e3 z+$qOQ+r>OTsd6cYxl&6i)1_rT0i6_accCz0{A5Px?+h~IWp8cP$8-$!auZM4EXK3- zSOprCP$m$3ZRfAGL-E|^TFBx;1xJq(YcyW#q!iF3GF_klWz_z=#JIKKm@N(MdPy*K z7LitVlhRpas^YW{{mQMw&0v&F0XRY3GtbkfZf;I_gQvTlR`(T$yCRNwnYZC6ni2eZ zYH4?6DaRaep(iXE=H->8mo$&e$tB9?#$CkR_O$F{b;1zB*fZgUQ>4P04dxE@nO>twYE2*_~Och6v% z&IJ;lo~x0m&nTwGRI6G1?*m;8UE= zX#;eQz*vM~x0KV}qPeu>#9_)q5Dx1Z9nCaCrb`T^06&|2JJmFLN^fW!+WFw~OODD< zdGnn3^%#NJTuUpbK+Z8R0^zIM@l&Rwl?*AP2_IP2H`tl08-wD#ZcG9n%z>9JV(3%1 z2qQf3-Na#e<0#>5lnz2B;*^Mlc3{AmR$Jm(aD(v>miy2RW=|~^J_~*ppI#}hwFS&+ z{=F4|D4sgH&)XD4_%2Q0L~Q@X^aqqAg0PmdGun3f8MF?eSiibxu%)C|#+P)9@7)Xk zyu4SncR5~UTsluo7ynK=gY&y=;qU2=-+k%NHvIoZ&HSI~a{ehdg2h)w`|XplLS1xc zxy!8a7dS@iedIH33q7?$hrvBQEWvjs-5yGHXe|Cs2%gq|1^)2QI7VH(HA}>1q8nfF z$~KSNfE5@kh5W=0ujt0(kfjwte?4-s57Gk45AVRwAPgtI>gp3h_Fj@Bm`G^0fC6D5 z-bYx9?9z+mIe{#ynNQ>(f_5fSS%&iB-3(e%k?0cJ6tSdz8up5Yy4bhS{r0q1x9rOG+ zHlyIqPMvUf;#Un*eATTravsv|O~v4do%T$r1Hhd`CF}uV+vZD%D5&+NiIlwy<8SYDnpHZdHcOXg<2 zPqpz#R%gY$#RdPOEQ@$`IqfnxW9g}3?#ALvWqY>XWT6DEoOO#=;qBix>%9lI z*WpTGC;Lxif2IdVjSkNArsT8Faxz58+{NA3Ygm6ivJxBmeuPGaEV;o&LhPpg)5mw@ zcDVcV0yJ%&oz|q6dY8ZG{G3!MR3rnnmozvxX(s&HYd8kISVeRtmM+*3vKj4AR6|8iuF*|hSiVy8FBot>K_YtK3A(10i zGZ!s9qM0bFJ~!sjH@iH1hWa#M5X_-Eui?;_JAVAEv~f1|)a2*Su?|KF^bVeNgJX(p zgF zz3=lQlBl9ddqoigM-OlQ`iDL-V^f=Wbrg2`IVqIX`YbQ|l`6>bcdd#HjP<`EM(`ux zPs6UlxcZ%3h@S82|Ad6vS2w?0+?M1i&5g=Ei&MmM5mB55Ipw;D(QVDunPO)Gq8SQX z-Ojt*LoVm)sWA_Znk+I4oB=sw>GrG7&(+@M!HY|UPf$V?<4QQUi`+PeE(?Y8Yp2zX zg>0{+O<(v(B2sJ_80$@mP3PqcZQ?&Vy#5qV%wx3~@x}sQ&`J4ri`f%05OmzGh2=X+ zW*y>aCjlJJa&Xi#|MvYws&k_Q>_Jr2TE2qM3q-z3S@e#9VK=LYfc#mnLxIKni#Oub z+|UnJVx-cbKH-C9^0iTvHsW}-HdI)WvDU!gs=+QXPF{A*6YuV0Cr`_xHTOsNYm|@Q zBg?;64ytyoeildRO#eLAfjl^Unn}rHLP*YmFf=tqa*b?ynBuz)adh>$!tm@hI}Wub z;zZ>fouZ~{iiW4)4fiB1v_DjBaSVEZ_Hij2%Q=u=;OCtw9)ZHfuAM2*03yY@X3SQ{ zW6rX{@LT-jV7R+Kwcd?9y*qN{kKtuS4d=lpzQ%X5cPN||gI@(^KPCvenD*RG`S7;F{R~YPShOIU+=%F)oyO@Qk-;g19{fN9;WlSD1T$=uAyCB)Ie$`H8!KumqJ9{*1RT~A zFykEKI%C*L4sFsf%yM zWsM~Z8X)yFe-mmEMnt!g@GT$3{oRjtXl>w`{AzD-Sq3*%o{d|fRbzx0D^t&1z0GTo zUe|-ID87fveWcHjk%lL8oU?Fx(ZlZ8Hy+eFwnfvmOg_4 z0^g0YaS0xiQcDg%@jotfB>5JsDFB5fXu7r;~b~Wnj zQmzhVkui?tefBu4$`~ZC3^fR$z5HtgyR@fZrMEJ4LM6xyd1Tp!Kd$8pmHyC>ExneZ z9%>!V8YFHOB!Wv#u6Z-m-_Abv(E0d~kYum@p^y4Tt8{nJ@#viHj9P`UBW?LqpVN77 z;07jXHAAAXxSFVGAFX6;B%U%;bp}mX=Y~@ zo}yqNz};=CdSB`_n_*vWDdOe2XJYM28EX3eIvz1}!NhR;SR3&F`u7fR|1@W`zd6s} zp7(EG|1;L{prt_wN4tOdTY;3D)XqbnraqtDDg;W4lUz4u>rLhNC5835CY#DBBxv>9 z9c%7`|5798e}9?(_RsylU)R*H6774D$2TX>E=I+yn%74Pr*HT+E^l`R46u&Niho#h za(Gc*1@|YmrU!y60OJY$Y2|5U@N6| zNV275U>e-Suv_{iWVL5R18ljw{}zY_2h!E7fIZh4;x;Z2ooQaA3NrQYG`-5XLHBU( zPET3A%-NGRKD(FRJk49p_S-o!$uxEHE$ek(<)$)hvf*A+_uhW_t=F_a^cWJ38%0Nr#59q^Cn+xv&d5k5cl%P;WY6{cG4p#>|)5F2rc zSaa+Wlfhav;VYgXCh7<`ab+`6);3pUS;eA{TC9b{Q{&BN7zg7j|g74{BMdcI*&R+NO)rZoy9jx7l7@3~Vp(K1b08vKOeNA&YpO7Jy zKxx~c{js7je@oZ;f!6C|KKo;B331fp_TR}q+5i>d1T~m<`YM&`IBQ+M#ZW{eifRU0 zBPW4r8u6r&`#_-1eJqc3=`A>|@3C6u*Zs~B&jvq98dJ{q?+evNJRuYLfRRAq@>qeP z(LS*tsibnnk zU-Y}boHiydFu4BOjoiDFEMkqxTdHnZ`3}wqu5ZW>CN1lDROZ98+-~W6FCg8Z=9B( zn{%_zH#!hgPTQ?d?|2m(IA0x;#DM5N6YcmtGxQAeKIIHA4yi1mDw51Nu&wIfM2{`5 zW4lH7MO#}@QcNToEMat85%{4n49a+4VA@=(S5dLszT(`>a$Hqr#dz%f!exYmsZ-XW zY{ z%YxG)Dcw?KxOlL-ou_x$OXjs2;(9OL-;7$CsZ9JVALqqKqCmpTl=!mBf#3OvYoDG% zRytHd9+7V_SXx=$OEFtpQgJ0o$>hu`WlmIdK(__OLqS{{diR`2b-=W@ zwxj(Dv*r(I0vGHW+S&D}kjh)czvEf+0Da;5^A8BCw}rLtcOqKKc+3q8J#EAU3Kuwj>RSsn4o`2dxN23SDS| z&~6@PNA8Pt{uQ^-1g7-w?^{#9#RtCeMTdicRO)Y>C<#g%|9~j&WG8)|{m|cc(zi-4 zsuJ|`+W)PYZ!pTZT-QG+#x6Oqi%nVC35^^w_=%|M{R5&J*nn$|sRh5Uomy2%IeSYY z__iR56WfOORha15=|fNyP=CebL4gsm`uo-yyVNe2kC@Fw;i6KRS-ZJ2J28ZP@$0b$ z8n>i%{)8XLzF11TZQ+uo6w_q->0Jv~h5DPs6+8$`-^Rv!jUI~SZ3b;V?-jmwZ>JF& z5m2j}If9p8bO&+3uX`NwnC}nE9QN?YvGW;&1Ug={2~8hWx}Jn_u99f?xSwq3<7Us! zFILoX((m{tME}4DK<5)>JCvadT+0dfN+~=Y>h+_6PF*et?wJOy3}*-t=^RDAJmCsX z)=hI)=o1e#3XWWw^?PQaXLxjYpRvI;A`DYzYMv>-7+|S^ushrq(gHWz4psEtWESz8~9H*S(HL=4Hslxpzpz4gDCE-q|+VghUjM z4^vT}ExN+umS(Jcmr~DS3KQr=S`T#jEH2eun~FZ@e#XnZ!qgpcV)s}aZ1pgRnm~!+s?vFb zSo_Pq-(P@c z!2F3<6@BRkf_UETP^@iMN|bat-)~L^NPa_Js|9zGWi!lh3;8}bRQeRA)>3QibB6Vi z=w%a2_`6?(>sxDPNgdfzQ_#0ASKyDcg1&D}1bl;aYOQtCpF0@J_8TV(1UV*TnnABO zzclDAWj~n9J7w7}{$kRaEncDjstMFNsbCT-%OCnMuS=n?cvQBBEzm{%A)x6Sn=G2} zaokuXw;~gs8rc~t?ejIaiG4tt9I#f+5Q3dV!Iqng({5QsaY*JzJ0m!TyP|3?oPj~! zoCSwg(8QM~aXWOE7Z8oA@a-P=#cfo%SAF&4^l;x$4{Ia#DEEj2;}}>%=9E244D^MD zj8vGG6d{%$A3uD_PO(mop8ngsb9FIiUaK9E{0C(A2W0EBYJnPAz4C{;9j%`GE;o(& zT;<|kR)E#UkC@wL?cp}uo3~R2gVniXvWZ?fuXigXT&riQTUIK0Q!A$ z@SPpNELnLvd8Y~7ipB8fU>A#7D<4+$6gv_2<6%w<7)vsMEz-|B-9AUCDD;fW-Mm!L_y&|5RO;em4a57?HF; zxde1tZYeQ5^T`i7r}*Q)z0(0H93{YNbESAs)jK5JU_+X%R5@3{9fj6J)yl_klI_>( z-#YKN9`Qr@&sU0OcgNe;Z9RerBhD-~m2PP>EZ!b$`;B&ycphQ2v!&AnDD7J6%^{`T z>|ApwDr1;QJb0-anI_T+OfX)yd37reZHM&=BM8=ID9BbM{R!_3kZHCh`0m=V^hc{d zExmmB4V%$z^yFwvwq+9w@6bW?QM%ISJkX?x_amlZBo3#SZjg-g#O4&dqkCZU9~o1Eb1}>Bb1QfPBm5cn}R_2 zr$oM|Oc?Pc!}BzE?I9rdBps_9{JZz-5#S!!Y;}RPSHzS(&t-(xr&?v|I(0luFt^__+iTA=*%WL@6Za@+Nr^Eh|RZcvme{$E`1-Od^t}AGc?YZl%Jq< zOv8x>v=oiAvDE9m3_a~T_UrDcJG`zV>Z$w71zVl)NV|0^D(Q5DDxwMo0go^<_Iy;mgi#k$2A4hPC;k#S9w#*h)G>L7(3 z(wzH@a*wF%^rJa3;hZ}vw;T^G%MWK%p5s^w^v?$H0vvkrCtE&GfPVPnX$0pj2^6^yU8IItVS} zU?Qb4X7X2lbwjNGvC&Sw45c1RzL1Iao zE?ZDYNO}GHtam*l73+%-mmeJt&!x22=K{aFic*$W(Hg0kuV)n2+ZZm})&--Qm0l7K zy}08#t127Oz9RIVzf(C#(upfmQ8rqQiH^h1dVQ$eI&)FbU|nH!S*Xj)Uq;(V&19RO zBL0CEYVQ741<|P1u)?TPmfD;xX|^Gp_PcFHHq&i?+<~inihEyJ_gbVes!sOc#! zovg1Dm+RrfwbaV_jV{9dvht=DxtXj1iHevsJaA7iLY&oTP&- zaP%GV)MCo9x4L4yttM;B!m1C>s0&*gQtWGFXMx$Yeg0`ZGtcws*Utw%t zE=Hs@7rEb>$!4z4r`S)Y&rz}g6}XYaP~xrAAtbeH%Qh}EANNIBUz)c#e8AFn8v!pI zwh!raZ8#+{G-#bZJrp6X8=JW*eY6Qt3l99?Vu;n(t7njYzXh@NcINJ#v^b{4ufhJT z8i|7*g0bbKTtOe&elFxc?{x?aOv>-cjj9oL8LWYx_>(J(Dk2LEvCCVxuLWw0dO^Wm zASVSJAy-;;YwdY(_UU4M7D~JRw@-{!b7j4GXnP&h4W%z-+YC;*=V=RBlqMNs>+E-p z*-@FRKTD20JKr6m@ou#g`BHaVg{`+o^~LBj@yimLkNl$azUpQJ(rMTX2?keLg+hgp zU?2WXe2`cS3~T@AF**v>cy(hv!IeQOqyTPogJveT7){*!oySqXHQq*z!aZLrR}R$E zH;rzkUpGOmZt4;z1Y%)0U5Qat?EC>LB@#ey4dTwfc? z&XX%2%l=*F2NnA-P4oZ|2*lNK$5JHRvKhlTW}aqg!Z3Xz5T9o<7SI3Uk=fa@>@VX- zneh*Ee5-!;(^`FVH-ct3d4Yj$E7A&%P22#&T^(vE#tq|N)^&y29oE_cn&&x|GXoAquM`gCuPcEY$#Qk3Bz!T)Z{*W>uJn<>Yd3wPjnxCD!o&8fxj0nFLb|rzo_Ilb z%$Y)&DP`tvjL+%U=JA;VPTyNYwh%uC z=h*-e)5*GhS0&dMHazriLte|fjsHjyl6=|5k9L8TXNaw2sAeedQifLYT6n;PXKKW8 z4@@bY<-Edx%v-A>v>y0=D8*P)KmBd3yC*z)QyTj5VKD~%x5z!$3isFJ7hy9(zAs@@ zYBIQuDKd|d z^h3k~o+4w=3xk+0Y}y_JM0+%k2|_Wb@=mdYeMYE-`cv@G(9$TPtYKRAKp8N<6LXXy zx>FdJc)tV9mUs#Hwt>L*9i3Gpo33HfEtQ)A`F_9m*;;{{5ud8b(awk7Fgjv7+s0NI^Td{!+WMOE0#nIvDLShd#K|9JJ3#j`Wt!cP z9((kvkhyNQZa44(58GFk8we#|R<@DIaR;{D(%S5^o-x{bNCywN#}8{J&-3xXtu(ZYK@+Z<5lZG=(&1F#NEEHUN2sB~ z>OC2T>N_)qP*GkoW>3XYY!Kva!m$N<8PEv|=-1uy))wm?kS&ZQxjz_8yM+CUgkbRL zcg8RFCcFxM7@nu8n2TQM)JBAiTc@W0tDCNmxXoT2(;R=nQe<#7eS~JZe+*AtA{XKbzAkc`^7nJtrY6 z?!Runt$of`5oee`sP2+enRecCG3-l#TJ+9?;*gR zIp&6jJzoP)lB_RqbcMjHCR$XQYd*X?QHe+|NI?tAjqN~5;_$bnSGCQ%uL zX2=6FLDqI%`LQBKuEKn2%tp_iam?gDdh*!`ZLfcY?t{MI}nPJDQIcQey>)#^~{skIUNq|nJ@1Iz0uf(Y}q+l+bg!I(&J zezh+DholhnZ8rOQke4s%PBsp*Y`vxRStrb{enW+6!~0%%*7Caz?|wl9E=B;cPOU>G zod;>ZN6W_Kfj>F71nv4NnS9=24@1z-?k!)Xk@u?f;4K9~+Ml0 zo9)qM1s?uA2|9Zko@SFXF1-ntam#{9=u9Qgk6?;6S0moMfq;WbH$4ozKn}J*jkYGK zH{UO^rAm0Lfu?kW zjt|j|B5BsrO7X>V$F+B4BJ(yk2?^Dbh*w5qmi7)YX}4B6laTv5XuGioAlCf%{=-N$ zj{e=ZP*+U<9iuG&v6EvHfWmxQ{OkYf@#MT+@sl&Jwbg4@aA3OB7;sHWHuR)l#bewK za?Dfx2|ZM)?oNQ&Xri(trh5*d4=Ky^?C;(1iWSjKV7tlTk01QCZJwsCxc5%rTGZ?Y z!Fb`c)KDZ<#qAQg3|P$v&tKL=7gd_;CsN7V-99@%a-qkA3P=U!8yFlUaC`OUKg)7x zNgn2lWejsb9h}K9_n+3!He zi-=XHW+z;InrXdWf#%@XW*Noxoc;TGs#yf;g-pTLtH<4Owu!YAp=TwnLFzIiniS}$2ZOBu|W-jx9oMd{y}6; zRuhLt)GARGkU6s6#Swo-Im_DyiH{`t5}D{L0NxLN1f|@NG{3-~x9!14Ym zI=Tl2_9ptyn5;f*C%DkMS$towH^<(+SQNC_A5cvDT->BVOamM3^f}W0R(yk^b`ij9 z6z>?P?rVq6`L;ZrZUA$m?d+rDzSGS`QUJEaVVZHFC-We??HR*_SGKJ0*$P^2D&Q4= zjnR?aZ&pI|G^bz?V0x>?tP$evWmC2zP|5in)&;BGh-G55}73vS`6bI$hOWepG=u!V|m0;y96zs(~ASC3YS zE!w!-? zNh-IOn6wu9Zlr9|T`Nk!An**pJMz%{#%>)I1Ur;vuf-6NiM{y{M}) zlK}BYNqw!p9K{~qDpU2#VBMPfvr8WRfm@pu6MB)scL{d|>kb8RUmsnMm>T*>A$n#* z0KwmWM%}X2Wj!9!Z-V{2*i8Fbqp%fQOfpyxp+@+#Z@hRzq$LwKux^Hq;YOsX3X-UMyx+g1C-=aJ~ zgE-QbWv--ilY$Nk@@#`R6Gj4(+9*0sh--#=-MIOL%MDup#|Aj}k+{=wDL@{Sxc|xF zv0a6Iw245#jJk}iv&i9)#O|63sQCNq5bEuZHJ+c#9Ap^g%6!BTrp3W`XvN5nHqDU~ zy1bOB+i6QlPdkoO=p>(Wj)g&q*V_s9MABIk3iP`QR+P?`^cqZKwH!!mXtHrYCPi3$ zGIU_ibycs`o@~6F?mwU>8|?`~Pg2$EYMUB}s}M^QZ_%gQGRmOMr%q}U zzt$bN%5n*LrY`W+JDMY=?Jn4Tw0S3~bt2Axgz&0O(G&#b(j_!U$EEQ7D)v~pxl<{y z@QRH>z~*{?D(?n0m&uv4Bs?lr82ZAK!nyE^-oX_^y96K|JzYP<-6&>mb!#qW>-UXOyc^Q0%H&-P@ zZC_)wnsA;5t?iMNM(Nr5Z_7l6E(fO$Y?|r(I@w)!dfsU35U~IjbGt3OzmMY7==^&X2>ykS#j`@?eXDf2_HWy|}SuRAP>M zGkN@WlUmo*l7V|IHd=+w_weT*P)6||P?G?Va9CD9eMg&T<%h5S5Ug|4{6G%B8Fn+t z%M|`2+WrxBOw_28*%c16e9*wM#O zv;ZjLh6HT89pdg;tSB!7NT)&G$C{{+n9!KlA)g zSO%KmBGnhJExX}&^y2i+m{JCtZO(H@e=btIf0)LF_BX*=&86FZRQ~-a zMV_F|{3nH?|LzKC@j^DlRr&Y1pT-(0>Ly@R@2A*OHd>s?#)p}Hi2fLJJlND3q?@ef ze>HO*A?>dZ@D9`lB6zA=7Sx%nfOx z=%S;f=6^81{zpQO|3K|Yr@cWRa^At5N=Mid#2(Qvu&v+tSTw&j z<7?4DvTF$jU;Xi+BII$$f{P`WZ%rMGWocxVEAu!7bZ+$W!yq zTJ`19oWa#HDKDSLZ>61TtVi@O%^!QZ+MC*3lh^jx+kIQ+t|ZoGLuW?3V9<=AoBD8y zF+dPlWH9_$osYNbX*z7UFFNe;w|)mF;Tz*2J8WvFE?8YVriZptd*tnF#B79#HoE`eveP$k?nZrwc-y5CIch0uOhkjIo^g)l)TqcslJ?M zf8W*dzL1onGMxQ;MkU$N z=Qq$;;m!%wsJ z!ERS{*b_;L%6Dq%YK6t%Hz?JD7+%v@)pDhfj88R1SPKN8eXWaC43BpC)3A_ByeRt+ z6s=NKqOdKkd42YoForS+brLXAc%are z{AxFhlF|qo%k@q_+!$iHlPAAPmkF8iYZU`3S=oD?E_6SdJ109p+`b^O%E*QuYJ@%L zb>j=HfuL%0*yHw>o?3`hhJS%aFdXGOt-h16^|G=}zszQ&Bjk3`SlZgr1z$M7yE@I=b~D3qpv(n zTy&Pb0Z#$rs{yDxdFxR;G#k?vHTDs9Cqbn-yRq3^1Q+wqZ!1pO`ZQlbl@5)R%-4cw7e39SX#_v$ z(s&C$r+W zVW<(ZY~IMuX=K5|esjdk3X65V_yC&Oxzdu~Da9!0S05=1W&yL0_7nB!m433XVMS!& zrbT3lSn1p8YB2R=PB1Zsuml*+vu*WyYhVslg?pu2fl1dwsjoF!+|$g(WO z_fvig{Y=M`qe>xeF(3Y{meyh8Is)0(_=X^J+k`F)G(1sRvZNFix%lcQrdLKy-XS`difE80z6SV@>0i(%F8RA`r8z zvCD)hb?Jm6YDL6`m3G*cSZaHbN7yYG>$-NDH8wdZJ%pHMnKEaS8e}V?7ydQMJO@V! zs)xNpmgy#GAZ1U{HCnwF(!GcDRZ>DXUhcYtzgi(w;VjzPKcEWv7$)h~t(e=i8f^5yQGV|T z#@Lskue1P~-p|pg=+9m>k8kEud>;G(rN{jNnPe9NmG$0()KDoFkJtl1eBah;T&z2? z!BB3o`*Bt3v>l>q>n;BVD?06^<`lrqH)0BFR?%%7>w)T037 zL;q9vb>TE`d-5xnVomK}>bHIIVaDhsY(Zi)@m@2F%g$PIhq6909d+O5b{2)w=+W~Of;aib+ItTj46$F^e<972<+o#x{iDH_(5 zN5YA9TAA6+-mA<{;#V+NbU|c{h=(YhF5X0i|x@1%72mE z|C>7V?=`ajC%NuwCK!tqwg~)eBpM|4CS9i6L=v^9-SKF;+gK4*CA9D<`5gHUKe=&^ zy%__q-<50p6YFK?-$kuE;GujEKNaf=M+a6d@y)5}fLEz#N=ytv30s0;d76ZbApJSl zLt5(o$PIO2)S*M|&+s3OEymI17D}W1xSw8@h<_^$QqaC*nloa!-;I$P5&E&8*ZJy7 zCN_>q!fFcgKJ;NQ*B9O(xw1S<`h^wWktIOaGh^3~QC5@T4j-MCA6Otcw*8S5UCok} zwWt;F@INu({fEbH`^clhHrsJl?}0DdeXY{Mlzj$K)49MZ{ij7pds=2pqok%&JF&lW zvBmYN55z5fCM^Em&2tXdmW`I+Q?}-A(NQ!J4(OodUkkW@E9CyCi@AtX^R5_?Zn1%x z?=wR1$M0N~b{i*7U^A6(1?oSeKMh#&?DaitsMPLi<3%l;u0}ycuISlr(U1K1>FiFV z$HE7$-C|lCV~eGxLC8Do^%;cC3VEu!ehi&Muf?vLdvY3tl5$`P>^{=+ViadzcZC{h z2}}!?^vYHby(&i9*3E?UubWk#|9ZfPf9Rkc)|Hm^zrSiQs-LG zktnU$PRk(BFT2=(`OTZQp-Kq0RWxXy6|55FS-#(eF*lMZZo`w)oHS)WmA1%m_hGY^ z1K3k+RF%~nRekGDb)Gdj&JW3}D4*GyLGmI5f4O6?5*v+qU~F*D3iA|{c3`|VnpquN$KeQ|3ImvU*WYl<-q{qRCtffB=y6x^*> zPDL1YDQN^}_O_Lp)o;>bz^myE2{(ur2<%nLeT474j!G`S6O8+HtxY~q&}VIL!4fmB zUPBT>@H%sI~OGx^bSS8&$T3Nw!`a}(mOXGZx)T@HrIF&5bGYaOf z)~J>+orp|oh4z}6^Q63}Z0Y)~+7`BcFQQm)$z1=lK5|m{ThMTLAes#b_t!2+b1q$U zD^?_dbc>lW1yqgBhTaZJ_XRlv(7a9 znU_Lg1wKrOtF#}wqY%C2nV2Z%6feV_eC8{+$|l$V_2d3AfagQe<3$VTa#HFtwbU!OV7&E9@&JJPkGm%P zh@rU>(ScsBB&5o^8KSR;K7wy?-bjC6z~78oKVqi8$rwFNM``tt+MA@0`Pd{|)obPn z=sip5i=c>nlJ@)<;c8X zZ6rR$?nx!-b1%LVm^B~I61gwZKlHGBeICS<2BA6aU^t%wECgXdM%cOvJ-}GD1w1u| zoY}4#ilxe=vj^RVc$Wto(ecir(UXp?PD1O4=t(S6V3o-niL(xlO>Q;MML(NLlyP7X zc@bHw1-ziA_K})^nwRaRht|!RsWPZvxrAy&>S=0ntBGK}D;*sC5DJ=D`vU@5`cbrJ zyj5w{)|@ewBW|0iqB<}QZ}6$->8x}dcMi_S+VprRXI50eRBoBPZg2E*{op2FT7&UUJbIb#lQuuVB9i4Q|}Y zCd;eaaIqg11P9^#4%R9GeQ(r1iST*_CJ|J}()j}_wjzf=&(_JgcFQ*4VWn6Lbq){? zjwetP#cbn72C{|SDVFc&%%3bOo%VWx*f+`B;!07n2KATN8N=YHm|JkL- zKQ#9J|J(3i-rX59t9(v7NglHfXHtc`l9WlFlDiuL0wtU{%}?s(oXvAZa0_cN ztmprLT3smJIUy8ZNX4C4mRrBepx>$;_JEra+sh-NDbRtka*$wOX+=r$!{%&?Va@m- zXd+}hafE+o1?L)bm8p9$pE-bEX)9A(Rvx4|>569veqB3VcjI%&)&Fh-S2%f< zy2MlzaGF)bFssn!LO`woM?-EgfVJq^tndePb9kj}v^d;{I5~5--KDNhiq0QnC1Tu2dr#~~kC1W@#IO{z_6l+3j49Rs*uj)%YG&PyToMj(54MzHH zr9MQji3dp%2#mO_@FOI=E_+k`3lH4A$Z8AA`YgRINE>>8Va05I)Er+|BXoGKD2Uc? znJ(Gh6&H!39KRD``MoE0p9NDcR(3e=v1Ms4D=#%jc@xU%;Gj(7(kG2DSvQNQykkN1 z?9@t?v7B` zW9EsXi}tf+EO_XuShp>Dml3a2{i6X?7k2hQbBBX{|;6* zsq|5rsq&FHtS-;53Buhn;;(YZcf|Ni1M)mPKpXQ>n7pU}Z-6^#MU>Kb>O9|T#R?Sm zGrlE)yeY8x2E)O0YFx|w)RaSow0?s)uH9V4+OJ+BBrx#cHS|7mT2^6*J*J$`|6#`L zGe{AACNoB(U2{N(UZ2t9hci=mGub=UdAcl*A1Rld!20DC$hOOFylKxFKASh!M%Ph+ zTzxxd|19GOvO=5GHMvmkAx`&hN0#-tk=ew)&07OQ8to1+-}hfP5BmeE;qe>0&o{L% zG4wml8O~N>TKNOw6CK4y2f2~j_g$md>#{K?D$)eqVq#|QGZ_!g9*6z`Y1_?XIJ7@M zCs329ovplkfmpu&0_DSsDY164=CFF@R?}J|V+_&MRQlQOZ2^XQzWA-JE<@+LCMn%9 z_dD_c=9ddw4d?05ZkYyxHSJ!nho$`Tq{L4CpjI4tY7Ykt66i1V{eTdHHQPBL)pBl% zT#PK)wLB+{T`|$KvrBwQ1zqd@d!(50Be&@ylH0P!pB^9Hi{~X zN;NQ6LHpy?o=GDt#R-DwSynn6ft$i>!0aUezIc*7jkb#-Mj94+^D$$t|hR^6QmL`G>8#^P1Yflx*Hmh*&*e9!w>#3h1mFYHb)ee?!p7Fza zyDKlZG0K6Se{h$Abh@}ROKWtX&XBqfHk-4qr5$ZKgu$;u!grgn^qjX8jlos4PiWG* zolvksvGcc7jIq#4(tY&lK#`%I^6jFQY#oulc;Gu({LCQla~T@7`t=Iwb^8b?wfEY2 z$)cWBdZ(o}%pF#k%`yAgc_%p`<56@Vm= zZ#0NbS~}eah!KzOL@KSWvn87l*HQKoQeC}z(e50y<=PyAAM5IB~~y zs^8g6mp}{Kr{k@gh6`m_Ruw1PY4XejlZ-0)DJ>B=c=Wha^>s-~ZOS21k_y!Cv&`4; zKdowMn4If56FUud{}j(`V!7F^u23iTP`owo^CLcGTZHBu5jObe-{=FLpi)oiSC)j~ zyq;^iLNW_l}Jo5#(Wxl5a=KNl&)#wqJ$)Uhs$K{9e6tUui{^?t9tB zCK!{(CY#!tm;xY=w?zv36mwFD255l!Ub*Z8+NK}-MBOs>CR+zwTD}@mT@!cvu|W9r zi-u~=)?f7L1s|OnU^E!wxyg0H?pt+>bw)41CN7aGdUwzl4rRKp1x5(SB>0N@751X^ z#9hUTe-2UHi5<1urX+!HnRZXQ;vF*`>8y*rG+=`S6MnCIvnX=o_vy(pgh_PNF(F3} zbjqdvz_MW#{m91@bL9tpq>YadExaL*_9C}udy%XBrQ`}Y^{g~`v7ct^+^BW^V#-H1 z9|`WhZgz#H77g&iKc_q&vonjKY{>3L@Jn(<)*=;4hRE#C3er|d-HMLc#Fahyv8y8 z{{tO$$C!pK&0g#5aagleQ3S?z37s*=HDRUK&kc*mi`ZiTz?%` zRtng!X?}sEp517ju8}+eN?cOS4-a(H!ZkH9su@7a4`SYH)K8YPK-*IXA#tGi!nAMk zrKPzf!^1C2OIRX650prg3iq$IuTQPTE{rt0J%zO}gLx9;Wz87kr)m-@1D~R?e+fEa z$Iwa_IMmiSXk)93C{z0cy!wiYtruua^f53{Y#YJ_y-i>-@W*v?MScp+ts8* z=Ccf2R-}pC{f4G?Q16K`+8OG-4(=7Z zj*@t>&Tb6x6+4%C$CxPBsB#rSCd_cm`M`wc2+r1|7?>2W8y$&9g!Y7+p z5m(8E!``l$0!hKV++dVbaU8{|;y*6M# zoG)nA<+UcRG-s(me10IT^;WT_Zpx)hoJWj(qZSfj62b)H%%z|}hXrtXcz08ohr4{9 zamgr5AAmgAdcyu;J$dG*W*|00fV`vnX_$iN_Oi?boC=MHKpJVJn{ zBv)a9qMp0}lMMI^QmLl=q&U!iXK=&O{EFygX`~*PT0w!|aivL>7Lei4%hycuTmVre zFs&RKx7jn;%6;N~uErCxSowJa_M=Tvcsl6f8G&`WeT1OCq5i9u*mLz}!_zlu9ke(M zXE+Q&gbYFW7IRM#oxTrGWT8NdSlAP(USgo^ZRv1igx88pdzkVu=lST&jb}g292kg` zT|;3{2%S)mZMN4T7rXUbTf-vlD~>j6nm|R9U$_LYSf4)(q~L7#o^1gl1X0Ybr|z9q zgok=%*lpQt$~l3p`3alL0U(T{QP7DD?Fi}ais)%deu34b)())$BwcjXOQmE{c*<_E@2*~4}eU|#vt2V(V zx*9VNGOIv7R<56u!t;2qHcix84ik#Kq}a82`}6P^6J%?#!c`4u**ByK_2r!)&EyMh zlV_aat7Fm4HVlal*e}qt(<+7{zBfQpyu)tWn`&MJ62oJR#E(bjWC zD1HC<R*APUATpQ3J|jR2f)E!;K6^?sW+GYcf!d36L0uu{Qgf^Mj2zLtBRYs zvBT4^j8|OEl6{D${sKt7(&PE*r(h4d04s|n?OTYZh6)J?Bc4;gHJ5oYkeUuvQuf{k)N`K%@$s-NCTmC!Hwcptm0PKASp{UU}2eb|$ z=DV6pb=w=>SuTkNb3PNznS%oj=1lp8KniMG&3g7~&L;!$JeyqIK1+^=QZ9-_dF%>I z!mN~6^AW5X>Ca)2QF#WRo-94r&C>6nV34uD$`XbZo6US9Td|v6dW{M+`?GM{Pq`!j zs^?`2ta<7Ez5^>Dfk#~}Zg-QQqpX?3 z#G!?jqw1rtq!)biO|jSQl_;zky&Y2lefciUGgtE0@V$pg4s3SZyb8ED7#=r)(!!Q5 zI2dV}x2x$YDKfz?_hl@HZ%)^e?1*)ihCrTq;5M@{^PT};Kn;|1I1DI}Afoh}Mm{N7 zqm-nQ7B?)+tGG^e^p)Be%ejSYdI&zn?CWEL0Cb@r67SB3Y{ZfZ9A}2R5Ghl%xb$|_ zjA`#U5W|qc=*tacLopD^14) z?1*PNJPi9RhQ*uoObA4z9=MlQGh$8&Pn*$J+e+VurBF(^RVSmzQeg@AdN|$=M@H=O}uh64oOXbC=3bTk#h} zH>e9lfT-!g%1Bo(Q-G!gW&muU$q&{n71`G=$vn2&JZ^iZskX5>i9_AfagEaCngZ?k z3v)~xOGs-M42-&AKn)0nf1*cfXxEj(av}&mp7zO2seEu?$vTJ0JDpm7`K*9`@w$4U z`;>B9I}uHaur?~ROww2i^5UV(%Bu*ov~{jgQYyu-1+jwI#8Ykrxp?i1c^9xD#}}db&4T6n$^$ZZ{>}MpS?#NfS)IO`pDPwyNi#> zkyyqmw&sFNVtqbMOZ?#%hpa*&UNpdT$(O$4#naKgD_$hnL!|Cfc|ONdGYMx z%>NsTabQ&`RYtX@A|#ucu_30+zx|3sET69hOfFs$0PbNbG`OX0s*dgNvsi?%4bfPA z%4480p)t8O)>L2pr7Z2>!mVikg$#4~qn0Nm1PRPA5NSU`W)=L#%g9?IJy@VmZ?1-~ ziHMTMFC38LbUczY?LN!6p|aT`DKO^4i0JDuAe-P<7%b)@#uqz@RrqvMrZl`BWb)#x z4SWB+ftVCyYZ-)FjvV}f+vgoFc}=)*XO4p%d2I4SA6;M=1grn&PErPyD?Fj+?u9p6q~l4a>8F(*=87w zMbJO!(akq@w*h0)+hrBw$pN<#7$q_2j6oX049Iqu;xL`blYv_bi5e3nMf?0)@A#fS zT4uoV6*-KRqS#FW4S%dH!A9)1NecSwc<~gp_}uP#A4{Y%Go`L96E?H2-eWCg~|6NSh!#=3hZM$uo_ZtmQ*_?tB2UnCs= z3}wonkMT#o{~70T<#&}Z^UF9{pH-W^Cci@Yfahg>);#12`7t;!|6jLyEw*mg;@e4z zLNX;iADtU216)SmIR@ct#ssx9UEfezf8?8o4KklEWYPkK5+d>|E9!PLEaQ}jAELMq zU%tB=U1Aytjd>>MD#A_T?jYu(L7H7b_WH~0OdAQ=Fe5;@Wq1}r@`By(D*(_Y<@%Q{ zN&mWx1&DBVXcjv5$qJr&OChB4UbO?dc4_~9vAcI!lt40=XLiIsgWCgmS-Jp$YsnWx zz9hJ`8dZ)ez!OAeO;HQVgF)r))Z~XrzeSn+A-Hh=H_U#xRZwnk+|oom2m0-7$I-1bO)>j>3dQBB2s^;@ z&H$+q)_HGsmNjJM%O&UO9!rD)+Z>2{zpKxVleoo|lo@mbN72*?E{EE4PTuExG%`v4 zcG0@(tiYaCR>URr>f-{z`w7cenN31L%i>za25wGlhJxe_5PTrA3-_LOL z!T!vC=$R@W!cGa+9K7!wK{rb6Da?ddN#eChQ%=9i8mqXQv{LAW?~>~VT`isBqU7fx zmNv4p;qCs^O+1qo?Tg2*@wRhWqWsB>l_3dI!qWOv---=Sdnb6lxadZ1m2ZZyRs!o& z8Dp$BtkDj$zKnk37mC7F<20hcypp7JWylrQ_@*=E>ZwQ6ps$9AjNLkO&T z;@A5XAab-4@B#~Y-|p{E+=*z&4U4{#UqIZ29qAqDyhPq0k?$6OCMwwrGpvA^yCwCh z)uC2%60tnbn)~G(V!rlB1cDsE2F>1uHLxx$ZdY*gWCvr#0Ed* z0@@O5bOe)nW;--lpWioy1b=c1nqim(@HyiV)t#n~D^x^zg`U}+z6+3|z)^%Jq<^%k zCKKIHC|DIs=je`7^KCP-t8B8*qcfp=I-l@T!5~0j@H?pE@H;3UKyk!PjL-*9lIz|n z$h58t$|-X5IqRJ<_O{neRkR{7}rg%m$}t)ev=c ze>=ueo;XnbQHNGeE0ecTJueNhaVa6Tym0Bg)%I}I&XlQ!QR0&;);O4AmX`H7!lppl zj(qM`d$eT_1BkcHfuyu9V0#!kt)&4cm#T%62QgQVnTB#boprM-GVAa5JakTnA`0&szZ zOqa_JRc_p~L&*DZD3f2T=QZ&^*3)=>uw^Y*quvMc0dfollu~nQmT53R* zDo`B!dB;P4V4$NTC`U5mHW{NCFKH52cKWMG#I!rb;B|{71lgq=%=OJ}SZQ_5q&R2y z>9*sB@PS{%#}7)N*9lsVH-v?UC^K*y^q4)&yPAWK^;#%uCOIoPs6R-x@jHBSVqk5e zRKcmu{R8K&ad>UoEvsFUuM#d4?yP5XRYG)7PmfkoY@#mCtFXx=a_0*4l?8|{M<5{~ zok*OqE;tx@7XXN|Ca&roZe8a#ky>&mjaKwi5(!{m1t-I6S0)*h;E?outH(}O{ zRod-+u8bkuMA4dGYE%#_-VE629B3imq$w6I(JQ7ROi-qu zY5%s5KjAb;7fN#s4ge ziom~0F^=-$wBTykXNmn@CC1=Liq*GQj{2AYv}G;BmBF2i(rbLNQaz+zx3g70ZS~fL zb#NCs@4MzIQF4~pBfmVAGNyu4*{;dGZEgdMK#;0PQ>R?|WaSZ89bH!)?)QX}PsVA( zsz_hRa8Q3@{FC!m>t3Wl6lEyXT1{V%9vV6|I}pFbsP3EFUAH9?RJ4nsE!`;g$lpZj zfUl_o`Tm2CK{p(L{36*7C(Hfe*VR)r9ZBuESYCp4~){Xd~wdD$f zXxD8lO25m-s7Kot88@`{7lprrGChGjtt8<08ohPt8VTMqfGwd30j}zr=r0=L|9_kR zYwph@y>!aH@&VXX5WO*u{_I+p^$jSBFhKSH1CNbekB8I>%>di)Ecm>YAgF7)yQpwF z`>C2((#HG^n`U*m%X^xGEyAnyy8odo|Fuj137m>N~&%N~-t%CVx)=_I~ojcu@O z-`nBV{ZZ91RAP|pHd-9tLAUMReg`d93>P*p$<@_>W0gprTvqiBt>A2eW{3H6`6Z~v zlq=m$A{PWdm@)M5r5WhpVme2*mkR=D)J6+HNae5V$Uozgw6_b;G3W}RrCuNY_95vB zlYN3~;gg5PG}tK#_6qb(O9^JFBrY0Snq&Je-t1?}i=Jggy@lL1lNtts&?zs;_u3T?!hzMG`Czp!-r(VyW zagYAG&!20)@e{wT3;)v>_rHO$1#ko|cJPiiWy#aKgn!Ly%H;~3iBqo&RnWuQSt&@FxJzn@JZ!QlEA z23mhFc%!^njK5l974!J0jbG(Xb?qBJu7(UE<_FT0Ee!tG%E~#eYMKgo@#PPB({`lg z#>f=Th(vBMW6ONrxH;$>_jXNVUc@HHk&KJl*s82@f$37dyS5^I&!eaqYW@1w>w)R{ z$Xe=En}S+izCeb8d{JMk5$(D=HD`&cIXelw+eu}&+XCTocO1^!e9H@|K{hP(#QXv+VSu9{qcW=@uOoCK+;sGg;l*r>MiZ6ofk^NF2x-e z?~ILo;4;QxKxX^4Fhu6p>VU^2@6Me+hnQNFonJPG?K^d??|&ITNw9gRhVcK~+6 zA2sLs@3q4O>j54EVpHg!5T?H_BwWoHa+ti{5M<0bG%{tCN9 z9mmxJ^;E5|^xI%q%uciGrHpPZYRZsj@A6gM*}|zl>Z;KGCZ&YN|M)}%P`KV`&m6DR z?<=)6zVUd~cj{uWlQ3HF^#l06NrZMv3UVN>8=jVq{&@UwijkgC-i0LLmd)NFuY3M} zC$6?JRwjQ`@eNSDK7hR3aZs(M^%| z8$N*FNws&^ZZ2nT1U#!ey>n72HkmA6%$xY)vgUvE6){9;`;df1$mms#n(i=6Vp@&? z+JkQL_BTVnQ3I1f_!^CpCG-%-Kwrk%e07L$$VsfwL6$5VUp%r4S(no%=4~_f_39*P27>2Pbegu2hpkD!hx;qHF?;vb@2%I0%+5r3X zeEWh0P(G27jMP*4ka+o7$uV3jb5;cp1Z8-Eng6lY5FvFEb&iCyqDede-Zy;~`e*m3 zzqFG52DzX^0uD1i{D)}SEc8upLv(O6F=QzMnC)ULez(!3Sf_)}0pK7P`>>f^VlsrO zzgLvpq*j(|M}y>63VY;_;qBOL1n)u-Nd7405_|_CKgdse0n%DBgaA4oCIi&$wp zqJYbd%>_`ySz5p%`c`}T86X1$ESvLx+1Ky1TO6cc*wF=ta29th1zb|C?waQua*Zk^ zGvTZ|g)cAr|K%pY(zo!A4SMn{C!vS>R=Uay@pT?{NfrqLJzuHcn?zq#yi#Q8BLFKd zfdDw-Rej=%)92@}Pp9BYOv}Xf`Ryqn)nO{o)<2EGDb_-h(Fd|XRVVi=Ej`}z+s}sg zHZR_v3x3gfb@d(|rU(-&^3IoeuOzuZz=C|)aRg$fXc~wpnfu5Qsafe5GFhJ4h%76(^3?gaq_oKC2kBZd++a5)A>WS3jVR zKVB|%sIEghFY7#M`y~$v!QN30hv`>3ACsgz`Re30g}=52{GtB&UvIRhdTZu8s9UIG z$!ZDc096&;IdhR|hBE_AqA3SUDFikxJ->J2iO?|cqIXuC2f(cbz#QB4khx=_dGpVL zdz6E&1TWHUfuVrE_+uyl!gI)Az;A&Rm{3|}OXko!XDzz#&hXDZjoI0-R7-SrCTW|9 z8;fNbIE9Db2d~^qTH2gIa;++h&cn)3Nn-QWXRmb?`2)gJJ08IOr5T8R+-}Iq3&cyF zb37}^^!a7_oaWJXlU+&TX`nI!DhBKZ$|RAk@BygNN8&#@yZBF!?!N=>V=vD8JiT*DJiSw;IW_qd+FxB8 zVj2OHrqsluAtD^VR{4uTVKI^+T_7=-e?fHFd#*_Erm1~e{hi=fVKLFKzjQOx_{-A6 z-srSl4hU%jwpG)Yae!39y#A|isD-wkTP7x6-3}>$G{G;q#7p{)*Xi|RNG%(uo9AJ> zR8NQQ*-1?vNo9K2tEI#p6G`EmE`ZfsxxCQPvC;<>rTUr|)4SD7(A#43z%xvu- z8@2u!ZZ1n7j?(((FqNjzO@)c|p+uwH{4VAi?5KCWx*}gP_}o=4F{rE3*f2AGKT6;H zrh03(1;QPl&2ySoSEFu@!Sccklvfjdh2d$AJx?FDP3V3g11&ai!b#IR;5t|+q*dPD z?U>U<-SQ@&KwAyonZn95d3qH1qE(Ot(s~zFdHEegsf5aI-az**q)HN=iZ>8~Db(v; zEb$)7hKb2*NYRv>x`uvJO;(#Mst}Iq=Q?rIbdL$95F)>(F@7{nbQ{aBCXgixAtf&6 zaJ>84aPABzj=Q4sjKJquF4j!gmF`h{ZUcrdsTAka zJDBP$u#)WwcyeL8u=*4Vc70jQO`nTHWbcGz@&^1s8ArYyid#}eRE}3&%C_S|2dj)z zI7`!$1kbfe?Qz+qDX&&qbLhhkqDtIxw8n~xv#aNAHl68Q%4tkLMthA^_kQ9fl2()M zCIRHW9h;|TH$slxoSt5KXM6{}x2+Uw0`I0SQfaJ})8A800sN9QgF;r>1UPca(nc>I zPuwJkF!d(VV#CFb8Lx2ktK~I*J=Z8>V;uTh#3Imf{uHpx|FE5GvDRuAGIod(GED}& z3Li=U)i9(s5G3h9c`mO2B*?AcAMg7AnFQ}2>bC#Px#a%?=Y(f~)N!GeA*{siq?GF* z+cR^Ak6Je9z_6kRt7iTqCB=tqv-aRV$94bxi1;`50}Hy(TimU$Po#{f+K6(-DBTKD zycr~Omxf3h>!ywYKWs1+N{_!ob+xRlGGYhI>DHdh?EsFu-vBvPX&Oh|?vivgp_g)c zi*9_0}bHb%N<$BKFDTC8X}KD2ll z7@8|_b;IoILHn7&;a{04=7?a80O?@?2?IFG-(*GP0^h1BP<|EeA;HL$HFZ-ty?g>F zAb1zddB;7iEeo-v-!jo<@g=+90ajk za4R@7psBC@GCK7^z{U6K`)?YR#F<*^ZIq#9qzrdfJ3az{NXe;3UqT04dDs`lqw&ei zUMlhN5d&Ci&i?iUfG*EGkc3K6$!8?8iN!HTIl&x$r# z84seBCd~vCYBUosB7Q|doo1L(E>Q(I}9Ku8`zG<6GeIC^mc?_E?YHPasmGUj7$e_1v7PoKT$DBN|=(L-Eppgui- zEzPi1#W-SK&+tm;wwL!ZpR8ZhUPE;j9a+Ofo)CgMA zEgPd`u@1{!i`S8w4NZ2`OF6E=@U`a9fk&o)UhFd^Aisz|k>XFd@UDNnMW{Tz?6I6O zshRD;2v2Ly!&&54Ch^&~w?EL8N%t--S{hGH(pA<7NhX=$K%92sv=? zTG6f}*_M8m)HG{TFm+TK(DpP-StB=j#L`p0zPVOz$!8sfV^}(cwK|ZkxIfK#dfYjp zbd!n6v06M5#i3coitejw9Iw=H^O>`;Tc7`ue8A|xEV_y5uc9zWnC-r%IXe#_h%+4b zQp)RcC#~0SjZw&?cHs1XT&ySN+i5Qha(G0cJ?R>@uBBwK@9vDE%$l zXvOBQ%bD_XLos6Bheyh29AjCB#p_8M$|_I55qn-zO^S?@xVPnGYJI%2ZuyOkZ4}a1 z8bU_2N5L>+V9pII4)nKG5#Lq43pogKWnsBj6o;oz=NvG~7+>_49QtB* zT6*P69RGc1XrJs$#+O+IuUf!q*2U>xp1sS#ub*>0M%~yk)O<#=qh8-w?Qw>>PRpkj z{AAR|g`%3Jv7JNo{5`hDJ0bC9B0}*A!fS2`UG1l?Lb@*!d;C|5dZICEd~dAy`#hyE z7M7go-X3epTec%`^O@;Rd{QA>W7HBk0V1aFdP9F`UI|ug3TwNE7~1=C*P`;~si!jq zA!kg6EWIXUg&W(fgCXh3$woDhLub-T>`M5g)dg{JcXHg_0sc`MrC(9GnIhGgW|Ybc3M(FyUArOGb+hUj0;8AAfF;di~BCjR%+OSr|rHs;!1{b3&`sf*>?~v^<6FY2{d=;OyMPeeY@; zuqq$>JJ`6pZAxubc~!{Kl6iq;z4I}PoR+Nfi|)4DfC|-QLaklkk_C|H!hCpXAU?zt z4sl{NM~hX}C9M^y&OD13TO252SMMlG_}T0&K&=3UH{rP&I`S58N_TAj|&L3FF@9j?Y&a+UTTxf#vn}GFr?Hn`<+B|#Y zxkgS056*Lir~1Zw*RFaEsLWbZ3|%rRj*wJ)XKaE}UeLU*9HH#Ag1d@l3J)0E*y8vK zex?6lY%l{uvJ?WtG$hw$(mu_mK2U$(5Bk`M!-VSdDhC<>{#lKkwwzEq+3 zfaVG%`QDdeCr&FRJm1y>w(yRJTY$O|j+IAe<%`*b5J;(OcyiG$KA7aTk+UB>C4DT!LNJ*rz)Uji)-x09cCb=g0klno(ly|0%$%0Dw ztt8SvL!$rDb`xowv!ME-E;51)<*I`EmZ6C|R;=-Fg6=;S#t|NpAT09G zcnM@vSXY)VK$i3f9C|-|ID25o+navl_44jm*1$fG`C9rWf<`vGDFi6khI@EV zg`ODY%X-YdakX}(4ovRnEOeA}6P6H7YW}<%<>X1w!~hQtf>u{Y*R_0Jz&b`OclV!n z8NRc3+o+2|JVXKo52@=+fV>|RaBBSVLQrjMJ^=w?#0@a|PF;3*7RRxnUSn4FS+#MU z8&dqdwl;OnQ(o!Nb}2`OP;UH$+8X7vdS*C0ZzY5=&T>S*fI9(t6*GjBQ=;2dKAto3 zF<51!!FWZHKliA#aqsKUHORGyV$#yF6nl<}pN{sM)3M~#z*;ysv>El}U^EVIyK~q- zRuv&zM4C7sse5E|4UA(|XBri9;$BI80ukNWI2AZHaR@SN5vEuM34^Ycujx>QMJa+eR!CdixDcM$?zg2{hhw_t}T5PuXB z6R4Jjd@AL)&34xpJ6TdaBJMOAe^jWEP5gCU{f&b7S|T>(#EGx+)2OW zeSpKOuAolExs>l9XO{wik@?X|v?`e2=k^G8WqjZBM^xvSx7N#wM^fnYQeyNBVIc@t zv@exB8UsK|wF6!!xaq-=EsRf)Wf}-lnWxa`AieQpwd!sjShsbNXR%ImyQ<(DAwo$U zcxKWl;F)0pKdS}cC*$ITd5_Djgwm+$KWM#hU-y^8k9COkFi9V*`00v(%HB_x+{@c0 zy~wR}aQ_V~+BGZ*!UR?UcK5k|%yKG?s^UYUksFJ@AV;)Aw1){{Z$3a)sQV7eQ~C~K zDFE1cKke(MgB6^Pt2gtbPb^9)oDZ_aE-Zy3!_^Q}!U&=mDNdei5 zFpmTEzyvo}bijo?`l>u|m?uBo4*Fl;tx1~|z4{F#L2^sA>kxt>?cRhlmy?1LE>b$v zUDXMHkfkx|I&n8;uzKw4lWjfa)sh>$@uKKB|NB4@H0C=ff(OayL;D?+aTWOD>+l`) zqn`S!k@sxJDCL>NF30Jv-4WMBt1z4Aq?Whn95SW@$xj6i;y=Gyu;%YE83%+F7@laa#a*?0fB+uMGJ^58E>vdk>x7AHLgugBg`*b_wb=u-{|7!emWXp zq4n$25g6fgxvSzr9lud{ims!5+HcXD{ivw-Skpt^MsUA0YSl)mt*)cuDj|ubX5wU7 z$bK=|!JVTeEJqMnnT{vUHW_|9{Wen zE;lD6Q{^tlc2!K>314=2+tbi+_llWHvZ_7Pkr@)syXU&)&8g4D6!-Pj?#hb7v_&+qrW_UN9>Kq6aUAS8_J<`A70Y5s9{P?*c zSvI*%bJiYC=YQPePP%Xs;o+;`HNu?i&YJrbrwZF8{ns62*hx1|yB>N=P7ji~yu|8rnMlU0i2i>e~WO+a&#~$&$?SYOYd(h~q~HW=6L4d0+cb zd>Z@fLhTppIYB34fTj*{1<^aT81BuOg;qvx1xj;MHARp)vtO*Z*t2n-$^nf^Wuxc? z(z^urOYiG;Do8$e#}xU>xprj@(>MQZnt^$edf$p`VOrnCmfK9HlM=`0l=zKP!-BmC zeMl#oaq6tY_B&`=)Y`=T^&Z`UtO&h$BV%ux<~O zKaP-XwmG_|k=5BSY&z++X3X}w4Sm2_5Sh)W+mEyOYR|6AVMUQtB_LfyN$OK1pf2yQ9r`Ux#q zwF}j(Euf5zHsAU{NL*;G?WGd8bpbwtq%V6!kUTL|@V5W2Mi2jCJ3xlv7=?LB-;RF- z=yMw6%xZhpRwkeE*+Eu9gtFVr*S~}0oc#O z5U@WA-RdctZ+CO!uI$@hiT5n%JSKIKxb}s?UmA-Fyz<vXQ-nT#k;t{k|5NAWvWeNF9lZP00=KX=_~vNw?o?H2UMz*0TRqLK*{eH4JI8BK5x@VHtXi;X|#|7I=yy+25@4H|Vp@rzglB_PAg3*35+ z_@9^|;3{z~%gX_o)`Y41^zZIOOAwJ3G1rZluZPn*5o zbAvWNS0~3khO&7KwFlun+!Ww<*N`=w@Wb#%{N@lz(l3=sP|fk|QR4_IDFHo^J%bln zti6gcx=)3>!|zi*iF$7a#9AkpMc~#t_lb`)#n0PlJ9F0q_!D1+Z6v%<5N5K#T-VUh zXwCP>6XC7|*FWwZ8wi!3>C+%s(Ye;)mExa5Ao=~AoQ!W-n~o30i~MLeMG9)SMy<#EhPwQ5&Mue z;Hx-Ypki)8>7z$?d-kHZ;Z0R&Cb-Lr4rN6VyJkg2c>24+NL$u;PcU;z| zOlN@a`j0;#6*HyzqlbztWBRB`oIP{fI57QjSp#(Kl}X!`IEk4{tL5bg2v<4$VBBHZ zJA7^*ZDf?o>f>GdJ`n<5SlGQOCXp%WgGJdzB|%=EpY<%?+U$LMZ$_-!2?{X{(Fh1c z6KgR*OK4n+tX3@VK6*#J@#tc9u=fyF+xUtUTjWU&>k!FeRe4$c$>^puIE0_=PAuiO zB3=>WmyuB}6s9lirLkaE-eBF)ZG>tF!$J!Yyx$IK#q#vK|N#Llv2QTZOQln-fB%$e|0=d6pJgUI|>L9kw)* zG>KT-P8kjkQj-_bnwk{sUwvh+;4%7JS!S4wTb%suG{30?0Agpa;HW*wR|Ru!Yi$ zI-Ccuh>wCdhuYH-Dpp|R%P52@f7JOg09d{C!>EX&I6w4If-fG3u#bUNdODaGvLccT zEZ0JRvz=1&>Nb!*p+2QQ_x}#EMwJf$I2*K9V!0i(-nB|>>IZ-Z$(kgOJVyZ2H{Jj+ zeTV;;1UF&;k=Y+JbE^~Z6$*fH0JI{xDw=5N3m`#5erdR$W``0wYG_P`(9SiMF4kTy zg-}&xaeYNVmirEG>CLH39xY0&X$tQ3Di~4s^uIR>_lvAyCBYbmw7P$S45f#FVJYJf zLF159YIA3)$Y8$f{3$@beD z{|o5r5479v9|>u{^YAz{5wFIU{RUpwX9eW;$v?aveT~0>ivF`}mJjyQ{~?P@?q;_q z3CQA3e+P|dXaLfkx4)vD?X!p27bVD?pr zrbYOh_*p@0Oj;EW`12#ABK&Ic7XyUQ3Oc87%aJDR{P1=uFYDQAs7!4OC6ky_R}sj$ z`PYwE{^!1?afs`dG}J-$Dp4?I$VQyFvT-7{cQ0vHV<%E%Gg=zUAD5}fRt*P0djC$B z{MFsihS`Pgi)*?pl}d{}5p0QcHK^u+m(?$o$900tk9)5~VGZiwKBz@W*BT!7BLM zoxu1X`CDT`fP;-#xA4hl1x(I%j996QnCIU?b6&C~@XvaX7u!Vu zt08eDxIRYJt>{8Fo}u8e631OFe_z4MMstoCV5Vq-&RJ&Jsbf{35tdozk_tuT<@;yidii6zw+}hs~|}BYa{CA=T{}zo>d-O zV()V;PzVxnq3$}irB%erH9lkF6mnM}yQet&u`2h6BHf!?^7ZEpvZv`cd)rH{nf~Pl+>S?P%qZxK5GfPC3Zo63t_Tyli34Q^d@B>Ub^M{c2S~Qqz}lXK$6` zXE0QSR_T)H0K|xSQLjFq9nZ)S_n5%pqr0sJwG}OVrO#IuZVbS*!<+Z;Jpq_DUDO*O zAX5^j{tkMf$Acnz2Wf4AwHqE00~zMh<1=to(fXLzk|EFjwPbkb>rG0^PB~SC(v&)C zDDV}xi`eJ$SnIc}6=lE@aWkXNBD5||@co;>>bsa`n`+n6xGR(O;RylRdWQ4Us36L3 znssH`vlc9^EyUIDBmGPii(!zi+F z4jLp@@zX1;&dBfgS)1rnQuyLBhok1v8pGT74RYVeDI6^0B@YW z@&J~Bk@5|Q@eT^Y)oq^yews+GKN*{#i+|NBK%HWleW!3?Zrj{8bXWDmMjG8@vABr) zDz#?`p_~8aK2>8!zvJu-xttus6+9-eD>&XI2`2;|o(?1I{W(6d~ei*W*T5S4i3_A)k}% zi$|%Wd<4YOTk=*X^>@(|=Ga~FoRY7sS>t*4ox2AoY2R+iD$B}o+$4CoAd4?J=W)hF z9U8=Ln;*>iY0jg%w%3cJvZf41mT2dRRdt59aS-~9t?$G0@PO{+09AN%M8y)`1OF}Y zv$8ykqK`}t6vAe#2gP!HJ-fd44&z#b5GrV$D!slyj}u9B`{ZRhgM2j(rug+01T-b> z+tzxGo0;3T=q#(d-e&cxN39%Ln#22nsS!y_9hWJ)t^@fDg!Ss@_#&%1ajJ0ENAWJ! zlwLii*Ks4bGtD>=)b^OSVtmO1a64s~T!Fyqmi<=Q&e`iPD#Jx9mT%Z1du2%JMZPjIM^^5VcDpUL#O|I= z>J~>7EdXXY@1DwF@7?^AZ|p@JEB775QLLdNyyj9|UU96$$$tp)G93Rrver~XMu$^Z zrzDc?-v1=Kw~Uc5ww;Wyg_W-DN{u(G3_PJcbJi_N72%yXv>Gxn5!on`%>ZZl)VpO;v9v7af?GjCStJuJh9xFlO_HT3!pQd~j}6v*V~J zGDMHZ{jn6?QUy;drT2f&D;YlWaL?GRC(su67|X2}8W%9>D^jGXYz19iU;B(*0Vhen zt{+a__O>{p<=mAA3kS+H7J5<=U?7iVx5Ggt+9jgmZBm<;j(`Xq>B)Zy!S1qy^Mc8w zUzc{!1@S*C)ppak<(}CFWXyjtR+$)D@Zy^|=_zhr0l_N)1DGOibL-$zPvH_9sM&f$ zwiiWF|T2 zGs4F8lZ8%?6g8Y%u+5fPV)O`vSm3rXI{CA*xq~HZD(k_gy6Tu5;e~*ja(ih^@s|}o z{1&sev5QzXyq${^hvF_qPL3mTBm*3j_+oTxX&ZHyhL}S}aM8<-B-?6%#;P0|>paWP z3$(ULZ2b=RqLDonG%Fr41A1`aB?npI0)9K8#P-ssFD9x=&;|X+4XI1@muc+8PhqG-Xt89k%uIno`W|`K`W)7OCajR=9x}su|XX4zp`dM_vaCr)wS; zFS+m^1?$J@hN)IYX~y2NJ+q`B7B>l+?H3Kt6~6#XdK{baZTagqdUsJG=E#>v}_3p75*OZW^|Wshi%Pw2_L4>eW>0b~|suh?RP4=FnELME|?2sk-WX z?k4xyNkKi(G>&QOV7Q@Qi3=f-VB<;@@uHinRuV~dZ7ds8P3S8BOD$(d0$YstS84ec zeZ`ZXGyjT>JtZ5SLu9C3?-MWcgO}i3cp3$XZof5o~{?^%T8aMnxg@KgIm{wJGnSK~X^KqdqSU34kFX6VtZ$A$rtLS$F^4av(rmza6p8xFm> z8%MKg0Wwd)n9W7(wx0T2fwTIHOJ_c}qMs8@d|SldD7Wf4V|r<#j)!@uY18Nq0%2@% znXSWf3R6 zb&&&pHPgIk;7&xrypq?2`rK!xy68Ojhs|#Oybh_t-R zQS`kFo9A#`^yx~qE#L6wEHIwVr=U4VPQ!geeD4DEP5Qkhgpv)U6xm#HzG%BG9#vr; zQSXBubQ*06&94heHqJ#QUq0xaJ=`1P>ZkKkVvT3t1PEzIUwYbZ$XQ^rwiv?VA*MjF z2CwUs^)xZXt9cJ1)N@o-%(vlG{~92u88242cvCA+YEHOVQ+tkArrH|mKyNU`OJ7^2 zTfX0NR&b(*6aQ-q>|LhSgaB)y4#PLI0_6jy<_r_V$(E0VWv-B^Ey%6JL^};(QJwGr zY;N>T9t4np8f%(Dl@>kU`3~A?Xl5OpyKHW7HMU!XifnO!R|(soP(oriV=aBN&Bt*v zm+JDTCMc+QZl<=RydRMDs&AK@bP7M!#k$2> zXt3yL^}l=;ZGwZ75*iEz9@aM3vfLP_&A!2LmnOLo7m3m1(bDMh(gVc<>mpc=-@ysW z*}91pPP+8=+xw56o|d1hBNxRiru|!(!i^nfGfHL;_gx` zNTJ0Yiqqm2DDJ^2?ofgUEA9~Vy}R?fXU@!-nR8~=eP`Bh-F5#65q4zlWPkVbJRf^= z*>b{XUL`7_rtiGXicV22!V209cnaX4?8i>`LH5w|SE?smSJY&Na(+4U+V#yJ6Jy&@ z@s+|hr!N2m1uXWYwYr8G-4pX)H@kIyy7}NPsWn3@nX`xA(QEuUSvBC)t!Ii0uDnq| z-F}gaqsPY9I# zS^A?YOKmCbrvZiqMq9*TtjisS@$dt2F$ki)R&*DcnsZ4$13h1N`7M6Hk=!t7{jGff zT81Kuh`+CW0Nka%{{f<}=`+531gz8(c!rwq5i4(VjE;?<`MRz0ZpAiN#PNLjAGH$% zRCEHBcx0S$0ONMu2kIGKZUU_dDYA^SjI*M(%Ku1*tg7gS zN+3mfCQX@&YZhwQ!6T&gz7$WrPPa;wMLb$#?jlRH8^*s}QDN9?Risyx#y3e#=uU|% zPag{3x?bbM+-%-hqK6oBnKkK?0ms0A9VHzC1yP)@OI;9=$A5xS zu61g?+|j)f=N*333%kdY1F&EYfI7p*LLstP4D9*ZJ46}ZhyF@|Jzdz zNu}o2Mp4s-@B+8}Jf>^4pNo+#a$ERASTDMJSq~DJ(6M7AL#KoDJ!xZR#t`QjWo%Sr zm@B1*^ted{ z1K8&v+(2#2udvLA9&j!3W&+8WCZO73Cm&xYa$Sh^;$=V%v z?cim$pKq!S(v;rKMRy&+s+ohYpkmza77Sfd-v>=RLgT3I-qC0+P*$1~#*@zJ*)mL49&z!0q*muH69` zW6W`7F3k&$3V~rv<=PwACNf00WK-U4AHUoxM||pPmVp-bS`;s!#XMp|`3gG*A{cXq zLgJixa9Oj)?%uW2>UGajF-|YO7^8M?(!7h4++r(+uxMmqOsROC#k;qAe&cH)%1N(8>YH%qWQqkr7MEqb5=x>ltMM|t)- z!6p4h8E$+RnF78fp9G(;I~n;NL*F@Q_rJeR^iPSz5?GIWw3uh>Ko_j_RA7@SNX!bI zw(_eVP#gS}$gy{LD(S$^T4JQwBye$1eFq6h>&{-*VbI2BVo_|UbV%IuB)(WTyOZ3hh#x8JYk_SRGd66XMPFGgQ@l*C(ESz2US^Ph*nzsZVBsxsJ9O@SR`X zJ(tiNyG*^bx~$Bo^UGlyIj!FoUTVoSBRzsOKR(WH0HgX@woUVkyRPfRba!g=erkE_ zs6`6V1iWE1K-UZ%4!N0T2|V5z#-xaES4xpRDb9Dg89nL?nD8(v777_p(! z*&2E~#Sfr9OL~K*O^R5`c3&OWOItRW>Ar#zFn0_%i^^68PJH%sYpb(YG@Qc5yofS~ zs^zu>ANAPdEtxDn@gYBV3cjqrgg*9TxwKH--WfL->@FL6jvgO=sK85Ljbhdnz%qHK zX~Ex@$lj8hIM~>b%@}4)Lk2sk!Y)D=i}BUkbdkiby*1?O$`&{wPOr3qD123l_QEcY z{roLHj}9Fv>3cU)cIGkLAb$wl80U^+LV_-b%&x&Fxh^J0EQR15)I6I7n^kqXgyDyy zIeopW_Xxcl~QJ8GRp4wFH08?#)`E6%Dm9s1E_|Hy==-ODA%dPaCT>Hbq?ATAnnvDIeqborg z>xQ`fG?%k--|wXB)+i9RY5oIQ#be7LN^;K}k__HjEpo%mw_#ge4E3ehNI-;hBgpZ+ zPdaFE^60?BPy_~)=-Hx?qF-ipETT3I<$gRbpW{9r>PGKuN1kv;&lpP?#Hp4~crDD3 zLAFitWqpAtay^#JRz#+PF*6?aOG}h68muLgFrJ{jsqzsN@Lm$2J__OTzN8M3c6s9M zu44m(G(K>&rmClOS@DKteERC zxv{&%lX9A)@pR`R-EI>iP44DA<)gs+4!>bvPXJb{x#^aboaWTM?XeM(Bsp$`Ht|6yc5@*dVPiX@0F$N`jRXG%w2dx z(n9oQTnQ|1YxH+emn+f%`9q~yu608?q(Z2nsy1qf@8i=t*{Y44m%@xq%2VxS4d5eucz= zU@vPh504ZowG`?Tsf{PnE;MMCjV(|_q>DfGP!8fPBp0;=wU<(*+~3z8bi4ih`vcjI z8?dMwiH&czPoGD6-)R<0*?ANWI&90$dL(Z6Q6l$Fue$%p#WVd6xNj)Q2<6{}XD9UX z5ipIO!2DghVgdt=6mP+Yj(X#pXMnjXuJ#)Eu$9Q>J#@Er0ODROe>*}{(UWpgu-(0ujhp;+^D#L&S`EUxjVWb?+s| zEV#Y73XCGj#HRX4fXdN_$%EWO@29ix&yFK$qj|$wes;z_8m6xRn+*teyvJ?nktD2L zqfB{tx=^-M)wN8!WQHl!O~xa(0>6IGamG1oeb(rFlk?e6wY)@8*~r%$nun$)!rd7}G-muhQK{`yH#Js6 zqqXDLMpKUSv*%%Ga(VB+q7cEtq~gOROw9UWn@t7mU4mf=`jva`0pUqj8oY9XIP?w5 z=ZCEi=dmEf*ooyH+$)?mV(rlV2y@|`SftLlJgvd%=w2gws*R9g2eYWuW?EawT4k@e zx(4*j@7XbATd(oDJW=auMY#hr+un)2rIU=t+6mUkxX_UQDEucU;>P^jyS()KCmLbdxiJiG)W>5uQUdKdNeY~n}g zO3ewm#G<}_rU*iQmW@GoIH&OErQadJ!T7C`j6p!OmJ(_Guk`%Sn{yNnhd&Q2XhHbq zqNLPrZ1Ro4zbJAlbNRD4hxDeHrY?kt;gilNU+LXx!%$7ikdd%4XafPS10y1M^z-d5 zk&m9~s z(pH8W=75zRe3Aj{f@`|9;J?ta)At|DUvy$Okf=9CV0i~}@y03544~xFC%ja_;(m;Y zZ@AQH{XHWbxfb#~9K?S5!{@hJJt0A1NmkknlM<=mjdELxSAj|pn zZVPRu(ra8a-mft2C201@AI*ku)#&TmRgE+cP$ZJe;}0@+{=mr5a0-=a~|e#RH4QjDh1ob2b3fx$3JQNjQ@? zweNskE&5roYl1}hu|E2c(6zsawnru6lLD~erPF2G-25M)S|_uq1F+}VmNKI!(;zNy z$Uq0xi1vw7WGxtop7vt;zgecv4d%*edwT;)Q92BHH^co!pfic#3QRu*!8YC(^a zfnELw$iZ*L`e9_{65Q&!zjEfk)HLRISs|_buh%1~CWvO@Y=IU;89j!;OTT=3}8bfm!IbE z>i+<#LWfpbC<3lXNBzGWf&T#EpaaNEnA2SxfXl4ABklMYWtipbNShVt>g&u)=Ws5= z7$W!v=+`trJo1ui0EogB>L+gocX-{Cg9oYA@t{&7HHFsCe2weoj&zQBc@j6+sT1?@ z2}vOvT%P#XsNg?aAC{M~iwp4zGPlOS$=|@QqihSHU1M zrl_a=2HJMgpbwVG$D)El*X1rb;xQA{iNjCX&`VTjr^){P~hu2My+tdUy5wuF>;A z@r5CO3XCI8`c%LC(kD_5!-U8n1}UL|%2$BInYny{%Ih6;wvK~w^i;SGhyT4@qdI-} z78*0=P6A=36|I@jj~{5s|KyC=dE9@G`UhwW=wvaj?tF&;^5P$$vwi>#U0?A6w7no^ zh;kp$yEClrE!Zg&*rm)0YLl{Hp?P)EqnYF;8-$!u;)=}47cIkf1zs5G7)ZO>h+sZX zXT**v`ikm7i1hw1t0-^{6t9wi&lMUV4Iu{J=ncbfWeC&1q=5s$?81g5_cU%C#mDozoJhl6hU0%iPB33ytj3|8o`nzf#>peBo$qOku6hifuh7@>8t|C@kU#vi%_6rCX74 zM-B_B+!}-iItm!SL3&x3iDT2Ek*<^F`&{A;uI}g>07l{; zp!eV|Ww=u{*wViNyfAwI;5ckW+9wVr-zWt40FZ=ZlMr zDQUd)wwgL7L4n>^5_QYRFD}1-D>@QL87tXoZKj3^d8n@>Dp(a9%nfe)tL-$m^@n+Q z*A4NdYlOaChIQ~<#XWM0!wsFu@bUV=()1?EZtQ_}*>?7U>A2O0z4}AtWeNGButww0 zhy_wBbRpWOd0>_YPNLiLDB6m+32 zT6GsS{6oLAyJx$#^Vo#zPFpkW@3L~%f8Jb9Zeb1SIF@fk_}WaEN9bho$VKsx$pNwt z+K80asnzV#ts%AJjg~JqGQt%Z#l%x2^VDv4c$B4tpfb|W*l~7~d*=q?)^i2qRr6&z z`@8(Rzo+yovChS#oqHg+O?SM$>BTn9|1c@Jk_4h1oq>w9$5zOr{h(Ar+fLfArq++M<)zlBYW!P=eia zsTwXweX!%N*x&{X?)Y4LSrV*07mWgR9p_o+gP!*C_J!}VRbbNSKXj27Ug8|=-q znjU^zm)s_~3LRdr!+ABP6lyumd2sOD@+b8NVt$TbKoEZnW}Thj!+U}VR%~`m6_0Kd zHE)~wnlP_k=-JhwKnIdSc^n-q)=NhOUHb!shH&dLqHi{SjObRZJ7HhvvU9U3sd zQ4)c%mc;a<$X0~&m^4@c?eKs(lnB3lePrHDx5uIuO3La!Deo@zpYgr8umtE> z3Man3H-(AtXs%ZcCpbkP`Sw}_8$}M5(ih9#RWm=KwR~LUsFSiUBV4HmVT1D`(&(by zjj?yAStiZH^O~nPi*y*Q=s}v9q=OC|$tHMT#hFo_`5Bga8?V*3!4<*U6JpYK7Bm^A zzC|?jhRCHv<|?|HQ6I5O=un;Uh&F*LjB-l8HCC+&5nhN0#F2a$y935L9_G36t7lL=%CMh<(wOZGje1BIyW zKNr+F_%L-c_W6Ms0rZ&ZG@mQnvm_OWaZpcxGIV!tbvBlA4YjzLCv1MN1qm112Qco1 z1GTlvQ-;v~NQc%p%fvxT@3gK+jNX?H)DpaeEqta~5`W}jgnfKjQsk$Dh%8@*UHdpz z+-F^~wDw9k0W#YhW?=(vlr0qT8Uu%%ZU@ixS0@q(jsp+tJ14GicW~`na+cs~(jj~L zV$)WWQ{Dt-WW3KlG*J~4oj7pGCpva1@rVYcMjnRGwF$`P%=oXq<3c6X!zg^WV{+C2 z$nQb$WGEnfLo{wYl>wo4cR<9Q^Zn>BxkGQ3ZM|xVRg_=#;Lx zbEybz6-4N|aH|=020T)nlZvUwD@E&6zA*oY-X(b+S3;!}T}$-K;V$=>YHt5}4#A!$ z`KR!M=f~}d=I@~Mo^xGWb|u1CTR(D{qx=u@gaKiw1{A{&h!zDuJT*S>HLm#sWQ|C_ zQmMO#L(@<*1SAKQctbinsd_=qvjH3@N8t~IOtI%9W(t!W`{kC+{-XQT-fh+>;ex_` zeFgFLrBN|FN_JnT#VjC*((SHhrVz;fdE~v7H2LPzW{;$`uc#-+6Z$s?mYj&|m={GA z+Q*V1bxXXL)=kF+7qQ8e?PJ}Z)yj|isd&e2>9d}+O6=#&>o}g~s)5yzxdISZSnYw&&}C@ooxHDAv63GXJ^s={ zm+4us5uKy;=_{ILvtX${?_Wa3!7If3cO=JFvLWinm66-EHBZy{*$jpC#={!pPFS3U zmTGT<*(Us0z9QP!*UhupPdL-;EX)KyDMrXTxz=EPkeGx#w_I&8;Z1o=vV}E3CSv?Z zjKM3LcX6ddp-~!9REjGLwF0MtV-x`p|HmDZ91diJr~uMntJPZ^ zK{@mKK-~pIk`Yc-SEGh!odx}mr%dS$A+-s`dn~S9}8`=`jPOKsw?mA275ngZOvOc}@Xl;(??L7PP!6w_4 z$e1dQ*ZeI#3uewC@5C2#nZEr-a|csoxZ= z%|5?TfrFK!Oy3zGVVLTeDWYzn!pdm8eXhjd;LP&Y{Nxb!m1I*JFOyy3|qQl+r(A*}22Z|U!u{qmK7w=6jEbu5)6d30`c$H>G z5hUt`j6{o{>5>^^HyD8PYc_xe(RQN!Zc23$MzjA-Y=B(W($34$(vrT0mzSHVgt0BX z5f2SWTAgZV_miG=a`0;t)Or}bC0u@=BRCk8pqxYrAq_f1%AU`~rCaCvG}Y}XLF_;- zl)a~Nat|r^9@cBKf!B?nT_B_AUQy!R(i!l49J9bh7}BB zI6~K+=e*-w;eMgtPyXDB#)~0?il?tyf>^3}1wqkgL~}g)Et@9e?Fq+4Xf#Y8kQ9)Q zR+l4rM0gMrA=Z9Go>R3Ro+u;+#8xx<)SpP;+=!H(klV!oKUELq2O zO-m(_c&1NfH#k%zCXeBx-fU~EK#8vd>cd+F_UfZlvlmQ{Nmor$V4#h0^t_3`j!BXJ zO|#o%{I7)+$uodBtpq%vetdNwBz4BVjpy8w-* zxsFna9tHzlJiw-1TYbyr`X$#aGfAZWqLU zHJBBBN$Xq7E^RWj`#ls0JuLh^6o5{xI|4(2*1<2pP@tyX$M4JN+mOHRmp_GQWu$!4 zyty&!$5vQnOb1luixO991pSX=6CJ?(esrSGvh@To|2XwiP7$U`7vl4^4AcjDsm3V{JF=z0Rn*e!n@{MXvJ!<~2utw9Yhv&lAu1zr31GjJ$Fz*s2&Rx^J%7bz8yBGh3@`Pxc%sQRV7;?HCtGmYcuN*pUJqQUH-gZ1} z91|*Uug!X!*wB-H4!tJ5cM++x^9Gu_dx{LC>w?K^7t&~ml0 zO(E3BeKRAZ?yV2PLp>!6x*)VFD;!jyS?*8t$lONd;}xeWl0)8|bq z5hwMLYX|Q!CStNmdEREo;u~NRW%{Cn8m4LV&UYLfwwi+BI?sJS3w}_mMxHn%bto$S zvASNtaFA7Z(W1Y+(Cvm|@@4Dgt_$$~YTfI}ER%`aDtgy2CF2qyg8`M2S4x5^T6S%P z8|ml3cs0zjKNw;(1lJDR_{?HAR=YFlctG)LDnSyq1b9T!J!%|4^s*gz#}r z45#-7mw$F1q}~!*L|JeZExFJ#@n&p~uY|0XW+FI^Hyr|?C0CixG^dBI!6}LX$`^on zQhVS{;Gfk`|L@lUrgP|bimj&k)~nyA@XwKB$ z85S(<1&~eGj)O1=~Z^y>$I zfSfKDHocZkk9Kd83u6nGc14o*oGk1p6!|J9G_Vt2QgRj@x2&~itg(IJx)aP>*qOZ? z*;;C4WqhN#IlKb)M03lWUcq9oynqJ7_+z8v51HDh(y?m2oKIh^CBLrsa^y}P7i=MQT5R;Zm5&c05AiEfcy0f zFDuthoC-KPRKk+PpcELm-f2S(TOV4yBIzK^x*d`{WRxX-cEpJCm`ZI zrK0(pD4l;2jsL%m{>^@}09$$|J`hwQ6PEC9vXhx->e>eK9~r=>M`GlP2wh_MFEhJ6Xh#oyt)>^ zqD;T=^?ezJq(?!WsS9~SX=?auq+lr_+S5Oo^1{~F&b7eM5L=s3JVeG%#iO%{Ga+4D ztnM^-;A$oOtFaH)Kc)3(-7{;;+jUGY9PFEKNMB8){AFR_wnWNlE!wMmWIABgigdA+ zEDPNjQ!RaYC8a1Wb8VRkMJq{jLC>c`d*ef!>Ks4nWUbx8HJTQTI2ENG%>^;DyLspE zkR=fPEsCbWusk8k>Pj`>1j<7QaH0#T(@QjE^gYh?pdEK1nDUwxq(crX-)0^jaVYeV zL*mzTrU3*E!kBZ}v}t|$nwT)f(ggV=7&%5s)T2Vd!J)zr#i3#)k*}<%-|ZO)=gw%mK_;mv{9Lo0w;c8_JnwBS?IvL* zU&bjo;Z$7YMc(I7LFVE!4S6UN^qV08Q)A2?q!;kP*e#$aL4D&ryx2IH6jdX~n;^)18PZ z;%L?Cm2-e8d5mAs|@nCIfA>7JTA+XpV(BC>H5hu z*MND1)0dunJ6xRoRle$T7QZj;Zj8T-qu)tmS(in+>$=cuuMxJ=tRYO@iVS`{qh45q znotWh`$uFi0_OMNND9m~mb9!?s zMAU))9xwZKFve{}_esU><~m?6o7%%d6M`FWvl$Y5ZO4szw*Oi3sC1WYsFDbyi+FYp zEDpu;-;VqoQS{ikzP{yp7r48h__iKkIg@&8b-f?LzDbws6*4i2QAp~aS$zqf=ZK=j zKbQ9I@A4PDmF*|-`54)}B3FkmqbvBVG4u4byDnWc_u@hGKHkXw#Z{}M)MTeLw9f0^ zFaE|0%XA65nNvYm;Nc#M8XUn~X@5iezssP%3qAZ7wn1U*NteCs{pivwIq&39s8FU5 zml4JD^~XAGvS||~azXr(tDq%dIM&lnlhS3#1?Onb$p7$V$*z0^OW!b*_9*n{LGo+t z)liRXtmlpfm$fSBM5W$rYqRmD9G7Kll^8$grDJ*ETU{{bDSD(73y^u7M81aBB+QPS zd)yBNRm+Gbo|w5KW*IR<+B!GCB3)MiB`|X74PuB1%GZ1_v`#S7_p)6Jr^7=l-(T8=I!L6!FCn(ywt! zK>m?!!*N)RMwG_wk%Yl|yW1QB%trWUG~z&+eQRj^wr$D-qjit%htab4710Vsi53|u zew;GxR&@Z4Es0)b&^g7(TVp}Bgu!P%Z$mAb9ur$wfF4=IiBtol?kMc{&5t+zkRGCe z0*E^K3R-hfma}|*CNWWeRpbD*ouA&eJmd}hbM3)3{!Xy#H1HE1<*_;Y<&$+8>U}yO zkiABiqNbqi_#sS9jw0MR`3nd;oR;!211mu%e&~@%1+Ek zYtBfpp8z>67!w-I5K%%1Rym<5iyH4PK&Y-N--MQLxp(Zz=G z>-G<>rz=W*xEX6WDDS4zAG#G9!uC%o%|q8|n3=E;NLn6$fbeXLXhZ2{+4Guo&Z-hL zQ-wxJpU6rr*I8FkGuEURZ(XPAx7=@ykr+;E8m#clFD>~E!u&%Evn*3m1Wr2b(-z1% zL1TJ@Q-05KZPF8sf7*B+FJ-@uifc97L|p@e*nA@1w#l98DKD=W-uos}N?V`lIk-8M z&CNlF%Pfi(bQL@pYJKUOQ%BPxi5C$lV%b6{LA??;8+v;3+%dXoG6c-2?g>po8d7&k zJ)tN~v|c4lDMHT@Y&PWJ(F!e1NSZGP=(B@cj=~;rvlSMU@$KRj9LI_MMMgSh6@UD& zO!B~1{mE{17{(BGN-S~Lz*X`^OJToaCH3O_&L)|m!Q7KXC~E`n>A~IvI6>s-(=wF= z*>PK4a%cDj8D?X9io}~#yrI=NOzE|!UeEB6b_}TqwRcn_B2;63+y^{SiHOon0J`7e z-2cKt{GVE#N*kI(E0RY(lJM-O+?Y8zw#cv0MsLss^$$>FX5w;B2WgeXAzF%dMPj=v zEZl`HBDLz@Kx??Awp$dPo{vV9+)1a?=9*mm@_B;k!FA0VKn-XdIg0>~ynQy?Dcvc^ zMb>Nix|Rq-S!KEW=1|;s&kg&;`*Z1^3GGEunuhsxO3+zf*{tPI8we&A0<&x0QbPq& z(ea)|Gx32}iVB3vz_lZPES{gUlw&To*8CUqHU2qZXx*4UtU(|j7dXxT5y_|-5 z-1E)g5>m1Fm(M~;zg+~w=H*Rp4*ivh|#H- zzmi*ojmf}2`d5{2aezL#aBmQxl%J&DMFjwKr>g0k8^>0FZ3KL;|E0?_k1xw_26s7n z9I1R$1`i|yajs*#Z2yDQuUzCNRA+z{Zo@;Sxj9^~urx<2U<9ALeiW50Jx_F>6nFWj&~dn>{NY zf3Wd-%;seDwx5*-N@|vgT+Y*}>m}eb$jYi7s?(@wC=oSU!K@Whq{^5h<9AYKbJG{Z zBMqnGK1lxg+(`d>_QcOP(AlftPwb^)y^6!m8O_t0=i*DXBq=p|%dZJ9r}AXk)pE__ zNlnU7I#CHQ_uiU={r&1 zZO{FaD3dKwa431ra9Oc;DPDCB^pc8eAft%FNZvwqlsCzd2MrhFbOpzZu%f5}urRS@Lrqis zx0(hy)yzPwC%M?GNI9ke4?eVfsz-SPIs*K_c1;i*B`oiEZD5jHKsLu2IP3v0X7>Sp z+v5b)n5@)8o1!zhoINd;jWFz$N{$eDo4=+#tQ;p#y|za*h)8^(CtnO;ra9RdFNi75 zD~=o))+Q5s^l^Y2+$`|+Q2sPoS<_haHJ&owBc&w!*t%|0>ot~Ajpunp_kJrGk6Eha z;$_CzN}orim<6IY727*i@MEA}lBgKIQbLXUL8S~As<<|+9N*dW+^P?aV9m4j#PVZL zznnJ0Z+vNC{36z{X+(j4rj?+V@~oFKA%RZY87q#*8P5QuC?W4jL!bJ}mbESGqTsYe z*HFs2xL2o});Zq;oeD?Ft?Z|MWS!lRlK_k{UFU9QF#?G`J~OEE>nlYVELuIouYMXT z?TU}6wibJqw@ae+ZBKi8B4EtQ_VG2O+Zl!aKt-6{M?)~ZKe9H`E?PlziZ8A9r}l6H zg~F$^b*ZZic7b{{C7~TdHgwe%o3@lP?fOr8L%R(Q#1(9{kg59AyGv0$*3w;pH!zmw zAfp3?g*+h~W)v4zkxZ1tm?ZsO0l^nT{B4`H-`~9bvBO&Zl}d{C#9%RD-_=*R!d~y& z*P534wg%mO$g{?vX8EU|)X(W6`c9a_IrvuaY_Dd$Jl&Md@I}QsI?aETc2Gto2(4FW zuqe51C>TZ*z4Blz>9zCqzo9tSeg@fnct)O*Snx}}fMVx>dTV1DQ-E15WE18pY&OTQ zpfV?>zc$1;-71R~B9Qj9uZDso&0N|Fs}T*A(%ePA|B-NkCFD|jX3wmY%wN9vbihcT zZTF&Kef)^I^eVN!p1IQ3?NKxL;|jhYB&J-lBb_ohJvHMD2V?dqhoEv@n69NKOiLci zgqR8B06IUKtqLU@5hQB3dvudI{Y&YaBbx)55GoK85fQPqg{9NFP?8tM9=byJh>Qtj zev|M>LDjKP%d-(MWdBI0Dn*N({Rl*;O}Q*m-EzX2ns2@9*t-}*{fp)F)i+|3=!uLX zB{cBkpY79*>nBRdMf&+NFmI-8PYZ@X#h_Q2fx<4CAqWphxT&pF0l!`0#eU_3tVWeb z?qqt6O`9*7C*w48MxScJl{}e=j|*PYOu20irT)ZnlV$KcU_h#Ao{f0=F%#kD_#2K4fhy* zwwe|F^Cs1t05;ce1;T0>9~<=WwUUZQrm}bDZ-9b=6}#&nOq}pW@xqDqibBNXD-i4k zi@gQ&M#Jwz^VKGMSwd&>&HD}paB3XHkaO3R?2hn4Zg{L|u>3fRIP&XVWs7?0kKdAt zyxVIx>}*0Gwxajk35wDlGp_9q;&6NrLI`{SyIH`)bE})J%_Uso>E)LCRyDz1^e@e# zO6a{L_5N8R_NO3fKy84|2Gkh?>L+;jLGEMsG++STY{vmstJr=(gd7V5HOzYa6~_C& zMwfZcx zrofNnZC z;dTDReGW7_b#)5*6#{%w^h*6#u4^)QxJs+eFU7Pz#X!opXYNYel}RXuH0P~XiK>ZI7)QAvw2M7V2rgkCCuPr(*f~<<;p+K}CYz-jZai`?}^^9xo+Mj)NmTZY`g4oBM5@I4tFGJ@LGJ8!M-8&3Yyv zvCijVAYWSJu4V^2t%k{GLEF0YAGQ>{zkNnN+;<7Yq!MQONxpV*gs-y~*OR*Z;R+T|rn5{TZ{6E_MvzvqLEi zc`6K2F#^`Y__a31vwpoB#w=7Hi%hNK49#dQOiS8!cu26u3(A}9RyBR^LQ?*+B}G53 zb;ic#7@;(MB??t_Pl2;+F9KIZa^ncoDXVG5$gT&T1cikVmuHDe=;*c(G>p|pRBjg) z!Y=qBAGwb6>-OANjMb&FHCURR6&VOq%6to#9}0zC>aammOqqBby8;F3egT)mS2?p_iX?PUm1=_K4aDV2 zS-4>GP8~Nx;wzsK$w^Xz(IQ1ScDl2WsHXD^SrGGHP6f#_OYo6eRl5_G_n>Ho!24+G zY_v_&Fq!Kn_0Ilcw5mFAsI)G9Vy$Dk;nmFbh44YR(S}Kas=TOd8egj6h1cFUAL3dn ziJ_hc+7sNbsl&P6=E9`s4Cf;f7?qad`HdcEe3FO31~EMa9(u5@8;%=8{Sgnx*;7o~j|8WX zsU#H)VY;ATVgBuGtU37c*e4FYpLZkB_96*-a2Pope zgPOf&++xB{BK=dS$H=Q?Q9petJHn{TvN{QQkh4^W>VQ~D8T2>@JY57|xdYzjQUl!O z{|87dClY+D{|9JZ`^|PlQXZ$S&HdHF-0M;g?!-*1Audkv7^NxJiLUCzm*v;BY^J9MT-A7j*bSk+@WfRgT9Z$SzuOM6xPmgYr?tm8#UU?V< zT<)Q}>L*SQSm0aMt)7XV_l$+`2UqCUsF)=UNkn=^Bn&o`ablm9q7lcA1H&AilbHKL*OjJ}n2I?9T-UXeRa5_sg67>Q{Hif_KmAWw&VgSOq9>b_L z6*jH06y3U=)i2DLYItIxHyZCzWZ-Swr`qirCTLxIOo}o`Mjw#08Ct^9b#kR9f3SOV zW$)IhmG#!9e@ULH^uph_-ZBUK7fiN`xT&o^s8S}|Qa|lg8eMYVriNpOC$PuM;U6&Z6IX2Udf*b|u z?XziNJUy%X(XN6LxI7^2;nHQca%F!w#g8K~dDqmMzQL215d(H8Tuat#>>WY_5~l2b zfVh!@*JM+_Y)!v-JTc$`^l5KXsMT$KZY!Y8BmsBz2%hdW++?Q}FMH>xrrf^s zN|8R5KdiMRRcFgsrJ!s1>dv>H$zxbN8bvxo z(!PGluFdK{jQ4cu6rOixTngB2H{QH!iE_N={@!qvx(gjTl!*G)wDQQibgq{e_eYN9 zw8Le^QijAZRs~N{>)MgcrHF9OwAE0Ff>*O_f z#@5YXw+dL|*~-E>>9o#G5{gZDkkCFHiYL*GO8@A9qvsN4r*EGfVGlyZgqjzIw1a zuG3ulUi_+EX218@+4rj#>YJmY+v4C)r{Dh^OO4-+A39qBxTg}1TlaoZy8JbD8Iq&! zX0+P!nv4kRxA{0c`auLeGD#^=(dYc4`T0O=oO;W8Au-v#Ia0pTl;Qga2wT35lR}c~ zhG&};yX{{G;{MC<=0EGUD-=%>Zdf20#t2`3Id4JjGh|D0Pj0L=Y(gi1oM!fgxob|- z00K}O3BY95H_a|iCF^qSOrZvt^!4#yjvM0!o~TA2xHr+4viwy;`k$KS|Lth>Z||2Y zlOe+Ju&qhRKbF=Qm=qL1?khZm)zlb-ghp|dFf_6IALmCm1MukQ=CMm4I3=6Z*fZnX zVXzowdFne!pL;n`5`2_yHj2>y#ok*$#kFSZ+C>PGK(OE*G{N092^L%nhXjXUA-EKt z;10nP2*Dv};qDsT-JL=UtNbh7f1lgE`}FR8&gs5m+&k_V$*7`OwW^lzee;|1ozKhL z`N@P31Dk2s8Cv>cXwVVXe_UR2smFGddp_NfVN*DFb^b^RQn|}dd}=mV^*sC_f{4-7 zCN8LVSB_<1pjXPV4pFg61y@jid3<7J1Y2g;ISR}?{qY6#<=W+Zvzag4+JxzqT4H_m za8EYr^z~@k#*y{h+x@(f=d4Z!qijWMFvWzB>bm&n>^zt6VuZ<`I=_y7u;${J(P)+O zdwb3|E)dk+owU0gQ|4Mr(u}WF*Q#)BO4QrCwsX+{KQjYLhQo8zH?Fs|;-;rWLnmH$ zH{7ST!z5jfDYe-){6tO6Fv4V}m#k+;748b35AC_Q25Yv^V!A+iiG*@|DK20aA1j}? zLvDgna$T=pF&z$F8?X;%`-Bcp*_ZI#U~>)i>CGiMhYCcK+nI!yfeuv1GbREkp(Q)I z5%FS-)nih{cP-VyHYDU%?{HofejwkvZ|b9wzmlsaG6Kyxci9ig3C!08o*S^095g1K zuR9+rI-5aIzkE}^u$5jC)2MfSrXDd;w+@VKT(uNPC_0)+^CBdx#3IU{^>%TY+8uq9 zTh1Tl2G8dLi(7Q+_0WE?STN2Ddwr*T)PJN_7@pC6jAtq5uQ{u(NDL463 zymzQ>T?dU)9`pb+`VZ_YGps@88(h8}nzC5SEt#u}!c~_oNcM@z7eu%53A;OkNt_U# zt#Di%4i?HD7!>g*^YnDnwaSeSKZxYLU)I7n{SX8|!ZD~?euB;fe`zsMqaiRLXzo51 zzr!*l`w43ON_DFVKDOu(W$z?9%Ju%BJyK=%afpRCjITO58;xw7JeZ{Wxns)ypKK?7 zbKS&$a|6^B0Lq8IySo3qt%}_)J6*)kkJB$dI%}7hmsgzJc2sLxVO!oj2A_i-Z$l4s|AU68q zvv!|~i*p(jc{^Jvo=bnOFrj56CfW35{_Po~`nzkVvq%h2&)M^cC-pvzTgApU(l3*@ zbRHBf_W2<(gj=qWW)Z#>(CPaAPi9Jgd;0%=XSzPLFv`@G)^8MA<{x*#BUY2Gs;OQl zaaC^QSPyzzR`cA3yHYHIP#VB0OG~>%OoR5hJJo}ZP8>!Jnna&Tkm>w-HhpRT>6J)d zE+yOXVIxx2>4cc%>DuxO`DDMHyyQS7QS0#}r<9}(-Tl{H&|H{(1dlz2q2;7UPV0?b zsXIYJPJ^2jMS9y|mo%20aVFe{s;mSnl~ zi|DVB1)gV}_VrA(!rwJjW93@z`3lpTsxjYfHy<6qE9S$L8U<)Nc|)M6x|wwTv~ zgo>})t}0@@h}sH$mZUjO1b?X#Ypf>2H0Zb?6p4)CE-kB8WNmb!aVrWix($>8FyOT6 zvJpJxuD(;v>8_$;AF@9!Q@@W$UTTuNLP{E*UUK+bl-a)}&;7r@jptYV_RkbVUMP76 z)`pME;?$Cc#y_93l$t#xFst>K4z^GMk?G3Lmm>QMP@qZbmjI&@Uh_wxi7-dPGE9#m zlv;~KUU|ahyWUlAj<5YpziIzKSlpr zw%aLPwk74U@I1mTc-m{Sswe>xRX*BAF3PIW%fxn5nvy1MoNO#?|5{Gxf1ymU#DYRa zMmE4t=HQA+ej2lm2=&zVHdHF)}A#=b%7n$E%J6GD2o5Y z)IkF*3AX@C!fe2j@J?b8>-c(!KF&G?-rzQC<_phMIVHjO)n?C({ao(vVH%|i+P5qL zBYtz1_8xaOkyZV8%fkDJ>nEt=!Ml{|RH`HAxtW+)`Dt2bQ}Kl$(CmDy>9(8a+2R$LoIDC0i zu1rgm(9+UkVGeY9Wq`=RWnLDwo{-?W4om#vqqtnTjFI_)XhEt2l!T8W;eOFFXnoA< z0tJOtLh^%%uyZl&h{Af+tc(;Woc=nv5k3YO3$nqu5Y+<1T zXKwj+?sh`NwFi_T%1mWcF>dd}Oy?n;f0yjQqcX@pGwI#Gm6>GYAV|G6TgOV<#z*SC z&mX66O`5R(Bv5^6o+L%&d{zj%*7$3qFUKhn&gf?>7)#==HFPuh7AG7B#{c6L=8- zBlO@kG};4KI(IqDbHVylJxqIYpN;Lpz0mAEjn?!Ra73*L)>duKffYaRvr-Sfx`?Jx zPiB|-O#A0bUoBKUN2DI!JSJ)??yF z-)0U~&vQ)S9Z<_f_8^m6#433peKJ3OuMYQ7q*>FM+zgfr9OQvM*$_Z}P*aK#jm0_D z$kpEpF>CN&L*OYtR@{&+cULz$lxZEBBy&gmLJ-9J95+t=vPxbCdez*1vXw(FD$rYy zaglEcEM)niNhJ#g8WXQ;QreWi%f=XWX?e;~9d?VnrDUWLa@H|utR3zzGTQ+~&j-2Z>hSpIzObQQeLy+Z699eMkc@>5%%FerDemxO4 zTtiDa>VNlEngQdnV&2To=F~?1&IY-7Sm&5_pPFh#gZ)HlzlQhGaRo4qsGyiM+_c9A;Ez?ZmCD$=9>pyTWMn#anaJWyPfV^Ko`}zIYkAwR zAo5*cEKDh}vt7Phxb0>(4^1y^%Sx0$jC3FY%I^H>*8Cl)3sFo6t6i2G{c|o7Vgh5Q zeMO((s0r0o@#6@a*~>Q1CH!54-~{0*_{T8z|t`z3?inq8X%vDrmmlm{)w4v?e z4JPikD^VXZ@X9EePRx(1s=v5-v0KwO&8pH$kkq{F@%p|cj7G9mQg@8qfqHCk6z~K_ z7`#YnAh=)5rdSl>)`wH%DEm%@;a(w!TBoZqa)55;aVc*h1$%4KENY>d*=hu`T$FtL zAcmrqJ8=ZE^z`hqy+ms;wxQrMa^P6QixPb|_EoG9&s_NUb`0ElcXjlplaS`wq~znm z4pVZuXw6_BJ1P+*T|ijMzX)$%iO4y7QuMX~o8sV$Nz6s!iOCzZ%Rp17?XAVS_7y5Z zz`+Kl3(wT8$kd&DU&{k$WyLJpxk$}+zRzqHMhHpn83KVELv?&8m!JW+WCX!QDMq1Q z{1%TI_(x>6p2I`%v=@{{VNFYK(ADSgkrr%H6eH`i7p*+1>gJ~ny56KP(AlYvo8rif z={e876qQCxq7KJwSC+@)8UldP!T+4yQS7C;P0B)1orZg6=e+72kFRYX8x3N zj3^GAnz;&lk|6+52pE@is^_z}1I-2q{Bh?4J=K6VsE0vk@!-I6zavG9(Sp+RC{B&) zl?+!D@%GSbnf67Zicy{gw%JwBM)N&Iu2gRsEk{R}Z_nqVQY2`D=eopHP~5jd5%|`W zG&F#f;~CF`jNKlWf~4cL~XKq}#OCDMsWB2h&cZNZV>( zK{@sM{F=10XE9T?z1M1$$sOiFdZFkIuVF6~r&wGmxZ61m^jJsJ=qCo|R7bFU%)+1C zKU`D^f30Uk|&D(_4ZUu=1*E3};yhf36SY zS}!_zbw3+%FXsJ`3jEIQeb}8)`zv@^4xDXtu}dIM8ECUy)w!3b%62s`*n{MG61YV- z<+*BvVYx^j^jPP6sB4s%#@aI;#z{dU%n$RpnuiUzD~0g4Q`#du2ZlC!@2euW)T`z5 z``9H$1z6naGJt<)NUd%UPgRpT6Q4Q3N?*c6WCj+#>lM({~@vWlL`aFEzJp=ZcsE zmI9QF5Y$_tDd+9ysbR9>PL1;HDf_rwXsWeIyiW4R;Gp+m@Y2fCN0y2FWfuK4)!##( zJ*Ex_*1T_uCl)vk05unK!)_V#w}t$e?Y$p_*Qj)o34%ee1Y`6|e5BP(60b*vRz_$d zQm*;%E%3N`EV|(Z*(pi2tBwivb3e(Ci7KG`0ckjLgF5h>HL^jO?(5QEYD!U3mjsmB zR0PhR%2;v^%1@BzvkkD_;1`eEs~ufR(PN^HQW7|`Dv)tm#m1^Ms^dG6v}a*;(fkqT zpmgjD>P8ndZt#mSum}~QUnPS8;_KX&l*Clqe1qAX;*G?7WYMajHZSM`30C-*Pp`-702Z z{5;Y)N#QI0scH0v6WBEfM&J9+6C0Bx1!FdbY&T z^CPCKXR|Gs;uI?SUD5XT#Sb4Eo5C+rUwc<%s{K*oRH03=8?WnXyJ_EGeu_Uq<`=y3 z>!v2UrLxX=0uRV{+gvbJ6g&f*L{Q)6)km0GQ7&U25*p_zt9*?lemvqfr7`tBg6Y{U z=gKf#)?U{*%I0GGfKR3>#QP9k;EA-*?aYCW7&;X$k$SY-wA)LoH}_~r#b-rE*Z|DQ zaFY4Lg5Z$oUiD|}bRQIWSQqDR(=&hUdsma-4Awet>Af360>p64EGN@jTCOXTw9-dE zz$2OopWQtF#92+ckSd+|#aT5SXKQx*#aZQV9#G=;YiXefvi^bg;nw81DIt5e>EZYR zwd;5lHrdXR==cdwIgY|t>7tH(x4weZO z%n7fwEBPETl8EU-9^jWY#k$N6x_xzQ;a|Cgwc|s5yxV@WR4FIgP$Bxo!YTC~9~v=} z!&)$FFEPQc&%@)5q(Fc;u`Oio({F+kq2r4(BxfP8#?ldYXo>81m$4d&?(?Mmz7@GD zyj%6o>8)5>nf^_t&HQ(6oCpGVkT{TJH`s}iyPA5?@orY)FWWr5W zjy3zC(Sk)apB$Te@rsV#zRU$s{$muaov?>C#84v3Oq9HSFKM;QFJ|YQB`} z85&0^@BDPl3i|6h(7PsJ?GqE#l6gTI2dD$55`g~JHTEmw+Xp{Euk*#v7TgW~>jfpS zOV^i&S7a6VABMW;Ta}Xpj?&H%RDGS#_c$9u62|pD&I?_#Cd@)WWT#YtdOKspq}1imjA@g`ns+n z<=H{5RP3`i4!txSJ!Z535YeAWcf*SSNRIU1*|&e#2vbV7V=)m)C{H7l?Cv8l)B6;I z%J=mtXE?X>f4RW;&kB&ge*XvRnn;_J46xFW5-(Tp6$q;2%Cz14>E)DFTNihe(~d8Z z)3~U5Aj~G&`)ed$VMY!#o z4y$>$#?_?CtJayBFCUSfFyE)DuYJu>*15UN=0f_cMwm>Gs>ee#!GS= z=9$j4E5kQRK#%vDrsSXlx7Mu}nS8SrFof9{L6F{ROtDrTa4Xl2I^WC@D<(82GQ_=i z{5l$*enJ>cXvgiRH<-UGj&p8H)2EDXqbru4v&*?;U|_xb-RA_k7LP#7gu%#uuY(B-K!{ z79t2P_33*wh{(OSps)Odin}uML9gJ>Xvy{>Uj6Y_@c99=#CYEe@swEwwJ*%Mgi%OH zy)6C;-^q>1T}sURSE)kY%gZbBcT$32^o!q{Yxspko;g_wV{)e97PLayw)M1n+eZTY^o}5{ZYfI1S5=p|SxlkK?scT*_0r!p&n9`AqxK zi*+i#CK*n!srxCP!laB4VHV~`^Rkjk1?5aRcWeZxQ{s&Os0*~7k=S~|h z@*ljS$Zb&ZIftB_&Fnn=UFkMKCG?uf;4CJC=3J81#TI-razrdjWJefFiZN_@jVLUp+iMyQ?PJYoO+7{$vyrrbt z+Pki#N~!kF#WvrW8d7{R3Wjms+HwgK$hKmlFSf+QB9%B@IE>j>Mg>q(mQB1Zd29dX zMkd+b>9G`z`KB6I5faILsxJgnfP=`a%tt=4Y-_1;leb`(6CNU5%z%?ufkHrj1q(}X z5M(y1ye7d*p@bNg=j^t6QZd4s!^%>DrS9GT8Z0p9`-!X~E_4&J!UxX;2+L7FL2P

    o{5>E<9YZ+(bwJSI$Ta?YK!~cR82+1cxD!Z~4e9cT4g8_3g!|sy490qf zgBNGuN!JYu*Q09L%{~u{0>WCgWEuX3qzT@Ve)wm&nsFl92+5b7)aF>?oXuPwz!_P= z*Q~D!J793HOUKXIHsZSFl-XjWI&!mLwa*6~@iULnb}nVd+~P0J1HNW}C3zm^?!t1;>uP;@F#%YwV85x(Eg)C< z8+U4(%&RPC`wCZprHlRLAJ9MEHgAuZ(6T1gb%p1A`1G39LqyG3h2=9d|JUuey)R?g z6Ai+JTJGGYK8#j&5X?=)1S@9h*V4;ZbF0s@a+EBr_P4C_4Z5t8-K=~!a{d%U{fa)X z|Fm5?U#~|D5w=KnfzY(JKXAQTX%7n3ZuBq#ctN|#Kb7Oo6c$DL&9b88srKokqut@N zc9{&|nrgTycGE6)?paUy^XK9uKlTA0w6_lOcgZN&*TW~oL&MGUYut)2gC2nzpM9r4 z&oKvGhG|v;*_!Ng+*eP55ST=?In(^R(1&JD0~a#wBwF9k1UIk9Wg^|j$t7_8elwV) zNDl4F8gs1+Mqg$_qGaW2hLIG5dtpJPORLhkCK~wA)Jn42$!#xPtZdN52=6!)7k*G_ zDbsfymLx`?IvdO@Fgm)$fCW3EO_N%{UEwRT1k3-na>DY9N_E%qMRz zSh@t-Wg;v)rk8AO*Fd-HB1@teYg1M->-p~kGfR-81EGx2ncoYdzw9Gcf8>afnjFR{ zpYTRzn11qC9h7-%6&be-3l(%SvphVw&4Kfdi7#rfwa!(Y4|CKtbHBMMVNzs`FpyxK z#QmaYrHed0-rrO~Jfl~wE!(Sgxnr-ZPdm_VapG{|FvVExMX*WHVaA1_A9Y@r0kZxu z1aPT-{>{y>3M)gMsUfVYoi_*?f%Bi{ntIalBWjjLZNEZvioAZy4u=-Y2f}+ARD=pN z4Kj(G=J9SKeIomw>NZ(VO%P z@s|pL)E_d;i{5SWUM<+lhf)K&&oGUkQb;a!*zdk+t3k8rn%!kLg+`w%ej^0;un;vP zGCeXfTt`TesgY`fh44;r)zS>SbJi!V5L?nT&Ywe0q+agZhU*h%?bNH$6Rk zkPj^12`3?QzGitR7_8!RB#`Us;y$VpVW-%_;HAipz-22dAd2JVHJCqaNW}IH(LbVw zm5yM`#$-O97B1o(>J_pXuY8A_ZqauMXrAyCoSP88)6bLc!}iaK3lkDQ+S>Ni1$e!U zUV1RZyR#$JM8OxA$>Y~C>URr-g+~ieZYxBcaM#>ZKxz5>0in+3t9{kqu1@X4G&xiL zfIJ<-2Wrc^x*xZ^(71}G^tof2Ux!Wm+{le3{_c>yVQ7zVigdJPN*EdGVic|?jJ7y7 z(#tc?d+9B{8J)Y;D#x;Xd1c{U!tI_I$6e9dROnjlYz&C%1=H;v36^y^r z-(aj0&R038z?pc6f)r=Z;|DYN8wpI^IGo-Smp`Q9(7>#|es@(190ptzHcY~1H{iNAJHVnd zwG#Rb+C0n04|)An<4C~Pv@nC%a4MXq_h$r=uJP~ewehz(gXHG|cB z=y18Y+u5rd##6WD!ucHoR&*5!Zo5w?8PYv+lSm2z6)W#9Gk=7?BO9%(s%XzDoNt5e z_-Mra6i5*xl;e|?%cU(FtkV$eS}uw!_BF?-N@P1q33Fla_P?;){Bf#+eJ1{U>HDU9 zr)Ij%(O--)RgP`VUe-0Nq@}= z@$Y8Gd0CKQ5qZW)EIr%7iM))K728;n3o@B2idTcC+BUbwGW(={GR9B89qic08V_u<*_Yi|EHvRuzxvdflHkG1w|Od~q*cHTO=31OFKg@p2S9+K zlF;ZySDAQA1-;s~hR@|`t6=z>U;P@mwbx{d%(*6^j}iOee66GrZGGbzDo#`Ak-$-P z$?JRK)J)GKyyRobaG;poq0}f2nz!e2n!vecy2|(LYqwb68R$THKYzW{mG5M#1)arZO-^g+pYBv ze_IdvoS15@|MA=YH+K(Ft!6s+J3mnYKgSBOvJ)AEF^82hENr2wVwOOeK@7X89>lUO zeb~yR53ebqYG1AWf)e-xGC*Xc>j?PTwMU3FksGNcQOo2i8EqY{JA})(nW z1?!^hC8B)F8x!SEr;kq;@Be0RPS$gX%y^BEZQx~f7?OX5iA8@RYrGkvIcnZA70j^_ zpG@uoOBs}#bq$~@e$MwU0w;ikPr0@WH(bsMv!w_+Xo0lsjK9M}!A}{StB(17ZdC`4 zr@g*v1#HIlexYJ#9{lw*AS(jRkI)pv{1upSS6O0mu&W*68e2G@EAa4hA3;il6@#Y& zxAp7ixSgop-g0<|fXpK?ZR=+SpB&B_+M1d&zTN+6;;W1|bj@5q-?%YGqKnvxcPnwa zfUk}$lg6fLux|m)zl{cao(AW4793Qk`81Sbole%-4$w@@)jIq89UHD^ygen1ZANv0 z(p2ffyC;|cA*iYE#!WSw?zCuXl`DPDGuHYW^+;Yyel~G@W3j#p<4b?4d9|ZI})}Q%oR%cusvId5ismNH?xFRh4Dk!vik1s&o;>2 zgF6+(yvRJJi)%=rlKqvEnLy3ca@Bek2RhH*MZ0d~0~FD+s<5Wt?F^$IwJ#ExPY%z- zsiJ#+N?GSf{>Gec@rbpe07C!b+r0;yFE2w`n&K#%X52z2Bj_Z~9*~OfS$_N~d8K@- zp1*F{zdipJh@npQFC7h%+0*6gcs*)keaXvfw~d@-R%B&XDj=Ld>4*K+`>U*9KLa${ z5UuIgo%IcsGAs?tE4SH{F-J5n0@Ae_6<3eY&pgwD(p=^Ong@E}S%|z2QLRch5zVG) z0fF5PBYvOb_eWa;-+S&+Iy_5{W(5JMaT+zogMaDfQ9!WP#Q8~GnC*elV&^qPWc<`W z^yGx7W#zJEK$>2j0?^#^Av}P<|ITw|<44HLJLkdMq(G0m*#Z*7qPVk<9qiWD2Q(^5 zh|tOkaOenJ%e>l1%J!KwDPunY=^3}oTiz9Q(7(E95@06^$hPCrcnHfXGq>@Qs(p%; zCDT1bo$9IdgiMF&kRg7B2G?SnHA%4IoG(M7x!Rm8mv|QZKu&i5v9oR%VWmH_slMH4 z*J#-b^`1;7C(OxT5PTFS@XeoD|J*vC;O4HcHB*!cmoqc(_7o`m^i}XQWZV8Fsk?%> z>SNx@22^sTx$B}^X}m05K!NjO^@!F~43NA#Zf9m9 zSeR2#P}qAflfh!hyG-@O?Y#zFNz3oFZw}HMI_Z8(!W)B00LDuvg=mPx#?y1-Q0C0> z?cX^;Zc4{TM?*_nk{q9T>$7OF?A+zbFeiOZKzo^a{5gHb64^~9q4sI!cC&)?POqU8 zP*#;5LOXv}a$H7ZON)~T*=Mp^UoExdAU}Nxc*|cy+z4~fpY&>bUwM`IeUqdkffk`3?E%Z;@tT9y?V^3jrUQtonw%O@3mhtQyA zp3nFiPoa4?+VaU=^^~(Hy0U~8`?1{Bl~0EU%xyOmxwfSS>3pM`y*E7w5B%u8SHP}) z)LRS7{C%!`O~Q52g!h`}u}y@(z4kte105cW`Ti#gh0Qg!NvH@?p?6U&{J8PH?34M5 z>(Nz+l?{{4a0%DO`4^0fKI^#&x53wKf2#4_aO&_rJv(AFh~-i+Jz#09zKp0 z_99JDXL-+(QhvaDv{(v^_+Q=)0mFX5pHLu0Ot%4YeR~s}%zF~DmJ_D4;%fBYk~i?V zi~jLDDQmj0p}}?C8zR6c^7UY3Q`YgXWKHjH(oD=3X#W3W8&43P=7`K%+^7{AI_Zn#o+YhjT!x`IWbYSvSt&A8 zMzB04>VtVxou8S8oK<_AfsxJ$0l+rd-8?~a0pki*y|x|z#v%1L+7S<^CPP$5VNNPn z_LpJZ3L*e>pqAzAF6#~pU?fwRC9}B^8R0(y=7dK@A~dN2!awKB^?R~ zGK6$Wh?IzgG}6rwA~nFk(A`K2NK1FeP!b~D4MQU_0|G<-p4(@?*=s$Y|FhQKFL(j- znPK3*=DN@8Jdfk}a_6yQC8hH5>3>DRI+dJHeGeR%JikvT{`<@Q&cY5?KiA%c%>jKg;N&priF}R< zbDX#!|IBX*(tCrYU(|5jDuVMDY)|L(ZQUR1j{G`V{NWf$7qtql@efe_>@~^NCZ4M= zYfDiPTi8R9pXJb^U>8x<@nFf1_{&;+{K&)dx9r&2(-IWxhDLV=hdMp_tY-&Jr`+83 z&yp27L6&JsA|T>#6a{&^S5|-Jl@4N~sttIOTKNQ|)|Ja@crH4Cm{kIG5d@R<*&vW2 zgI=8~HnmSaOC}OpR1SF)!t>Jaq&hB{TNBH_bMW^}tM?)JJ)*h3siUf{xv37$x1yJ$ zV`F?hp!hS}S%>`_z8LU6?e=Kw0B`zL-Ti6JkBLkOig#16O3yX^Nq|I$`+*uF9dhsXm7yXlmXv7^C~CD>fWVc z3p@L5&}tk>V;$%Qwt#NdMD(pF#ec*j9AN{_yB?ehPW?)q1UU)jTm4=n|ZPiX7& zpca-a+Ua`nzhub*VF+ghfR?;>f3Aqh;^;`u3pcxPZg180ZnUNW$j=#ek%}kn-~Ktb z%xnVt^@*=PF``}$q}4QO?+B!@RoIsow%4wnL&BeFClGBASBL#PqN8)8w<9u;op4L` z+XvD*Fa3MtGD=-jhD^s(K8Z*o>ukO~l0sI*Bk7&GgwutC-pA5ijJFqbO-1=XS>AAU z$kJsIVRepEFajI=2b%@hF5+Lzec&IJh)r*F5wD{l)|Z~_KmdH z4~vb$uD*j|ceu=M4A3DHpId%gEucF*&9`(-*r0#s&1i4UB(z6MC{a`a(|)QJ%Dq(Jl%B7Ioo9;AK98)*urE8)yiH-d&20ZY4p7{X51;;} zxXE$s?o;bBd<&e?_DKo-1EH+-re{-a@D^DBTZPO&?u`2UGnJ8@Edfs-*Ha~UxDAfg z=91GAcGk}Lev0c%i;at`D?~vU=Yn(xD!J)i9vgyqEJ0gz!;dPX>;R9MG&N3eF(*?_ zJtJl2WXDfhjsrMbQM0~Rk76!pf_wJFyj8#VZ>|hD#MMtYEY`?IwH$5`#m9j8COeIY zYnx+`qup7I0niZ*#kyUsFV~No$+0#kRx59w8k65K>)Y(XA>~xQKOjC%&1{X0(PnyC zY<+48CnhJF#04(C|D7aXjgML0+)^XRfkOeu%dEx}g$P}%^VSvM;tK`z zB-A7%rNYi?-u9E&>AW~khS#z6E`o=?GqoWMxysg(r7J&^PB*4bom_iwh*a`V-zY(f zVccl0zJd*i@uPu3IF2OAqtP<-c+4}|}YqTe4d%BY}L@9+WE2GRyQrao)s`aH9- zwLTdf=?Noe4Y5fT6RY1L1lVGMUG&k)s6(AQ%KjgH3w%;FAd#~M``J8wn{=(exL+^J zD9V@m)Vz3$k+AQvMj6M>D1>9HnA6c03}cL-)N0&$Ou^Gs%8r|4JnkFu=kWrBE^O?pL@Orsq6l29ViRwxped zZxA#;(t9Cerz}I57!YU?%QAw`QjStN*18O zZ(6dJ_DP{d>dg>J=k5AdPsp~t1km<9Fi-E+J$jUv4`Xd2MCLI!WAG~{hWNInb=KU9<;s4( zzI6D6d%w3dF@Z80Q-Exj?RNKNOnF-$ux}`<+c>%MLS|42JFza{t4*=n4AfAroaV*KO zn`zC;O)NDUqhLoKlM~6IPV0H)nVgJd6<=Gz-GAuJ1&u6havF=6z$h}!UYKs!-9DG*71?OVH^$z++ZqoG{f3u}?H zC+bGjT#hg1k@AMWM*S*mi(xEP-=4Bm(rdPFXf*ELPSQAc+;J&g?J58o#?gy74R!uU ztY)9?JxgXg!EG};;tBKR#F&>rF2rWy>0|2i-lKQTeg#KRn>j?EXD+r4m*sD{Z<@!{ zAEckB;nwHui)pR+4*NK;mNl`^#}uflnnC&<>$1a@Q41HH@isKdKXBu&U}D|I0o=^G z5geR2_iA2ZdGO!YnBSqQTvmOVys9%(CP{^Pw9;=laRV-p3O&s7`Fv}ZV&Cyj=4lL@ z+t6dZ$j1sl@0-R_?fddzjL|x>3@mj(+NC)CP=&r;3F`qdfp|`=vsy1O07~(1A{hX3 zoavE1tZmq(UF)ShBr5qBFRtf4&-ahmeFr6(m-)oOFQi^*$VdR~&lN_LLL_%(#|z=V zhAc|7RT}w|QCpE@Dr(bY5?Twe1@7zjOAP(>AOjRNT00O0mQ5$pnElY!+*s@F9ob~o z5UN}Y&1IAt>97g+pxfjp6)F1%bRQ<&9*VSzUoVZNvo#WIm?7P2TLg=&K`5hzOA=zV z;=hROaK+CQG^4U31rdQX48h-R+>;lQ1Kq3;^AjuiRr?L+UAXiCaRKkh>UL=5RarC^ zcZ~$5J6NE!z51cS0>i{g;|u%3)%6Ia!pKp-ocJ)U*WZGuG*n*^n-I1FwR<-!dJze~ z`H;mjb2ojP0+iAQjCGgd4)Cp_UqU+`46UjK5DZvNk_(fG9W^!H%|ycUm8C_4W=cKX}$bsqyR7L7PtulmSwc-bu`w!g7*B zZ&ty$rv(v@pH)=n$Vt$Aec_*xD*tG_5BsL9UBubQdVQI>@AKW5K6t+aJP$Z{y15)Y zf%=Yg_dQ0g`=Nsfh-iemtrMGY?GZQ!6P#PW(?`$zX7Z~b5QLQ}YtTp+q|8Q`K(hGj zxyazrV_*8`h#XA7=!Rn=vYqi=sK%tDKu+!owrf>=?rq*M|5{)hR^RyGtG{e=QA`gB zI;6ayYh#h;p=`dPPwLZgiz$mXOKeXoY`f>k57^s3$+F};5X2H6*naSY3Tw>oSDJd$ zPtK{pixg^~3w_vrQ&YUaz*mGr+IlPZfkrh-f(Xg;cnPX3J9&0X`?39Q>{{HIK(ySE z?tW6ZrKPL5taIR$$;D9{y;Ft3E^y51{@_WpQqBzce;zy$k>v%Gn%w)DN6>WQoG8s; zi*k~$MFHr?NiYvZaAzk4^gUAUCd-|0 zq28L49h@+^87Bu=yRi1%$Tj(jS+?*`{{+=9bQM(or&w9@W!Zklr@(JNaG64!XM*f8 z4OVELD9#&NDmIlEtna^B7#Qe(m^DgH@Ku{GGjqr@v^c!(`H=B({K($T)V5Inviqb{ zKkv5oGZy19G4FwW?D*5}9`Lyw0$?>dvyQH^=)vfEi3UtSj38fegEMF9@t_zpP{e zxnuugW|*F~6YAha#R9aB*oRQB$zB?x#!o4Z33ddwteO4E)vfeDW&7SZeU0%LVNxAB=Rb$+F8G=(u{vuD!mqM%8Qm4|5ag`=48!Zjz)P1EXEacYn2a zXVW_1LZChN0Zn}C?>c(R2$!bU0wypFmS`){KdY2b${*!KTYZVS9}Ua@Xvfw4ij=tj zL?dz#UqJ+CJ$B>QDs+1kFpN-lP-YWn6uYV{e2Mhns+Fbh4H%1J`b2j~G}t$Z2v8Kc z5M#&QqIc4$orQ5BA*xT7FpX-Mpx+)eyY53C=iX*|GxXs!We!xd23(m-JFmbw*;;ku zT)rSohMFy=iuL&FS%8 z3#g&-uCH;TW31SR8JFM5hSK?yG?s@s-9;HsD43iPN0N6@4&q^Pf235vJte0X{rWt@ zY=v=q&}b)drXwE66S6`{MRwrE;zE6Uw5!M2W`cv;D8aXWC+{v8^h0TT*<7BCGJVZD z+cm;NZ2>(MU-I8HI$=*vW)|*__ElINBYg@d<_25ls?Bu89#dJz-HVytKMUO;;?bF| zYdXAO9~@AXUwKtGZb?oyZfa->IckJ>V6=jIYiA{YHb#tD-lg4vUsQ77oT?S zk`NXd_8twC5VT%@h0Dd)RqzGRvoOm%jV)7svQ62!;G;6!-hMiE42Elo5Jk-H!=NZ6g^Z+K;JndvXdB=Vm@>4H! ztsdyT`7Rhg%9D?4<>8V=Vokf3!$pM)@|VkA2RDi*QlEAxn(a+ftHEyhQ`@vBP<+k;$^`P5PY-(-`zLL_ z5fgTxhqEK^_+dPfjKUhb8TBuo92r=Jh=#yaZKjH0R~3pU9J5KQH}KxEF| z)yJ6&9rZUSVfhI^MaC7S4OqMA9_2RpK7|NX-@Hdm-guWnLsiD^*CWmaJCe?WmG`K4 zGrXl+BLhGLtyDYh-UZ}Xrye~?5ySzEREN3stu^;{w9>_u$B@t*Z#iBk;!}%Cm%-m! zH^j(e|2)7vST$Yds&tlP>U94npjnbgeASAGp*C{x_mAa~Wau7S_7fm1j6Q4lWmCMd673#0Je7&Fu-7SdX-P_rXltAh2# zXS4x#*?s@jLtVd7)5|NKD1mlk?TL*>)E(k^pzCuT@6yWY=wr@WQbp>ktjs+%vxv-oxFP(@U^(Nkg-t&{7?QC&fVY^MO&&C33ZQIBnG@76L z-K@sz-X+i$KUchXiSJRe5dd{`PS3MkuME;Jo!e_+^K&z(Bzq4WHItmVZmVmxrNoDr z=}Stm86GZ4W0(6V$bmiqVg0eDYs-#EVoLob+XdbTQ7$*l2!pV~&x+6``OqOtapwdN z?8O2#j55*iYM2BB$-drPH&&l9XEAwL!Su5FWL-1Cr-%?I3QvuAFzakja1=AEJPQoa z+5ZapFbb90CdY2)R7V&6_5La`($uW)y>6P0rRnc~M*K^Z!xvytvmxQP6r(o{<#0^N zpZ4bjr4Ae;M6XW|XRBX_zncB>>~s?!Te7SBNK}N55a}lhJmX)4XGuR{|6)Y}PeURw znd{2O6!31g+ib2n_`D=j-L&=Te8_I@YO@Y#tHadq6Ho}b*RLZ8VFnPO)aahMdob&8 z<_XiXel;QE*uYmk9E9CvrM?p1q#ugHPLghBTZ-RqNq<)W{SOHoYnT?>p~y`oM(?4=h4y8)(r zsF`ZulNZ=OzK2X7mAUS59{ToGH(<7Y=C;xg)tSV3R{G@nNf>Mp_2sM$>z}HR$>9BH z*id+o-Ss^?dBy3yT9f(cRo$PG)yGU#7&sCUB-{uVkfa;SYp+S)dg}Sc@-RW9hWrU@ zsuu&KC9-qY! zvD%`I4Q3_TZG|tg7^WP!Rz}rh4~dAr#&ZuobH-X~4e7fdBDQu`QM6tVle9icfXOq! zxUG3-KrJkP>C)iQ`W9kUiZ63|KCUwMp)bSYUGExJ*^Ci+q<-UT!eAKcb; zys-?|TruFPGqE@UGMEn~^pFI^_?XZCfDER$P7dtzPFa|Xcd!%yxF23I1+s;w&nYzJ zsvZ#r`+(HEn+ShUTdfRE2~{P0*~&$p`?1Y0 zi1+KYS-oAxUc+(Oj}j59mXPJcJQmdVgEGuj>ZH_BMDu@?xX@KbAvVoXY_}o#|9~dl zww$woDMdmNAg`Ny(gS$^S9GlZlQRN1sA@1IsAr4n>Ias}bR_m7=MUL5E z!DQ4(Ga+fSA)b25Pn*u=bMHY5t>`H?&G@uH|Ln~8s^+9&{TL)wg-HTI*o3a7Ml8V8 za%0AM46p{LW1a;;y1KHm)YG+oqSbppES4O#RGu81{k1;?Dxr`2`zFdpvKhmsozdQG%zL(fKvb1qq~MZMmHg<+A8^7& zTS>ObIw{gQ;Ge8)S-7l%VIN=p%qV-IMc%T9PQ85-v&2#@I?mB3x5O1$vSe3K?Ss@ zr=_6ausyTYQ?-6xt_vhb7xr=}#TAFnMCd)9U>C;yM-; z3oUD}{dkb>?d!IvPSVd0u*ZTelji)(NZsHDaT_^JRRBb5}AI8nUr?qpmC#icO z%8~@IFm*95Xj%mz7!l$`YG{`{&`_noP%NG&OGo)kz?O0c+wY@ERA3yIV9wfc*a|5+ zY3oN(Nc|Ax_jf*{$JC4Ag*6pB z;CUL)ast9LfwR1T171HPDt51haSrw!USra_yd%@zVb#URmpCZp-2jI7FaWQ_LvGhW ziO#%&km}ij%bpiQ%*Oe9+T-JqDyxz@Ogt>s*b&G>7%fm$IrTe*167qe29TuioEzWx zJ9P~2I07|Ua`ubm=r%7$$@Z|3E0;a6<#X+r;i=jR< z@vry67cmN6pfevS_1Y=dR-d;&*PmB6gg=);`HjwQMGu82Ac9*$MI z&b5UTef`auGWj-36IO|DW@(~`y}i{+*zR?*(=A3BE=fQCB*Oc0Be3V-Ays30TxrS1 z9W+~H%~dU1e__I&>reK=+oAWhaxn|Dor5@vB3RlekknIY`l84?W~g%4Y{R)P%Pd!! zV^QCLqBV?E+6w=n*4oXmf4~0j0necz!2_oXlK_o;UA6IY7rCM0AXP{1zu4keinvu3?( z7L`Vu5?d`7U1g*YFI8_bHwc4

    d4rQbNsxQxjeyXack$0hU^6geCJATANmISG^&I-JBk0{{AM+&{@ z7xP6}3Cs!9S6y@YbBy8-(Z#%rX*Ue{6&2Uid8AsTlLQk7HoQZ$%iTKT)!Qj7o%Qye zDAXR1`41F*=zwckbMsGLiFzHJ6Ozw&wHtC7BeLzM zDvJyZz%uKLny0|(FkrLe2(hxK8>E;J7L7Hd8=NNA_F$js#7VPh^Am|hynzcS-v1e4EYJ{eHp(=z zMun`rqSVJk7c9Ncwgbwo2%mR(rivj*k!4!y>t@2%7E9F$KfW_nQcS4!?_25g z4Vw7cd-RaZ$u5QW*LkiM^o!DkY?AzPfWmvom?XnKr9Q$BCRl7odO6#eZdu=Z;y}=$ z5Uv*k0$C(>QdPbf<9dBVim5M=WlEmZYn^qYwZ;w!kB`@7SiAJZTWRP2H}>lP%9J4o za%DW7G4U0{9=;6>w393iK+spM((~!f=+(hAB&VjqOKSG_!VK-U@O2YR_Fb?KKP(YYHdYMDRWIm`5Uk|nkLRVml|);kIh;9%Tb4qpR`$-l%HU>x&zcE2=(KzYLf zwt6Z5dPD7Pk{e!dMRS8&vLT<@+(J$xtp+i*T5liaonBv$%}JwFflj#2!bC<;MvIhM zr^FK}Vmdi0xjrN1?S@@vMpS7V&+RZ!oZvP71G@2Q1uNTd9-7wIhOl#elZ#=`l`f`X z@6^Kf1A#ys`a2R%$R-$F}dCiA;*vANay3g6Xs z0#O^Gb5Q2$s*^DfQ*KqE?d|WCq{)^?+jpu;i9ckcl!eqs!gtTke}XgdAq8P|$o;H`R3ooNOwia*LvhT?fqg}TuvNme6$OssPK z%njRjTd(Kkt_}LW#jk!T45tN>>jcq{A1(HI?!#XliX9Zf=Yeq-=k|-7(>6oST+z6^?~q7s+Ak-M z9v~ar=DrxL!34 z9BJ=6ypwR|3-bq1@Sin{bf5idkDBVN}W{qo}M2YAf3k(>8BnB*{Bc=UjmWUgtDw?Pwgan$+rI3r`!D zUGVX*w!11UbjQGZTB15{5VlkCb-N^?th#SI;O|Tmo@gjiQ<=5%guujaV_z*FE+4dn zltSb4FCInG1ijuekET4ztxF(js=dKj+Y`F*?zRMn-uO$Xlr7|DB3F*o;be$w2NBzb zuOKZ}89(RxaJxMiFY=+FUd4eMt>Qtww_uFkw+M3yc~OF}WfqS70CP8{k}3;Fp+l?f zb)AIMdVfS+<07VfYjS3lclJCRV($BQ`H7X$Jn}EzzX%5}+Md@e|EvZXCJ1o84v-$f z>Qk(25{4@MpqyZOk^Do#|oalKaM z_&I{tl%-P8ARnO@gR9hu=RXWG$SvM&NouIg)3rktSd~KdqzDiwI zENd2P*4Xu~U$kY=3>iSp_z5-owO?0=P5q=n2#3KW*8RYoHHP;q`Y%RHFN;Cm6`&UDwk5{7VUhHTaE4Y|E86bcG7&O+?i5klNB%&!3em{JPfaUtopv z|8i!&Df=O>i`_|e_`@3Ngmh0VhdA2`i&?ej8ZGe?$jt~`)KhxPD@A<`>=zM{oMwnd zzwXxqa%atpF!0_)xQS0OTaz-Yjde0ER!(qW=0t;xrU;9d8XjEEll8do+M8CXq7sIM zezY({&ZjJ}@bXQx0&7}SD#$DS43PL=%f72?yOua#wF!QH!cEQ@@2KY9gyRQ5z1ZTV zpFpzFm4S6Uw0r8d9cP0_nr{Boa?TN8)>{Gr!R_mFRoqzQy%|wjI{vm=$_P^6@bKe>}jt+-uQp4OTYzJ z>zER%%65Hw)TlIh=o-y2sxpZ@u`gR!hB7Z1SOCfY776b`bcyNpdUp)dCbM-!{5rKt z8jEW65l5_FK>GeX--O18y9?Afq213Qc!7Jlk*rP0+tjDGas#eUkC#|MgR1g>Dd2*cy>cO#B1V zPa9`Va#)XG|CKNb_LmuZ(gClVjElaH9sP zMLs<+D~fv{vfbdzwagvAfe01bF8-8!M_1FV?uOA6 z+Zhfl@ym8IUKR=S246&cCStxlmf@g{gJ2_5dNYn6!IV*~g&CF=b~JL!?bFl0Xe`r5 zoVvooX1Hanq5=-EsI)a)`Xqkqpjj&lPyiN$CMCKYCeF-y^BAL`60?9C-8fK67a0;C z*~lr6wc=YpR*_>}V0<@nm0aZEWL+ea|1rN&hx7q5I=!1!Z3K#wg{uPc>W}hevc02j zzrJUizEWQtmTX-+UZ%gVxMB9Xb_4%g+}t3}+BBd+~&AIviuF zP6Ez=lqEz#o3T*tGXr=w@$N|lqHq~g($hK9FymfBTgkD^?Pl0@Njmhk4EFt_HOu+$ zVVFP`LAJjXHEUga^4&w8`f%o!)IgS92hFl*KV03x6CKIDR7T}lI^-6qJXN@O}&lsc7dExuKE`0$=zEB+J{2B4|^b4<= zuYD}9X3ZMO+=d^lsH+1XL*cRJfWKkTHfBCuIQcE%)VFQ$*)KDVOI30F#oCjNz&>yr zs-Q3jjko^1*umw~fjQ#qF3p!PiWL!yWm{1Y`EsklGZt zQ+|TDx1l6!vMv6blLqpt(Vs!ii3zx(6_n?`m|!=gR7m-E=yy93Rg)SOk5Xgls)eN& z$sba`n!J=#EAL$R^g@#EH{Xpk8Z}!{{tLV3%4|-81zs}s{Aq>GtMdor@HGY0x&%!k z1YUFhF7Vq@e)?Hv8*=+6DFZZgVbg_HUed&nq7%nrCjxX<&W3D=gqO%Z7Ti zVoZEC?Bkx~{h2x+-lu?hY1vCf-sTDY^VN?r-qBnB8e=ultq+!z%^jD{?zI#5uFzu= zBw3B2kv)8v*ARXPeP)8)@MohH3EV^iss#eXhr}dJIx|0>yAhhC^GcL?&+J0^;qci3 z!Uj;ATH0E`KK{hj3ugmi{khlvOo^0zLCH{^+%hc&#E?H5c{d1O%tjR-NYx=~;ni@@ z+l+Idjco&PB84+{thcV`78@IlAmcE|IswlUSt}m4<`893*sl9an@9`BmDg+iOqcS) zFPIYwR0Xg!7#Zg5yrSzXL%4VZF`H@T>F0wA^GvVjtof@STziVERc}z085(S)^prDe zwNJVcKfV-haCy~+zKz&wP>j+Fd1FEM*b|SU+#HS^ezO0wUweYf&6}D<5jQ6viT!|$ zHoq}GW*VEC{ctsAIhS!1 znK0)4a3FL)=);F=_*{ce-YtV}gVS>3amkK2ZK0ReF%`7*Eh;8CtpN5CCe@u(<9?)oWzRLt|q&qjv@UC;!17oS%@;htb)(Yx-b-K_DkMvN^haRtw zG?#p2MTBxza!;J=Np5}~bkxQ(kHX6AVTpRe=!Yvf8*2eX8LfsSHxN|Xpoeb@&To0t zLX;IgaRtg-M12*(;r%dxL+4`1U5oa9J#lPpe6UJlWZN*IL_bq*U8uyB)GQmi%)XC> zLz>f!+kE^O)2}#t2T*Hk#(2uk*v)+8^(xAB-}-k9bUqts*7&4ki4*^Yjhgy&*tj>j zC8hhAbji+0s2+6?m4cF8rxy~pp%GerR`!{jMxJ}AnhMVoz&GdKXkZe3)xX3(TY*HU=D>HgdczoA5}F?aoa1qZe$4nk-$VOtHyFcc;2}=Fo#|GM<#uMQX$OBG zPg)X0=HkjQ-QQV+_+&%`H-CTE+tUFO1v(0fmlx;v#%w8Ha836Hh~!ySg=_L>VX^rq z8f5)f8{0nCEGG0vL(4_iD*5jY`sU`~+)18*X6*oP&%E$196CO+s#`vA6w0{gck3wj zt}k;2QZ>fm*wJ;4=i_kcL#_>vFy5bHkJU(_Y(-u>9YCJiXlHg*!h+Ed94BR=H-7n@ zOoFRWiMD(vHm9h>Bc+lKB9u;NDFWL@hX(L%F_a5s;-qApZ7V%7Cr$b3Ij6mxokGXJ zE6q^;-))j!JpbLcM%BgaA zzW_xmOz?N93kY?W+Ccn}aligy7$j-bCqTd$LvjQ9FSkdqw-Tm2cXfYUU!@S3bT%}_ zo7GRb(eo*L8>Be3kjn2WtvM1TNK*q06+4yky8+6pM}eOcAT#c#ks0iYo=8SW{4WQp zOMh1#5J_Uzi$*b3f46eRcZ$C3&qSou}@k(PD#qWowV6Fk`aiWu>2c6v3mRX4;D`k zIPF-H7W#hw#MZhwTd6KV&+_vqa~(V2$^?9Ei63YCmfv0jxi&#~*OKSklZTRARSnq# zNqMJcPW-M8fu}Dze`Y-bf5WH(-s9RX#QFUiKuz-SV^RO=Wf9}K=pN!)!|#=L6K!Qg z3y%J6U&j58YHyNaZy~pnxDAjQ77`!U4s;>I^j2T=$PuAB?W#p=!I1*`_j+AHks7w6x(@=DYOT080j1CP%DBru`DZ!+lYD}Hc&O1Jh*0N}A zZHZGAqL3cq#Yad#X*ni_DNWW7ap~nwNXXch*d`Yq89qDd%58_D7GX_;J;@x>ptDYq zVQ7D_gaRic{vB0y^P~WC@#?<3ir4mnu%YlpZMAM&PmnF28d)5!OZwt}6O%rMCx@a$ zN?aXxU%DAn!foZazb2@9lo}a4C_mC1dhv_1P4b6Y8Hh@RZdM`p=EH;=m+zu-(*#VR zKyc&IT}MAFjkS%^sjgve?xIr)N_al(>CuCDyl9(UU|PDbuhQPX@Tb);(G#fq zIIP3I24nLCMg@zMtxfTR zwPqB>Ab@zy?lyW>hnA0XI?#Z+tFYFMeY{WF#p$R%-krer;6QQL#Yi0J&PFwoz+_M` zFNP$faydo8l*T@RIQf@-d9HDu#1Pg7G5+|Z?j#mh><>txVmH*P!K_o=T%-XeYb;U| z`>C7TJ&``Cz1$?WqN@b&M7!zd8^!_JvyEzcdtO={z&LZOr_33gp-F8mqNZ1S z_T29kxG(F~WE+<=eOlG@S+x2FX(SQDa7B^sY4ic^y$YEwGycG+wVBFb3FRVa-h1zM zu5AX*5bCw2@SOCAAe{WLP6`pG)42eVN>X%IiiM;wWameg&@7D2_Pg%FP~k`2{d?Wi z$%2;2!tq~9`Kp(2Wf)A)%83b}HpWn?{z24eZ~98k_x;auR)U#cr^5l-a`-1QoRFq< z>O^136Lvao8!T3IOmzj!3uUgmqCy;0l>MP5fW5#ZW!T9PHfSi*!yb3|afdoetB&DXho|WH+`E(z znz1btkaI9XRfT{2W3}+G^LLa>#7Q=_P0K%^o?*5-(sHRjN#>d3jpq6oA7Qm*;l@_d zxAEjLFTcI%_m%mu_;t1tLX(sgU9fDY|8>vF5<;siP(H3nm}q2JgqiK`cs_0K)(-!X z#aLTaC+VJ@KUgA7r8L5pz0-#x{+UU}^0h(8&r9lj^hV2gE_=Qu<;K&e@$gRJifwg% z%QFoa9p4x@Uw97NO&cGA84ryQMjIC4ww_XdX(1gRNv%$4wW5oy(Q|#!(*G#G17t$~ zf1z}~eE-U<8n{uas|xH3Rm<)-qD9>f_hsV{*_QN`9mEgvb4F%_jXX>WmA&vftB7LN zohT{dO1WeZer#g5adMSvCq-Tkxm1!GE0D9eT=2N1s03Ojt3bbdb3x)z{4QeF4}J{v zx=$RujTbZ}Mt}VSn)*6f=X&>W3~20@>j&g_b3QytZ4v+9@BrRhSNQM27NN3r>PULB$Lrvderj8(;P3)K{eT*RT*REH_? zt4n%)4wS6>3a2pvV44@iE^^H*AVtW_KKHd&QS;Yjr*+s{1O`9~a{WZX#Gwo%RjBrz zP_8<0%WmpW9;R8&87#yXxr*<_j~j|AYv`U{4-gWFxsv?5=G zCW>5kTnKqDb>o5gKRH$>v}oHY342RTguc|e zD+?RdACMa_!JD@@M~%xMw{#*h^2spVjL(bAP>>vJ3&?FmGE!iG7$&qrRGqR4DHr~W zjq2KnKZb2JL&1EtCExKWphnI1U(H(Sy}LRXf#`Fv_Vk~D3O6opx#W?xTJW3BBl-se zcwgzFW@Y}ux41Fh>rzx7<(!c!k0!3RxpJ&bG~Qvy6?_TMVY$iQNbDCJxBVY@m(_BA zWeu+i{+F!bA=^CsudD%3x7B$@1G_&LE&N?GT{-!(|@Og%R)yeYpaJ=?&SPcF>3*q;(Rm@`_NY2}NPW zfXV?0DOeqKp7Q;7i+rs&WZO<(HS_#MTOWf?dJfB_Opm2B<4cjlhyiqHPvt}C&y$7Y z-WJySv*`{T7hVh4Jw*-8fT0B65AlP@mY?j{ftUi*5*gG80IOCFeKw_kvY^82VAdQn z&SeTUgmtxUJ$*l3WiGnUYmiOs3i$OqC21zz9<*gFzT#V0fnMOwKYLTs!xZ;PW|K|_ zxNd((Yk$9>?;~e{Q$*EF(LbPq$(d+tn|3$&HIW_s%J7xV?DRS!kXY`LarAQZCd!#{ zBm3@4cLWE~>mwq`?(LJqn^p*P!PXe(P!1>2e{hW!Jem zB1Ma5${F4eH`rQr8daj-`)N53Gpz1B2EW-%cn=43<1V0s7O?98R~IwvBMWeIKkTjA zOxLHzyFn1iB7=`+v@ym@M|ieoL+wA$Sh#m|$4hG~)B0w$_D>ZobFSfpmRfvm_ytxgWwd*r<*_uJ%?)nL{MtW3)h3pU zj=wHofsMQVSHb|OQQJ7AP1zJR+3WjqQsSc<`_^>*d_9+>YjwJ7ON@m|bxyRwwqaD0 z$lgMGA)t;@Hk#sgGoHWLAlmxFx45K)Y+S}^_4pB94w7GtPXh7a`~iqd`YXH`Vy|-C z!~)wC{lI%Bp(?1G;?GC83dutco;D4LQvFW9x)%XD@m3|mqK zB?BjJT-FcGdwKO2_axa`#vBwNudK~8wq^mWwU(rIL@eA|m7u@Cz-YgCeb1g!bJ8?MF+ckN&9NknwV>;_ zc~g|oMi-&_0P3->auM}M6U7$9Q*lPCT#&WDZR=D%`HnYwJ$qQhW4Y?V<;dsvcv5oX zKz0$p3Jkgd>iyhiK*TX!34E*#js0C!$#z%&_ADxYtfCS;TO~&UWxzn$O_byE#lz)R z@ND6#7ide89*~&3V}K`qioIpElf;4#EBxigY5;5ixBdD~D0Zh;Q4nAi5eL?+lJ9Kp zZ^}lo&~xLtCsNNnGY#MwO|_;vjv{!XodQ_IJ%b+%F#a3Mu1#@^MhZDHQ6w}5ee|7@ zg-Y2{GJA$0c}RMm_p`3iH0QsErs|!<57aL0VXH4>2T!qryW@P#_!k8M$RTtqG~CrV zSdtBGl#P_}u!fZF7im{D#mv}lG<$AHqwht`#VOI!7t!%;#Kh~`e$c(a!32I^)>pA~ zsgx`I&Exd)nPTt27DYd*p)-v>+FR~3f=oiN1lrhLySpIYej9#XK5nRTF4%nf&B7Jh zP)+O7MBlmTuu%^B#E8FnH#J$OoIDt+fV#^rd|lq9WYG|Ubf?-ci?+g&2&6RuDcMTC zge>$0TCcmTUrvBC4!vgjq<70|N}-gpM$1L_uDr9q(^N*dG~nU$ z@u^}9UOsYr$jy{8qv7mr4Eel=IP=Z~1m?jxs z5~a0A{0S=BXo6b+a63}LSL{&CTDC1ok8H+W{;&+&<68C?>6K}=R5zFoE$MYLCbgl_ zd}|#++dC!rAmvW4hh|``RTvo8e_mt^D19yw!{}xQIMY;pA+2Dr+c=nuXVX^u zXGZfpG*UD24eG9_Wa*x1b$#+4ivJ|{iPX1BD&TK|7|nK&tze3D&?Da)(>p@Bew|lt zMT@ap24|Q=QX789thS0$i_+;d+PS~9^Kw`Y-ZWD-_@4DpEhgK8qoHfJGckJg;NqLqhOlY#&&*m&N>rlHst@WT5szWw7{q#Uy%ULwd9E(&kIe0S zLdrv0>50^WpZ7FV!&pP~SYGiP{#AaKQ(U#MNu7<%`d_gZA z2D}NQtjTY&*DKmm5RdcG&uh)SV1}mjZMBQ9#|0i$4?dZGtxNIXcVH_UUCbsne~-{C z1KDl103-uWF=|P_`9w#DCZybDo0iHV<|&JNk100(gXjk$Tr)LoBuMv3Nift!VZ8nr zhy|AifU+(~kxVU%7~W@fgy@`p911$3&MTew2;w$%PgIY>n45}%Lag2x4_DCyAU^$o zT~MX|I8*6TUeBT0S{J!t@t5jD7><)I!Gr|(NdM#eW2}FRXEU}r(brGndUPET2PNanFS~Kj~ zjQ;Vw@bj|S=Kz22VP_PGPuWAlyhyNiee@wTMGf%xA)Ug67bF_5KJv?%Tj$F@>mpDN z_9Pfk=BEIe1EE#-Vlp?b&>RK-@WJ+0UT8!HtGpsO1~K^^2% zXmtFrkN&fyzqtXP2 zwqt=halp4{+8SF9^7M#++4>-*s355Cm{d*y2e~qb&>+q)-9}8pX-;4>v6$?C*P;K?g)lC^MKB#p60M_Z6UNBhzrC0RDFp|*3=%Wo&>SU%^zj9Gdq(dy}+ z=FLuh-AT9lzkAIX$R>Tkwq&*qA7oJHM2}vmePqpE1n_E~R@55r4-5{uNu-ye2sEni zrZKUFJ`Ic4%6Fv8Z+8x|>@HWdcV~aie?43V?8HFkr%d@<*a!6U)t)?vtr(rUU3$dX zsUvmle6HkBbpi9V`9(#k`gq4;1po;;PcCq}&D??K8jRMg{Qjke=y(Uac23$fIn`sp zL*3rRmSBK3mzK9qhW51nHW_xUL!)k9j3DfLkLe@n0qT8-vHIQ8-SUJ~E&T6@!Ut%a zM*|~@>%5;Q&L_7AN6U*v0$4w8sMC>0K*7!R z_Y%<}dh{B-jT#IFLG(_L=%V*NqW3O(?~E>b8;tp$`+LrVv(H)UxBvgW_Jh5wdBhX5 z?)$nvpX++R->>o`H9}iYXS2C9!E_8g=Z6=Ovt-N8Q~^8~+bbY!s=*`8qouj@%|~8i z1;h5nnwluu-OTP{_OB8{SCs(UV~aka3i|e3wPVB2oVKL?QFPZbK|M6|Q^X>k0#lHP zQA`i^^FENrNX`LX$w+Z!vYEhB`9 z`)1XXWjUcp0b5QA$2;4uEQNkvZ88c*OUuB1TMRADN&X5=U+-%P-u?>*_hxEtt||HO z`w}xnxQ z$TEci2jVGWVK#VB_>Nj}oA}+C{d|;Jn2eNp&F$S85T9tZT0U$v5qh&bW42 z98@8)DQ<=c0E$y%96`-VxWN;E%&?zQ<~Wdesv4ZyEMGki2UQ=iR#Tz%&#UL~MB za7hw69OSR*x=w~B&>mG_Xs>xFcyASL@GZ|VRSBIFcp3teW^T)_hdO%I@Z_UUOGD&< zi47hVE66lpocRI}+5H1@e=H4?2jCN#cGu+7P^F@g$81_jI zb>3PxX;wXq;NakB`q}Lc++0K^JPzZ)giVTcZu;0-_C{fXD<8wM@&`9=BH`#679U~) zyFk0OfXU&zU+J)mC&wA@09x$I9y&14FsPp3hx?VO zn!zra!(8OuOcZb;$z@nl%!J9%ay3?D$wzqzdX+d;-wXl~DL%wL^C?g&M4biv(XiLY zwKYh2#P=wIlPPpf@%CWYLT|^shc?1VhVk-Nx-zEy72*X{6)L&LQcY7Gz}ffvc)i7G zoR3z>CZ?tCSeo`Gi*DlYT2}=>SP-jv{YI9&^c8IF4ax+2=r@S)ZNgkuGhuvlMswtW z4u<-7aN@pUQ5t-roi{*qs<^U}vA!{J>%?{==xx(>LQrX(rW!~c^C^&%q*AbakYs!B zm+CA0jp^rLgY5jW{SziJ!+oM10Z0MA(dhD(lVsvKIwUEqQ*7;O|U_z|J7 z2TEqKN}WpwNURKiTmDMq-!KuZcmPU|*7O)t=~wn=r^2vN11b=Z?8kE~MiwCsDs&E61ry%_2{Y zpYI~`gjmjYkwUnx zAkN=PX&+QYXkHNFfPe84ZeAoT2Z?QKBfK_+kuP$FY^NV9Q75~GM-Of3;tvso#x)CH zKt5*t>1~ znp^%6KgtiVnSvSc)d*F?zZEv|iN28!B?f!RsnIl4>+BsO1L4=IWF}yS(vNao?(kgA zvKDk2r}eT~g0<>zRSa$2ww&U+?BF#MoH0iH4#RJF$-ES~u)X-kz^DZW%h`Lqey!Z)mvg zrW*y*xKFGT$n`VA%u2&NDTCwr(&i?hvQ_((OFLn4ttEf!ml=+Q85Co^eiJCmca;?e!f#sPvKd;wAe#NF~KxIrojkAG@HE+>;&}8NnEROc}i+b!(+K}c8OUHp% z8q^D9jbiiBmNpqWo^9tsUH6!C72t9QmnF3V{#Raf2}9rQ)LTEhbcnou+HFI%M~1dA zOMT_X3)c+O$X2aORsTjpKHXWtX?BgfZTaOnk^QcRRHQ%7G=HX)X6rd?kiPwsC_9p< zyB}goOF8jq8;Kz7N)Bu|d&IS3tH{P3l%%rHa_OYS&aC;H`hZ*e#}W2X@~$VAui)6x zmeyazCO7{O+XlbzArOeU@KWEgo z)vjq$54;Etj2GR|O?H@u+-|miUt^jVx4@44@|!(KES+K!-jHOOSneH@XReICu@Ri1 zTe5hhLz&i}TVOb*nrp`F5Ux-Cp)5!vkP%BOczD~AWQIhVsBZFV`IR$k-E{f!b{lw} zhYUB=J=$uJ;cb_MyY_#Pn)F*OHh}CHioKbs#J^k|u*vp5u;O^PG4cK5-*1-HXflg* zz34qBUqnFHH~u1Lv+Kc0eXfTS>|yJk%R@cyiU`%Cg$Xh{zrG!}2Q^e6)Oymcr;Hua zuo6m)e&Ng+j=bFUkXFLQdnG)?PQP0;DK3>~`-;idM?SMKKa3mZIfP?z=oy$5d{MrR zi8$?%6b#ab@{}#Fhj+{|g(?wSeg$%-K^qqe0#g&%StbyLUP+eon9RMXD*muDRGk0( zl2v9j?^9M6oQORe7ANU}5Gr=;*yQEIW`J0{Tu##vQgDUaH7E8%M;jmT%#$P>eQMRN z8rVD*6-%k@=t#4BPi&|!ZI!5x!$K&magk9+oYz#Twow%6F-{K1%biK{->m5!&5Qah z%Lc&?XcQi*I|&fx>u-;;dO#pAgTkMm%M1i6%d8xH?bm&1f26#Z(l(P*13MFxKgF!q z=)uKjaV^%?*avOsF!Tb?z2X^Bga?Ke>>{8PJfXY?UZPzyUAjz!o?)h^PMPXTU?@i&K=GrGBXEGm4u`WpNbW zPzGEP;s16hHU!Y2FkJ%9`P<16!JBFn_Ch-J&*wQ$Pq}I>BY9;y`}N(hcDA7-fFG@F zizt#F_w1%Mjk|7(gTq)U7XPDO_!t081(mwU+=b^53`~Gc`z9gZ^388JD68?A(b5DH zj6$9A(St!(BNnGL^X01!##8kYFRoQg)nWD1@#s#h@lW;&iJ&(F2HVc47Y8)AJq%<= zDD1fx&FN~>mDWn+IJQ=bnep{*FPW1ESKhd;sAK177@3A3KRYl4iIaP6Nyx~TR4go$ z(VLj;dW9Ineh~o;9xY)yvVUG68$h58q|JvwUzHg^{P?5vSbw)=jT~!zyu{+zVdkxz z#S0n`&+yD4Qu)>T(4%X@H-Xf(%7v6dT4jn%mc_ctm+X$wLT%4JsFpPg;1|7KG>Vre zBA+zRp}jQ= zZgg_7#en^4?bzCjU0U8qsoLtmu%Bp+*nUjAAXoZiy~Y2zA&|Q3bCB@;oPR?hQt8Y; zq68AUw?$Cdf|U+tT}ksB3nOnH4KMilJ^{AFf{wOV^#OE)%Yh+#ZjxT6RJhDBgq0gm z#8&jYzNWb`abbK^`bmiyZg4_EJTC|HR#Dv5Iy%A=Cji@6`IPj zh!Js{3{<9SR-1o3=Wb5uPQGC&FnU zqgu8oKbAzpVWeNyp%MmF#7S*k%PYsvUD9elX}H0%USRSS0{p`dq*UbQ<>ff9hkG#f zh;aby7&;D9)48yR2_cVvSXH9+EN}TIhXK7~*42QtRqs2an`Vv;B806}1n?^J1#E{@ z{R5)SL(vccsR8)wcP{{kQ~wbVf;irBlyY7a<#^>xgosqeI~D~yf1GyWNg3M3zf)ZN z-ugKh%<9*2sqe!RAz&C62t%=?t=S1w{bhjtwNjZLWn7`cxd6FgfJD%~GSU@q)}KB> zh!QQH3sfj5z*26E(Hdwgq+bdpDzjj2OS;}&D8K1)bdSwY$1X-V^iCKdr6!PaRjc}T zTeoVBEm;cja=82n9Mza{7)jAdePX@sJkwtS(Cz(SHa6F_)zsJf{>bG1BqxS>M`B4$ zZM~F7y%UOdU?S!SGf2rin^q3%nM4#Bs^QAGq=Au>tTFYhFSJ}4EzOPMNnD7i;cb@t zH=2FkdJSm}Y$5^J#vxQ+kYZ; zw>se6Wd+tH8PP%5)Q{OUHpVkuFUF17>w8p)E+{$}9B$CORhi{(jJQt)4g z0T$Q`-yHdbg|+$tsrU{QqL5jd-LcJ>{FIhR{-5#a>H4Z(p3G?* zU|?NyB=x+V9as>*+ByXmyj6cY>H~UfImV>~J9yTaomPZYTiVKedjx&LLL}Li588QW zw0fJfBg%JUpX>fb$;y%c#y7LoeOU}00Cz@b5khi^OhRpo0@*^}CsL{(Fui#_EH8uo zd%)*%L6XB(m*Lqlk_*6lk}i%Ha;)Nv5NQM@mKwyxBM;C=GAA8yuDM> zA> zI+oMbgGZRb49o0xbrg!^Q{wG|=whs;woVrT1*@EZUl>*>*`gx5(ON^eUo}G47 z7_|b2qw*l~enUh{za-s!eUx*!f&bTL&pIDYF7En>9k!=Gp3%8+esx-{j~R*e)h$>V zC#YzxTN#e;B2D#XF)J+LkB*@@yfABb!)rMn}6I7tX8wY5*xA0G+-to&tlk>*H>Z)mv75jrl-!PA?z z*AK=}rSwudyRi1Az~S03oJ-l#71o;|#ZtOvL`zfzC6xS+nPkeOP~~$k^)%x@MG%80 zutu$0gBy=k=0{DYNFwLAk18M!m`~!eLcZQnV>8s?cw1bCE>QeV11)t(UhJoC(n?_T zJZ%LcwO_O-LV!!Clg2-Ut1^Mb)nwIv`ok}MecAfAoMsy#maOT~u(w^67jTuDrjEMy zrdn9q&3M3KB};^XqENJCKQqT~7uOk&N{o%sXN&7dIaphg-vE+TSL<<0F;+1ba{mvg zRvIb1^_9aOVAHbRb}O}NZ$<&PzfaSDdh9(#%fzE_8cClf;9ENR4GM05mDwX-fiP=l zo1B>hmaVZUxfk2r+`5%Zf74AAFef8bTKDf!TY6#dz^30@jFfhngdoB+H)2`rC`+ater0F|uYp-%PU$=D-URU>KaAxeU zGajK;%*T=*bQ}Kt(&cDs5_qqa3d>QqC>vI8)`97?Y<}ZR6pnvF$BHjz!6;=)^{W0K zvYY8&Lu56=mI+jrya`=Th-JvRAiEJ;EovavtEHJjDFU@uzrBO%XsOddo0KdA%x<1B z-3r!X!8NhBNPdPMqI>F&i+4u=+G~DXH}5r{OTq(%?oW-;v&mkKqvpT&MF)rzp9=C$ zh#{QvU%}7P{t{u!zk(mHWTJ&VK=8x8&c}$cqvZ~hJCV5OzgsPl2E+UJ6TAVT;vaUP%h5sx+Q8G9_gjFyT;>&-8^06dy9v6K9mF zZ0+vnq()Vp4AB*S_BW}#2$)hDw%Nb7f;WK64_}152u?(SB%6iu6)!+kM-(KPnnH4? zRkEVzYAdGk-*;=#Jn2am;e3g45J`l=Uf@!F)Z89efsj?2Us~Fl{!~j*<(`Egwcumd@oPL8UTVY>zC7#b^X)rlOD{xZYo5tn8B~lfR#={$lM6ZRn1*_p^{z{mmh#s$=u{tFWf&DJ32z!-bJ$ z78}ATf<@_~Al0{Pt+##tq?7ekbO>s3l0v&BRhR&V!2AMuigd}XzuWl@8*f3h{nPQ$ z9&*DCr-%X*W2j1V>og~z>h2TG{9Zfa6n$Y>6a7OkA&HtLu_(?S1nizREf5T-Fdl+` zKpRs;sMpPg%0GCxJ~!8;y*e(EOMGSSGQ{*|(26+-hcSp_2x_rWg<~(tG~N0lAE7JL zn03hKTfEX$^e)cXx+0nbbGS9;0{x9NDY1-(NsKF2l|$*i=RK#-CliJU*4TRy*@)zK zXgFVahC$A4EwH!J6arv(rO7n3vcnWXEH9F7?n+Xgw{ddDy_OHS0-3dGfZ{-O zN`WMQ-s>qYAF-nPs5Hx0Rf!ny?4Wuu#sG@-e640nf__{pYg#`^?yISEZTRQL7%XDJ zcROqHgIywf%bC;Q`bXIf_Ff5!lQFTD06b#{3PHBx_x%j4EVe)KEQ6vL)mP<(bBOF_ z`5$@ue9*gGx=%kgUsN(DF6|`w&*?KKu*3v1@Rp$$RaaX3@{rqZ$JH4^LWe|^o~K2fC8y8QL27nyj~)xJD15Z&8JMMg(oW zGkqcZ{(~aR-4})~NrvA8H($ zr+oObs^N0Qd_{xtSQ>H3x!fWp6L237qezph410R_@xFXSd{9AzSi0}I8eWnpv72W+ z$SJ#LjlOmw#k$cUDyk)>aO>nyi*uSJ!!nE9ZS=h@Iav!>d#F;L09}Rk+ZxpjwCd6K!Xds=8`T5(}Rj14$^<{Xy%-xi5u~e0ID<_RkgQ2>1TXmI>kxw^iim z4(AWZ6n9hIvA|p%A35pL_neRRzL+aj#>_=BH2z z@lW-qlrFC~TsnQu`?RK@?(pZPor3-^rcn$)LP*k!U^UcIh}V+1%p835HE8ICCrL{i z$VZ!eheC=(9GK@kRgKC!k|LN_MNR#vN)y<(vE4GFW_=m1I{U=Ug;(1R5BJ*h#itZT zv?1U^O`ct`pQh7hpVGYh)1t(XIf8;?JyHek-h+rnuuhi5g$^@(!4D zL3=ght9$f+F`4H2pQFY9J5J+&{{~Z^;#sL-ofuvqelBH=@#KkAAl_Ye_pGjGe=hDF zZ5>(I*uYAcTB`7A#x;szxbMG@lJidOqstEOz}G>@K1O=b<_V=wA2V17FjqbILJ1e6 z*cgB!YXg;~@D12}3A_jf0WfcWYw6nO5E4H4WpNa?dKNPYp{?}ZP0zc zk#nfkN`7#>U-&h7LLLO8`<^}287Wm@duDJTbS^HWA^)e@%IbAjw%E{;;+UJ5I(7mC zHHXKuaH^Iv#S)}=^@n?h{%u2wP9pjk&VFyr-dd%7vsXleb6h(+Y)bsI^JaQNk-k70 zES&(pvi$Yo=I$H$@(bwk(QlgqpRuBiV?3=hdx+-!z@G_HD)8L=Sb{5^+(!$D*@~ly zds3{s5}XJV;@+<_*|h~`T2|NVBB}ox7ENd4P=epoxv%g6boS`3IP)bglz~#EC<=bg zUGOU0)Z53lE_SuMIGgsVyv0+a<9*#Mr7F|ej!i;SglyklLd&tzW7xJcJAf~tg?4N# zwurT>{ya9u3d=b#pomS=i7Q%OvKz#qIL~=HZWMcWn$-}s85ahu#$Z*FMLD*Dp1POl zG-O746$hiuL)0{+-k5t{ z4^~_ADOk5T?dD$4(-nxKWU=_2VTsn+c|}f(km|WWm@N0CiW*Uha`)pmnY_1-)=mwKCgjdiWoP1Ipx{EhK;Z|r z%+fo-^DS@69hETZel_t#HJ^J76v;6UinI!$Bsl`)G*#6E*gWQqI$zTw|D?M&p1Nn) ztds8n(d6UV_)`1&fcW&G3MC>_#~14)ti9#FVayn( z>);c_QYGX|3s#;xY4xf?u*=NAp9bV93FcM4;|s}J_+d_kIvVbm8@I$hICy3U??RDe zF<>Cv=C<@CZTSbS4ds%f2-ZcOU_@tR{q9#V=tnqg8XgPjo-;%sWicDt+p7N1jyOBB z|45mX4`hz>$J#yA`^u}<0CHo%H@Jdm!6|R#2@A!Q%W2I5_Nr1^q|X29Qsy9>^=AAr zmsVGi+I4kj!3Tj(;DAkr#_xueqvD1=&Nf=fgLgxK`e>g(3@{i>L$&?3lnHoW3bgDa z%c8zpk4D9->WaC22vVdLrY>J>r$n$hlXADQhhANlmi>fod_&)9bbd)VwYcXB>}x^$ zPyu|{8r@V**70d!Y3_|*f;6v>>*r24 z*ooI!1r0nh0Ji%<3^xwf(Xi-$vvxb}{Tb<*&I)U6z#C96&yu;2a5WxnwQE~WDD%?>2h!8+0jP(p&n&n}v6Y0O6o`wA3cHCWSIR+bH7 zSmv5JukdbVFz8%Oyv|zw?g5^zrTzK`ubaQW`~V|HoD>@myJtX{wO{jmsqU+-sxTAM zbL%4KVo2D#`u4W3pv2#d@qAwwm|eEd7Rk_dDQ{48t>?F$gRLTz>6_y`pM3d$T1qi> zmWPodk;FLTImeN0TUCv+=j*+AxnCPE5V-p0GTlQi+~g$9Ds!OQDhXKcKis1UOW zI5U0oU(;}Ed2{Ye(3YvH%e=>8Q&J^U6RIc4i{M??Z;8Fffxco|Tveg`ez_XKMEYCy zRxd}Zu^7-X!JI=-Pt$v&s+2a{#1Bh%O@&{8n!wA$81*pk6?yly2Bh((3SK{r*)&qQ zu&dZ0bft_Jd`sK&Gn=+nbQ=ZYqy}N1)>N_FF z<;+aftw70Hw}fubKOhQmxkAM3M(a6NjRSqIL&^K-;(R92L_40z$ z+|F_W`Adzu21Lxp4}tX4XLdJP6lt4Im6>e6VVP5(C{6~^Jgw0B<$dlWud=D20E#@? z<`Supv$VRh@hXENQWIO+7{^?SX8vqF^ott)!Ldb-ooAX~S>`w$NywUObj{%ao#g~} z81iF#14GSRTId$nrJbmeM&)Lzhb`XATQox{S!3s(2V2vduZSwQb7nQt10}j|Zn+h) z8$^!Bro*u1jrATlRuL}3d`$S7_}9k!3pQyh7qT)8s+CBWAK2ux{|%#!G`;f~?(ni# zujhmKd!Tme7aO|ep!UgMNUe_445Pu5?StauQE($^)PoUB$tbqP9_z&nfljN@N& z^PD_uqrno@90#iyJxiuxfKljQ0l@fUo-bRj$3uSrulL(Fl(}mLAr|N_M|K;+aN}ql zYXPFUr?8%*H|oT7>_7Wv@ddZi8iVUUAU!0hf@JqsVFp^H7+@}NJMF{n&tjcrpR{l| z@>L^Vk7`k}l4B*$>)wg7u)}^)E%(Krr8%+xsN0lyYEJnx=bJ_Qo8#NWIk=tX!YNsK z(W3t0T*R63=2}Ftg5T%OsDS!`Vd~u!4^14#kc36|c#(d4=SWY~>v=FYlqY9@4qR{u z17nWWxI)MbPFam`1W(+nw~3|4jV$7c*by;6p9l!-AZ;~4I3NFchuPrNu^(?Nhp#6Y z)36>Z8PI!^&G1Y!yVsO(t7fj^N=(UvdghSNkhQzV#f*s|VNk1u_vQFI8M?@;C{x^n zw-CYg?n{G${y6ed{U27_fHx`IpD)|QodOX&;%KGngx-ct$oPldfK5R}_CKKaasPn04NXyi zPgSS2Y=aUx6YxNH>4fTou%y;-x!Pkll--1Imfdwe`!C4yV{tsPCt+wXbN2dKOh9 zS=Y|efVT>8YaR3ajWVqRbgOGa#m4^U*`rw>odbSk*kA;H6~hG$BWnJ&eD|B)V7394 zZz6^6fIHSK;E-F*m5K`gkh%q~zs)9S)pxMLuLHPutANtvg-yZ|CE6!KC6=$Cyv^kL zn*f4Vb_Z|#YNM|FB?V5+T-}&CGE6;sK)fWALul9|j`TerxcL!0ZY-uo<9XU~16AII zOI=Ni3^cf|lQNz)tOakhIO8kOHNWp5=7KkUR5bUF`oM|Q&geaDG8TFAby%jWb8w76*?Z#{{ZwtToOPPXP=e-=V;RTXI>IcC^RF3M zG~9J#o9==De=p7O09{~Lc;EIs$LqUq3>HuhK_poH zD)(v()|p;@5Bp7VaW`gp$>O;pGj^JuBfQVC&!y0_WReG{i~k%~{VcJQ^javqr1Cbg z|CY3aO^^(NcEVvC&w5SqTdB7sK6@BD^uQ<$zMB2vd!_XP0|W8|8;-F%E+b9W&sn`QH|Lk z!rRZH?l?mw=XE*culJs<%FzlQB!4f%f}XP5F^dcyO9NV{APHTWbDKctR--eMrK5KG z&}sQBezRt8#o2H4>G^T^h9zdw8@f3o;LUXgM3wHexDo)Gutr45HoY}ecJ@Cv`eQ## z&8DjY#QV#b{y-N^`+EXq&RyB3v5pc52aA1tBaq?m5c;hr?qykU3rD?smhT>0&UsiM z^ez15Bvd)iV7>O$r-UwjV)`7>_?|OBS#1l@u4T7U5;nychz|`=*~JC`dvWRhB?#R7LCrxUH)1nPEy;|D5`3RvU*eCglJhfO6Z^d76uzypJPQkI z724RR=fU5+kJaarD>oCB;z$0PTLRSV4*f#Kzlt7#1OXJurjt zOnS;hhdx;3ASN1B;gqpYH22%Uqi&?wnN?9R60Hbf=GYubrO!>By7O}-=|$IHWqOG> zFENIpZu7x6t_TR2=W}(#SQHR9Y_6}f_PpewJLaNVU%XcPHzTqA<6elsQ2+&mJKz~o zD-!j7M$=ewPKv09cI7iwGe_^@r?;n=al^bsM!Lli-!6LEvy))&Uv@Ndt)eR1aa?_6 z)_OZOXofF_Hojtsnpuz=c6SiAvTjPK!qv}iLhLMjgOLfAV$ zz*Q(eT}fMQ^GVXBAZ2Zpz?450QWTg_ODLT)JVW?);T%($rJVr5^NOwz9C`j-LKvIFD%|V zn=@1wtz{#ZOc^C;mL4K;*iU&TGi4F|Yfsp*4CAou-}DAQj580DlX-CVf<#zwZ#u9c z{!#?{-J>cu=|5R~qskHkKTb6b32%pB;N+yd4v$J|6c~vds8luZYLQq8{$_JsU`VmG z`P?zh%kSC6(Oyl39T+pSToC5chgpwP(?_OA&MZfTo8toLF)@|p$hR1Z!w)N?V{?;3 zahMq+FFCS<+NsmlZU#*pO7e}m&nv$RU^@s?2R?4Q3Vz~EN*;Tctcgv2Fr$u*Q3~ap zJgq-QUwf`#G+p_{kbnC4^y*>S*=6FTM$Mu;ju@Iap?mbFEINeo<~q?x6)jJrG9dti zTlyxX%O$#E0pT9K1NSO+A{Pce8_$xVbuB@;CO@CaLJWLYtuNK|=eCv%qvq`XE(+e6 zQ-T~nEeQrrXEh0!Dk7AA*)5?GDq$sCw8zdWZWiqwGX`5qF zpi5I?C{xWWQoj@ty4)wpJz3=;#c}*9{}9ia_RjU&$FJWq&{ndP`uPkc2@u`|5Od_A z6c`BHFzPi!P0aDo9C}1&{MB?J`?8Pa!e<-<+*58~lmWyjc1S>PstMg}y#!Csi(M*!uP;=nlR* zWWr}`OorAA$=)oCsXXGdKU;I4;VyA0PSq6!mR$|Q>~iru1OwFluKf`5DTKT1G*Tqb z(9S^OcVlhi6DG?q4GyGnaplxyG&@MFLjKp?^T1;9F`a8~_q1dTjtqimVgY;p|}z1T+sH&l^L)W+~Jx zzGa_k6StwguPKO>)L_C-NP2<`eLC{`?yE>UHnKx;KEVe zu2wY3$}^K>Ap04+>(y!fDLLff{S5zer|Dx!#*SIDj;ud<^(kzSNo`9h?^rw#7H;%z z8&QuWZNnL`eTCJns$uxqzzodPK|g;Xd9*3U7@#*?Sp?<03rm>w(IXK8Hg zKVZqC{l4rP@Hqxd-Ta83VCPMqU@w5fw;NcxF6(L`m}KWruq-grYZ)rd5^p2McblG+ zLPs~RayGo=D;2u-tf4hjkK1et%$)K{y{!KT7rmT_5!hVK1EAjjWXgBD@n@Z=e`vFx z15zTVxtz2NW*YkhR&0;b7sChHnS+SnIvtz5X9JJ;K0GLFIRLGuJM;NaPBXFt@a_li zQGK`=Rc}orBeIp0 z_y}2QhJ>Mo;i!x{j7jZ9?*N3Q^L=K=MG{~=EZbklMtJfN9MpER2??cYJ{DeVRCaOp zY4esOlDzx%(kLl}nsd>j#Z)M&*ViXwRg?kdf>N)|pvhU?Ufo$b=4wsu(Aw(&ZufQe z5TX8i-EfxkPmN{f$Lx#nItTOZyq9-#=B|lPsa|}2E%RhP7pgwR6WX@PKyhI3WvO5w ztdgB~v9nW|F7Bc>UA1E}n9h;ICn|Ew>z8h!?F%FmQ`-nUyH&|>UpB&3Mr&#A^pR-7&O zO1=bcFyFL{=l%-(F*nwkI{m1(gSM-^N|*Z+T1`zf3hAPouLc-PyoYI&kW=_;(OFne3j;2Ytv`45Xlj`BU|@G*Q=PS=@@s^ntUiiL zBlPZFC`)FmyEHk9erZ(=PW1f9C!}DtHRqY=AsEfAsQr8|4t+wtrqPnTA@EDjwY_`yA(7%Frw6`mK??K4+b z;E)Q}grzG4vR>>Z3Mgr6a|#knhOSSX?#0_P=Nj*KpozP3S2(7mRaV%Q9yZ3mAlOkB zRb0j+YSs3v3|PFXGOl#k!v1D<4*U8g>W`N0G&uA2!;)2J$nLx7LUvX~+d+$D<_uiW zra$&UzyeTnTHk{Ayw|L9npYd0#J8-MqqDNC`PQl7!sT(1r1nWj1w=r;U31$>I$@3g z*>Axq@|7~&4ERY3!&^<6B~^#~Gr7Fvu%V9e)yh@UZehi?hYwr!V{-n|!eiyh<)C!7ZA;Q<+D9+X6Td?OYSh5F zR`+ua|}{WM2B&|4}rm^UkvuU zk3p)8&r?H>#dUL)3Qa~_ogaF$XrsKAIZ5-dl*sOb&~|?-pN1IpSNZ0cK*9znmn!;W z%4CX{BRKOSrMLxI`ZalTTA&@R;9jsWhHKS=n)nXVu<(GM?L4-Fd^c=Mjn7c#m8RBSTuPa8j@8#`n5+ai8wZ4fi0c*=2PN#BN z%M?B45s$%*=(HP-s!)SygS8r=Fr-znZJK0_%dOWO#aw(H|4HfdDIHBMF*g??iNjJT zgCfASktD01FA4=yYKms17W@6w--uT{NuS89wHP(?!Ppm7$0UkKa|*+}4HM^ab*Qjk zvJ`di18>H#j}kDk_39V=_|U=haseYt*@G?bG5f>`3{``75C+(+9f+DFvwlx80PoB% zvDE8-HrMjsrB&@S%gJz(I4U})?0HmEpQ(>={%~&GpStBMMp9&SsBD4%>w;_ePcs|s zrxeUkVazykAz+vsRtu5sO+!`QU+-3M*T>W5d6PcHuQ^2v<^~y8eQkjZm~>(cwPOTy z&j4p9Ii0jOGW6enw>7J<3|B&^0=UpTGS?K~{k#4h~#B1u1?TC&mc%$8!9tDVvI zK4;F!%Dg}|kMUW4v2MO9AJrhX(}w+gNIMziw7Z$SGA0M9^}v=6ZW#_kk?gZ9H$^p$ zjw#*!0LW&4zpRQWAS`km3{IL#O^lUXU#}ec6!cmvv4}Gv6L@fQzM@xxh7P3Z*26s> z0>X79(WlCjzlp9+9a5gvUXlrZ6Hn;KeTD;PKDwqW>N3W7f99WluH3t1@?+h3(nCeF zd)={CxHvNv}EYcp8+=Z8BWUI7wBN$Z23)vAsiY$wVP z-H=H*-Rl$3bF3ObX0n)n2Kf$YAYaUsExUUXLb4sa9S>sQ@)NQZ0CtE^JGqx1*bRQy zn(`M8wU3A~uEv%w>t%QVE@*EALdkejzletgQcp_Do(EUDEG$ImHd&VAyMLnJsW1aTVJ3JYm) zh&$$Td+6~pfZLCzvouFp#(zst7%qHMoacmwEhaPOr?4r5v1u9{A&emh^m-tCGCLf} zz!rG6Jg}5iRSvkD9#2Bt$@`G!`LgCRY%{U^v>I-su0uoHX^m%LIyL8BT`GdpJ@?_y zmhD^*_*BiHhAS1dShT9E56T!_U4L!SPrK&>h)2pGQ`;%1nV-j38#46T(W)c#Qb&2% z-?JX(i_t3~Ej@Gho+0oGo1VFTDH8j8hr6VwPkBek2;D}{11v1(BpLR?npA&N`_@LoV`j*`Sa(JzDfs5p{459sgu?O8#Azmwv&d3+*RSO;c)vXZjfv@ej1jwkpnUVi%GkTHk={jI^ zRdI_@@frRSfcV((b|YxHqdKHj|7k}+(oMAUML?JhAi3dShp%-M@I*?|BJ7+%bJ!8} ztI~!z%joOSgwxfS4&P`S$%PyuhhLEZ4&ZTI?_#dTDcHW>?{K4gan{KYE_ z*nhYO9hM2+noMyD(E8#X>vS*O!yi@Z=W!C1QHM!M2%ThT-NraRWTZcfp>=K|FJ3t`lx{{1t|(vm16-Kv4X?b|inwG-oN5$CDEA2i@`7{i+g&e?aJ0apY?UOSdN; zpVGF*+$GwkSYB)GNkPd!5oA4G5v7@%F%JWLRaC7S4Bx&i`w9~U?#!TitZ-sjn<71N z-W4Ck3P0b+m=U+zT9%;gK`|wEKd7b~PV+BE?bLb)OKJ*Q@lcs9E15bWX91L=ecjO- z-h_Z}Zv?jof#lkWb~th5g?#zDAfbWT4}MI}9<8N@A7=f(oI? z`2U2zn?(xG?+Ix^ytIF(`2I+!O8(5TSLgg`pS1>^OZVIEk5=ZF>gei)ogD3)8^%n@m61F$7yYv6Ehrb6Cavbxu zZdL}uw&WFJuim;mLys&Tf0OAh*3Y z8i-(xXQ{lU1MzjkrAAC4(O_v_7eWb#8FB4@z1BWzH>u$bV!n7xp5=+8|F_qgH5N@6 zly=S#>M59aBRZ2|*w+qb|CA!X#CuX9tRuPc8ovKJ+657;ea_JR+Yzck8Md9>bxy0i z_!r)4SBVooLZweAL{0+R93KWaaJS=65oNOHo1k$egLFzsQuO(PiI?7PfS%Cuab^7kUK6J3T`>aFkqRS{x(i_EkA z>2yN?ZoLq=Y+a^EZ{`1D@2#WS`olHfAVmtr-CLlvxE6}H6e~_~3tA*-vEb6;R-izO zr7ch#in}`$_fRCkiYI8$&i>84ch;G+&fGI|=d64GxaSX6)`Ad{&EEU_ec$(aKF@EZ zJ=Y{27mA@yDLhhXYk~)?R-A6A`z%K=JY_=<}4D*aPWOw;2j4_im8k$AVWM z!iF9yds?(qVj5mFqvk){Ef$#k#t8Jj=G$t_=~jHpATv?=(9H7ZV#-Ku*jy;LQ#vb^ z^mDs_as^}nDWxCbb`I4aBFIAbZf;@VFwm2>mfu&H*%;PfX)OY*0$LzO9qt=xjD*ycXd8ABFau4>`>tkG$ z<3z7@$h2vPtsYg|T<5z3=g~ncADXh;f@kK?>xdLmpD|y*mH{|h*=%^5aNZq1H;X;d zB1(#G7oQEZl7*p3uNg+v(f778?p2<1*7dU|guQ89JGFd1wEp~g#ruIy1@sa^JOwvk z+92T^-K1Fkv2X@wj3*Z32Kew^*MXxAS5fKE7Nz4G(;|iQAXeRLX}-uetrgShmsK!% znI||Cfqd&(3C{zKt=8i{XSxxOVw*Yn%vDrrFb!5D>iD{_`;LaoGiBdD5)0BDQJFkE zGgtDC?4F}(%ygz9Vu()#La~_fR4aK=ELkpjn3i4`NkMYmD7T9Q`1i`P)ggnbc^EUvG^p55LZ8+}k@SZwGw;4ByY2?#%0FlP{Ool`TkRAWzSN2C@+N!QuPlByg;`ykb_i{j5UuGjOD!O!np8vTT@dYn7ysq3?ULkE-it zKCkumMaQqpwOZaU#AW>)yDiJS7U+Yi&%;Sz$GKZJX}v}yMj&rZyD|&9Z&a!$4WyR3 zN*xcro0<{%1#S!brAWE5ZTpT+FnLj7oz?;{iAqMEJkap)I|7-mf(og%gHI;DJpusP zb@!+YKHzef6gXGzY!_W6ba&9CO;r+qh9k3A^_BD?<~^F#s0K|M-)62}k8eBbR0FnN zY5`#c1UQuJA2n6pZvXIr7YFjsoGr-_0@xpPLk!>sd097_HnM|WqOMIas=YIl&z9rG zGdj?hrr{R2u})VTR#;LNz#um>)qU^5~V=&@|7D1%9c?$R0 z+xz+1u?u!bHP-X6m`dAyd~(JsM}@X)c7idtV%JaeXyh61iZ=*Va#H9eQZ0{ zj@<%x2eZ`;oZH8l1g(Z#+i1qFyZsoi$qMuxJGfkDboZ2Ps06R<`ew>S^}64tr86EV zDP5N5B-;`Z+o>d11f0yC}|l_>Jf{*4oAR^P-3I?NbsmNgwDOOgT((Is)21)p%$C1+kp#VRpRzVM)^Tu{wVtoHfgqEL_LwOB_b(>IWGv8} z0WPw0rcDNHMAb?W(b_8Xof1O;97xem35KrkJ|^H#3cv9q$q}{I3A_?=z_qoN-FQ2k z{Qe`U$?39ycI~X!9^_UMDU~Jlx%cNN{cv;gM@5hc@IFu((Wk34nBXOoi7m&|y%gkA zV|pTIpO@~PtDluxF_|L%L@t0A1S~ zpl#57&0laE_ZK7`SbMDu^gh`SkCrZ)u9sync{-Skb3P&!V2g>kk8T?{Ps+KAaVv0Z ztUF#1I7$r}YI$ym5u?^p1q4cRz?`*lKN;pYz-U|LN_OiFB1g=A*3WRLcpWJH z1!+O~1aczvtv{Y|G1&rv01jq>uYh>*H2bxd9Cp*l^OKOp%KUo$8knstWdCEmsl%lv zZR8pdkOBcz@Bg#v`2V}--Sc&JBCAYp5mE`<5Bf!Q>6v&r4o2LR>HVAeUGn$-bu8D} z>^~=$^6S|%gP97=f(Lsq(+@b)i@hD&MeXf~A0{hU4q`a$w*WYTVeXzBpbjnC_4W0+ z@L&0qG4-YLKy*||Eg)cyo!B-(^ZB76Zf=`~b4WLSe#rQCj2tR&CIoZKiVE9dJ-I|` z-8?yCy_1tCX+p^+^S2;0bg9#4Tg8~rMoXq?Mm{5S@sZ~FYftF9n9fEu?zZ_^Lu_XTm$>nkY=g2>aqwA;t>JNiFedX>2 ziiouxd~BV6qtXCl79#m$K zESgVin}8kO3la$x3FV=^Ba>mj3z1d@8d)sBfMehfuo97~`~|_rDMAp+MZicKsCkPj zfDP$?KJPz|%YU8+|9O4<|L=bAPk7P45ElJ9wP^0RjjWTq<d^fy6L9cn?yBJa(2opaL_G^(| zg}Hs{U~KB=X~8mFoN*o9nYI`h@$ezqYkV?&o*a>%v$bEJo-uD80_=dAR^)c`0jUv5 z;{W;B7E%#1-4ryi4c=Y7Rh;|_>Vlz2V(Z%(9r5*NqGDV>-6n$2j<4l;gqth&zUBH| z=Dh@662}wqmL|zI-HlvJcc4B3OHAio-$L;6dF4KgYjEI5{8FxMsp0wYU7)?2L}eb& zd)By5$l{{9;44szbrbx(?y8>dVGh8l_>&nx@) zwR|F7l?XLPRvvjMU?2rcD*bwwF8p9K&a-b7h>QjGqLLy+1@L#Gv`}+dcrYhZPhLOHCAtwI&d+P!9CLOV zJ#P3$m~X9$j)-fzx=3q4Zmci;e2AA;O5t6wrO1)FHx*V|Atpd=x^5Y4TvK;^#s>ya zJu5ciS({H)?sVN7b(KN(?M_6jgntYqiLW>qZ1GicHd547J$Y>h`(T^a?t~m`?joJk zO^P6Q6Xh--1J9A6;XExWl2;ccIaqd`uV*#L9sjx*f8stIoe?fAzOLf3j+RYzDcIjQqh7a!mwmhDyG*;|s$rGHcNok=1C{NG4&mHl^ft7c3 ztIyz_abvRKrs1cJlTh(z9@!^mtH8%A17mhi24wjGV|2Nu8r8ImD8}_(S2$bWa;`jf zO}fOIJN}!@(^x>q4g*|7uzs4Ary1(ed*|p{ofSOGuGeYLL2Ebp@gG(2W5Z7UJhLRCpP}sXAB~5%T zy2{tzLxt=9f=C^M*?>s2`MPW6bMJlsh{_{+>8ACuflHM!Vd!)G#!5L%(+?=@jS(oj?gMgCCVyF3o1yE86xJd`Z0wq-`aX7&s*GEr-qOZh` ztpeZ25e|Xko`o-DW2nfNIP({iUwMR**;T7DDiJ;(9P`wdrd<@aL`;Y1_GW1+d!BHt1%1#bH8>DK{St_c=uVOoDaAcoP97)}%* zKl_l4ENIqRX+O9BaTZ&(YFZZKMxnu##a%>#!SNX2!ujD;7z*3ZeTddf@l29s*2M*% zdGtvK+z(rfpSz$C#K9e8Bs*h96b1S*^$$)hv=RdS^eRpyzuz-f|T5)dZ zM5RbU9|@~aO5@3KhkM4S#%>(l2zVe?<5r3CV7<+WHQ1`fyo4o^Bk!7OrN%#FAL`Wk z$A>R#LQhGfS*V)lwW2R#cVbBmY!@6mE({9z{=;x@niab?+hXuwZFe_V!s`=vu2Il0IeC;-6|(3N5`d@02!$sM);M%?-kor=a?^VKInIWPBMR?R%0q})KEl7`Ps)UjTvg@rW%O> z3%$M+uRhW!aD$#Tl2FCl^NfqlK>+WccT`fH78!;|dy-u2vkRg<$sp2^SoU>wsX|qh z_V41(aWRD+RuZvg_D)tZQKAFQ{=17_;T1ClDE0RTe7#t7gP*13-Gg^rpPzpv0hHc? zm}CfQsR!iKs^qC5^@f@J&Et5P8<-?+CF3TgAn1MDhdeCPg)Plp@`ty8HWAc*H)%4P=Zc63``m zqUjvB)GF{=VV&{$NveyP7iXQK4gEZTG1PM@Q8GPE6g&|z=RU{pJ5YWig(WopaHDqp(k!R;4UW6Qn0 zro;f0zjYag3z42zc=LQt`X17>g$PDc-6|P47rXb4e0k$-hVMwD2>)^(tHATGq!JBi ztr)L>!7~l+o^_UjqS{HuQgg71QgxGAiObaT zLtH58lcR}T+bL|Qr@@mC?(Zb{716QT zV0LinZyowP3C=NOg9_1Yoc_M-Yv*CZSHhj%8|O`+4ccCctwdAasWo)VT?0+C9qviD zwZ9Y*`))@BK@ezl;g>>7!Q)Y&@@D-tbq| zxBUa%x_)!;3_V=V!FWLR;|!mt@tw$w*H=>Te}LlPAJqbOSsdXk-(pTgXQX!ALdBm8 z*3O8VafDN8i;Z?fV8UXo!nVz!W#LG+@0dTZwb-=&|7`O8Kb*Lua{jv!xKAbF|K!k{ z2ZE@t@G4hJ9x_!hKjrznzr%3IzM8@|C-{sjor-+5g3_0{ZAkY06Su zGWQ!VnaNTyRzZlEQCO~o{#&lE`qu7PVnc}q44+Jc#AM?lYVJ2m_h&oq{s8jQ+(Od- zg8X;K3!YSl${}E~!2D#Q1{iOjcigSyo{Za(s;Sz)0n=yVjImH z+qX!gJ%%T&N2V!AQ29m9U3MBx(@==Fjae@Np32EZ28TbZ(+~#DREj7EMhBh`RoiTvOh{;>&KkFF{zgoLcyY?ZGJ2|j5=002`!BZuP*u-5?V$%Jlw>80uH6}UK#^3 zlI>7Y$ju*VD42XkZwD%Qx27n2b=T36@3&9hc!p!HYeh8Nbi}Cn0G=>Ut&?xP@2V1JFo%DI0!)R}wdzUT2mEFn`B#}C}4ATqEJIBMO4vL@8 zI8G(tL6CS9EUGpjN1W~QHdqm!X!$wHzQ_hNy zS?`(%Z%1u5sK1HJ$G%N%A$TRzd>F#Q^gnWGH#}gmlP%a8XnRo5cdv} znLqS>M`$OdKlN8Xj~(Q#{X#y%my8hf&SPd47R@5w4vxGEuKU*Km)}=xzO*zH;D;SG zeUR@gHu8|elH|)7ZoFYSW}pjR83zp1je{8ORJQ+V%({J_KIc&k5;i?d;J`2HZp4uo z3HLWF+?696!5DVuawX0!-f#t)@HtEK@upjT1Kkv2>1yjAmk<1Sf}MRwJkKZEGU^7X zZ^&9-lsO@ACzim=UEcOyBjaf`P9^x8@5+E6H)M;3R6m&+w0)_2-bdW0`FEz|9z~wB z15$x4zTenrv&SF1kx9&tHpvhn6Zzh+6eFTD<=m?GQFsT2O0satRf`-*&sFC;!M=V$ zoNry1KN}TwC+T1^kWf)p3u}Oixv=*K zNU_|_qg9)0w=@P;kpm+D|KB(!EYjjC^)|DErd6_eYGFm8bOAnWWa{MWBS4)qssHxT zQ>_(*Dn6aGWj4SvR-QQ!%Mo8cxXTjE9dp~qp(?$w#rov>W?561^rfIOUR%iezT@VN zght#?=zxZK<2q|AGE|b4_(o;Q`-q&G%n0Idcak^a++6T}iKua&F_&*yesJ$SF!x^z zAp}E@^wFc|-_~J_3qf(c^K)dTJMb38`>)~)7`s=VOHOSjgp>kbZ&WlT$zy97Vh46ik=9Xe>Io zt4GtayQ<*kf;POVw_x`fUpJr=8xXL2S~TC*h=QJTaB`j?!Vflr&>Y!E#2<^*&W0+|et(40E z=MhUEGLVsT<{z6DlzWmEshzSEM%P)9XM`!ubA-3nyYJ*aoGCw8{@yYpdLXP8XKDa$ zt$cLGw!!@E=L>vX1N^X~1Ar9hpcPYZn4$f`FSyy%xQjQ;VuQ2PO{jSD{G#8(!fvgN z=VjGI9itoljV{_S%KJd_a8sZbn4E@aF^U<)ZWXQX<7p{&i4T8+T~i8y~@4XWzEJ1#0lkC!_;}`)P_{K7V9g#WzykH{y_jz%f>x?bokpm2$u zcx}AxL}@IyA}5B7Xn|JWbs35YCC+q4X7K*`&qr+c!uO?=Xg#)zAD7%bovyqQUpoNz zGDLnu=D14Lil?VbM)a637c@T<`d-|5VvAd)jn7-++2GNE_5)zI2s%{#8vA_RtJn?J z_4)|)L@6fiPAwC&WB@ku`l)038?$y?KkzLJZqXjLnL_{%of zy*uwQOnciDyM-OU@Cju6`uU~Gx*Hx0E$kw@1jsdRJjH(7D^9!GCz>|?7Ug;H`yPdE zj~qXmZaLek8oKd9_8UNsT-W+g5hzn^x~q+OH+;Uk74Y1^D7gZd{u5Qa;2YoC(k3{*y)jkT5UJu|Tf!sB5Et&kYXUlGeFP0)}`=o;}h_1@Wkz?j_<6W61? zn?V!;kxrNVrL!M-DBNd+t)iMoOk=y*Zm{Xwq@*MjNwl*fRx4|wj2Jaz%^DZcDg@lD z_9KfPxnGuB?IqwssVh1b22vcIZz;BWr*wnPw2lny`t!flNVJ6DTR1&v=z|xu7dW&Q z6eQH9YYzrou8(ak_|ySKU@!xmqPO+tXbdyl~)%O>AQ(Wb!I)M!x=#=ipRj1?W?K9@@vl`Iv<%x5YB{`V^Y@slbNGLhp@sc# zWN#7toB3HKgFkb0m%l8S5!ey5#U3Vk+z^0&-O&{NM`(KgLto=x?Q1Wbj@vwyG3JGP z;*(BSXYE->v%Zw2M2~Ji_H5jEJ3nPyIn{c7FP|hLMM_p*RP#H^y!dd zF;`_CxHv~q^&I?x^;8@lg0S%b2vDLy83@1@d=A4H{SG`R#tm`#HTeL^`|Exzf>6baAAv3YL2kk^mh|D{}U8a zZunS$X>TBfd+>^d1)-Q`0F9l}%^hBr>afVoI0S?(egg~t()e3Y;K<;b%#^$%8I%+I zx^LO#tI_+aT@`I%Kk3=sCpfZ8ucpeqZ1PQZ(gk;Tljy4xmuaw*a`raE8t^>^2cFB; z37p$Som)GuTG!o;tMu|fHU&Nv;bDL12Z1b!t;;9nscV;IN7QQ6G=#@i#^z)x7@_H+ z;O|8sJt2o?Gn3L?5661dVwDz)14|tq(wCSarrg`zTPiGrRgJ3pj@I`2>UuzhU=Md? zk1p!{1hTse_0je0rJI2tEKi{qkHPa97oDzcVO z^2&5sPH~#gjdM$Ntu}!pq!cUFz}uV)5L_FHL5Kjs_4_hk!LkxMFE&AT_PL5ld3teK z`U%&ROMWj6e%lUmqPmo+XS9!`{jAlKV6J$-x9QfYJ+*<$m$fFu!PwONHq)-@{dkP+ zae>)E@;g}rdI_t+QIqeX+`k>`#l_Ek=bsr&TPz-3i}fb+sN$ic7JBV`Nk}9U>tcM@ zSn(~)HN5>0a-`#)Qne`~{8>cOi36OU(}p-wRK=!%_tM|#2xpqhWvu^Moi1VQ!hY=2 znbbhoM9IqU@lnuYstJq>RWqnZGatpa+Ibf)LsNEVdDFZwU-%9zb&bBeaV8pVr2dhh zUY?Yv+MKH0@mBGE?##!k6Y*NnXQDV=Oy*^~FxDyFmyqu}CJ8gNl969*ygglg6?jqX zt@g93^sEu!@1YWvz;>m(?`}5kjw}T8Dx@Ybc`L*@sBrAW_nF5gy1{ika2sA-3&WVn z!Rtfd028eeaC`=CTpKP1FK+vpM&4#ME;mSw)AvKBihuy{JL-Y3zo0)FsMWjsKw*!B zd_w;Pg`SNctlo19dGHmr0+411j+T4?s7asO-N%wbrEu$HjJQweOiGJnP@O z8@t*X{-=oo^b8wQI33wm1h47hD-c}+I&%dr_2I{J5Ys{HT)3CRW~?4@3Og~iC6q1v z1s;&_&NExdE52 zdK~0agk7m4&jLZ!bmwwUNgJ<|l3}LI$t%5As}3|c3xo=j84DlD6AbeFKFQ5CEcmZ6 z-TK}xFXvUJD!ut$f*%i$(KhC46x1ul8YhA{)^IxMzY_BW^rk8Y@TYxU3aZrArh!Ba zES4FET5Sh*eW}ms79D!7(J$uh-|rx;FGUoWM9nKMbUWx=yD&A29z4-i;3!Y+aZVF% z#Bm&NVJI#bL`tUW;{HQc{A@mq(PJ3DE3yPz726 z2Ks%I;EepC!oi5L^|bI-(_GFm*~>Abbe=?fu}cH-m@3S^BDh3fZ)e)@%xqY^S=Xh0 zE>6vNZ<#?T)@=A7HwJ=qd86bEt#Ya0{e0-6+l_C+Bxa3`U8Hm39lRp^-hSMWrhhKs`?71@gmTgEZ zev81`gU2~=t2nZ627Gp}SSXMTi>xw9{4GR>}W{_voiRr^nuBBk6^aDc5L8DGSn z+XhL~c+<}!idJfEr<$)_rc|7$?5H_Dmvc@z_L83}-ORAY-j#im{eIl(xRTP;!Xyv} zGsN=aXZbp`eDjlh-$&ZaNmTwxQdS!%fF=&zftaf)>a(#yI>=5xy&n#J9Hz?WC(Ls`Ke1{n-;{t(qq9tdAfMxXOH|N7BJ~xtwCZ+$h(MnS)NdlbzY3Wbs08>RWbiB z$XD|C{|XQP!!cv-DmTk9#CG$*^}8z4=Ve54s2!&fwgh`P7Ii5Ac6r(JCd+K{(AnF{@rTJ}_i?95-fhi0EY>4Zp?&9u zk&Bx5^7OKTm^g$0zQvLWG}WxWs=2XC#Ym)`kWJ(sZpjAg=QWSH00nSP-&LmQo$QI38=2Dd@f_cS0pAW zC9a!z7k1LchA^(S;BZ!&(=4biqYT4|`Oo?U3H^AUK@fB33Bf=eD8MJR$Yl3QKewQ8 zWZT%{Wd@mQZ3Sjo;b|8rK3swwh>Zk)_zOB#lLo}XmZk!?WNEY7cs?!VMt=+-p2cd9 z*c%f?V`(#u&BA^19f+Db-#&cNT}s)>@ooxYA<%)G8c>@2&gHM>%bPKkwx4w0?{&hM zs&O_p0b|(jhYg?hSOn+12?tYTgzcwJFOm-Z-b%1|!jNvy)m_G@I;rkiUN?;h+QKWP9o&w_jXKG5+g4O*rBn|=V0>L#iTJ; z$D4{Ay5ke|T?Cpo#%rq3cv^JtnyxALL?cBnyZDZ?miuxkS5N)d%apHG)q#7+^(p8v!3aMkfDEivyLwtu|@>8Mek zo4%wd%hfAQn5-uq?qTQlqc7DzIqHFo)Axz@O0?4ca5#y+u__u7g=ZhL1;4q_DZx3h z4BBENPHbH-g{|K!1E54=NFs?cmm}|U2g@X#7Mk-3m8{ljj{Km$C!J)%y+w3m^7y5b z7CNX4q+Ndj65>VzP5fs}4Nuq*)^PDej4B+ERVCfa^K$#b=s36$PTg#smoZ==KKrnB z{)P*2%YD*4C!$3jXZlNem~CTW$SMm;Z*K95E zqe&Mcu&KJz&YRWuBR>@LFd5xsn776sm+aq6j<)c)Jnx$@qwIQkaWXG9XAJQl_;9uw z$wHQ;Q#b!`*0N14M+0e>q90C%7QRDAs;~)hHrTM!eV0D@%uCHlI`8B z&*35O52asFzD_i+U{C(UL)PgB#bE;l?cHBT+9!yP-`imkpFK5yApMlHEk7fM>G-zh zqTS?+j=T2bZ2%+QYs0}bfnRSZR|1{yZdSahm#mEOQe#}G0_xJ$KB}0H1j9Ah!US?J zc@jF%m2sz}FzTICSlV_(Xk^SxJv-St(qsQGg$uQzgvyNy+@x2O6SW6}q8;LiUqF!!cQw zR`g-s^@~3Z4el5pq9quSsfITt?=X8cBtp0(9<~}o6fyL)miQ!dFVGzQ`bv%xyko&M z6G&b7BSW&w>yCZ)LCe^Zf^c`-AdWlZQbJcG0Q=XOJ8R>4QKwe!`KAiMEA&G6HoITO zZ%_b%%J!h_0EY1yX$ZnxYJU~Z!U=3Nr|sws)me@Gjccq3p=!%PbbC>VEG!2k~_* z+?ra|8i0TW7-rwFp~hekh$r&1mzvC@BU627xywNcX^n|@tZ)fv<;BW&#a6$2X?$gj zVe?D~X`oadremM|4Vt6@k_Tqy7-T!P`<#B?>${XV(+{8edx~80*RC0ow9qV3MY|1>Z((IS4gP8MN3v5y`6&+dKMj6|Zo2p4BzH+v z3Zqxve}z)^v67xulKeT(H1ECo?JwQk&G|uty7wJF2T>@-PHAX}4hbvVsh9LU(DJ+m zm?e(qFha3kcdyh~vv|M{rk>&d8o=T81O7e#JCi@`mU=M)!@=dx`LaH;TlWK-1_HM$ zQFjL1|EBl&KdkZcZ#857*T2WpDd)5rEz5=C0>%KSSf>!nYd3iNSlX&dMbAL2&e)*pp|@mgx!eL8NK&Yd#e>4Vcx(ff)=^ zb_sPM{#>ubT&$PgO!L)gh-k$!?!d7)+pdQPyPtB5%|*PRi%)FZ`(DOM{ueZ8)4h65 z5pv7kRK9zG2znDTn>2{#rumRZRnZK-%-mhImWsA+3RmH0SG7ueE$#X(Z6==V#ag(O zp%rQ#oNg=2JhSNsxR@6i7>mtnEV?elt8CKKRCFHF(|fr}kH!tnGTRB+aQ^a*D>Q>g zHa2tsAMaJN9@0XE4wTcGEu3yJT!jy#kJLwO)yw2Ej%|NJde3ForrcznfMX6Me-r?X zI<=sZl_j82mn4kb0{q)UAiK|@!YZt~PwV}Ezydgb`}oc^P?*SNxL2uHSUKB6LWAEV z_P1u7ht2SdffpN$+gpETX*T9c83s7EpV}Yj_=mdtCv*W2b$#a|-~IzWX>ruuxCSac ztI#CD)VQ{;)sd=?D)wN+OxP;Ns3b49z-;~(tfA1`bLJFCH<@Gbo)S|dIu9Fu&F4z- zhLPmkKdEt!%^A_6F8s$U({E;LuccbzF9m;_<-0wWJkWh17XgjTT1%q+(=Lc=|K{O> z>gXw^K2{pdD?6`QQR<9g1I4Sn-VBE!v zRg-=l!x6BMyUt6*%j}c!BD?_exza)ta}$$gF#Lt54|DnV6g$Juj}G63X4g*+4ZIl2 zdp+xQV1Wly?Wk>!F#47Pw@XvN2^Y?fe{Vs_-0oG+s!>-VLVICw zCCC9MXR_50t<`5z83SmO=;FG_cbYZpybHL_W0wiDhOHu{2=O=Vwi7)&D+an*BxysI z(q;K*7?i5MX%W*dxxQ$SeHR%qxr9%e4e}djn$HLnM;*m`2N@!%?-H|%q2$mJI- z^Vg3U+m2mz!2utYUg#vk_yguO5D%CXE1)&N7%nc~9Q|N&Sl_R@RL`3tS z0{O}3-Zkk`Gd>;m3AyI6l9vJQ@?(OYiSOfPyt9_wI(=BMXCC{YsjjM_zS{2Y9%%r4e9!uNg0_px*PTLVWQ%O{DC(CJ+== z8c^v-!;sJxab9ZW66A6B0}lD1%J~=MtvYnW6NM>6c2GOA@S;MZT4`yb=bMj~faJjH z&(nhmtncY@ZE5!X1~egqH}r@kdgye|MdlJT1W~uQy1dL7l_ASvamZ zyALs0wJxf?Ufu1Ydl13O&Z1ZR?2dnRSsAgXL93Rj;>}8C(O1yNt4w-dR(%R*p-k-| z{yg>Ui+o3vLrh*lHolsghZCPMj*|6KgVmcZGmltMCpsgJRbxuD5Vi3PUSCkI`O&d> z`ykSM*lgIA{ubU}c1b@5Vpg=nDEHnyiR$yf*bg)Zr_y}$bht>*XjZS7JNe*knB)wT zY>ra+O{O(fgjv zk^PG(KE68RLp=(@_&y5a^~$l4`KeG^gnjIdekrD=pM?dTZ}Mp657-8N^?H~+L{>al z{X=Rf?ayr)XyxllZjA3=5a$wd`?g!|G_7>j;eXh5FKMoaK}vTFn_a)r=GgfWEse7v zTh_g~d)UH-2{)K#0j2PGE3==kH)4rn_IHje{K>%?hu!_jWp07b1avqYhq!@dWo1nU zx_(Lb94`Q22hAOag_((&qNDF^*16xxGd%!>gSXIY^%E-b2QuM(^k9^8zq#VQ=edSz zsAKsm>)UoaEajZzbOZRa7)o3#aYAZp9{NJG9x>mcG<+;9l<^2s`%~W}xUGUqOMi;~n@CKlK zQV7`A;)}`xmgJbBux?pF7uWs=f~$X~7mXZ*%#@!~in>Y3(8S4=rfNZbki*&#a zo-8lclkg$Uu>DDwe>C^vU=0z?e?<2{J6iPdi`JhO&%~d14bWG-M_xn$62_2j>f2<< zdD8w7rLhw&xK;0XEh+foGI~r{f}ZPV1rV5UoaMsP&w`I8X>X^R5Cu|L=DoRQF4T%{ z)74b-^(knH%bUa>E3E{(ru8r1NTK6b@3nI{_&yW*4Y?YKw(V#zDoCK%5)o^mr{#Z% zv)Ociw9$sy|CSseM_OZQkP(M_wiqjj<#CQY%B3)_acmHxyuk z-R2k}lZBrF$Gv{S;kFdT(g{i7?O}@kVxH}MD6J@IFh7hd#;Zt-=I7f04RJ zk@ya48vp@!?2h3N92OT$2F-Xgi*33WEE?|1+q3z~Auw9*K?&pCJzY3PuDAK9`vI-e zjMudWWp6H1=IrS}`kGlEZ+gi$PU}!-(kQx(qUYNM0k{r8MhQd7qtKEPL7*2>01$L0 zD`g)-B+pWIuQZY(PfMQ@ElZ2XK(C@kLZhFN@`*F01iNM6R6qp&MFYvA)T|;5b=||l ziZ&=fYOt$c12JEhFsH`rSCw0Si5wwmpNmF7P#osQulIAYCeMhp$f5HFbG)OqSLsDG zi(e*xr6<3Np$yDlque4Z#I@ZZo_|ra>huxPtXQ6&eBSnKd+gff0&XBz<)UqKySSxs zkaih-C=3DUawp76^Zl~qfJDdx0l3|v|74O-9877vaN($FJf0J`X;Og>CW?fe*!x;= z^C|RPSTJD-*NK93RqSS6YnL_eE)nDD_Fp)XyX|wn*5mngR=%kS>2D{QXmu+9Vj6M> z4(4>!`6=WiRjSwwwBN;(D!GazI$&4+_dNIAl8V$q_zr`9ZdPI6#-ed|I!d{*esJ<- z(GW*A=sA~|Q>gS&&(Qe;`(F5q;ez`73vEIP-`EYJ$Pn+7{6L#;nE(7lU+sC9WbBIc0gfN$#DS|i}9jW_5C=Z+7NLWD1m&a2V zViFN`6L}+22LGNDiJW>ELfLUmgymSDO+k-*5h_v_ZF18gHCP2T3sQf}kX1}r{HH`diD30WBCQF1mq%rJ-yWz8BC9y-?cM~(W?BA;v z8t0`W2`#wQ`+CDaH2VmmOPaXui_^ILGQ97;!cEt>E~LE?@1x~&@FRyW;HvbV|D?xe zOd1-}eGDB(jJ14SN~5K9C*=xo0SnPd+MY9hGLVf~7odV%wdYv5tlcUr8QJ0+G|wV+ z2;^JKTiEZ=apaNx6Ig?%rzSjSl+h>Pa1)nv0LVdjaUPL2S_ls`4e`W>Q7Uisu2?Jr z#-KOvBbk^CKV!h1l6f~A7)X%?)M(dtg{7%Y+@IzfS;AIBt|N~1bET>E3)gQ|+3x;^ z(^T5{p91A-YGf-9##woAGO?oYj^9b8Q7)NyD= zl}4@T`a7Dc%UVFEuHYL)wnMu3x~_-GCyj>VbWpZYcE>E~gEY<$sJ#wMM{U$pf0k2$ zpl!C2Vb=(`+%lr%*4#9psfnlhl>}_@UT3nyftkxfgI1?w%I#EfQhJZ>Lpc16_m*2m za>Heu!{P~4{ z#s`;Eh?MEbhuJztHAYyC(xFX*;|t(MeEG)uC$Br6|A`5hJdoUGy^XtnK)ZdWOtvq8 z`G%IkYlLcuo9Euc*m|MXT)}tvViS(fz>9GGoFurb>)q&mh4Z*#>7fGnS2v%##}Y#_ zL!wxAdfXA(*kPggFD$%~gFqw{>afRf>eO`4+@SxQ*Vob1BGk zvP+uMA^}(~dBD4J6w+FV#sXA&L!P4v%U5rn;SXBPu;(u z(P@Ao^5rk6VRpB!&w-U>-^-2F$%6lGe(vauXTi4qxU#~)$TDV=;?m>6*OF3V?u}5w z|4oPg|8gGQzen8ofBWau$obV{8G!TEtqq9K@0I)Cl^2-+%hX{(k=j4Y)CJ{GEwD_f z33cLT1M9OG!hbH$$`fgB{{{e2dqYSHBsDD?Q9cDy?7ZZP3A@^4ujFr6&KARpif6YV z3R{>$Lf*Id3vW_%U1Us5-I`4Msu>|2q~^8ax;<`1A|vMkeq6S1TqJSou$*1(=m-2$ z?1cuRk)@u4YI$mh#nR_K7pyeqC$nJxd$K#&I6Tk50 z5o*+yKiXDv%V%c;yABSOnA z8d|22nbe)CJKqA)ko4P|7(yRK@CLdeq>f0Ps(<&``E6b%IS*GqNa2a6!S&Wz`r&jD zeJUf~o5?qqS`JtCEnI#)WEC9M$d(8QA;|~X$W8PNKL*FT-vRK!d9J_!#wFdIxTdL73k2d}&8)TR(x?U@gR z-`x9wG1yX@ZJVtNGElimpYaZ;Z)!Zga9yBV1uX{qnL!6dEX8?UugDU|=UsrkXn=&6CE>=IZ;u7ubuu(Sk}-Eaa< z+~VcsrR6<;UGVF-9ktV9^n3?LM)5)^ViMa;W)%KsVxxg?BEdwMBSd6z9tL7G;}t|9 z^YSTQttq9{%Sv32e(ZRVa6oc*ZeykW_fWwz*aP_P%0qxL_~kI&g+a9-oNn>eJJICN zL+*O1p_+oqT-kH!<#xAu1r`gpKenb11Y6}C>QYBC`Th%gZy6QWyJq_qfrKPjaF?J7 z?j%@22p&9WVF~W;QUroK1PD%Wch}%9L4&(n6 zs#?X8_kGth=kuFGQWtjXpV9(;e*ropv7IzvzUigza&z6cwR3YZ5c-$wTaC1bBjMzx z=bGpv1B0KY;}63M)}lfC?fA`2ZBcicGj))V*=KxMI>+T^TqQ(LN!A_%-d?JkasU8+ zh7;hl3ds0)?R1EsUGdoqD>kJ&fuq3^?Yh?J?XEopI?Y;C4@}A-{ znDyK#Oibd^!Pt?C96$Mxp=J0kM)8C{I(3l{mfS$Bkr$ST!;v@sYHvApt}Jd&p$$q^ z?C!idDqvJG;jNi_n51O&`8sx~*ds%IJK`#8@9E>14`I5GKH@bkHaSIh3)OY6?%%q0 zd_rGjo7}-H>%sn6(u24$j%+kvdSpK&!SNZ((j8yDX_|L2RYXogv?zC^o;c~{yRs2~ zk}bSKkj+wD4q+c*_$yYB(LU@NPg=k+@6FkCRQ=w}F`%Vf-es3jn-%}we^o(=sDtCE z!ZLd~(Dv(7d|SK<6bP4lx9ihQYxqu2hWcX9FnEoLP0&7R%Z`&Q*TY2<@(?8(CR5Aq z{yPfTv$H3+vlh6=+2Yn`DCWuJ^2~8}Rf)Ym;$QY^HB%torm(GU`Q*~N-_FAqU(1Ww z0x;g_E4O_12>Qs$R=dgklqQ4uA-b0m`?RONS-f_(ED>Pp4=XoS#<9rvcM5%uc{oAo z{D~fcFGjgd*aK9*q>{4^M)SM#trljsBqb-!Z@rc=#JXvQq%r!iS0HE1?o$|6*zBBlaiH8x*{j$mQx4XY0FcjuN=#LZEYi2c2eeU_KeL&><`A1~3X ze$3!>5TnYGbV72#^`ZlzRp46MruaLET7X=@fVz-LlIyH>Y~YQe%-|K@&gx?%;|jum zvoFA)YVNcDuySit*ulx*=b*_2Fe_V0rSONWIOVNP`gk#_DM=6P@bzQphGL-A29{Ir z!d0k+@8g-M%&f8c_77MizQ(EA=|eF}G{&$u<>3k~bKaz%HU!`zy?r%5EL=^IbE>p2 zooJbBWKpx2G0J~Rd=g(mdHcjqXozLx2+4zR+}c-7rSzl}QqiinRNWtAql;4j&We=O zQTDz69#YR?Sj;C*ma5F|Bhs9_v^Pb5Se(=xQStzoM7t7;5Lg4*yC z2@AU!ULWfyK^R@xPq#uvtIDlXdY3RYD5dtxKQ}lx#u1uNpOu_H@l|xa>UHrvNiV$T znM4mSzqhHdTxVxfQ?8$8iYzmUlGPC4$`ao;eCtpPnDyThpd5YF(7YPIS2y^bIp3Ug z@8Q#MjFi4*TAkna09ICzdx*oe$Eb&GY@Ssn?#1Fb@jjA&_M(QNchdZ-9*?G0X45s4 z>?5=H@hGWL{Jj<>j~Las_U%eTo;iP!OSz@1piK>6v2SgZQAzWE=ih_5h97NYz~`+G zuP@m!_)0|yrw*=LR#KQbD$Q=2?n`&Rf^jP_#rhL$XO4wlMZ^@xozQ$+J|@!)=1pe? zxW}w92Y5_L3>QaJdU8$ZR%2TOn}Hx6ipR=tP^sT$z!@lhNIISm)|aN28D~^LEaB^@>@A?n-%8GA-KM!}Ts6;k zGnUvqXIQcZp?v*L4+AmJYv`6d$_=PnXZyS(pPEjt{Y^B_=Dbtrl~j8}7xK=W+>M35 zA7diWrm#AD+Bi)mXtV%GSxK)jE4!P&ysMvU3;RYX;z=n&fCr+F0d2)=rx*aLh0caM zZ<&E&TgH>utgdsf>7=>~4Rd3pe^lgi@$Qu#Lv$ydP5pqPapafu9{cc;ui+y`zcd|4 zMZ5Cbr;k{PW-2PmY!VNQ8ya}z3KgobGi%&PV7W+ z_JGc4=k4a%ON*7+Ma8M0@mhslnXrOTc}5EfDObq>5`4Z>V0ukFNg#wMOtaR>^R`TJu${6QZ!XQE5mX#lfC5KgSuI}*G?tt%XES4(J#P9duwmnVOa?>RP@M$vFf6# zJe$3VJ$Y+znB7!W^cW~kn5D!|UZGAG77O-O^ge8wr61fA;CfWn z$*cJyclM0F>djCyr^%;-r-x!V*;S?hBkm>fjtx{MLd)jvlh^P5`34G(ZzueD6{}UN zA%!@2qh3(qccM&PQLc*;!!KkoL*9TY0MZRoRAV!fO=CI2i>Qvv(qfY z0@8#=?oSEgin5m_AK(fxfEU6;iK2RO>E{N-D?|oQoCfWQsyO8{v=XA4~IY?$i>z0d5O1u69xI(WI4`c$hziMJ12 zq&{{N1B$s6DL7a&)hPOn=8knQzF!j<6cp@j&ax?whrF&j#i zT&v!19x^4@;R4o%yCN(Y-C%-N!VMqo+EJVyem8;jc_Ip4o0p*Y8hi3Sl()JO&(D{s zJFfs+J0c<9J$eI8=bJ#y`;^Fo-}#w@kzLtwy#XH9GG}feu^W32Bh8)Zd+qgcJcRyu zKhX*qoG8|-u-B?Ue}1uA4>F<>k~Bn92trMi_z7S~UZIvx`?4a)6^N@3iz0WCo& z7|bp-_Yx*-4s@>}{65`rTqWJpFGMebck{=2kC!vo`#(6$wOe_uQ;i>$Isbl$zau#m zkXF2->G4%uZz0)yuuGi+%GsINi}y;i-fkPGz_8Udeg>@+?MKD}I^jc!2hv+gsikkZ zv0p9I@^V>Pe+rN#Q(xbkR6yvY$t2j04qo1U==3$!>VNm!bfKw#UDvF;Yl z5OT{D;kbUj6Dy?1#XFAQQNfP99YUmcW@#CwOYoMVKCW>c(2+OgCSY6}Taaz#Dp|C| zc=1FsBEh|LOn?qFoJN&XRbY|9HBkqC(h+uK*Sd`IGG)EIHJKQ-9?3+51~GJkU=}9dDCD-IvRkyIEzw^!768BGdfLs4smj-ZKk zwWrS{o+G|-yV@y!a2U-IISFeGDgEWH=7}eI=u=uQz1HK)w{V~mjeg)3Cl^-{1mp!L zI!ERaxnZB2-{fNEvO-3%=ha2cO(oA}0e{KU(ad{Bprhd1O#}4;_5A95hW_?hYlXnb z7+3oIX_`@;@9X6CViT>S_f||6MUL&)bI|dTi>&F5vWX=MY!-0mr%Zr%k+@3R`?=a* z44e2ktXlYMh3lDd?eJy{p`+_31x<}$Me|Q*wzd_vJX<PZ~=6&%vFh zV@;$KctHq=F$B=_iEZQRleNeC%Z4+hY#dn{*i}ni)rFrX{C-A6>qK5CyxyA5_2KT# zot}{P?puD=ESC3f$l=^Cx13xUkL8l*E9^A%RmmOMd>{zt$mSK2;rLw7pug^U2iA<( zb77NwpxB74ZX?@$KUrS;DOb-Tk;j5Ll%zUtnG6&f8NMCcfNK7;pM)xb|407s1F$J_ zqPo82j&~TS{NoL7%QY?1Zh4n-+jqyNfNp@rx_o#)@@+jlsmB1QA1C3 zWoK5>fNjok{rBTnGT@(2-jszaJ=aly<O{vpK9VEaZo}6bKdc9JEo^ z&f-qw^G07F%sJJe!Aab~u}U$xx%Puw5G7Z{T#WmjOzSL?&|4GktU>$&7!<_$(q<=y zo)1_blhH7kfZdp@MR9oyHE?=XEJI^oa3^Cxc>tfyc?!R55zz^87v2P4U`;u%#QDVqNy(yR6vaFBwi;vh) z=IV|}6VO-Ub7W&t4E~s^8q_r@B4vy_>|9xxFyTm;NlN!S1#}J$qJAMPl#2i>0_VEU ziV{a}qHJ@jf{l-Kb~)hv4+-`&)RI@2PshXwP6-f`i@mEj$d{4W%LTt!FCdL`>dSW} z$*oCCv6$XNIVEPKZwU8vR)d`tJXBmpsTHOZus`bS%B^D0Ok6*4;~0=@@=f*xO3>k zE(CD`TOoyrs5`Be`k>h{1(S*=nK<7g>2f`2IjeHK$DWbW32(FstSJQwtF2`|gLa8* zggU=1Ed^k#y%$#rxk6j9Tj%nAg3ZSZf@XZn`wQ#|`MOG?srg#-kc(L#f0YJWnB99_OIAuDz(GI&TuIPZhM zBhv7tcSq{rx}Z8-yOxDCiLJkXYW#He>_BL) z!Rl5rsv~>FLZ|l8M%M`s!LK-}ZPCpbpQl@7Tdg9nZuv>R5EqtoNRQx1{UmR_!@)xM zQm?rT-L<7V+9NS0Cd$LB_%Y#ZHvHapZg_tb2b;4JiY4uoMqH>S=dFJ zSy!T9N4W6Y4f14Ljq7)JO7n62D&#ltuRd%3il9w2r#ZeaZm^v0^FyAMe$fgW3l};C zk37igK%#(cKdZ@Cd+}WwLyEKjF)Df3R;S&1hktXcBQF`6V0npMwY7H{k1ku2>E2VC ziYCijjhzj0h=nb?iHu>Wd~4LA`bS4urmS-5_Xvme2cGp2-=`_0E^N<;-m`dirZ)^s z8dnE)VlKTvA))NEA{50kk90z2mLW=1C;RyK1)5vq<|AH+%}+-O^570`_`Mwuk(PyL z0`9GVlg8Fx6;tXCP1+jn$g!`+6nJG(!Tvb*mVAI?F8(Sc^TV2YHm96bd4Bij|?^ens=f#C)oTv;`m}ZdZGt0Bz=B}>Qi{hA^x)2)KTqD}Y zE1Str?E4)=c&49Ul6Yg}ls9aQ%B`EWh%!b!tvD`Vo;VKUAqxaO;?CWrKc6BTJbkZODg{x?;E&OsAMsEet{JtDiiVQO%7}oHcy<#P97N5ZS)xNyVM4Bzlmr zH1Pji9w20dmVJVR+TagWUlgLmNEsA8?;B4QVXn!gdiN?ebQ^9VwwE^Y(ssv`MBo|; z8!H!5y>@q8zYihuj|xtxD``Cf9gj77ismD0Rb*$lC%= znV6pE4pt79t*(W*dn-}Y(8v-d=LWub@yxpfkLQfR>_Ejm^C$F~FXPR%FS#sAz(6JJ zX!3X}#inZbjB`X=LmNanOoc*tl)()IC%Wg~vPo&zvGHgJ;oDK=<>~R&4fQF?5$TgU zjgh?I2Ik%}j7}Y@==H@mA{I3#Gf%w=GWf`uUQGm#-0?6T=i8&lAP<~l)HRN02&*;Q z0`|b1-@lg@8ERFQ#7VSG)r7@@9nNKA&%JxjP!3r3m~VhN4csMfr4+aT(1ChVW;Q&i z=Wm_oob(wgPIIufBnQz=ksdTeThDJF{lh?2EdLP->xawtmG?sjY(2J=UWr4;U_Y#z z=q}5@i#qxD5Gwzx+iv@^LI+vlNe^G_pcfKz&-6<+D4DUm#HKI8`xDseFtlwL%tNq? zXbBl)(ag{`Y34`)PFpv!00$4c$`8Je)I(5wP%J=h5BvncJA*^Pr>WFPsq)_MlMp9g^f7sV_*nyY?t-kHT6V*p zvNPI~X2 zO&Z*VIVu6t%Wx?{y8Sn1K^@g^i{~uWsZ#U@J@nWN3=m%1z#1zLxINW@yOU`iy5Vxg zaReB6JtC1g(Bv9h*M}3Qh`WsOewv8$y$#LFO?1+Q0||C}LEOn^ zG8VtvDQZR7SZF@N9RT#|9P^wN2=paE2y~^CrX+sJ(pfNg zYqa}lOwCO>HT1Vg(xVnKK-2YRfQ5gU&V|3v5P@B`p2=^dE-NXyeZ!lOt@*^re^+2J zBv8Pj-(h57^U3USUIZ>=;ivzbAE7|{F$oCe=c59E8e4mcW8vxAQgXp_9T8_A?_-4>j#mQd^qUo9n7TUA9~ZDgXzwMqb{lN;)u~ z=yge&ID%+cI8BFNVU6n?1DdGD=K5)%tugE(eh)6(POTOH!HMpqK?`1K=BkB>dTth* zQ8)81E$aVoc=dl~tsoo(cUL@*@ClaHW4ikT`h7lF;E|Dv92@MQ*mQM>k1*aX%g(ot zlpN-B*&(h<_~Gs(0RwUc9s+VKn$0pBM(+rVC3bAamKVjwxB(ywUx_54*nhUae|t~T z&F1lT>1;zxuSo6QuVI2hQhF&8=ASTeG=D5dJcNL9H5jglh&p(B%T``OeD(EJn=Ga_ z6I+JkIVUKFm>i(CXtJ|B4(^kV$9>GOQ*rIe%-Qf`aaQJ$86XK2%0e=Ll-^0yp`jF;dG6@9rbN5`2!0u{YS{~h=8e>lL7`QJyX z6#oa^M}Ng9o>x3`x1H0k?3m^g0vZEFh7T6LL7V67K1s@)nne<-Fg(vhbTmQ}2@^_k6$~D&u?!%KZugGRPE;b$X)Ezspz+1YlfD2=`><_4b zJPe3^`#XX@{;Oq_f9&U)035t!(t>nwZ{N4sVK27h^fWsse0egDYn69e#np*FW72FX zzKnvL2{c)*s41Wm-Rm9qFUA2x<2Rq+e(DQOgdGrb&I3S+Oh7Il|GCZoPmj;b{8jg; z@xDYssV1LX1BkxGwWj5)r*~onc{f9|pS%){;rrdnyX@xMe|S}L+xvgc8Y?c>>@zsy zLf|92RiXRMXU)Zb_b$tiBi|9837EddNsn&w&t!L4eS3HhP6!K}HS)k`DQv)PbUA^r z)FMFwJK$%9p#O6NL+fGhLO!5Xu5bkHe8a&h7Fx`COnhxqt!CX@N#KFTzS+d%N>08` zvfXE7zGmTNc~)ziv~v95K}gahMEA?QxLNTB&}OdTnV87|w3$EG6=$3T+RQNv&;SI{ zTEtB80sTLAF!@`Ojt{KsakN(Qt4BHA$|YW@#IJ3oeU;*F>(6Ri5=JmQ4Y{F&Nh z;l>{xXciAYo{&i?Yj7@Vy>@H~tJIB=H20~|f^(25EjTOC0M@s6<{#XuIS;B9M{#k> z?F9y&328lr4YHXbx}~OS!OtL&eT`U%G-FGi{A-n@6p2CzDt(l%1^pXRSB7X+1(2Ki zp!;Hfird%ShT6nojTsmDkOlMF2gcEIGxHh$nqv|a@lS(vi+rsG1PhUgDSBW%)!r$^AHt|y$ZJg0C!4zjS+;xiE^@JM@Ee*r*`6r$t0(=jYi~3>K8CXdmi$gBY_@HDqJeWgq)t2HT-EkoFoc?TbF1t@Yu0 ziTJU(?5QASPDIJLsvG4Mx&1|TqB^qW>5{yW?b78VKM^Z!L`BYi2Hl)9!{p_$gvO+B zJVSlvXIrXItiFa^fg%KkTsB!mAk#V<-$aVOv&2U;^wAD9 zs6QDpt%U{yh;Y-;4f(>_5+5^FAKR{z<16tu z2`}WbrEVlxDwt)~0SXn?D0;UqJuIj$zxz|US!e{rb#@ZWs)g!OHK0G z;cM+>5F}E1T0Dz8*%)$hXZ?YC+EOn5*`83E{uV{|59lYo-YOnBDpQ|M2-CFh>AeET zVA_`pVL3UraJztIr8>D4YL@JeEbvk4cH&cUBsPWtMwQL%@}QyCC`j%Ckg$Ebq>EULf;@G9Q(&)iG< z*ctuMbtfZd(LR9~Tey7J`{LA<7=dXF$PD*lKoEg@mlE#1?-}dvjLpRitSC;88*U7c zE7^ZCtlOd<)9v&#OJQRP45m8q{e$62nYE`oygwLW~yFlt_MzN=(AkWh-8;G)xSs&SBWRYl%#Sgu1!uq1O z@6OOegrr8Y9u3T+Z8nw~kb3J)a@l+0?wFf=Txwy4f*jt?0op8vF=uaqk4c-?9xURD{zaLDLiCk zR|kBojMu3H%J%c*@=VSf>uguet#rFT4dp2eL9#S}Io$yk-|KLIr2gfc+SejDLPnM8 z#oF+mt6BCFb(_YLy!UzlQRk9KrwCs|NEy2~#AkiaD82ls>~nL5F2}cQDf^e!ED@m?*+>ZuTzBu9a$a_8u9qOJKvq!#A7iIP(8 z9Y0i!dKu>&#k{oUN&fxSr!~D$3m&1~L56rY+#53=K6vseZp=WCGJ=eD9{oC|k!wIy zC2~k+t4M8BxvB$h8t!6ZRANEY+!hf>kE%TBfbtbTauPDoZ}}zSo9k)6C1`M_{jIm) zBp+m1KpBn`?`6ibI8Ob$9AX^Ot7R-D-iaRLpojj&mj+?f548nAE^2Cb(BJhwIS*7? z^>)h*r^UNMnb+oNH|_cB$v^3@Dr7nDKNrT92m(I3D~ zhJmyMKSX&ZA*Zsi`Pnq}V4^v&ps8NZl`3R@ zr_>f1OdY98@*)tq8QO>uYZoj2y5#KTh7si@?p;b8QULxOg6J>SHeV(2C9ovRxp7sl zFEoxRqUAJ)6re}W9@XAbBTiZrMC69wvo)X%q%^7 z6DfPv=Gz+uklG|~nbU@7s>j9yxNl)4(YUc$foitvJy9ry?JqjHK&AAi99BnYIBLFC zF$@|FQAhZ!J-F(L-YI8lyR(@hM*~J79uOA#YRvmsmK@{r2D&mw=-=Vaa?V6&J-)|> zC3Oj!Hn?C#_6!sjxiIJO^_)zGXucWV4tf4pP`xJ`fr=PKIiPnkD0Q!1JqD8TE_?!A z)Q1iX&OY7)^lC#rr9U9)-k5I7}G;g?jO*U%Qmo6#4unoeFXh& zsq`SA|6kZ8p|O@?k?qJ4I~w;_{a!ceMkE@?4irOOZ;|XP%a-kkDzy-`(_>NH&330b z1eApUq{#xCLP`#g-(gv<-a0gv7dIIMWuCF?Lw{QV%Jx)bFYx&FcW9CSrVV~_pVCf} zoXAb={T%(9f2*wwL-ZI6A`dT*lV;2h5sE#4(ocsc1W z<1bv@jU5TUH5$1#-3=}WR|>1}XTE01KU5>N_S=$nt6DLKi5@gd??j*`oey)e`d#zw zB$4sg74BWvr?i(8v~`ngS2xy9tx(q}k=sRSXe9Tf8f*RL03Fk8ksS-Om6f;T^Pb|& zm#-xsmzRZ~a1I5dnSl~M)lJ0Y#r~=k_}rfPmB%E!+egDu(fQ)A)KkQ!etdhWA6F^i zoISsdK*d1c2@o~^LD$H(bbKzH2WYn)=}2PYP^VzVo-ixKmln z!h$~Dk%M6l;u!u*?WsPBGk`(y6~}v5c&b*nt*)-`;F-q?BGjhfrY#a6Be%s( zJi;k`nP(%h!u$u{@iUI;N20%S<#3m4V!tyQ;))G0jeV9u2jMqFXBS7mFe(x;83FjV zJoob5a493nlJR6$3t!7|xJZ9j`|HV8CCf>RB#f&N|A+iBDHV|tiggn)#ie6wDs{i1 zMAc`D6)7jy>y0U-iz877m^T8PG83cN>p}ChFNR|~J4q?1Sbnu)Y#!!#U?*%e{cuZ8 zWKoc$JNp{_6L*B?3msKdi^}(e=fQ^1!eOU_H+@CZx;6LI1vlWHJK>zrLZgKob;plT zrweG#4Zo)g5DN{z=#(T-M){YQq?^;@^wK%ac*)1LPbcMB%L(ZuNm+YfAW)owlY#ZD zuzX+qRXV;5`20l*KIKt_V5#f*`}bC9SZ9wEXCDc3%Zrhbt+Xc!$QEcV4ns?azHHP* zYSBKD!QuTO*G)aN>*TmdLED0rpH~n}b$dW5-K#2DsMmKW(w405r64NiNcQ3*&j2TS z%8m8OD_ZP9oo2?!0&~|2yF4998;U5CZ-v97FF~aAPUo^Oe-2l%dd6A3^LxJS%K)^x zH31%*Pi0KNY|DQ+qsap200uAc9hL?7)}a9q#)~X(6;sP}$Q6u~YNpv_(Yjrp4}A@Y zJ*%Xv)2nzjjRbhvnUqE_lglk!Exrhq=nD@rscDl0;l>7#)D-^fF-{D}B}0&BWO`X! zLN#Q*ld$zEXI0M^Lg`A1=Vf-;`x*=1nj_njjfj4{T4b0M3ulRbw`P1Pa*!7tRts6? zQJbdeh|sZ!lP5eJD#L9s@6&x_`1I#)0RUjb@)++iz_VIt2ot5)&v*hS-%HG(kGj%m z@!?}+t$G*t@@z-Bo6a6d-If7hux83G7Rjydh7YY+%eeyTnBp7EJcG($nFG{0| zpv%d#v3GUaCieBs&fD&Ig@3_h_P2nX3axpM*mEl}w$^AuLppG3lk1L^dJ;1@C(CeB zp_U8Au4;LWcc=JtHmo^2-PmHn7v<+YEBvtCz>9DnC4-r=BkL1lXSSCNc_iaQenhrU z_i$9ih;m@b*R3DQVz69LS%kIzQi%0QoI?wX+HBPSx8JbDb^7wFEF$5u-?SN z>XE1AyjFe6*K73$gkMX5fRwv;cQ@zd9k->ocqrA)OLl@JDMC1hlbq2g4EYt&dH;Zd z!ML?hJa7JbIKym_b+{Fp!$EvAFIT4{om8x^{hP;qPY2$DfTnKW*j$Tdwye5e7k46} z(|GUsD@$dby#+J-3C1f;T`W=x9fRkuG-fj`lFI?Oj@JD=ggn<8Cpqeg)|rFuX+S%j zyL_K!5>W=(99us!TQ+y>hu4|)37G!xd-_E$1 zO;ArmE3+^?l&EQZJb;R2;sRrWRSqRU{HY3-HFh8U=DJr&;C*Vqiq$9-CG~djvOpnc zKYpJjZ?r&rFrW1^VU0UM*9*+YPoK))|uayhmtnP z+^0G>xeu9$vAXhNojV0lp6mGrl&@Ms@$NM(uKan~s8$x1UP?Z<)#Z)jKeAoNG$QR6 zZOXzQ;-!D@c)-P9GUsWA0 zs@GO4Phb%z+f@iMj`Pi(W6Qc%fhl%Wh=%97NA(@5qFeUl`NeF$i41vuk9sYeH-}_G z2moxzvizFzP79~JT6q_O*uka`g?f+tI^HrryWxpKWMOAh3ie^W+dz^FnV*)ct6F^x zeIFNi_al{*#&uk-3>;hw2`@=azK9frq!vct4_yt6^BTWU86-8K$-tpVi5H)BK7C=K zY^lR`Bv6m5Zvr!2p)+mXSQQ zxiq+BMbXc>MGYht>nD%cYTLM{lqy3%)z*a?2J!6`W`V#jwItAwvhGl`dE!!t zQf{82Z*qQ#exMx0Agd~6FW%o+x=P|6WrM|LQ@hN3u0C_>R+iWL>b-}qNnR59XYoek z3?)M)0+e4W`kJu2UeT9uX~0Ow6q2$5M#!A7o^JW8hpOYL$C-p<_;a=>J7PPGd5mdf z!qJr{TAgy|5sNzhi}D7KAqQ@KTAS(uqk?^7PnGB2(!AQ7P)4tv(E}?~O|?(`D^58% zWd};j5Iveu)hzY%=KGpSG!tR``YEY9v?qmNp)ar)vT6Y%w>knK4$p30l_F{ZH zJcm$R=>UTbR>84E=MDgQ&lF76^O!%=+jLXtew8I7)oB4}*guz_I<;f7J!Q4AWiOKm z_s9#>TgT>al%iv4w0D@cH=nF61Isj+^QL0RlaPjgKmMWht?Dh)b0BBz;A;l+ugz&^ zjAyo2`c_qg?3u)g{bO1aGJimvk2Rl*Z$(^<+DtsLISI%$IN-m&UQiDlz3jM6O)mSj z75*?wM+YlxmCss0_*04sO-F>Y>~US^=2Aq6Knl1?DOTnYr8F>?Q)TKHTz`$@*C|HOS4En>?M>3p_BFKe_gKw z4-xmkkQ(cFj_lTHK&IKgLM_e?`^$s&x4+7*`flq+(};9j<6lLqPv_%G(;MQg9ZBpP~;BLC3F*SB(7t>6$b{+c(3MDb+ z?(f>5m@)bO_M_5jV(g`lF9g6-r%VP5ms(r6@-OJ8S`_>S$VNKvVkD?G7F9knN2JC3 zp);j)!!qxK?=67o9zd{M_)kV7WcieC@$SWeKFCt=4P*2Z37@M9+?4||m%j9?2T8La zjNs5r!@7oWreQagbEze>Hx+&AZ{HCzsLIxNB=fIw`;eRE_>?-&d?~bifsoe?fB-~i z1)}5L`r@&5T4|e-$HVwb+@+|?yQHeZc=vzr@&P8O`J3XL*WjJXC0!btUGIyKP! zpRNU{f7{{XUi!$zx!-)!Tya?Ty;xjPxYPilg+vFi+r!;Z1aH6}P*{nML8LcJ$!f1r zo_o^!_O>Ko^sT#B9YCO^F;_8x@GqVeyQ3?A*OAY%RFmf~%FSj`pd+>lH#O5@Gy{9g z8yVhop5qRn$l*^Mq}W7Nd}50#F|qM6VHY0u`ktt@iiVqDDBcNr5UW^$UG8tZma1cN zAJn6QC|0)F7e@&Y0DKL2pWy}2Gq!(Mx{L3}^*z5c>vn^P+R@!Hx(hEs z(UY%|<}*_3MS0T8B3}GuZ}eT>jJ_rQmyvk?59kIv`q+SY5qWjpb!&Zfc%3ul62R7Z z#Lqe*LAp!2ObbslUY9{vmvroaeFNY?7qss2NPq~odY>SD&xjAXzwHQYl`Vi|5E;}+ zdnF=IhGiLJ9O4@b^*LqeAEC^s=vLe_rAXi3AmV{RWmFn~(WwA@1@vxQ=zzQ$vVVLD zxzLcLaPH&XQOj)CPr8_9Y(`4@uZM&P3{>9t9D$@PEI=^WD9M_TO&EUjvPhK`vV;Q#bCK#b^b(sE0|6z+-Roh$g$mk%;L5Ut+UY!_F0=E7@Mv3sk? z_>YJNy!vc!@cLWpS!$j;ZlQhTZ$+M38MWc%#eAL>KM}!JfxdPoD3PV3gbi~;FdKuK z&30Pec_G$r6_Q?KK>S)(iIZr=gaHu`M}^D^W2_I42PcskD+6aXB-g4TMh}9+@nCGq zc=N%Ul(cE#_{FI{*ZQ(rUvq>&%s$Lr|7q=EQspaE7v*1mqRKeSTSf(wtrSguBRF*+?r^{>q-(6=#-k5)Lwb$6dhO@-a3b%F3x*aOg0_ zqVY_jtw&XunCPf8g8N7JYr2ZLiLkwrz;ybpqvMoua^ zL*2~w*f=z6Gw$9Hj(eu06oRW?Wu;0Kvi2k=?6BlVu~P_x%ukR~N=Un&byIn!{KDbx zxggP_y6r);A>i-#$1dkL8LP04-uSk8*j0n0$u}As!2>r&`2>{kt`Xmh5CYE6Zh~<# zMify#-0dpx`VN7hqtZ(@5eZAzxknpwteRM4wUKde7gY4L9tp5;Z`qD|28SZ)4<*(s zfD|_j#&G_U4agzO6Jmy~`Y_oXpUqdDL4(8=2T~-sDR)cJq-unLswK^n5BK9!jZV~> zN>a5j!*w+9iG!)eWLN@e$2u*As%{Ot2d-1vqz;%_3mQ@nN?Ye~@ zfvw=lm|9`c92|6fxyQb#z-aZ!ot;5cr&Q9-_OtIEYPqN3PFuh#?;$Y+PMM$VocN-j zJ*RZQU+ZF+KVpg3gJwXYx>$-+>e@tiU7U5O0DPzR5zaKc-iI8Yj0P{_zpsAC!y&Cz zSUtsM4X^pi98Y&buEQ8@lD*K@<}XLMG)N!+;e4274Qre}Fn#P%A*&+cM{E!Xm3d06 zWt#m*2VA{Jlc(#Dtu3-dCNXh~vfDB74@f2!f+lkSdKD4dw-~x_{;%tbzwODhwJ-*3 z=@38HnFd{R@ho$?y$K^FmhO?!2nrei8SrWvJ!Flr*h>2lDe80kg zW3PXw&sg9}89ybbPT5X9y1J;x8&n)_+qL(@#$C@bdW?&nx<^7wA8FT}AN`DnLy1O5RT3 z`l1&5uLk-vo^e_VBj!mS!p$kdf=!M`2&=wO>vpC|nrYFI#UEy@rRmR5z6At)iA^H| z!5(PkR}ll|E|iLks_DFiBk>E8TV2NdYC#LBsc1IO6=fM5t%{~y$i1ljIj{cW3M4q}HQdZYv|5|H1(#??D z%mU2g{d*7{ZN>{Hm%G{RlTt1OZbUctgKPAfQ!tbJ$CQc6`H! z>X|j6%uR)WPptoL&Sx2%0@5R^i3^UvjAs+Jymyh*-Lv&c8r&Y0x>92dShYn-=#OuJ!47Athm0N5aT+1YlSH}$PZ;NhQuWLQoCJsV#I59^JhX-ZatOX-% z3Kx+F{ZfqzWNzaaHp2Q^Y#BKtGK!wpm*t{2E*P2fHT_gHRZ@3$A*RjKizA0urr(&> zkx^+l<85BhuvVcwM8&(NUv+mXZuv_#+}%6ewhNuXBe}Rk0i7j+ib*E9=2t2DnV_() zS;B@OibM>u2$c9ZcS>3M33Pu%cvtiG+*jw4NXX{nlD+tG%h@M5Sdjb4WPrz* z74!~#ALA|d#XSgz#FDEg;H0l9;#lRkaRz#svnUvrk+VU%mNBKQZSy%YPJswkJ--}B z{$L~>JWk`iIE8v*ma;k_ERu(S-pSw5&H+zo3j)=Dq1DaKnc~S;cbG_jt{Gx?)d5Ex zR^OUP?5a=E25TD+KB1Ib%&Vn^5HF;L$QqG%Mc0J108so0MuU(z z&e)oO*93Y7BB)ExZlfW}*@om@eRFVt@352nn^v6y%)+rQB;XB*yMBH z23IsDkl*}5%j_6zcII6Qg^t{~UXBy)Q{lsaJbOx>J8-wBO?#$Q`bZUO(wjc*DD5br zI0Yl>z?Elq1ev&**jnj^9jUvY>TgmT?9R?>d_9&UcH2PK?$jURC1IMu7e!OY-+|uh7yJ)j6IAtzEaLTS=(q-!o$Lsfpdf~!IFCJ z?4BM#Z3M^?lM3lgpP9YF;O=Fdz3H3O!$4ikh=o|`1c$cT!KgLotON6ZxIHOjtvvoY zK0k-~L=hE4f}KO_Cj#!&2T$H>yZM-4<(K4J^2^bu46D~#S$wsY(FOtic-OZZjU9iR z)Sek}Tw#k8I;>xix1KgbcnQ0X?!Rs}4E}K%HKWtNK^^40I)A|OickI-Ux@+ELu_re zn<6{js#QsssP_}Jsy+}J;FAGa?zg|3tFyxfFy~{TMX5GMA1AV=>nK%S&bPysKS%Jq zvL(}WLv=F7`F`f0LrjGRX9~({nrUU*q{g!n%%*;`-#j|)1kqdD>SFQoq_BH2sok?z=yZQFWc6*`E=jSV~*o#ay zurwU$YNwoszol4gi4Z?m{B_Xp$8G+|;KjZCWGxO}`4^~B@nCU#N^_iqh22#E4Y!A{ z>SKnK5B~N~`2C%FRf0FJf*o>)9c=R5gM1WUW2){|S(MMgE0z15C9=9XMif^Z(q?tZ zfe^kmukSNVRL?Po${Oi>8e(vKb4_y*uE5hB#^%PR4Jq|Jh{AJ`-yF5D4iUQEbdT?_ z(ZoSDCsWU!^kjok_GK)@A~Gi8booovR)l7n`}v0K%q~o%Jpm__mpr*u^Aka=0Yu(- z&b6n}+BJ^nY|E^9>-pBS0&%dg612rOxxib^YxKi08!{|Tx-kZ2jY~@O=bRbbtl#rV zmB$(zxcfFgvv?33#=DzvtgBc_6HmvQ`}MQfPvID9)Bry$YJ9yF_xKIll64>Hp4;F+ z=V8bj`Whl0X*j=?tXVXcOju3jDh3d#ss&~@gbF1s0 zU#)3K`x}T&wX^ImXm$-*F)RA=@ zq=@IQ`3Cr;r)`EwUC2{S!Z1e9<)ayrf_@XQ7-CX9zw|t#p4UfC8U!?prczGh6#nKt zbhE5RWoxoZ4hzLE-ZGB(lBQYl-;|wyRd~>O;N%}>>MEWuS$NXBa*J%z3&?xePvk7b z-)&)5H4Wz*4S)dW){vj0a5Uh(#Rtk2!a$^REK6H<>qs|a=Z+!=F}AH3~R20Z2B0Mg5r-2eWu)k_KBolNizZ^sQSvP!L~(}N1|L}W4jRcr77a_?zX zw%j+7ei?twid%m`_n~^n1khqq@cj*7`UTYYLG)Q>H{k;;raq;OGW?H83b2P_9p0Fw zTAkX-*2L;BZG;Nd5vMZ7=roxuwqmAyd$lV1D;STZQDv38OC+t!SCD1NLyC$=fc5Zy z@E_)y{y*%!1yEb@zb6_Bg|=uZ?k%)Xw78{EXt7eP#kG`D2oT&YNP*&3q`14g2bbaw zL4!NNgZ7>7ox5}Y_kZ{8-ksgq_uf2aGAENma*|`e@9*>d$fN9dbeulh+~Ccqiri}Jqa+8A6OWk#43xF zW$skhzs_Y`KQysw63hK@?m0c%AJ?4RavSDffBUITpLFtYy=Bs!Kf^%3|8Hbk`^&HH zAZ)Fl(O#e{3>}SVYIC_9P2=Q9`3D)G%2bIYyj0g!dj$=H39$PVBBnueM&h&O{fhUr zwW9k5uflK*HJ850^B8|8)b{XE;T4chS|^~e4G zkx6?)f}p}7ULR1A6%M*(3jfJlfIykcHjHI>_AtZo`|lZ1yxhXCt0P8~#bm^`0bu?) zD}Gq(TskuP|6u5$Itny-Q+PJZ9vexwEDoA^vuNvXfhPO=ymZ@blk3OZogsdGGmR)F%@!f63#s zsHi2XTTZpWQFy6n>FPST3r-k+{d0p~#5+@6$Zxcm1v;6eXODJdhbWa8t_j1$w+w-c z?tuw#(ftOx5d?NI$6y=i_0m2){*Df8eR}W7%w;ls+GX6L$KVW_WE66MGuLDTV0;&U zuR9Omx_dgUCIzyEBU-p>Z}$)VPG|S04`p(8v2}cBEVR=6d53r#q*jIc+5z+WP=mYg zN`NcEttjA1Sy+(aC2W9}w|aWJk&PrI*3u?~i^o|xRE}Rbp2)F%U3Sm2NlF6AiX*{* zSa}!_D=V6b-+XBR5;S4}{P5zPzDc-F%@5m?1yiSyx5O7Fk;q3uBC%h99`hR#SbGnE zOcLn-y%}WD->5kNAs%eN*h1e5RL;WAR)0X)5wIh*5r}IHfO?Xi$GH7PJ>46FA?g6? z=?lcev|)Kj6sisHq&z~El)^a93z5adSLeDeapVGzTBC} zQ3rs3#iN-c|Kq^^@+P13=Oz}B+QjiAk%|b{ulK;_U35(7a#X$4MlpVP*o_eFqE4gR zCv&HJ54>+zx*y3rXjcbY1U3bdhrpTu+jR+lR(EN8*8w%Wk`J${k@`7nCXatC zL;aE^iayq~0rq%aAi|XjRs7@}aV&;|gXqUh=EKdEJ+iP&vh#ro={65c&{M0GH%>*m7o zaj1*qeq#x^F_4>mFBu_VQ2Dv`f&hfWF>u5G2lT7(u4CHZn!}z-EE$qBzxxV;sv_&l zpP%b_7%TSXgBkP-0{XJ_rP;>|<2^C$aRVK{TBW4{|v(V?p952z)!h;Q34Tlwb zIwXAgc|`G}wh+Q~ZGV$0++7e~r26#?3UvN08>!TQU=&GOHmRoOMjxGEXCn?K8D#It$Cd_ROu`hgrJfgqV( zeolJPEaILW#L9j9;V`uM%{i7@aDTrN4&+=w+cPkKLC4VNMY7eVi*paBt+4R!5_WAq z%XBysJzwJZyHuTpv%6{$vmuK3Yaub0+RSwo4=X1RgW_AosCscMU#mjroUxTR(*_6y z8{f9$WTIT8m$XL#JsMyl?x-CZ+~LBnS*NGnc@2x^pHCFqnR`%Cj2(;_krd?C$f%^a z48DUjJRC30h|{AR6x&eAX6G|jBCc1R+8I_IKdikGtKqXe!_^TD*5rJlmau(OXS6e9 z6YV96(f9hk%TT%%`{J{so2j;hoq-vBN%DNt6^`)Ed*W1kr(C$R5OVC@p{AA=)=&Ey z2MX1&?k+vD3YUww(Dzt?diE zPDFMGl+_tA(`qTi6lOjxhBLExqd2*Y>gvwrj7_k2yb5foHdy*u)TJ8!CHjX2bwPcPK!!*il z!ZCP(jl^}&-^WoXMDD459+>(ItBc^-DLRB&b&1@N6K9=h?=)n}<5jRIQ;tdo2)&~h zaF(LI?;6FL!TQWkjRJ%RVw-+FYWi=~V{9?#IG`3=Prdc8O1%}Dn^w~;?Sx;FvjbkH z`~VAayL-_~q+t1=g$YsvCx6nW!MlwzQPfGTl6NfVUSC(0{~Un+9kFb}^s2Roxv7+@ zew44U6j3DSkfS;H46ViWR?ad+s$KuST!qY4YXOO%GKdmzd^a2ZRMt`*we$OYY6T(i z)#Xc!9_de{H^VZKMDM=7-O-coJBENxx`$()baKeK?jx#25j}%nOkPFYnLedY>=|l} zqQjD=d5;K0J;Zw|(T+pAdkCB$s+VA?G8@yOMeXFJR!hep;W>g1!o`QQ5~QWwOTIPd zqeaT^5~^&veIFHYD1&g)NIx5wiBnc{9KJzS(&PLP~rS#gY_9O z5{;5pA#sfwNF)5D?^Zk`bDOywPOxD5wyTA?0(Jb0o&V*k4vVsj>;(^wy3tby#4AEr zF~~JM(A^+s>kez;M#aj(#LWm|dciHCn-}DWd;F}Ihi9-_nztVhb1WPKUDDH)LNI>T z?^Y;q0?mxtXGs341NmTf_=L!rtuew{#uep3_gk|nJUrn=ZuT~r`c#9vAS7o7bRK&` zEk|!_tg$g*Q!C-GFY>Lyg`*0e@!SJtLA)K-Ur-VYtE@tj;HWm*hx}M)f7SLobnRI3 zERE1;jDLYqn>UnRwG94BpwF}4I_eU-H7D10&fkZQ?rK;cYIXupN{nqsCqzsFri)wN zhf#z!FJ6Ygh}OIhMZqd2C3rev`&`7|?`Rr{hT)=}+WYc4@;ZP>QHF2HWFU0h<20lX zklQltf{Lh44QzR~o$wu5{kBxjog{?2I+o=o3dj0h%y2;h$TM-}ki?WKEZOLYQDH0{ zFnZUKC@?*doofFk&SVR8Yst2#nVxJ%>YcS@8`;5JjG%5%{{58Us<|H4st7Aeg&hJ7 z>ot?SZGDexNnTxdF)Fp6?XQhKO|b8u-rx^z;{I5&radnx7i%xL`CItxA6YvAb*Ri; zR5b{4^9G%n>8xtHD0L9$XvJT58XRR6>Di5Od)>n8OTku016{0V47sR@d!?`4;b6k| zX-+Yi>0>7{>YZW4Zy78i2`r7i3s(>SjOP75VIX5WFyadNK_b9LrFffdba}QE(r$5H=xHHQ(#6uC1ROw?%-rtrYD8x zJ@CA;NtkU=|F zXy8n!iG~zzBRm7eO0%>tab423$x2CAo;>@B4N{r#X$-Sz7u2N!ol&u^8|)GK)1SU; zVfq7FCY=TVNsY0VCt*`ukG4j)&jm$1qO>H-v=&}!6|X)J=w6O`%hJjaWlQ+NOQ_kc zD}^0?RFVsvANL?v>;Y0?2&g1fTPyPfyu4T5`Pg;ephXUpWE2$Q{&u1ob|3wula6NG zh^=HNb}FuHo{hWKufJ#@mo2I&iJO8k$xgFu3;*bCrx<%vqWaX05MT3q1ybxtmgI+W`oR8&t=ao`W%Jr8f$pF??atWI%`Ep!&1qDO~}%V z&EnV~MpjHMx)xpXm5m_lf}jDLzHXcsP5nr6Uw6?J(b7P2s=ILGe0iW-V3~Q3I`4an z_WHxw#)Ie-*)}0MpZX=gj|Tb>dJKNi#;B<>`9dwR4MvXjfrt=$tu+osMe7KdVpS=K9&KMaQhy zv2F}BQgV&-bN@dG%>HjA+J7_Crys2r?d3evFrdWPTh6xZGME zU#yBc+0_aS^J~KhO>4}v&8D41jRBU4O!88V}IeoKJqg!yx&Koa+ptD8fB z5D`?D3CS0V<#)JL3>1$@tU)wJ*(zi1g6UfqpXRqkIDZ-02@g~t5Is+NUDkuVls-*Q zOabwP8rK3n*BaMKd+JLFeWlr!>2eoYPdR`j5=)M9K zw85Jop)n4^_wT~i^fQXHK6AA85qpQxe7#w40Z(<2U?>45-M9J^b7oUyaZ{T`8n24- zVAxn6w*fLuj9CprFQz)CB7|N2h}~4o`_11ymur{}L3ngcoRg8oRxXse1v2=li(NL>c1y&?Kz5Bh1@-v;>-jLD6{+?-kpz!Pm{jy=|x$270(v0$5P{}LDUzp z&%xvt#5f-{Pj;uOZsed2E*ZLWGZMMOwn)jIyr-rVmXwP)%pt2wq(eq4FSx@WtlllM zHTZnW%0RrofHWFhO`MjEhQ4PdkmEG3S}4t^-MxsPx^Ptp-AK(cy=btkP215B(;5qp zW7DyGA-Q_%CH=l^wR~Ua>h%I(FhC4`Qw)||p>}h#|4~QKiX9ox^-+@8#yKN~ zRQS&vI&)v+Y{*#;fo55zsgWYhmy}WeM661pE z!>R3s?RCpTM^{oc;gje5MNm&;w*}E=jwu5LFWy1D*ub|M_fK`sV8T2ZGWY&y+A<9JC(Z3iENe+myfyDQ z;A8yF_xd};Xc~NWj{(M@7V z?+G5YlwTaX(!R0>b`$2O6^IkcV=#*gV7?nxsdw9K$QC#sw((Cq`!1zMp+<49&OFh8 zZs9@K03Ck3^vXNp=N(X+QGeIRGt&}CI9e7yCXRf5*Lz3Lcr89~vCs&+!J)V)d0%yD zns>tdZ85w#Zp(T)D?LEvQ*fn~Oi7JFdbJdZ#>373NK-}XfG^OaaxDm?+Ey>k=IF$O z(XmRbYC}M#?Yd#KKMf!=|Cf9>!lV*tN=K(BQ(1hW0(P+-BgwjK^4(bc71I{swM2QO zj}Z`?H8kdy=>HIpWlWUL8vujhN7PCp2Aw+79WGRZ4F=K!5(|9q%LX!ONtLxzTz^qx zt>SLpi906B)sAH_$rtGMK#tFj`vwB;(CRn4C+(g zp|7gv%ZG&CrJj9&u?n3rJUYbVP?$T&(R<-gab?dI2uvVivkiq!&k@@7UzNx4$b( zizz)=n4Rr%f+M!B>}ep%$>c%G^09aO3&}~uyRh2ggt_!$izZy%!R?G)W2vNLI1BSAZZ-mqHDmdPFib2lz{^f; z+2zf?U9NPQ5I#WxOZR@ZJopQnx_|D%`-fUB5yV_q|%jCM6I6mf2rNL;Bm{xzFHoBBceG+lY3^bDGuV0_%*Y z*Qv(lK*4pm4If}xe2GcV>!GigFxCA<50_JO z@vyn-#z}1H<Zz=(TR;Uqc&!Uztno3fd|BIemklvn$3m=Kg+KJ0`X;H!noa*!BxKQNYsL0O)dc zp--PzPmctn8{W}Or$^X%1)Z3#6-Pxj_IWt)rpL!B7gqTp?tuhCJ{vVFA8EAjauL5p z!mFJFI%8`a@IFdGVaART^YHkBBE3!z**83(Aw|1{Q>v8~R`k?v8b#N6csI9*;3s&#SbHPjxv|?>nfY(XReq1iizR# znNwQvAr56Rj0%mTc%*k5b5o0GiOWYYO3@y~I8TjJ#QTiK(yB&?r;`qdcczkVO&u?x z`;pCeF`4a{3Qi{Z5}t;-$NN-W!LU<_X zmVNL+HYqe{J>(K$e@x=K{@=l7#afRc|UG2M&St;*u|)CUEpK!ANh)eLV%2(ooN*yA3ko8K_);Fg-9k z!rz7Xsyg0{hmC*rG?$hc%Az4^J~^>p<-;gOas`OU1Xzu$L>;P6ojH$mC-_%7Pk)lm zIwneDy>d?@#^FnOiMGl@2XwwH=0C5_F^Hd{4j4OJoZD9^v5wl`!CvicyC@o@UZJa| ztIXM66havf`-f$V&lVkEr{k}2agMc7M&Fl1%&bfXYWRwmp90%2o zQ!BBQlg}&b89<*u!&#JH@kaNpO?%{MCTs8O6@S!;Kloh7)~6anAn12l6G6Zmvf?FLz!rnT35Fv%fc8uHEzVx* z%+=&jy6v9$AyL(^8` zyyYYBNdH!vBRxStv_nV;A%Y})xQ8n%foKdSmh0!`UXPEGV~$cZmyZ7yqWF}DIZ3rn zp~FVmTJbfH%;p;keIisgkZf7=2UNNKU!pBj)l!FzfoMz1bzKaBgphd(vh1Y|H(SrlttOGhwgjmiv3aI4C!96ELOL4)x zbeUY9*)-HnI8?LjO%I3#$TYkd{N@~hW`O8izOl zsdSH1E{m7woyTQSV!mRzMZ=*#21zUI*!M6*RoqV3PBEDxF!&=&Z{9@9qs4K;K94RQ z$Q2cHVJAgLz&wqpoxTgtZJ3C<%#A2xmj+c)CpO|^&%JGE9FJ3+C}y662>uMmf}V6S z(7W>dqV{l1dBbpe_8a{_aBASI-_5-r=RCu`RHv5LOn_ur1_kjbt+CvjB;BBL>can^ zzg>Y2XcH+3PTPs@vNFyav>^#_huaMYDsD*#Q3|hxtTc=L|KgYbDpvnrA%6L{gW3PW z=a~NJ#AA)Rr@wdwss|P+f^qnrHdAl9%=Ewk4N-opXC@b3bK#gosvt6?h)bf@PDQ)pn z+@AcnRacsRqs|voxM!D7qbXVmm_o&^xd)J}SF=hOz1m$2f&fYnAeS8e!cJr|{5&NT zX1L*EU{)K2f9(XF&$bKW4LQxTu>bKHwO$i$E7kcJQCt{RN6hjjYqiGweGuAD-3%PK z?RGOfL<-5XWzIf45oQyAsLwH2u;Y5Wn4YUGB4=gJB->QFa6)5?76PwEu%5%y<`);U z?;;EOv(4^_KhM^TRg2_}prrN>qMPN{x?w6#m&@fpt*9Oxn_pDo!8Q+h#hyz6B1-oFt&&cBVvZGh8US&&LPb~j^HEs5iWKPqz4X7@H2hrxoIzm99ceoZ=*5)olAyZ) zXYe0NIDj+g93Jd0n2RnwkASjiX=ROPp`0PhVJ5*t_fC;o5&qrQ)`UW|g>!{5z|bRe z#|b3D835|cc&>AqnVZrptBuPkDh(v%o8?$HTA!O| z!KFpg&#MVVTy(lm!U8>Ms!+KBVr1K7?8i)E+~Xn9y>?8;PoBEaTzvZ?Cw8`^v~0@H zMQq+s0=MTUfmhxQ)z+9gW@ne<45&mo@kU3@+pC1JB~RT^?26QSx$~yWuiQ42_`>kd zT8ivZdmE%S6s8EthtvT~N&9QIrkLX;H>nka=gBS7-6w+fb76M-L6=J%V?WW9sFnUs zC+(ts`!S3xhzcCx0I-^z4S$G;kVr{}bS4=}E4dyRAZvrFgSCplCP$3QllFmLTE*0K=&!OzER_r{D_b^S^QiSrv9zsOa zy{`rI(f(uTEvm3wbK#cB82xV&Bqz^!dg}1o(>>@V?Q< z*tR{+mPsqB!gPCw<96s{FV!ReAvdS|40lHVp4Nm-pTo1p#6KW>{dEgy!Xlp=NH0ss z^Fx3ecE3I1mB+e13T8^9S_RBOH*r z<=k2Qf;%B=tyeY-rt0R`}vHx6Y z-Lz&G#`gYNwj7W_0UcGrAB$H|>JG;#InwV=Tv#8KM5=PW9ru1wWyOf@0c${4N^wGs zIuo$rhVJ(J268{c`D8XYx*7p^%zN5)jqZW)rED*$e3vJ7^91+};=&&+?}A*=H~qM{ zA)6Mq!uDe{P;a!CyAJy^h5fKA=!9D7es)ht3kzhCzNIcvbKF1N&G7YHoHJ)e&?hQ# zM)vG`>ENgm38syPRHEH;AT*%J{eHF<+XIKj>Z?ezs!l`#v{IIY$Xoq#`Ei zayipkH_#j*cf+W!Ou=AlH%52%GKCVwd4OfHUmr7t;q z*t?QqnNmOL65gTZ1nF>PA4$h&a;*oh;Gr=)b6$SpN~+EZA}H zm11t@9PaMm66afK)%YHEp7Q?IzL!A(3S}rhG0N$)W@dGhZ)GW>`;OE#buIo3S@p!U zLm_qZBm|E_xJb826UqFt-bcdq~m!D{YERTO6S3XxdGb;_6Gf_ zj_2Qw8WiZLF;0)r<681HmfnLzn~E%EmqLm+F15q5_AZ`$(ZFx2$QQE6>!o42`pe(4 zULvc4@;4E#(i#^*=hO@c7j-yhLnzg`SfyvEf!vLiTr||!D$o5XDztb_Qj=b~eExd` z!Ba^QH*cL5b^w-RK$TQx0w{&a-DpL^@X##0|AZ)Kzn-$&E+ph?6ba}*9|Ng4TL{6_ z^YFc07K@wxMDQ@jJxXgq;Qc@*@If`ED!xWR5BMPxrjyB#mbo zqN&4_>j2u;h|5ANjuc?Eg2R>%>-&Vdxb=1rzoj<>zz`spK1Q4nHbegD4`}q9bn+i~ zyZ_?O@~P|=%{a53jEUgK7RityQXv0}?T_J33cCX!(A z{W$uDj&TK!ZRSZ8C3lK8nK)&T9%hkyz24%=CQVY6M}UozN5pI zF5y@bH4f}3{JgvzM#vC!^}e2v^hSIP{MOz|ZF8(zka4JaeCl~DQqqe|Z|gT2!&;WT zt~~-56YxhO_*Am+L3BONG8HAx#R&K#5oZGx@8e^+(`&Aq77cxwNEJP?bkua`xvkOr z3BF|Yd$I;Khm}$M^iFoqdMmhTWi?wFy@$w?n#bG~42wH5hmrLMr5pZ$?`Zb{j=$_G zcL3{H6SOVi)!S!D(IMUmmxy`-kwi4t*7S||vU{>6eCc9njdSxygTL>-&*m#68!ai;_?`p z7Mha)&E%-yyPt>|?PVfR(|cm&AgWNEx5+9EYQ@u2+#RfZ-@W7{2wwN3S#TN(XlGn1w2aU zIvnp9r}&aN4ITym(om}BE;Dr0ur*;V@l=6R&H;T^%vqMwR8J;!#ldd(RlxUUs~?29 zrc*WT8mO4MsP&CV`3*=otHAeGgC_aSY*PSYq7!LBJFRN+EwFVOsgK7Hgxi&B;<_dN zSn#ySyU!H)sO8lwJ35yaUax-#p$q~?*WuRjjKD4I45Sokx z*KBnd5rMWO3R`ed=glkUNA_`x0!Q~lv*Cer0et*?UF!* z3P*=Qd+v{sqK8B~<+_8$ChM1h76oIp$EKceH^=;(iDK$&qycaQ{F%c>@ zpg;$#NH-!qKFKzszapSH>6Yiwi=5^6S0zY-)aE6c^)gt%GJ4zTxE{dB;~3Y&kd!Pd&buagSU zY%4eYUyN7NS^{nadgc$(PQ=Gw)bh3`X4FW6+B3vs0~l>6hiufqlq(8u@<)#0WQn;q z8ZG7UW}+Ro6{rv&H{;Pp_wIn34utVwe_{R+=!!MS|H`DcqArEn#WE{Qz`^HP`Z~i` z)&qvGr<4qKeWV+%p74Bhzlw;%Vk4p7bA-I<(F=Z_^xpZeKS3nkQ+$Te$Gy&c7(1#O zap=Xa8~!X)SI6L^7t?LZGMiC|f)1DG+w4V(*8%u3?6Ym+?)D&coPg3Znd#H6xYfge zGfl(}1HxUM?9s(r=YjX?lC8B1Sa>I0AU6+}Wpq7qwfHuCuVJR_T4v@Cs0LQKc8!y| z;o@nKybBLmwQ6>94P9?Z&IN#g-(`rocjNMmG+0tt<;)`>^G%1*>NvC>3GB;wCi=a` zwcbAE%udGmz_t1!PE4)PrLE$S;5V<=0iEJRe8o5hK(=4M+h+av=}UJBws${NidSv3 zRbz1mdWEns4t4J6CO=PC;qz)#%6*(ut`0hQ{u8tl#Q+#RNn1->E7-t^IqVl?kV`@C z@?ZOT=h6B*EGCkVn(%RDv*_J@r<&T&Y2DhEu-rvg=afjz4sCL`8_e-pcsPPvH%N{L z-0T|7ZP%icR!K1SQUs5Hx=1wGT$tU#Lr1kfG$&YT3`(_OM-@T&I|P(gwidac&Ct&XEw$;&BO1Yo9K(3B~M{XTg zC56VO7S;W@P|0@ziW63+7d~T`GsfyK2|wC&zZtfe&Y1q?Ir>7L-uEh|JDF^^ku8`K zs-jxMq?0G8k%l7Kjl5-bM86-qkuK1!qKV+YZaCEb z8-)Yyi_I)FW$APHbBB1HoFf70Whj*V^2 z(zZCs8NK+%-S8;1WpJ+l`rXOpg2=%&JFQ)4k`YG)V8-${z9Ylj@w=uK%?52s#|({xBa>gNRuu&|cIsi{PEp-DB?e7>(HaO&MS$bI*M^&I)MFHZmW2R zyUWG^H3GvE-}(kHSa3`41mBQVE3mbtV51dN2#>G}A?DfqAtPLf9NG1{yO)&Y43(B1 zGrG%V1@yR0FY|U_I1WNh3QUpao@_oYR>sDctHeF)GHqMxuaX>vhh0yFzKnuZa<@g` zvrv9qr%=m1lazUGDF-Z(LrO{2@VC3#rx@!~^n}&TZ;@)HeogZEnmRk$Ivuw$upH^0 zJ~AXYkoN2KaEz!|E5ou;v8gG7KJ3t8mo1#!9PtIx;O;M*HVT-%HA5RE>ezeMDVe{Z z6bUUf(k2-TX7Im4=q-G&mFE)S6^C>tQ50OiYD*S+D9^5x?;{4Mt2o#?$qc?u?QxVs zPwLovsUdgADFq(g_z=L)cMMJ45d|R=am>x0+a=PxOf`Y(-QSB0fOg`<*%_tnj;cD6 zXglMyO*ttqXrYxlP`a(VOdq>)+DobPdySvkK42h{vaeFU4^`}5`ZR92$7Mu}Sa^q0 zpxo+hEyO&-nvO}uMDJ}Gp!{i_BmmG;a1S;M*wJ0H!x7>pbCvzwhg_mUOS?meGsGZ# z+P)v(=)+jaA!E481VXB+R+xjclXDGYbi0T?bl-6BmInZzU~~4?IN@Q<7OE?IB$hs|o7VjHS#`J3A_$wDur zo!B_HaVW6_U*8vhi=q@GJHihLvK&{L0KYqy%h#2l{|V=LFlj`|#QH3cax)~QdIVkB zMaBHxJ~Q7rTE$nX62A;%qS zfH|@ES27Qf!J=}Jhu8OZU{_-8{}WLk|2=x(|Aust|1d?QpeXp^B*$#BU#q`z@Jz|p z1%0dE%`npJubb*Wj#i|And(0{LH|t|{lB^M|DRY_uO|o?{QZFK;pV`$uCD-0VH^hd z`vn00ekOO}@c+&?{vR5vN?#mV7O(6ch72OP@OLA&)osxh2EV>mhOPF+?MvYW<=zh) zA-`X9toV?0m%kOrNiKl3-vZZv^d9`^Ij}Uj7T>4)@&{CUtpOw@0}Xe(5Vs94Ay|+B ziGTP?Aeaq6psGv#*2}B?7=)Rz0q{K{wRdv*s#hQ)OMS zoPLLMEp1I(#z-cH{7X$AYFZqzL=h3^^(Nt>MT7qAuG0B(z%F&3lB1|qnM^S9UgMgN zRwLQ+=g(fY288^BZt7DU>t*OjWGR`J9Orf8O|iN3s5yIry%Ucdo+YUv1L-4QJVBcJVHK|rM9%X1M}lE|dO9Cb ziqS~Qs^&L(!v)&ZL-AdP+uBHGZ%e6j% zmR*1bmH?m~HM#?l7j=KN9FF>!qnuTG&m1SUC#yXqH{^FWsy~*ylzLa&^*t&Gj_#XF z!do^(^L8L|l8=m5I4j-E*S8yL&z)v+PDn0WRPmzR)7Gs!3FGn32SI{8RCkTyAyvaT z&XOD5{VH{p(JGBC4L=5;#gpI7E7-jThGzPNFqHHs!LN&eWNA;4aWLEJYxN%OVD;(* zsJKedjP~!b4qqlKiswqd+q`w{!LB+-!vSYAVB>6bPdnL^U_)qiQi8*J=tK^E)m$Zx za@4Y)vBNMUj?MOBZa|gQ6LfTst4UCkarVqs*|tFC+uZGP-Qaw6tum&_H4w|CDhqB( zZmKfgFebW3ZvsF>gHuVD3|tznAPJ%E3ohIxOo2I%lf5O6%ALCjga>W(3Bx-9yuODX zcc*v-_G0C*EtV=~_(p!kiU*U))1mgWU|;i1D^FvMw-=j{5~?)(l*_+ix}#Z8a{PdA zvZ^^~TmvfMq(qaWu6YxcNlbg~L`-5LEL6-z;H=aI#Dv4V`Q!PVt)7LqpQq4HtOy1^ z(Ts6$imQvjdtB`rIZXphs10*o3ou`lE%x$ zmLzyU^Ee1}T7XK{X+LsyTZOmp29_Q=D5zgtYwT-WgyBW`Ra29&dgTSzmlc54&X^J| z%$C6>$o)?$fMj`BtD^dZZ-Mz*X1~%U2wW;~U-s^!?d)fn-vpv7UeD0w$T=mn%#HDi zF4r6!N!B!8S)0mb)Hl70sDl&suMUzUIfGXcUt7uF{T}C|;1n-g?0II&=&c!T(`X%0 z5cR52!s7EP|1LdI)pfB!tJw2#dvw$8+#t`!;)9F(ON^brGXV*f>{^mWycR^9m>$^` z?zK5mmA9NKt03lKc-YO6Y3jp60eYUL_FO`pwaYFtNf~=UWUw~SQyA^Y|1P1O@KH5u^%@m;y?;Ro{!pzie4*}WJLxi}-`2OcGzDY1YcDi*hcZ+6;K7&#|0zF)o5Aa`c zcNS@2_+~BG<-Q;5M)}Ui43z5XkMRfdRa>n_h~Mm+{x@xW{~c%JKc?O0=e#5S(VrLL z(`MI)Nhx%?=4ro!DptB9E=8N2-u2&g0zPVtsDo>n#73Zwk>6OgqJ#Z{TcMzHDRyt@ zrgL}HMZyuV%0p<$-5{@y(%Sn9myaca(lg?0cp>>~--#;QWSPcJ zHwXsiR)jvQ`5Lx)F_Iqpxd`|7y8w>JC>$E~gT~ci3bc{>*nzu5+O|U4E_rb7tb~c} zz8lR@$rmjr4Eh08%qpa<3m?WVX-t~4n>-MIbRKsuRSL|ss;s_FaKfF3HM*qGmYCvfqApr7PCiBJ5d~oC8Rr_3oR!>xS;Lk%A;z^M z=$hPlg`K|427NZ=^4T0}qIJw=>0ZOCrRrYp^V{)YNSY8ZX|yT|hCMMA6sg>HyjqOo z%t>_}6RkrSZ%<;(i-aw7xs(Qi^~_fn#F2L~*?PL#GtTXDDQlhA#@FD-yn_Ts7jmD8 zR#TgUc1k=+oQa`Av!#Aji8i&kubV5nMCb-8@)ju^hD9kxxlpV*5dLV%-Tyzo}HUgYz5aq$`z_jg$n;@1P^67*K@yOV8G`d@U z$rp|bR>((tI$Q1+mpqG8EN=`=5EWXBWis_9pp0YRYYMH$j9}FnrgnzEIePKkT_baA zYLRZ5D{~y$(r?2Q=Qu7)(f$1QcVPs^T*rdEX37IZKM|V;g_*b1ZPaQH= zd#^o~sUw4YWr+BZM&=|Wq=uCQL@;~|TH$mMr!a3@Mcu^__?ky$`~hjveJ$d>h?=EN z-=tnpDwu?kpmTd%9UC3Y({4oWX2*>HGzn%YVYRU?VYN{98yTqYy83zx6P{65dfz#? zTco9sosJpBb;CF%|IohSZrcCQJ@F^jjMw7`&CT5Yu(L71=v;ACrY=~rv8Hmuz%*!O z34Ui9BeSK=5O2uQ8#1HDn%HA=*@LHUd8BljYHiMwl~omIpnZ!c(~#4GhMM}U ztwGS_nLIV7=no{h#zXS>o{W+e9uGekrM6^_Dyv{Psm0fp#);=|v-#pQK2XW&rA5Xk zfk6Et6DqjSHTD*;kI;^Ph7%cqyn?fUf?1sy5 za)&)~Ag{EtyC!3P3i5I^m${Jf$7&4Cj0^SCS>wFO8V9V9Wq?SAi<)WJw_nA%$IrFE z`5M%j7W6Ux(yGV2`uwQFH@=;V6v0v)47DhYkPRIp_7KH>2xX?S3)B6dEW;Nbe&5^$ znvRk_xxWo=bNv=B6y8l0QmK$X{0wJZ+<_`hTmWbB3#fN@2=w~SC8O4FBjsHX6ZNkJ zpMvm@O7HVCcd5SBLe7h+Re&^*%5ae}hZx@NdO6b7hJauTu@@4klJ1RBc*Tu^jh&N= zX^*Yo%*r=z?&XThHl+`*6rGoM=C@tNeLMxxl3aw4nkx(aXXPzbK+q&N3FipwhcFfE zMz2LdjMPLDjBUY&^GL(Ixfuhq8nWqW`Wxx zTJ*tiLD)_8ZlhKII0;6~|3^p-T4YZObSg!0z46k?%k@SN#JzDZQ`;vs9J|vWT~b$) z1Pt}ew<*O59VVUK(f{uH&$ui8N0PSx6R**!T`NNXOcJX}qN0D_iEL3}Kr?v2v}Pc2 zO`oSOq%W41q{eyBy&or(-yqOYMBHmX^i)G+s@UQxW_Z~8m`Oc$YDM~~w@tip@?-$D z^1-ECX|=mmwo<7xm%$kOB2)bY-*vET!beHAK57>BH_VibLf9>DZo|MlSEZfxXSG^V zHGyVBw6t$dva$KpaiShkhSNR@N$JGgmzOtX-#4tT^k?BnlL}+`zu0^0sJOCrPq+vq zK(K`19z3{1aFXBw0tr;`BtWo0;qC+oZUKS^cXzkou7ykCUWFE#Ik_|Uu66tE_jdPu zZ?C()nfZ$ab*O#LuCsSN=lNN>WF8rFt2(L|!0Bbt`Y#$!zthGUbbDUe)CiyDh&N_G z9U$}bTbhB{fg>L``h)N5#@JSolfIXvWOvqRxtEo3JEEoBTrZH7YYM)k8GU)Cig~vZ zfLNCV0Qv%3UnF1vaZvpq(-Zv;iJ9@a2aqS+2jbm(f+JH@g z$8$%@(64&Z(Un2{qFB&(@Ai*9U8ChZ7|i2%dUF7t53cB&`W`*;83JofRto#tMJd(D zb15+=SiJ;Nf#37;;jgR#&y&Mjg^b_0j^&~byQu(m9(e4Q3hBPLD%@O?g$$#{U+T0> z(>hS$o>dR0w_ECRek`U$EO-eGZsv63n~G}(0&z0|6v`Am>UPQSi{3PLPySn(%w-N3 z7UjJ4aGK|z_(W~tsU3m|0d2Y}E5lz-k`)!}E5cmmIdVQ@Fq#_0N;{ED2t|%tTPrEf6$2XEp)(Tm1N2mDL5C7rC4tcO_-*Q85 z?;=Zg&hX{)&G6@%QbG-glg>(ue#pLO#&m4`_<;xA@~+(yx!v5p?Ck^Uy(`wlmI|lp zb|CJBF}g3%ZE#Egy)T1~&55+w_qVeNbR;vtfVWpyrE*wwfU{Nf(<)`0ULw{z85EQ$S=Wa`_!)2PRbMO`20XBsFdOZEf{lRALt%vR52SD-kE2!kNuWmii zpIYHZG{yguZ#-E^9NC*rF(82qBT4byMpR7um_Y9>k0)tF)D*VVdQRd4jhfUsz;v6q%!b%M<{Z&DR{kPO*N zrc3ZtPZLd@S@)dInsY8^1fRzzrS<(t8*w8cq4#Z_e`+_0x)y^^)nvNSA?*u`eH}R( zRvH@W49AUvd+VH-qDW zyL|%rcDAo7c@sTBPNCRG@tG1Pf)Og|=H%zgZu_bH0E~&L~MrG4raw+^zYeCeq)~Aj0_FMo@lFX|mcv{Im{+ z5k^zcLAdobhW@}U(chVH|DPegKSJaF-0OL}!dbDw*($kawna^uAYvQL9Z4YK>La}u z!5w)Tko+D0J)AiWox&T4_E;tPn|dg}2&KTxyiEC`4n6ab)MKd+_pC6x%Su=fct6A- z;3&C9aj@*T?UA17GsU{N5Uw!k89-IOOGjw=;uDupgA!x=*p6a@tDMHsE4R6*hU_>d z`o@3_8@XOKd8X)SeTh&Qn>dxu*PHY8nx$LW{*M&k&+Up)QD@=((!gFHGpt#QtXj$P z3k`7@Jne?hb!QD@NriAWxU7|(! zH}>v+Y(T$bLf-T0EgWP@figcR?Nk9vQuNrPZ9c!u*v#qrR(;cFtvGaeDw{udtqyk^ zXR|T0oqe*)f9f}w$=Z!jWHSp+@ufG6K&QXISH$tIvC4mE$RwvanMf`xu!H_f$K$VI z7&JZ@B`GXfF(ED=zhB1s%S7kbSwO3;Wy-}58>ww#tXv{i{3RicD3sqko&-A-DKDDd z2Bi6|zODHrEyA&Vn+jLS+A3j(Fa0?4`$5GQG&`w$$4n!TDMH!#*gzx%bVuA~@0$i& z?@`_mSYlm&Ywy(7C)%2kY#{kWJlY-+p8fjHJ4 zO?VNYL=DJ;n9-snK~Z;|AYV@Vmk7*&Cv!UO*;e`TGB&)(2-$CI_+%e2(Lz3>B}I$!%A|mCDT7MQXj>T!YY6tMNff%+V>AQ;@1{ zp0Yeg5uclpP79$7hy4?UC-&B+9x{Uj>PjkV2A=FydlLbAD zNXS@CuIKbB=ctI`St0!jb0t)fY#2ui-ztgBTw8Oo^K#n_eHEz98k+<)qHmupHDK>~ zu2F6kdTuU_`~>xLUT)qN#5C0TkIgCu=czH%=?)!O5x7KaIwl+hEt18l*VP8;l6uQV zbQ#LrZ7jdJlC|`W5*A)JoxeI2+AESj?Ijf{CyS_V@cgjw< zl6v1m2&wGOdc~@T;4^o%5b1>2y3p%aN_EijmV4blIO_e#wy1aHVRp{^pDD%q+3h+A zwW^XO+cPrTvxwL71qHhq7saqqX+mi~!eE%Yn+avd4=BrQ}ke|MPz!cfw$ei1493@*zbQHAO3%E^!#6=7{9Gw3aV5h+GaaE#qdwx zq7Q3-Fuu?iPiG@VVoG5(!;*vYHU)(S)eKm=HI34q$6G1HGnP;BcfslXL$A-Z_(Fjs z!Cp-bMjdY-$GhSkt0JkVmm7fw1T7vSx*YN!y;aoZQ!EPmM?{|cNHU7LRsPSkL0WLM z$Dta+Gmz5)Z^?I{rfO;Xs^7^V#>&bAOxlGjMUx6Ixqiw?=9e{8M67zR%+orzz366z zvZLOAM-U|fleFzAV4{;c3BW+Qw71%n;2-r2p?hzS*$*JFpcg%8Mw;HFXw+$h;9J6O zZ(zSs0!-xZF9UG`=HJJFoMhT|R!Ww>*{(je3x~5&Hq~r-CO{$+C4U($31FMoY@Vn< z|7(4v<(drOHg*Cnq2C&_PXZLnlHbWp#N3Gd2@?M$acs8*{%w`;Z#j@k5mqZo4kORA zN2@}_h8FduXq(Ln1mWMIlYJJEanFiE2okV@Zr|OfE4PZMLb+>y?Klm zoE+&&(D-o<)!qG>d)1p<)sxSQ7&L`9Ia~lJR@o|olqFGeo>6w?dIWkb! zjbm5JyrEuPckRzJ%>WffEtnlQ)s$h?Ao~LM$F&tz&WIfywRid$|J@(sbHejJGvbEv zoIOBKE}RYyd>ICi>6MsL?r(ni&b5R8#bSb#@R&l?3-?WAFPln}hpYZ0vgWaZn0d>% z1P3j*;#=c&rz9QoE3L68o6s?+MwZF;k~HJ>(zh1;mJM})t9e>-I|#IP^#sQ``6c%7 zN;@`g;=ncai5pne{0nt;bV5DLwz}-jlbOl)O~rtJ;O#9DYUF3m$=|qcufvjCoouGv z$v%5XGe+ah{vtRc`_;ff^EFDU+3s$_)X9R{lD3kuB+=0T0)wN-_(L#{k3xAVIyNR< zqz_&di6;Fz`An7Jt@$xnpQ}xtNoa9MwcJ&6!G7B=;bJ$fpkSM5Hn)cNP`+qgGd+P8 zHRH)JI=XKUT`glRHJP@e#1Ha7_BU0N*`I5yKvs&~HY9l6Xde6{&lZ`W4E`cl1S6lK zB*&c{KbU5tqMNXA<-4X-z1wy)hDl9g(`;|bT|J2?2Q=r$H5PM8C!0c@_AA-&a^Lp+8Omw-Mv7F?aWjs-?cxMolfxMv>IZtAh21Mx6&u+NNvqk>DMcI+SR$4#0hhTJm^}a zMAG4g7JdbU(;v#_;;;v#yT4giMtU_yj~L=+-aqVc6&fOVA~KsvmF&T|)T=4po#lJ> zDo+LHna)(6(l&I};yRASJweRBZNI2dHGS9}&5=m(k*AT~Gen_}3CEWZ#;H{*$C>FA z+=)-d4B8_eC<6$jvCgPZA3GnV%auZ45kV3P-n}uJpu`z(&MS!Q*w`k7bsSbU885YN1b22(CfZQV>pjBxOx+v%AYlB z1!g!xK}EgO988<`rQ?;I^~0)^gq)0%=|P5J>H)3o~5M$p?Aqdnmd$$bm%WV&oL+QRUB zPQN0$vS6+%_qX%}s~W3)2**!lq+`eX=P|r`vIMGO-J+X9C&dOo>N^XU?(Of1;$<*~ zMI)2>k`;gQT>M&Vh-qD*Tb4JbrTVcjPcCTZJN(1OuOx-$H5;aaW^dg98i06{9+liLfv zDg-=`@p>bVqO_y9oTz-f#JaS6_?;@XR4 ztNzvt6@AXM;@uy5RPd#MW`S#-@aJPimAeH26S^4{p&x?ZRlbv#ZpD_@lkCOnU4C`% zEDd%@i1byR*lVWP)_UERGh~r9X&;|#u@-OLL@U>3Jl7Z7Ltg&0(*&u~Nw#!$Y#J^n>_1BL$N!U5Oyo9B=^y%d&)e@cR}EQPCcpwR?^4N z%6PhF1`AS7I@)C)sfS6|(==hcJ^W_6#xDcjgujeaD_2sGUW^SD4%BpiW=!uvcpLz% zUv#9$`Pr++$-~NE)}mLYT3^c+hVS>XF$yN-#C9`)Sd%#J$%_r@AickDJG4|t=LDyh zR*63maIs9ccMR0p8ak5HY#bA$Zj|Bm;^OWEx#Q&T)H_7p87|PDecL|pK;D+;M^<1f z>4$srCBpT{fV}K1gdWEGQYW`3Ia1E=uHIf0F=Pz5bu?f%d^NCK6gkg#NDxR|r4FOw z4(}9xL-v`BGUhXxn_$^R>3+PPJpJ2gi{5v{4wx6*DU<#D{?S6y$AAU}>wsJz1JCVKRia7%$8+5FXHRc^1AJ ze^A*|xhuc)vSzgC^N1G7L}}=pxB;!*5SBr)c|O8%MwH=7(A=>ZtFEq@*r984|Ef2^ zp*HeGbOlNWXm8$t-1UQ(FeI4zK{~wKOZ0gG((+iLWwJ5@!`1k!0v#ux$%~*65~~Y} zu*rq#rCI&LA_IGfO=;hV-1`V2d4iRQWq2!GY7OjvZ3n0JY2=10f@3?LS5(E$V!Zc( z&D>F6 zqroKP?THb~eQ~<0aqamY7F@S%LAIVM`*vFQt>yqbgi#1`>WCA8&UzHiwq`s)$&8v_ z2em8EH5-9nfwj0-;WQ7vE@qv3~uh`9u zVfpxeoO|b7h>?LZvZq%F^(p=RR^$`oG)*Us9SLkFZEbjGWRrud*oP-{@oO_whA0a| zV|PL}Nndw577r;@QM1^mf8074sp-c1c*O2bEM)QvkP@$7w(#ZV04t|_B?;=zn=BRett+Y#1L*{ zd##SQ*Bs2$3c<+?Dh}a@iSd`Zw_%AmY|ML&OSeRw)fouZ_FGE$8kTF8 z8N{x_EK4P7gG94Wa;764745>9X-l0!vZ-Lrz>82qpw&;zU#V62TPI92J zvWiA?@uvLYIO)t{;_6mLz-o9q#)@)P_-26&}JaNth0uj7P zSd>>cOnukCr)D!J%S4H;wRy|t*~^BAyYYs2o;-(2S!YkrFU>6J%xEjQ8n^rc!~WfK zd|pUsM;I!BFu0XB4r$rLa{UQPrvfrWnEzLJ^56LLsXnP^PxrFQ6%GI=XYcj_f)GU9 zb%+NVP#{jEURpL5=l`2G-hU|h|HQdGw*R*HqHl-&hb5(JY~Q|w7tTd^yIBEkyS<`= z@q4SsUun-+>&3d^k3D&jTHo)~>HDW1ap?WG8PEY}gSxL=mHbEfj|t!hb92v7Vqt2S z55Lo6FXPKUR#%S?%CEqzQhEkeD2+Y3)n{20z4I*godkHGMv3?hM9e{Xi6QM-%I13-|0OpAxH7m8&k^%d&BceNr#q27@-Y`c7H&p_bfn<9D%M^nm#!e*o$h}Y9aDqe-@NC zu(p?8a>?0Q5;;K%BgR6BLEFpv;pz|j?xifejQ{kUFcP+lgQq%<&-sYtZj>DTYrYLa zJRkG{jJ@uV^I~J^vXpLEM{vUo#L{RKyS#7JWZoF{eoOmz6z}U^eispQ>IY8eS^=*s zgAT-4u$~Ouau=iT2cqFA#&Gz2f%a~awmIuX5g@Y}`Ej+a-5$%Oy(rUa8A#W1H z!Zl(O?alR*>oKW2An1x@japjuTs=nhUwy|LX*siXU5(gl6iY! z^opUo9PbWFyYXf@opmdN{aJ|v_b2fll7Ck98V+N4%BE?kSa;0^AI*u1_ za+$K?;Jnmj4b=`LX+%-_A0eW_dM8y z8k%CyHgq5KvlR=3c3E*1T(f{ks0X68Quu4awI(Vdcmst~P#&?#F<-Ay8S!np6y4~Y zEXQ~Br6je=obfgbmzp(@2F1fQ7fr({&9EFb&IArYk64SjgR!d%YTh=2=s^lDnIlCy zv=@?!ypOyGeX-=+RUIyc9{^>n6%E(V}w#Ayeg+ajs8qtq{S_bm(ch~7_Rh_!R;KkLa1 zF?s{XLn`g9+$?5gAfx*B+>sJx#T?Zx9!CrGf#qBtV4)#g3>y14;B=RqWV2i5G5%B{ zB-Pju?CoKkM(k^2X9#;%cP!sk+XjY_x-FF)dFxzK$l61_U`@TpBLFAl!ETU z3iotO_Tu&GsHXxvhN3SE#lhAg%l`bp>0wX-jV6g-pFloxjvH zrs)rQ_yE$-P5M-b{$sW1;}u0}Kuur)Fu(e3na-+n#0w-+`W*T>7W!&Yv5rDeqYunV zu4!CkuWy|IXQumNvH;a`^moQbNi=|$bW}Ir85d>jx-_R0&`25E&Y7{r4mr4*l51b~ z*%P7^-bfp5eza9@9LOi;UPWp16ZCehak<9vJk=y>S)MwsTEnPU{cceHKB$6%A_4H{ z_>3n91hx+2d#=dl0-k7>zX`weVsZIq>%6Jz9wodvs1mG=>6!+8p= zyX2TCjJ-oS?;`2s;a_TFe=O?m5I>e4O3T&Z?;N<2B~NZ}Y;En`e)-2k(T?0wZ)*v5 z$cy5cTf1GpjIAN^*QCV0V&u?SW7Y}hT3tWcm8k=0VG2_oWU|Yy1;J}$230kc_+48} zGnmf;7!=kn3?w*96yk0l09Iz6zvyLtR2hJrZR;Ng2L*Id<5iYCGE+6Pa4ay@sjQUpNeagCB&9b|(xCmxD60+@8R1V<50CHp7-M&PTIZSzElUgjkQdbV>3=<_11~ zw3#tT0EkO}G>rm{5}9*b9@OJ@t{PE_+E{Ok=uE$`^?Z3i)Cx+%wYqEIs!VB?ry31EmI+=oDP@vOm5qVQodHBKG&yHIfnS!DP%^`S(EA`C0(L zg$l%h9s_ZpsyhW5rcji zmrGX6R{f7=4~y(9+T9t}f^8Su=n$-S}q$@TmQ|{hPn)pMs)0NA{U@&2m7ET7=s6 zuDH%>vn>?q39Q`0t|LaUswJJ(?tC@a^cn;i@Obp!ZR28$3{dx#8sQhyLom2nh)-$P zY0zMm%lLhHB}dvS)JuQ@e3FP)$Ubw&i<3|+qc|0_pVsmeDbF9gHmFn|IFLBVm zzs4+K)x?84^1cIoiYZ<%^wbvabvrPfo8|bG4B3XTwy7rzl{i@LBF5L2);yF_m$Tx) z$;>4-ka!7py9>b!ikulsi4}AAlAbug?!FnET)MZkxoLS2-z}7F7H++=guiGuvJFn~ z%T7Zx@V8 z#fdjuY|_jadpn`IVE$4-ni+ev(1eJ6`Y>i8Y$mq~YeBYCBVsF#ih6>rzaL9q$);e9 zg%$l>XzHS2u@L1&@mWw+nB#`EmRZgCi)I&w76a*cdBylnf#lVe`Z0NJT{}gO{-Nuz z+;b{Q1p7u2Zj5uTme~WDXe^SBz9<(dW9Y5f*j^3B^?{Wa3(bfl{kc{VmXV@kXE8s+<=?!X}be3}Z# z#44dw98*;y-wZ=(-|kt2e34m0buHh_Woxy*>D$`D+Kn_drSE$B(TGGYU3W}jZABFw zA%ahUyXL)^S`(vU!>aMslN$P=xk|V?hhztq-ux2;HLz_>(1eP*zVlNr;`8Ds|Gvyq zQEFH7Ea#^5$e(m}YPXdw)3wQ#8uyy#mSltsDF^blKl;rOHx~^w3WnH+$ z4ue1Lbk)1ukh8VjsNj(4I(n2`mR)wKc-;=SKl5F3+&RChz5woJ-Ob#;hWbkXWq+vu zukZi=OsfEsu9B1OMv3(9+v@YzKI=0cAN^Uu#O#mtcJ!Fj*;`)=Qz;VyHd;Wr4113d zID#}0g=aHVLa13c=6jn>=6`~m)fOjx;F5_7Q>OU*971W9EA8{oNo>PIY7KAy3YYUj3s zRyK@nD@7|$5JX9d!O|xqg=SB1d9p;{##=sNnbR_IXf%3CI^WAYvpGAk7EgYc= zPZ8I?hF<7g&vC7|eZ&W6Hf6dN-I`w)KbQVEvwO+E-={re287ggY<^PJ$*6c|xQrUz z%2-*@nZ$r@%1SLJY@5B$H-3Ddm1F6Lu+n`0y;RS8X?8zBHnW}0+}#>dkYstFBSm=3byEiYk$~VwYPQY~lxgU@ z9k?GLx6xXr4^cYEecoMK9Vgm zN0gjZd2%T_aWzrs5!tp? z&%7eTh#wO=eo~vE;~$648fj8+smxBejb9VzT%>+qOA=J!@R%*w$ou8x3H0}xR=3;# z@8od)|A=!9g0vF*UY`fdtOgC9<0kc*KPF*XXHmwa`Q;$TeR2Jm za?BRu>FS!REqK0M+mK+QPbsstCezkN+6MArM$JzTV*aOE_Qcu4K#`ecoX-JOE8h>ZR5L(d|{rLNDsOFQt1rh(Hfvnn6`YE`6-`by70BN$ z15t?JeGo=H)Kbe3E}obi%pJ+oJsJz0nHbe1Tl(^;^4ojUyPXr`c_tS7v^@R5mF4dD ztS#F-&EBG-t(XCQ|5Q&%U^T?N_Rg;azmY1PJC7zkvys0N5uJ<1HjQaivTYD6{TWNJq zOvwap<6lM1GIoC46ju~8NOkAcRKdCixao4SZ(*-w%h3)jwsK`*pfpj!&UuhE!BU4I8p5#K_2lO{b&1$0QTPl7O=v(t5=pB!8 zHB>Kh>?G2XUUT?}H8(f)YGbi$eqbxUYXkK=pkib3DA3xrX_CK8cFjE!sc;BdJ~_nC zI;ewLs9_%9#$~^K@m*krIzAwBX!tOU01+9Y4#9Kcfn7??56do-TD3tM203o&MVFT2qU%Z-S0CdRKj9EWNn5qq}J|Y}+Q) ztb>}0Y7$zO){I5(n)G(DCQMB_XWm|k)d(lBqU$51Q$mI3NH`&f@ovNA)2$!Ba#+`T zw^FMKlSRuS*u%;^&Z=pR4ZV#W4QC&5^p<&x`1*uK$dVM^mVl#YZMNt8cdD9FtJd9` z2J=~of22Gaz2-mj+%FieaL;gzxyo^$vretY6^HNczNv&zAlT5xOG= zofSnY1@93u>-MRyVwvyeU1#PFDXg3V$Ir@gn5fPi#@!u8wzrC9u3!>=Y!FL!jw*c) z7$(`1M|(%fg*j-39C&n97D!jUaW`qha~HAUS&Omfk0fGy{XzI#tyCe*DMVF5$3r+A z`yzK=Qqg`D%TlmWJs4L!`}B5nlF;dDs5||J$Gre=W#OzK zZ_W&=HOG{Ac0m(L`!rOIi+JrYw5?7wf$o`dbb-Cm`AvHmnOX)5R720@rdwOud8)zI zHN)v$sKVtAR_Jq`X?k9PEf{aqouuo6^&Ba0-$Pw-z*UDGtQys6ak$SBqnNIz&Btg+ z($DA;<{n;UDoVeK>$FpK&7N2E5=X9MR(28WQo?F};~DKBm6p{_ z7*v_AM_^{XQskCKU)RldW?~Y%>o}RLg`Y*zr(|ZNjl;qnk_l~^nFn+%t($)Z?D|(7 z`*#3wtPKXQ{M8$#suM zfKZkV4!QCE)N=Cd*U;^r7Yr#l01(L@cl$*Fw3GW6!h`=^j|6;QLI5~Zq3n8$fgRw;_ujfWYh{5<0alwdCv z_nUw!d0QacH|F_W9erpJ1hy9FvWaj=2! zuvO-XBz-~t+1UEp=B0(JMAFQBWWsqD)Wx;Z-1h!}xYGZ1kV?K*uc9tKxa*hi4UX~ zZFPuY?3umGxL3rSndPcqy;QQuV#Z~?{#8v)twzvXJE!PIQJk+@y#_uN{4uf{ZT2%} z1qDX>y=S1GATN|RZu|w?vlrNhRYDy)0%7Llo`%xR*pJOtT>hTOFUi*YsoY_WIXzdmq zdMwI4CUNC7c-l26GOM+CzF!@D%&qsa2~Pc`gdP3s1dDs_1$!;_ zJBlb&9Dyr0${SFn;4UhSEwy|8+OCRm^ zls;uLS#CV}smsqjco0P|_*zlpro z^E?ZP->4t{Qm)JY3x(G0y7TlZh4msR>y09Mt7W#&Km{&3*Hyo$KA1T zy+l6+9r)TtcH{WOo^i~3A9?+Q^jHZ=UY(^5A;a)C;ZM<}rp4;T$zEMA!+!Y?7G4-2 zf%1qVZv{QHO+$kijY~|5>TASv#GOTqm74H2#B;LGFQjYL)hR%rEf#ewHM@(|0u>MF zPY{oRzd~@k3D7p1mj+z%WKa7Em>6tt z=8wa^UMcER%}ADNwk^*&fe*Uzb!fxCQ-Bph=#&^32BP(e5*80Fhq~25g~ozHRtM5o z5vGTF2UCkfOYqJcCw^$S8}BC)jQ!QRCi^a%XJ4S({Rum}ege&6f*-cpmY1r~j(*H> z2!0*{lIKH?gLds8`_y~Wp4H90H)+F(XG)9UMWMNdly5_>8?|Lzm5)uCj4Y@VJ@YnU z+qvU@nW-$*VK>?>Fx~VZ){y0YRSEQ0-skTqmsoyZWS!qo&5r@@S@@PI?jXK#->t6O zZL#@J_llN(FJAtupFpjq z@)JZ~(bjTy7vQpwV*VN(%hP^YiKnCF44jw=`3XvCF^t)79Pq^b31V_sv~D03>iw%H z)CgTU{JdA?2|}8yP4H9WE4eynYwM^mUDFHhHp>QThM~1$M>(Ma=1p(FfG@;9a0-5d zx`Ke#1X2*dt0)1#dozg}LCxR6>Q4zIa4SjZQ{)&BXf`@RQ6E(v?0|Y!)Sq)dI&#P_ zRxv^?{f^uGO9=R%aMJV!_(xx3bNuUmkQc_ZqrU8OzOP+YPgjiW~{?$7X6nvrYMyPo@#RJg9fzs>}4FKIU`+9lyf@ zwmYN8+ta}^k1tHs{1s)3;Lly^@6O2S>sLVJTIFGdr-?b)0^oeFZ6f*;M4;cj(KjLnBSXAI~V7nckKV0G^tBaK(NdJXtp3?=G7P_=~$pNAwGB`K_jD$A}eAx z^TX-8v&|VGAs#KK;!C69NlT3HxI6zaLHVY)gz%}1v(&vC1MP+@zCjC#NLcX2|MU~n zaVzBE$%#w=?VA*X{|p6SC-+}|+|x$NmD6TL#z}sJ6QZ&o1tFfc!Se)EsxQGHWrTLp zOI0OvECV-+I}4a|Q_Rx_I3doS7RPSdE~!Sx5|bD4wHJbh1`fQlULu#QzxX%5VP|9% zIzifJ8FFT;l5O9P@7oX$H6YYah1VZF(Jv=%cjxXVKa_RF7ksNWm)jJJ^L{ zQjd<+{^2fu1AYv@ePrwjyAiX5!{~6S#XKj@*NFLq z&kvj`l;Nj?A)^hUiq9KtDL!#mX+5>-wqlB5$`Km;=2==h8VQbPKfq*$ zQKCHB5ayXh6zojA64Cn`_AL*P=bbG$RSRm=xZB)FbM-L}>7tt1>76@IX|1A4yJMy9 zYQKAmDjE%FRB}3qfhWo)J;CbwaD@p`Jb_rqXW2eY`Z@_3H;bjpgKiz$pw2iggi&g{ zYsp=y+IvY_N7tYc=!#XUiqzcS;X8EpDK zC0`k%N6b8~e56q-!wIso6j&+7aLBLA`NCIe!Y=Qv6kmj>HPL--kUaT!5pB|o03D5x zMKOd#AdxO-dkQw$Hwd9VG#}+4> zBzdBk*-P4P#>fnJTO(VXn9Vc&8Nfk3_UV~6mwSi|x#{w1+O8zwCl3}KYS4~W)?!4I zlYa-;0;O`-v{}C7MNm-g9(tc+Uhft!lyJaJ2121DzpRdMh|i!`MK&ncDuyz`WZyzv zndONjaSxL{jCzE`ga#EQ?(N385CZiBSc2w}sG7NqpH4DCs5?}g8HLhXT+o_eaaz;m86;RE0Or=z^EA3iaHcg1bB`UMHhAbi z>m)RUrv>pp8GPQl^dM*K6Wc)!+_I+mVE#uDt!a((KxEP#e5Rq5k$XLqCMf4AYzU7E zSg1xv>#TApV`_#Dd)4}4fi10jx~}&u^9!>%1^<^7*3lu3#ndY$U-H50s`!@{9g2e3{%x4sWO9(Qhm_Xpj9`1-4&T|RVgnNw6aWzBh z!k)Hpstz{Q!AG(@Y>}lMtk^zcKv~40|IjH|_gORksCfUUo%?19Biu4lq&SqdH@gli z*DYP&5sXc%MY!5nV&rXsu%T9_>n)X{AEsn@g@}N>9p8^NeII zmM%K!E@duCGZ8{1?3B`B3aW>+zX{FmZ5V96o%dPoYmaJaP>t;eHQEiif+4v@@!u|f^Cu$Mq8xk2(S$XiDF*}+3K(Kay` z zZ_*k$iDKZ#Fo)(4?E0lMJw$DiGtUp-Fy9ihT_BGSaEHrmsl4s9y-5VVdE#c8q3eRt z8#fh>aV;bZHOX~DPczQK$01dozEqFP#tMreB}P{gaS)3~igd6ITC03aAyDdfKG5Lv z&Rd=ljt`TK^66WnPHL`S-u(p0);ly-;P*V#d)4>QfAgiEsBORcTQCNLEyosF%Q7X)=bww-jUnld z(AA{xl2zeM;g%%0r6^1gXhA%0*CPyCM9rFi?wH+S^LFkz!(ge^H)@{ljVBD??*>7q zluY|eGa8;if8^us*wO2KeWQi7iw2pS#5%xKW>~1p+y~A_JZneAgD9>5kPQ8uv70=* z=}4pcE3wAMwBh%y4Br(#Gxk9aYwcf)<+qiXkbNxq7?o9>W==S-$c!>cFWJh47P1_& zoB-f7~3$bSC+RNhfd6^Yx-KXMlBxvc| zHRFG;s;C&;ow`LmeM_Sscg1olKGv~5Sh!S|==u|cE#JgJF2Q-pvq^&8q^>?$d8Mnb z03=OeH14wY=q);(6E2bGX^^5lj8LK3@M2d5C^bG+SOrZi+F}~|g5a?Y0pfBCtc{-h z!txcF$zubjtl2uu4U3^SP^mvhO#H?8v1$2F<>;@prWJ|ns9^vXnI`H7>?0XKfbM`A z!P(W(dgemeHlA{A=2A)aUT$rA^ul`x&gJ;9;sB9!N{DtVFkyFyr#Zi?M^ax6EjiGe z?U(l1d9wb^YXCFiL686|cW)~yDHF)c;RO6}g_>-K%)f*v6xM>HgM?_N5ZBiD9c0u8 ztvv9$Vh9iIuaif^Yw9w;KL<-G%j;w;SXn~ZNoesS9>mJi)|1*8UT>fyDeAYhjuF~q z0;Jh$LB~a&FzLa7Fgo~9{7S)s+L1e{y$PDlm*n{Dqw5+lNCtE_xWf9}I*RqVBosZA zjo%Z`sh|UzAcm+uI<&hAd|nu#P?*`xXN;SJU5EBS){+^uD!thpk=nL&$8?EEoYG`U zi#RTOXK7Z#B49r{Rj|)nPvToM7+d~AZrBZ4n3d`$j)P>p)MZskfhryUXfdiWG5Bkj zH|gjN!xqp3+;nd$R$GXjAba8}XXt>&U`%vj(KGd7^ua#4!z!h+doDoSXtqTrBAE0h zWg zg-Ve99L^*4sj8OydRc&kWQOlX%y0cVEzz7P)XJCiwV%T>H&YO3Zx^qNic;m#w)G6) z!+QB4vtKYdYoV&L$V;ZZBmaT2?8qqy3IKXo(YJZsfNb?45Jq6X?V@ye78YaderBGi zQrRBup0XpsVN$~^Lh0$}ZzcNy2Ly(11mqxXrK`s(U9BNTmKZO3>7#5k1J}yvqg3dl zwbWNUD-j4qH&F!J=z_NCB2|^Py(%hkZObuzD5=ge#_HWU@2F`~8hpI;YZ-WB3N!Ff zsNe6PzgJ`fee>x75A8za@YO=yub$Ec;G&uu$e&ER3&W`iXnf)f1D47P_XI;yw=H4) zb5cWUm*>ZVIDS+~k&(Lkp^er=74Tz4cUsp$p4A^@m1HPv*&@O6R0b6NT@Lm6^1kCV z4^x;?CrwI-C*3$Ru2~T(zNehnO{X!%MFpX(ud2=KjKlVGLeJz@W}29KG=bR-zG+!z7o-OpfOl_d(E-P&jTpW@Hnf*Jryf7VhT%%l$F`iih9d;mudh#`LD8ZzcR{|9?t0ajI)^?xZr zKuYN@>F&IMQX<_g0+N?YH(WpgK|(-4P(Vexq`Q$21f@HrJ0vfc|8c&V?|a61-bO}t~{0>4ign?*~bQy)VTm45;HOXf(r3t4W=Eju@IEimNu~8(w;`|U$ zED}Q+Z+KJc*7kLfYniq&7m&!%p04g);>XH38iEXcJ%arFx5)YVm?iJf6lemZ34ug+ z|AfykkPPp;^LrD!oul^&2J!*E0-0-M9$~cgr2`xV%h3q@^}{i`+qtcD1UMMt55qw@ zyGIC{j`JvQC}J=dRz@Ad@%2UYRomo-M8AAb*xlNAH#TyK#U71U>YRhLz3tjyE@7q$ zyGmdY?<)Y_S75;VN(8VW+YJZLi35H!eSqJLiY*v^KR~>D&g9|FG?dD~mKsN#!*y5h zJ)2U2lEkEXGwl@~f)1h6cG@&?Gp99K7k~EZor28t9N_4SGTXDSWm@E#_|p~logVYr zG$+iDR3neud-$sLI7w3LaGn14&EXUCoDbbdV~4r$l*ww_4Zygz*eL0QZk-RaEm`I7 zV{A%M`FH`xV#l)nJk1{Sqc`QCR}7W1m2JrHCKOh3)raRMuvG6>;Rts&Gq^S248Gb; zjLO*6d72!w^3*2nUXah@WRh*tF9gJq6jx|vvL~g`y-zWU1mi>P8={@<*TS171nj zlcO&{B(HFVjzbqXxaMJ@usPfvZ`(?Pl&^~=Mm1wf=!eb>Ic#0@ow+xHRX_}}a(tSR z{@rs&?TzHdo+(h8@?8pVpVg9PEB<-+5TeKh@*D7zWvb@_E8xFwx%~IhveeLf*PYkr zQf?VnmsbV#DIe#LryMgIZ{AbGDn;u$@c=m`pJv@{s2n_)P&#AcvU4L)y;b9Z?kwGiRe^4<3IH?}p9-tpV?IJdGomX^)-LuyIs zc_55aix=PsnFcsQz62a0-&6l}AA!+<(}IDev{DFLEC)g|zt_!J5fbwluV^1ST%;L&3jwK@7}ZTT%x6#BG0GSKD< zaJ=zPOpZStgZvxoiGR66L-b*ox0zAf-a($U zV<&li<=?5n{phoPipKYgnqBU%@burCcYkA&BIlZ|>fJEToHvs&3p)+--kP8rmbI85 zttuI?cRD5#nz7t$RNxC91J4YO!q)?Eb!-E{EWUilC7sy*x#x34hRR)?5sYt=68HJ2OqXOBNk+y81U>FJG;) zuyVK=Iu8+R@kePGbd!6QGvcOjuQE`)Ybz5X_l1FnX7P(TU_$E%M2Y-ton1iYULIUT zAI=sVZW+ab{kSMfrdXRDsrRaSnsk50?<%DJh(PY)&inu6 zEDL0V{pKp`NfRV}B?DMHrpK}lWxc*^1(T~WTr63Wa-18_4w~fXwA8AwC^Xuilsi^U zk^nn-g)-lfKYM?nYbM2uS~s6=x_Twj&q!ff_|;ho0VnVDwaVSJ)KGO2xlIgO-K_XE zCsdv=Xtd46-Zp#sK^_%P8dac`2)54+`uUr6@)jvKE8`*1I|rEeeQ(jbK7jGkI8T_9OWgzF5icJ!lB^iS z!*%dY{n9iNZ?cgeTa;GEOEHw(;?0k!y0(u-zv$qv85Q*Fax@!toBz$va1jdSe3Q2X z%4s)IbrQUGBd3iNuo|YCQgR(dgegYw{dQ4|8qoNSaX17pP&Ucx@)#aBeVND5%gZ4F zB|QyN9G4<5&yve94K$i-U-G<*+;HCKek*C){b2mMASq0U}+uplB_c?cBC| z>LtZXpX@s`iK@3&YuLIr;g$>Jx?E1wp&dFA=9nzHrB>p5%ErV4a4~ z?2^lY>Zpu32vXu#?z3{UsEt6UVQb zeibm6QZZKMH}53We#PJANBQ8-uK!c`?5`v^&-*p&@jql%Qp}pn7v_1RO6Iv^hNk*T z(Y(`3j0r-`sFr$oj#6MXwlrYtxC3k*sn>@bfUN^dDdgMMf&7@VIZW$%`905o6K7H_ z4q)p*XFD&S=irKj0zm;Gl_uAgo^U5(HhL>^e3_v8;!OXzcS@HbcqZ?)=M(vny4|<7 zf+HFeo5XnZ(V}BfjwS8>))R~Ow3}#hP%X%YfLLa&HInsTC{0x=Y7%CgDpNjr4fi-D zgiG(s&9FZ0ltzHN=rv=4-G>|Zm?i{xsh(%tI5<&w*X z%<%;s``v2|#u!Iwb{Tp++~?X0SU}bdjV|F&{Dz59eywLJ^90%jbIb~nM$V-o%$|$p z>|=7)&rc!;hez;?T9+h3Ll2gSIyNFc*S7^Q8t`*@fQA!=U_NljgzQLNYlH z_Kl?Wewhefs78hyq$zyOi?+tkqs>L`y+zO%TKSv(up1N|6nV9aJaw_}n$d;5hb#Ln z2VX^T=nS!!bv|Ptv#iUqF><^)5lj#5{u255d?Sgs)}vr9d>mu8GLkNY5hLbJu$CG( z2uP&1Y0_geWoUU0xPJ2O->G_kwOZ(-P;LE8uP)?dudHsY+s0cZ%X}#_{;j8#^gg#m z!kEEAPrG9VA?;Tt_k}1qkxsxSe*R|A?W#1H!PjoghtXDyH6PyI3tCc?B9Raj^mcj~ z6&_x$%m`hFN7O+b3_txnsg}KEPm|z4uPy zMk`&wo=+ zVB8Ind3^-<(B2#<MQ}#WfZQk*PB1GeH6&Vg2p6p9H`=_p2NjLCtaP)+zcL75L<0>F<$ze4# zOD;|YnrbI9m9Rw)$zqQPXqom+C_%-gX{kx1@jbeO^LCI}Gm$bp3Kv%spX1Gov&No< zBFRZ0S@FPf8iL87txK`#6g}UrbPm6x!#u&k4Yw#>ao{aNJ2Cp;( z+m=WuMdU%#Tmm>o%T=G~Zp0gWIl~h(Rf6@3+-S$1L}PjSF02Bx)`uo zQ_*|8jc>Ma@F`c82B!2gHpj|fbx!F|2JrSMK9J~Qs`nERE=cI!TSZvSjn)j5<_LKy zu3doYHom}G3xWtU~Gv% z5=#wU4Fp4)@(6@*u6R%%QuNvpMV<{WrZk(0fGrROsjpXv;x^CV2#3HU4O@fhKrwN5 zviIqIcrv`CrIbx7+^-yhPblgN{T-a14W*16T!0F2;Ov8M<|Gmku}7v{VXn%8-li>n zN*gnfo%gNg8?aST|AnIhqkXb3`-_sAwvjpHQliNRqC3=A*EMBfa}xfu>>gliGM#h^ zwwL_9G@j20lQ;+HAaq2Mf7Qc6R5=pG0KuoUY7_KnpD1N-B0QhTkyuYzD_=_XyqcV#-y2@MK~@v zs!QCX(C$f&u~9{6mJy`{`@N($iWb^e$#Ut*GW5;|Zb~Zza@IjacN6XZ_ z-}48KS9BLmXOG<)RqSTYCMPJKm`tt$E<<(F{tlKzf=AwdWuJ*5flL8~oAtaD@_m_h zE(>Ujt*fSE37TEl1$J&QzP3gE`yn^!W7g^AB-(8wL`@Gzo*-COn=2&Rh?t`zHejU{ z!*CqjIsIhq0|e7fS_E9l&ta#tMr^g1;Yo0#W9V$&8eOwQ`sLVC*b6bE8Zr( z1O-ZX7of#Sn<6+S-r~tr7d-U1WSB#BuA^1SaFI{i%`a1yH-V<6jDfpz`KhXeUHXeT z|4>T^e<}&FfnX*v_vFOX9mm#~YW>HSeQG|}jb_3fW(N@DzI^qyFwXJmqr1tv&0^|i z3@>q1K?jAg(6Cd+&HS#phaUNBKJM=!P#flMDqtQF=7AcW@yetcL+V6S&e` z_uD1Kx2es6EA*ZQ_vOzF3!Zx4tE32`o=qV};Ll*%B#&vdW&#Of&()}g6U-i9Qu|8zopBMO<< z3*}F~T05W)nL%=mikaRMJXwE~h1`=uT@>^OS6udW2jcfBdpNSK5u72D5q1Wlhmicp zmXlcdYYB!`+RwR}NLyl&7QiaHPo7UCOQr=MfR$%^VP*zrE?jV|co*O8Ds@@HW$Fk2 z+o(i8`~J(QN9sQiMNc=y*SL&Zb?)3vddyDD<_I;WoVI!t#XQGc+`W_MDM~RtHSZ~h zm1nek#mIr!6z4%rs~f2*ulQ9uhdeq03|$?h_~KS(iQHA?f#l>B=Y@C0?PhCci)C@9 zuoG&D^C10=I>lELCGuX_ONiz#Od;_RpFgxAb93sbNBE7lOQUo2uL|wjdPC~JV6Ma# z-gO3139fX`UJud0e77M@nTNb(_X6v&fYN~iG@k(?WDv!zjl9*7jI?~eSYQ2Rgfv?P z?~pU~ajcyX7E@Qo8UcF@c&F8QQk3dLp?k@0PvlLNWhwvUmkE)OQ$Qu9-`TJvEwpvf zi3Oa!kaaXo3O|^Hd<9~8p+Ei0tR(!1RfSWUx1I>CRPHZG9%F14Q#fDj=A7IzO<>%* zC9{B%GH$R4uXyK|rmB^wU8O@5Bh2W5bTosCPZpaIU4_E_0Y~}cBWeCVY=hD+ha0Le z`lkj8&%T6VkZ|y)`8c*_r`1~u6{dhC)0RBuY~B`eh%_LycLjhYUwC9)JNuDter>-c zSz^+XGqXl?_|4;1?&n|5ls3hK-mK0>Q}Zw{Q({HGvg?z)zS?MW1`XRH2HOK}8v{qU zwYH&2#PrbuduPu!J%>>SbzhJ4F0iu38&?=`#heM@+{<{>)G^Mc0{LJ9IB_sq06lh2 zUHOr@@;lW1zwMIqBjLt?x>T@T+}4r~;gJJFtJrUV(=?ubAuWbfjGFTREr#%7I(C)2 z-ZL*dN-@=UvDakuRD-uFx~*IpC$7C}iC3P5KdFL7NjYA{5QIbs^=mIrv+WoU>gtkZ zg@_kT6D2PzS%QodRPcKT6JacG+KX3iwSQ5HO-LoJ`gy`Lj) z9D;m=B>OScHY9tWY##;>hwZJGoWJQj?>?TT??x$`b0&^{{sB2_x-%N9)^^&u@LrUU z7lEFo+A1Blr5im>kT6Zu*PhXj5-;PVU!8amRZQHik@z4{F!kI)N^O=<1HFqPJbh_y z2su#q<7XhS6W*G4TBrb9lHdtJahPRHbWd>yx3%$;r%Jc4GAujI?ECQKSh`hyEnV}s z(Y-SH(jqx_M!E4l<-)REVTX%jxeIYPiua}XJW@aakBo}T35pe zP59~tJNiVqY?I(;g4?$v9`Xg;z9Zdh7>hJcvg0fueF$atOm9Qzro>0}Hu=SEl5}98 z@Nb;ZKc2K&Bv(;crKzimR%Ur)a5E`Ws(^lG?ZXOaF$tbYCnqvh{noly1>T@yIp_lc z4Dd|sr&9@7SQ@<~YqHNbZ_XUuFFX~C^=ceDI<8Iy(zFf8S1{94-RZ!Wkcn+)E~)ll ze1Zp>yzLYTLqB%*Q`w@t$&!&wGO1X&#uIn_!;<)h4r+W%U*cZTX;lqLS19kXP^(As z#z^z#K?#%1{ja#46sbt25z|b=LGom@dKlYUdDSIXZUNBO+gwD;8J`kLVoTuT)>J z?Qc6w_@qDHYG;M5W+?T9Lj8822ETehE;JeAzTrAi zZ?Hw_@GEVbO2_VHnb+Dpy#rXW&R1KnHDt)zsg&O_MJaBL=yt4Hw3iiNb6cyYTL01q zsyepHvsqz?ZgE#nr!1fjaSN%8k2a@av(HaYRpLRbG9sd5_C?E|>ZG9}8qtJl=6Qy? zy`~&iOT12`Aw048PNW$`Aphx^PB*#6@W7UQ5+{%s(S?b>*5$)Y3J}=7ogW;M{Pjgo zf{rA?&YA*>_^=}5*t4kc&yOAsrcCsTLYC>vKTA@socaywdOxc4Ufkeh2!h;Ae@ud> z9m}8{W~{)VSn4?`x8Y7Lef1>P|y@Vh$8|G@|6M=AgJ>i>*^w$M|_ zap>9LMCE;C^4>$f3W3VC1jEw)6#?f4NSAGA03qAmcXrKo=G3X`TTr^c&VdCuV5$H1 z0k;3>ocBlD_ft5v%sNk9Sp1;j<4MsYj`(pHh;0%(5H0u0GMT zG6<_3AX~uSgaiVDP8{1@fan&N&qK!=WB76 zl6NK<%T`6U7CDa@XYC+0@*FE)4)$*~iGR*u|4I}aUHA6am?z|=H$rF@O=oUs1}a#8k680zjuP0A4VR2 zO_%wjm;Vf1>sLguKV~YU%aQ(HB(3@t2~7J}l(0)?{U6P&QGZba)Ba^eOzTqN`fZfS zzZJQGj78sxeuA2UsS{fFNgX+c&L9x0@MB#HSAI{`;CzJpCOrDqTs)Q?)0Pj z*-y~5E>Z9w9faSa{?8cbUlzVDQSjdx$^R)r*)IxTmniserBnUddwvcu`YYnsB?|ug z9^3q!!M;Sne-l*uN16OjVAz)^_#Y7w{~5a0B?|t3Wuz}r@IR;>#ZO_@mnis;ngsn8 z^?!=Ob%}!i7DM-=@AxT0eTjnqfWzl+gn)mOAAW{pc8P*tqTrXd;6JJi|GVn{1f`nr z5(WR^6aF9Ny8lZ3pTemxQScu&ss1(O`rldpQ*^CMTkzi(?f$h1@^c3J5(U3R!7pvW ze?L9!XXsj&DEK7`{)-N|m$u-)#$5m1Pr;-_mjTOO=Mn|~Ln7Ay3Mc+Y_xu!2eTjl!qTrXd z;J+_A{!}Tj&mnit(({6vSYd>S8FKxjuZNV=iqWm`DFn&$( z`Y8(6kD}no$Mo$Dg#G;Wavj>coMGlZMCG|B2}eS^vUCp+vk`gR^fV6-=;~R+L5r|C z^J8j6DA%kSrg(5j$ zPZZ7!`oTQv$oWsM4snd71UH4KJsB-rIihX~hWE|cCaYsVq1EPmm2K~g)Gh}@I>B`N zKXWGcYpUbFyBu~ghH2?w;o|CSX$JZB7xca@rjQT~H_f*{c=<(m1V#S4SoqJy;^JI) zEgh^}t!acrfFcb{E;$=}S4(FuIeRl#%iEUbPzy^;NlDBCgs+tpPSPcoRrGz?jp6cRBc*<%RY5)AAD^X^a^G?%rHn}{L{@Y@L zXy?;PjI2U~ez%!=v?L>0blL6osYVH*2WV^T%r?K!=Wjjv)@L4mp}%xknETIVhBQL_ z-#SVo^o;}>#{XS`=g$=Yil{k5%{47uX}%SGqX^W&6)2VCrs4Zj0YDBeJ=OcRmge7z z6@_So1piVFT>3NNg}>GP)`j^x!6O<=@ikPi^|vOcB2SZn?n!v|Q8ezN?p`C6^+^%*qmzOV`E% zm?=C0eB78^3YIoj)&Pw}gn|Fa*toi=Svuc>LL8wEmJY5o!kApQp!QH_O-D0xORn3N z?l$I@8uBv#-TB+MwEjG~{xbiB|C@+^o@*DQ7qcL|J93J0AS5IZ2nqNBT}*&(fv%vU zT|q;=as}-QI{Fn1Ogv1?t5-2^;NW885#JyoA-+LGL`KO-O-4>nK}1BuPD6i_iG`Jg zl$wK^gPEI=nT7e=Ly*wX(J?VFuVZ3fXC@;eWB%dKMH2`g1HBII6a|S6gp7}bf{%33 z2BHSqiH7v2&$s-4-#$plC_sDBF|J}_0Ts&eK*&faD9ETNXlSUYz*RrsItUdXjewR% z`pR{6Gjuwq8@z!ruQBLlikk^FKJPH_-FFVUib+IFLQ2MXlZlyym0v(mNLWPl)@@li zc?HEgnp)aAx_bHs<`$L@tgLNpU0mJVJv_aEv;?u+dDeDKJ*U^4h@ftj*ZXFFML^CT3%UQ+uhqg_){ae3~kUhS293K^pmgfqAv^u((({(!DK#UtQF|Ui8 zuhR2r>=52}{)|b)z(2>h`>kt#>e)Znv7mpcXaC)?|LNB_2pa_n7(5hw5Eyit8P5C) z`SSZ8L<2VB_Xhc=kb<|O-nUOP(_ItXpD;6`Jk_5Hpr;d#)yLd2o;LY(i~ALZo3ibi z(ur#kuEBZkId|!lVsxnG+lrWZXN@Po6#I$yZo@0svYq8)dunlP_q#=T-inrWD-HUG2NK%<60l16p$n0;t1|Op;fzf-v2O}) zYL^P;f)+}?=iFEzk0b5s&^9W3aRFjfZ37>o4S)~)&OuZ+2L<|$@03N7OV5cSOR_|GJQx=?O00PS`g}+@ z1ZYBs)D*B!t#gfVyuJ%i*R${Mu=M0>6U|KDHJ|5<^l@9VsZl-j7=67r=w)J$z31Cx zS<*!P{H6PQYAX1xTuCZ0z=$Q-;fjr>|a8GlTO;S3s4;Ea4AFgd>;;jKSRa9Jgn>_`EE>mzpZD4xjD~d zgXxSIQm6Q=ZY&&p44ng$teJ=oipyvCm281;m^Q`wz0unVj1Oqxrqr)q|L8HhQD76hwLfI^m7}>n0WYAa0nprkxVQ_Pm!b zKvC*|fW$S|caGI~BH;pzJ?nXb@dXHM1>C-H0qREcIy8mBQC^?nMuAt%c4iP5z?Cl_ zzJE#e_OUt)2ety-+O?jB5Rr#7H->@_IDxuf0isi+k6F&_AHt3~!SHunlHJ=Epa55} z*>1-l*`xunL$^O?78njR>Sq=ikjU>hD@_qP$xp_;)x_xae`DKezUIFL$()@koEACFpedFmmS#4d{na9HgycQ@30>kJY#MfBo)6_31|JM;xcP}LA*jDr ze40$}riLX+cfw_z{rPrraV42J&`I?}zb|BBX1g+KHC8t(*z9EaFDh&^i7= zqn+JFvmzVl#=CPoHML83ib58J-_@q6o@p=k=iyoIP3F=GN~%dSxU|dK+6Xmp@AOu` zT}@@K4sG*xf7OmUxUq4qE@1$TUHprVA0Z(dSgjJX4S6tlA3Xb!#gTlb<-t*%WS825RFM%xb)4=>$uv zeoVV#^@yJHI8pztB}St|Z6Q8i>fsg7kqpe#<~Or);3 zu{7eX?z<4o6B(5bjqaGZr_zM!yIepTcktOY8}O-92 zHCt){`Dw6qlp+7Ps1xI$#h2)3Jft)zL%M8#MX|!%08HjLKmJ{GojGCkr@a8Z<4n2$ zrEjn27V0WrfMT=esn3vC(@rH30oRlechW)|H?VRpKr3gMK-Tra#xFU?K8U88G(_|} z;LYO5Be!=YOLr&cVQ{)sfX_XGen51e$-|ZC>h|~T_RjYNH(OoTYsX6(B#sR`yggd{ z+}BNxpPZLwc6{-w0FOEvo>ZrDH`Zy!x8hB@wTq3EdwR5hN2kShJ;EfXmSNEI=yU21 z6JNaWNf3t%weBvT%%`KnP4Iy?Z8s&Sg&?L5aVt(tSE+Pglv?C4O-)w!_P9}6qdYqf z0|cpwIqLKG07e{dJJO7M0*D?PkU{WaimN2uPV`}46r6ruwbBbbN^O4ulHaTJLioyn z>#HCW5eFiNg+8;PaDb;C3a(L~snoM`ou$CeJof2K2_!fhe2*D-(wqGmkImC<$EPC? zQ)Cu;_btz~x@ztFndgj&wWE*)++c(-K2|%PpQ}opATH<$dlAd2JK#T|F=={w=taA{s(yHUZ!kA3NLR|-K&wN}BfF?-*v9bs^=S7V^S z(eaZaPs>FSRoqdmdO2Rf1vS4RWi@i01@Nto)@D~`>%cU42{lyW>@s11Id z;?yV2s)@Yqe0!IdUy%T!Z~~clr)nM(;g#{MffA69-H!p;)iL^<(RK~N4(npyO*{{T z!VYeIo}0nA0GWGkzn^9}^GG>wJOm%W*q||AZkwM?L~V}sNk@AR?LGhqbrn6>Erq=A z415^*Y}nuZBf|7~un?rPm+z&8)o_3~ecO0crav{DYzL9{TC&zIcT|?~V?Z5Io-1vs zoSumsxlHW)POwk+M6XS9X7v2|u&zJJF;mvDhhAw_lr_{|;DvDSkXeI}7FNBn5DV&2 zpY7MY=+I#gf7DOn5^g@uiK6U8>oz$Ch&Ahs5bhxDwJUPYS@h@>U-Mr;L&PJOO3TS1h%}JU#{c$JLJ*uygZA zg&ByxSWuOZdL|gIHn79-6ZI;I#^WYzA#R|1Eh5Uv#70p#UYQ0n zlU^QV&n`d@%B3RO6$KMIK(l75Oo2uY1v}enL5GLiP1EcRJ8g-vq?wh5)`gpQA1%I= zr8!Y@NqLLCA8nXQxPyD>P)XG|&*5;^W-Xd`JjrD1=JlfW~^ykn0>TK0XsJdPW@V>y-|(g#wH@ z^ANG$dnPH_efaijrNefb1K-O+KeT(+jX3_aHe_xgFKl-8(+BeBqo$d4TZhN=o}yur z6pJ*WFfpx5k@<5*79zxaC6)BU>m#GG+}y}g4Vd)OrTi*i?oZjhK2E#<(JiK(hm@tA z3r`HxQO>SIag0o6lzqH%*L5dkhts{AI@hYl!*8J7CKc8OY5Fr>fI6!IZQZPZ=>nu8 zcz`tY8-~08y<8!G?Y&4J;7S0yaPC928mJ;(LcR%o^QQ1839v)5e%QeR)c9Y8wpJVW zwBWaZDPauYPx3P(e+y+9?Ba$@=nmNnutBUe`l0r7$x{|3dgQ*&Wp|q&eO3@{c}{~e zGMsw>YT*KOrB6rms%N`dusx1HALC;*6alcvOc;2(Jp~xjGT1*=t-J}?VTnTL<`(QI zecHTzi66Edb-0}ma(8o|pg-4Lq=*N*N%cCS-#H9lF08|!Q>slWbg1Kb-RH!Y#E_sY zdDH5?vwoOStSUqD0k>y3|LS0dvDlpn!}LZCh8H$(z{jd^fSKL03I!k+OWX?(p$Rb6 zFm#)uPfm9(KnUEK3sAIfCaeW%cKh@O9Ds9zMq$Uv;5pEa$2q1yH30h9g8@s0x!o#X zj`QF3(zqK(9*DU z1RgFr>{Hep05}l=rEQ-L$wS-3hI4du2Xs=OXjd_pm&mEa6#0tu(+w^Rz;DfsE({iC z)Ya+?(L1r1nEO!nI=#fXf?j^laJ3Pgqb$-?sdBJRh%h97xBd%DK8S&NVgSA8L%?J} z6(Ou8_3BQ+VfB1ok}u!uj}WR?74DIT76^A zjZ=(8|B%t{^z!Qpu1S0koN2_D>GewDk;gRM&ezQJeB0}5n$71~NIYIqcjO#LJB=)G zzKj6-6$bjl#ud$PqlIO50h+f4b}*FYnFE$%7=Wm~y8!L-%U@4yd6fNLb=syfOF0qp z6-(vl)Ba2}f@^0uXD802bU^%8`m}M^7yF>;Ll(+nCt%~buL5FPVXFt8WvUC1e!DI0 zXh8*l0SywrC;f<$QB}U|RkdKutK*!ihJG1@1919q56H_RINfREIj%p$1*nk>kO%2L z18x25MNmGZZsKGGn8JKl{!cEB%WB`zt(>sqA!iZUm>Zb*IOud7E1MJ1$L@Y7R-89K zn9s{K%*T=9Y8gJfO2i3u5`gn5mt_^%kSX9*N(wY8JN zb4x+jFqaD9`lywfddJS=#xCk%<1DhJNKgokFr8OlXRylD=fV@Ido6;5#D^S5VPK#6 zxG$>(`?BdW8&&9$mCp1rlDZG^sC0YRrrilUlx!eqDfxmGj_=XRFpA&bP#~n2CBb~< z2v{JZfm}>2cl~qTZz5j2GE9q)Q`Yoxbn0|Xwa^=30_91;Q9{r{S`qkurijo+0@zHX z7{FWXa^D1qm>$cOS2cQ}M+sCjnkEsf4ZojzK#7uTm`?Z=b4{U_RF!6CK|<^(E;Fo1 z_9%rzLs`^9eUPrUr=-*!dlw|tZB|mR4djXecmdShsw0fujSJ_9*H*1)Y{-XivaJu< z0{+@W_P!&}KBu>#(sM2jvzpRmmdz~LSeE;aU3A=?mh?dwG(oHe0G+PCQFIenN<*!Y zOy?`>H(u2!&+BFpA-I$C=w*;1vZdQ%3C06dl#Dmkrr`J9Lfrx>m5(>#-VW~-6s(#A z-c@~U;SkZcbQ{Oe-rV{c;ml)|MmvF`M*<4@b@IppZ8`?^&)#`;UYYE|4v+IK{q}Hnt z@n7f-Tk@_)B!3zVGB45T4%u^y#P-M)p_?u&o*ms z<%A?0Y5j6RA%b`9m}NY?x%-`Fe2!OiIrjGMJ01IS?PkVpj%-cNy zL6cpwazYl4qD@)5;9hn+az1Y+hE9vSk8#vF0xBd7x|GIW^2!+qnh` z9OK~uPbLO%g3m|4D7*q3AI}Y|fUW8D>(UFZ_#Aml<`UXld`9tXn7L1H@hPZlJ9-dY z!Eurz^e09fHR~jHBl0wpm$e^J*A*KZDLRo=rlZE+LVAiJ%;4;uoA-A0utqN4Dsy$$ zOHju#qYi(Iql8~AmsgPqgroS;;16QD%0_HDWK~&H)?fBE?CX;+u?lyaPSoC$N;C8Y zVWIKLA+uojtnubme*z7EJt(eG7Hyw4Jb$2tOPFulj7K2lm4T^4g3uLvkuW+(I10HL zHh0YKkfu@3W3oO#tN4}ZG1~?MH7ie76rNPuz0?=aOB3A7-`J?x5Eb3vVjsA(WcMWL zG4JFZsVnj{NH2knk~KFsTU%TG_1{K1@)~KwrGyKVmkTzqkMM^jPp{t#XTd%qs>CFL zsuNj+$esi|g|K?#DHO@()?~gg&l^=T&mA)~)mMt0;@5jESN@< zjkH1uY;=yuaKpc{ot@ctpla%UbmHY4z85lYPJSZW#nfYyq=d`Px~22!SnN!3P4sTU zscQ7Nv670F!iXktJb0K*4DEgG!riH%IG0)NLn(`Zzqnr=zz>+lm+Ko zZpA$%g6;XQ1Bat(=&wAj+6=(q{Mlc*aBT(0gX3nso(eD!7HQHxS|VoH3A55ELtvVw;M-vlYA$se+3(wN_C> z{ET*x{Y|5LS@m-Vx!}OXp(`F&0QI26x0VuT$T!m?rG{hfUElQDnHQJjc2?oc^)_F5 zRszqv^hXVYwf|N2$-qF`x&+I!rL530zKeaY)4R5KadIKyzT%d$sx0BIb6ng~TrF7Q z<)YvslY2`z^IHWiV)v)U`S<6!KVA>J?|7$911Bm%M4oi+S&$gM=4TaNC*RNRmNL!{ zHJ+5p>&|XdO|6v3TF%?WSA7n^@5ok66CL?9DZ-j^24JF^t0%=cNA!B?+B>)P0PCYD)sXP8PBGuVNAA za8k^R=*G6(pQ%5zoas6_^z-vLBx8QlkbidX2c5%y)S2x2`Y7>#tziRtTv1!&2{C|4 zZ3w)*0Ijx9*W`kj--Q40mo0t(1{@xQ0*41yi0H<`+-hSX;CSINYy&G3wsLj~TFd_K zsciAb!H5{hYn!n5?-Auzi#%uP8+%wClivH3`2qK+pJxlB#sH@wfX0{!m;c0}x((T2 zFDyp~Ow1Gb;wZSq@H?j{62o8ZjJgDAvi@Pzex&$$Wz#dN77@CKo4S>Jj0G9*{4#Bf zW?h10vM0Bs?q^4hiaE&zzlB+O9SOOdmulCHI~0C^%v6f06Ej&TRaa!H@U|tmd)OBN zFlpN!V&Oe>-(b3x!a%_x&oTh3U-IB^W z_)M=M@mfnaH>(FJbv!De5y+`hVg+Te?)v;k2iT2iPtA6BZ%9QWTC6R8SLTOpv^MNT zEM`Yi$5s-NHMZE#b-KH%?+uE8^O-r4C(Zb)H7ez98BB-aQ&(SnFbfg8ioR&M9Ocqf zKpjZ5pdPBed!^dX&u>A6e@e^btyB36ImJD7dL$VFC1lA%>BB;?xyDdm^Srf2ysVv$ zsKz;}5>uizjKjt;ys7Ot=h(B!AYRM}*AtiN013_J$X$Flkry5EE%ZuwJh$HZrGF&Y zGIH4WH-6!<+~BlrWc5Vy=5Zl}#7^>Rf0@N+lT8kYLwOj(z5w#avNF6(oF*a3`59*+ z`+K^YR&zxp1{8a_l@vq)Iv0^a(5&pKwd*8)Bo0VRh8&~4EM_d>OX%}n&5N#25_|y*s$9*vc9{BP9^!;`o{1s|3Q*h)8uhw4+n8g;5u%@=?lg;`{yq}niV_e zA5-ce?8W2aT{l1RDzIa$ToanFb5XXM8{M=zlW1Mn>86-KIkCSrSCNW?E4;05uozVf zfmxZ)o8nIzTayKHE3p&BNQX+$JM+rC>+F;qS~0WHj3+ToG@M?Y${3$EvHr@f#d0TD z(!sF7E;FO2f77zQVu%v=iLb1eRl0Sh0rI#aXO0J2o!knKa!DSZN>ldAONm!^!0(38-W3V00HF+tALZ@wGPd>JbjYiMLx^diKuTK=vDylM7f z|CcI z5H`|=&xG|6(DDpW@B=H61%_Fi^75SiM+_&rwXkhdL&N1gQI0#oqRxq|MwRP*e)dCk zn~RgDhI2=cqr>XBAU=+C%5uDr?KjbZlr4_OsImA}u2Ws|GTws-;a!fjhjmOj>!(j2 zR1`n)y1llcpsl{87kE69;!8PrE$vA;geGCnjod7|Ef)!kUG{#`^RMLbn^GwBNA{jz zpUUI7oRw3LP$FuA(T`qlZFPBUMvw^a+`b8U{iPe5M!1WVo*ubj9VP5^n2NBrsH14! zKR#g|Q5&4~7-3md*taWEEui|uiOrU&6>&Y`quD;Vl)fQt^U;FX?O^fxsTy;MwW*HB zb=vbYSSw`PEemt4R({vJ9R*qizkr!B8x-lC-^Cb8Gi69x~d0 z&GX!*WqJ6B*USRs)I0AeHlnyQ(bmy!g7&%msKQRSA=IZu`b3YQAYxCK=BZE6HMf+G z@JY{s`QiH6MoQz`zT{Sr*Aj;*UL1|%3st&7;aDk2ntCfxuSLSOK%MiJcJye%gj=nU`qjD6C9;ssS2zwk>2O=3DB@|>8OVk{Yxua8H^DDGrxWt^ql_AdZk3PBskd!t% zj^*&}*K*E)52q2*OJt`F+tg_DS!LVViIr*g`avd?n_{XYCU<6HC$AetzlUy0Shbj9 z_eJEhr`I;@x~CmJL6iC1L4Hydd_+JIEbI{QayNWSTzcn4b8=yvL_uX}Sv~J+BDcxv zVGcy1?qR&ED<}v9eIUx28ASnNJUdfNU&?G7GU#DKb#9(vx06SWn6&}rI>SH>8w9o8k(*b~byf4&u)Tq~ZbTpr zW1Z*N`ncj6`b?@=v!wfhmZ(tWqEdPh+7f1z%;=_5_PiPZHNhAC5>XT;fXs^n zFm=<>AQnIr4_u|*KbcpBGk>Kt) z+!G*3)3`Kl!5snwcefCn#@z`X8gGKrK%_n)_beTkqYf`zLU!+2@?y zd+oK>=lk7zSuB&jn12A)M!yX9#Ej|$l?q_irCckc-5#yIai%dQ^lm}HL&B3CF|9`H ztK>V$E5h~1ExRqVXP(}qyY3$(X6vemR$qwu@9AQ*VMP7J(US^v5r^pOofDJvkrC8( zgu7w`yb>iWchZ=H&VR?BNs;cQCCED>&5# zLYSx~KUPpL+)dlG^s$0OW2fs7GFi-Hf*F`n|U2dyRmCZdpDt~Lupq`(g?Vgvq~u#0s}8o(i}3kVG_YDWQO_+s{=8cQi_Uuk>5zx*xlT zUA0f%rFWp0LB9h7&QD7Q^ zWpAoD1o(+5wT?L@wSC%~jZ)u}y7i4kNuR}D-6A>eDLiBU0GLZEIgmMYF~xqCh2J*n z;udz2r;?NPLZ7#9elO1ecfKWD80vGDI!^ai`vb^B4=shK8l9#|Gn)K!$g_-QJ;qd% zwqq-`RUk2+5*FI3RxKa02cCpu4%jZfRPD3EjCgbV##2%?_0L7o0FJdmEEmD+dnClR zgmB>PM!ko9pX)RWDy48wY$aMW(l%$Rz~4$Fnaf84&H2VVZ<0n=lngb8-Q?50$|36h z1L%>Yp04YksVB78tDla04l2FX@{I@d0#wqYoD?y$3O-cca;=xA-;B_M%?#2mCM;p# z4W!(S(nI9emAnnECMUremnx2~6@s8X=7;qY#I2F`7Ns^$OFhLI{V;P?vC-tw91A_i zgtkc1j8CPz^O78~8}@w=AiYJAs?W2j)Z&>WY%kFHhveLP8D1PAPS_LW5XT>9yj`dL@wo4&u?Kudc;5)aaOkJ``^MVKXJ7FgNo$;zbb}0TseOLg|{+Dqcd+gd&1+Q&7 z;pFvieziYNScnbFk^Z=7)DPu+A75ZYmw$V*=b5=Yl;N`g*VE_npk|;HLCVyJ5&-N} z$fU)}{)amo{|mb&o8IWqO@3!5g&&JL(bc%o{5aFM1?Tc+!W%XFCbdHU#D&G9tS4u- z9^Z&nxoxH%*E^l-r7_$?Bzb}E`RA8_M1Nwy=O5mb#moBE8Y?z69{TO2{2gtv4)&b# zT3V5uZjDKFa#Wk0etd2M{r+PAA#S;S`*>Z28Sf7O{0Cq;;>>Z;P%K$4l{M@(!n-`& zgo%F=g_(E=%0c%=ZcK1@FMNgCkizLp1}m!ug>6gxNhC|QQfx8&nR{sRn87i~P;(W# z!mr-d03p>cDLU0rS8dUewfb(B>XG*XJ@@Od`=E>rj0wuUy7xGgYt;b1ZmzNsA2bnV z8Cjn#Ku)}H9<72PsnUCQ43($f?DxSvucknSuY-6#z;z-l>as57lj*}u-KL6Pc%aEn zt}YlZJ=Wd|M(K}vKew+(^ooXSTnV#( zqD-?m=xZs|rbBQWl~$>*=UuF{P}IJkA(_2CB1IrEmtT~=D@ZOeig`I@M2Qteb=BzF ziG`U~iXPrqKK**mdL7+-nre`*#Lzg0`}ptSS+ zrl$%7f3B<>vZ(<)g1Q}b3`rOdxkv6|=EmT(YBTG$a~dr-w& zQEw!EK)vhCpriiCXoWvc@zpTnFT~He{`w;QeKDi{Y(J(Ok9iQ$#?M#>2ZgB;h`TXZ zLj`KENLz=H|M@s;MVkO%s%YWZ!-r)F`@x2++oiX`k!h7=LKjdUV>}_#aT5(|zZkzd z+otfMJ1HGeHAn$#r_AcD8XQ)3NW1V0l#eJG#Fz706rO9l&$i80`-T)XuSfb=D%O05 zws(B6TG74YAm;o27O>if+G*~z!wZ)Pz)J}g zrY`BIKXj+TAfeKYvu0nqD7I8f#u6Std6g~~vu!W;Kj5KCG`1rz?d{Ur{9aqb z9l!3J_Q7x$EkMwYpDKR<#CkS#MukbprVLnFSe3p82f;(pBO39TR}y={v4IsV&=B~^ zspiZIF7TJ!-L!Iz=qKuc0o$$8p$+RPkr|>Oh&QnK{`G@5Y*h#Lq&|qu)7^2d;+b=C z34x)iBTK~`%%GW?0}3J~3IF|fCI)$5dGGcQj;ghaCXBQPzl~-q5YylbImePVTA?vOg`v*M7dc>KDwoxu-1DlO&%@?A0f1D5c=Ra!!FoEwFI? z2=s+IBeKr+jdYD5=t}k52ojC)XDdpLXYNC|QGPC%8J7$?63VWIg|tV6%t@IYg2|Pf z;~K%LyF0fPh$|@VJq0S}S|`RO64lBMZ%*CF8djuMU1p1K!6p6*4KWlV%~lP3Cm1Mk z)RVmt9-oVNJ(gU zktwgbyrHZA0O!V_D*xr0spu;Jvsd*`r{~X2;T!Z^P}lu?%RNJ3VPHEi|E7+cPKU{9 z^A^vs*_%YyR@hOb#UxDX*)CtDGFbTr7R>0DkL zijdWhzGjab`ocoiyfXkeG?oWBZNRlVs(u+Rpw!UtNd)3tk9s7+$8OTLGM8uI+o)kO z{!n*RhFR2n`J^-x>qa$>p%oMHF>fMOYANWRYH}e(ce?K9+e^O|ab{DCmAu!w#on>X z<;U}K$J43((=GvhqyDK752aJ#NXol*$qwzauo1AJNXy;`M@lpMfgJM8Svvd37Y327Nx*FTGJ#kZ<- zRh6XH%;u*$*@|?;2qPNSjPHK|sW37#nQwn;l_JSJ>CIJbMWwU0XksIVXj!DmVcjNG zXsWG!&cXy3+KxDfJ;NLXs+;+&RY&NnMYtd^HRoK^bNo!}+mpyfgq!tx@@=^V3LLY7 zXob5W**(V3k*dZ0MNCX>wJoz7lZCZ`=yJIJV~kX-f#GpVY10D#0oo%IRds1vUz*wR zwp@K1)uKJRk4;#2iSNVYfRIm;u0#Ef@e{AbTuOkl>tNPidS>{IC$2 zEB4on^3sDiI1F12J2^zJr+d=Q%+#l=fojg~dCU4r1RCGwcwWQg*96{u``~YYRkZdx zBMXF<7zB&{0cbJ9)$WOoQrrBz33}-EG+@e(jZ%b6ZkF$j{ zVbb=6$wC!dc=D?YgBLzg^7Phg8@c<&Npb-1c{m>tDB+d{)nP^zT`GaNjI?a7Yc$Xi zFnnVN9!wDK*HhFM50S?>t5!xW7OmPy9s8rB|AOK2U3y;wwcr)0P?o03mR(wWUmnb|-0PzVqEcKDRc!TUU=VB!jN!9}AU}jcMW6jOVNMw*N z8yKq4SXYkFd`mo`qG9veU_}Z`{FmZW%HdnxzXhKSzMBUt=l~yzZnl_2GNYMIi@(g~ z>K(~{*hoeL7ysYUfv%eB|K4TZ5*4ZyB=b{P!WhZ!1(uvMXx3)I{~;e7_Fj>;^W_kw zP8Xf#HF4k>;S(g>D^p6Xu`Vf(Eg$GumQdjD80Q$rHQY)H_qesZk=nuaai!eKFEKLM zNvUBA-pt~wt8gy=opMU|6Bx5VKv5TD1&CFf1FC_{;HrE*7b6#KHh%yDcbQ7PRKva= zn=Fix{j-BtOJ#u%x84&4{-^pRuIyPLXx-?^y#b?6Zwq_$f$k~Kp*=j}%^;SAUH~l? zb1ntOPj$oUTW&ve73b$BT8c|2^9Rs}uF>H$ccNt~uORk+01xB*kecXj)&IHff79o3 zpR!?sw|9xu-8E*PcqOks0#dluGT`QS+7i}(cyT)08J`} zh7Q+`-Ia@h!w(V@)aD(VOvCy(dR8nTFnM%vMRz3|v@plX%h2uG?=`DbHFcs(y; z7%+sIZ22+p0qg6BlArfV{@MEdm!Hd83f!lDDW_@m)z|M1r0|SE)#y$Hzv{e(4Bqr$ z1&#kr7*OwA7FU4$gcISvoDlin3W9MQEZr5|391h-k9sg0?S=d-A=R2!^@KKyvSGK~ zs|vxEsWgs3jt+%JYCHiCNEGSAG;}e?B-#1;+Qj|<5b@s9$MQjWx8LR1M?Xzk#03sK zF;6GF48i(B;=jf)A9(u~6@WB*o4_O9%k->0MBv_BK)=&vm%TfxVVdH@m4{Qa1>R_M z^dc_vdr02KyJM}NKaQnB^XA$go4}d_EE30>UIYsA%#K!e3}I_YyI)0=@$x5a-(nnd zJ~~gmRY!^)@{yzRHh)`dNVC-%u4^7x&=0By#8Jus_y#MbnWT;Bx9HR^=#I0>f zu#SpmpHXRlI`G-$M#dJ94K>8(AUqRx&L;5|^HyE=O6PJWv*C|-G~>>AbV&Yn2oM)N zEV-gA9x&I;|I5De zPQ}(FN1vJu9kd_uZf{c9bbpbo7X5tHT<0l~bjN+s9-T2CCbxb2)N}QhU^YsAk%slo z{@cBY@gIN}tn#+wxLDlm2LJp-s=vWoBDdk&ybksJ)Sg)I+@x@CNoia@hL!?eYhtVh zh_RL0L8j(@8Op@WA+@lgVM*WU;cLILuxMXQTbbmku^E)0%*%V>xd56zY->Gm;a0_U zns!!_>06mt`7sAGnYuWwsm}SO;Fz}~Dwfphv_~};4_Bg~ie8}hCH~|HYxaA9p3cXG z^9LR`Y74x#6=p|AiHwl_h_e@e{TCSl750y;%zE1C>!#kK%kCuhcUxoWjpCwjvyaRw zod?L;zE*b#=^Lx0{Q*SYzEj+wu1QP2MJ5<=Hy@oqO*|q`&>ffzMC<|I{NNt|-LIdv ztWA?H^qI;luUzp#ib%EyeEEaO4xE~o2tC3zXdq$i1>)E7)vNpQlf;+H(2-N$i}6LD zmt$_ye@7#RA^)_F0{l(~YG3xlM{1%tRk!6>-La5s<(-3zpk>VKa| zfBRxmvmQDOgyV7qU)XE_1=mz1=ers_wV@!ehu4-*!)XT6pC%-HLj!tzbcpdJQa0w1QraU9 z*&5x`Xtteme%>9GsYMx?2T6Z7Tb0$PZ=k9#B%o4k%#q{}@d!IGyMxB8aqRVE0@0js zy^*Ekf4O-&N4sf+-+n?;M+jv{NfCo@CGyouyC+SQlfz4Sewd7Gk_>h=F=?##h&ZWdI(xKIGx8FvuRx)0VR-v>)GML zCSp9)YKiI*dz+6v-lz)U#Rrz)dyjQ?mPkG~nArFOAQOqtkt3*H*P@H=ogyf>Wcw`s zL_y0`kmV1+$zM?N#kecOS@6_Dy#FgKK zqF?tB8HU?q@mz)qe}`5cf%&$1H~g{pq9OFEZ^(7sMCQTTj2y>u;uuhha@v?wnN)lY+9oG zEA#iV$kSDju31^GG(yE4AzIK_?$RF?YZf!l**>Ze)%QZOy0_O?(XSY=BdQ;gTLk$; zwp(o@rr(iHd$Q1pO_{2`$&*YYAz>5SJ)KVs!#?x|rp;ey&4;o@(2waLu&db(!WKrs zQlruutpr5413-W4TMT4-q4n`QBT&Cc0_ksZ1H<;V)ldlyVsJLV7;}a4~@$U*N5c><@DbI|^=Z1~4$Ma3u0EAH62> zgH;2MBd+`?Y<*ddJ`ji3r{9r3jv;sy03MVO(4Xu$s<~T1m)@)hty}R+t=a{{-I;$F z@0<`g>Fc)s@;D=tov0;;RiS!%GFiRT#-L6;+Ol;>^2QXBb5% zPfL+gdghS6>X5p(HBvpo)4vmU!_Zm%Kv}J~#>^KSeJ0#l;=nIbR`;-*x8kD`-bb~T zekqMx6DtG9bACMrZpe;+R_7{%!Jnd$c6L*9>L;xZ6@H(?wr6ZP7KJzUr9#?i7En$T zDs#8^G4VP zA=dwa25P?;}&}cW6fLn2r4A&e29|QQng75)`}X)QZ(jcNaSDjEjoxpxm=>q$Nel4<)W|*AYNKD@E4V880aWU@u-S#Z zxZBaWAOCW6>$M$I{lwl12`bXLY-OVOR{e(XYpr+}diU_?uCD!!MY!gQ0Z*N&`H5rN z4iG;Nk}ZGZe#`Fr*8?DNYyoX@lPqWX+sy5#=^bLhxJ~5d=fl{DY-2hXN0c$w!}er# zdKJ$D*2buyRFRUAIO%Q+=d66n?bX^6s0uM-U#ZDhiBT6E`irgQ8(`|I;s-~}fbJL^ z%$zlyN}VL{2Y&!*v1pNSR^cB&-413zibDE&d&jZPTM(%7HM|q_(KHmK#5I_nr0`fl z03$&fGXWyJ-%gIKKg_T4`-n>Karb4M{T+M=h9|?t!w#QlV?+$m_OCbNewcRmtsl5G zp9zUY`mJl-=r0)!;9Qg|F}B#paV-24#NJ!fOzs?d48dBXJvfiF4_l>d<*0;cRhv(G zOJHBZj@asx9~F7Tv+C+VG)D1~fg~a^s2qaoD2_fJI5YOrp1by(K0h)zy0AUls&A~@ zBWgrwTzdOAO%wsa?*!?A>itVtVakR5g?#*7@%G99_WZSS&xJ0%`1V!%{StAUlXD+> z$1<>bRm^z`rpKX&FI`lo!*se=qHb23Da^PJO%UiY^}+_`>kb5Cq!liMf^!AD}AcWzKN&4fs7@Hurh;R2K<2A zQH~5-aZ>(42?KiPh9ONh`JbGBs>8LmdPQ@KUXDMOpbG%YmVeVXv~5;=>;PJkiJs!B zb1oPi<$EG%B;TvlhRj1-+d-oD1dK-VHAWo--T{C_))IM)wx}#% z%3>iJ3U-gG3^t5X7Vk+>?aXyci{NRFbf4CAnky@lk5bEEsII&A$CaiDY>1B54;1S< zw1a3L)(fk1(BCH+@bJ{4l z^$6eD+#5qTq@b1E+cI{!rR`h z>c*ciKr;@h^gOOq>yfg*E1$AQwAn4%hpx|D7%U%r*j(oH$%yhR0Yg*8YxyUHAln)- z`+czbqVf%Uasy-7c%?-lM2_hLfdNHnGd}J}t(`b+P1JjwrlloW5SXWLw3LAKxqJMg zO<9Z*+Zp8+0+}LbJ$rB_MP=OWmzJv!IE!oGqr(ipwlAhBExyb~wK zyHn#-WTQs=bjLcz{X|=H-HlqLEl=?YyVdQl^wDK{)7xPOf#RSDz`?CJTtc*0L5uF~ z*o$etg6S$Hd868XYR{+`>7|FBOtaO-ls6&}%NubmL@%BFe)_elT2*CMue%0QbK2@wo*ggceAobit5z zdK7%AGrfO_?}4;bL}q-N4Vykd@O*-dpWW^9zp^FL!7|%snk_|E>gOkh?;7T}2b&a$HnC|OJUAxlc5CynM3S8B2*KYx~mFPQove;LyE71tcdz~{x z3Vd-D${;GiS$)f6M11e#%6CQEu}<*^pq-68zeB4(@5@(@{AvwHx#0NBVN6uB_h=OF z6BqZ7-4^aNS*03YUh*w+4}Y@J8iYm@Ek}%;EOJ_2Rb-^AH2=rqvIzt}zCY$lK;=&6 z-Rl@1_wpi#7*r*tgbFAIF$uOFAEtaPH=^f&*ttGKI9m`53jC~VzS&@na<8I(|9$pW z5Xmfkya<8z>L+KzZ;a$=SN7I{i?7Urbye(!gGPWEfEUETd8uQaPG8A(5JnyCN_nQ?;_Cc51<+h)w?NwF(8DoZ;Xrn*N}<#ZIN%j&!%-RiVG`&-Sn18 z78OI@-lAAyf^w_6Te&Vi9#5nmD?PnmoSVO~pqGUYbP7YiMWX0osCTK|^8Y5fKV z*3@nY(ZoQ}{_y{c*o0<>N%p>5i9IYXUty~T7sE!}IeRhO6o1y>;bOkTp?y+^)-6P% z*$L}weeb{QMB#V;J2X3?Z4kByM6(lW&1wV~#wq}5As}_3S{}+o?MFMLVCFS#wMAG$ z!m?t&(r?26|0(Eq8h|AL!(XK2AK*m9;TFEQURCwe2z)Ejf@D2IPWcD{z3?>v23uP1 zEKugj>PX=Zl^;yM6(YbLb-ZX0^{}@QgN6&t`NmW*bI0uqd#`pDd7wdweXD{qZ*xiS zKDYd)bYnvtLl73`@7Kj{3-sPO{2y5H0Fn1(DhSZ;d{_yZ-Z&RIMMH!)%Txo4?B9(fkoMg}OAU zEEtPSjg6#_A%%!7H69@FQtSPw!iRb-C$DFW!7Be6ATc&p$$>AfdGnp&gp%xZLK{A3 z>QO$)hBaOZQcYL%gPj`C!Np8hC|1XW4k)m(etGC=Z^ zb2cAK3D(nN4dJV|M{KU?z3VA$v+k$HKJz9<_Z(p;C#~N|h}@A+$>qQP?n;>xU!{q6 zPS&2~bNHx6F1GP=98FhXe$+_?24iRGK75m!dW8M6uh&au!hYp3^zA6d?nT)zT5-OXCAlZ$LiGCCjA4 zXzzdi(07(svv81k=`G`z-~8Y;Ks=O*=$LY)o=vCyX@h|eO#qvxcj{?X8+JDdux>-r zSjkk{#~%nj5WYx#s<=}h-dpXJhuFHk;mv~j2KQvV;v~~;)xT^X_44SD94W5qqfj@| z_NmFP+=00@+azCC7U=Nz!*wGGfC2#N1W{%oCxQ&IxSoCSI9LU;?0C)X>~sf4lpe~ z>Zd`RzOOS6s*m>MaWtV5T4YpMw~X+sg4g0)57cH~bFLk7x`( ztK{o5A?MB7@1+*7a%*UGpQ}}j1(V@h#^Jvigz0IfEJrmw!<@9L7HU9^tq+By2v z+8awrXvHUGp8Tw$$9Zb?qsBuu4YhZWBK=zxKO%@!@4T-_@YW2F&y}$dIX&q&r7g>x zB*6Ltze%y66leXSvZROB9F3^b-K$UnJ-zmDugGZ2*HZ^$ zcU1*%UT?k8`ka6xtx0XY_Xcynl~P(oN-yZ!E74TG2w{~w+4&bV(gAG@UWTH5Z zYM{#E@9?45Y^jSmSZF01pDZ^wty3OWwD{PC*pU4oTZ!Pg1 z0D3i)x?QCLm^l-N5Ymiylv{pvmJ#)QkG#2Ngb4|j`$~qmMUX}Yy+825887;lTlr!;YmHPqzHdWv$)VS`TKJgP>zWR&=9=j` zuHc3j-968@lh7-aGBBTO;-7=cHISk9iqP`3%)|dtfm^V4ybgS2zB_%c*C8TGyYyll$)1omEJN) z$&R93*xgF-dK;7aN1+xgEoe*gFS@tNomW=5GX#XazqbQ)>X->piQRN_>4YK8<&Z?_ zrq2;b;qZ+F`1B@sEnAbeV-xt9ldq|QtTa=woX6Ky+B)_WosdOEB>UO5cCJXaJ7aQ| zCI7cD!sncdq!}4xvW%4{NW;~bdma^wjo8oxi08b~EKsUce`#fmD*Y2X=$t>EPM(OS z)tuUO!^(m~0)W#rDd*Jw1nGVuigqhp@A!VTy7&iR`v;(S>UPES=CvBM?r=H7mFDtq zcFvWF49TrFV6V&$J}ZQ_x2|-6nj9MDq|LU!S~|O~YdQxdv{_;1<+X;ctKK)mvln`e zR7#CTs#h2G9`lJdru|5@)U;rYZ`|yp=?BnsRv4I2*f5cxa`UY3S=#69=lC!euae*6 z+Of5?QDf-!N@q^RRVD-17&1QlOTZ(xTB$cA1p8_XBcD}Q994=$mJ_~St~>-^5>%Z@ z#1K6idsExcop}ZdEf}e)w+NhRLOzXd&9@#V?W7@FhifZEY&oE=#rk9o| z`UkRbUS6^<^=aNETJT0xqv?L~v||dfwrUUBEU{me^i^HxK6ZjsRR8ZoIT&U~w}P$L zlVj$@tz8-Q%}>dusI`8z1}Er9ISKU8VtiYVCR6eO4u9! zQRCV{1#y;XQ898hnG#w|k$KYR;l;o^sD$DF8HX0j^4EMc2%T4ldCNRSsAy*OFELIo zSPLrImd?``X|TupvymsscS`EVrwvV?-AIU0g{svx)`h2gD6;C#Gyui{`<=Jt+x$Sa z&?1C9uSwvY$(nbTV3b2$;mB(uUoXDdd+h8l`U63?!f-zZRLsSRSEj7qT-E)Aa8bIm zD;=!jsL{NpTl2`a26j-u?epkKo82_rJ@Al~k1yT;Yy}SM>#z`+oqKXTZ(=*;iFN<(~Hqcf>h$ZOQ{W-y?36+Zx(`q(~a|)s=JG z2g>w3XITrB%$Y5_%Ghlf@nT1OPaD6MX;6XEX3e=9OKK+wROkd>DGdz2T+=bj^?oyd z7Nt;u?iS!;e?u#TCm*e~8n@|ehP+c`@G#Kwi%L6xks%jcb!FofJ7M2(d*Jnwh`S4} zA8fMRA%V^qPZcjbIkTe6^g#ONbRnr?OZx9{6#y|`0t*-@O=YkZu}EY9ft;IOyGz$! zj>`T!M0nF1ZI{N%9P?L3&3vC;@z;2(tK)LKJ{ARW#9W4KF0NIhXx4FJGpo3`cSImgEbEj~~1Qu#J#Wg(m7Vv6_u zf+a|rAvWZeuLNS>5Br*?_ACE5`iykYwo3POHrvT7Mh`@nLGA!?%v!W_Cnj_O#e z#<#v_oUQ^sxc13)_%U%YkV4EV&h#Kq#yKEhao>2`SU0;4r-#~A2JV2*KsYDL;>$J= zAWhH2e9UmX+s@`kx_49x@@0w6g|Itc?SFVTOeo0%A z-c#HY5RX;vkY@6zfT;8mB30y(G10DtkMAdpxHKDD$X=WPkA%}6G+mv>i8`(_mJ55+ zZun3eBOe_n*XA1Rz&3xUeW^7uP!(RTt17Et?FqKHauR^-Mw|te{US8CX0{EwdB2$6 z@#BceGJ%FKwXte>fcE@a3kEnf!SWWObMJn3O9+4UsU?K^8~a$fKm!4S+le5mz&{Q$ z{%FrSNCi~`;&!7#cZ`GW>Sm3yVLHhleCk}g_{%+PmtPG|06j0$V(LE@+lW~NA8c_| zDl0_Hy77~V|8 zO~&?^@onuTY4?386D~aLT%GKA&!2~wr|mm6CHb@Z4|Y6bG5z$tJ{t=i2)Wy-QhHlD zLep=kjp}CzMATL2MkwSO#Rcu2YCP)pFB4ech&VSg(E2eY1ZvZHpCMZe>z*f9t^2M! zW1ay}+W1aePSr@dtl#5^!9y{~_FA))Q(gfl{!9p?+gs{5xp`fU5>-&Yk}efe@3nhw zHNzEI*Zj3;ljG3C^!b33BHCm}jsjyGmED!b9L}b9jxQf`_mH)tEj<$d|isjN>t4?cZW_s z-D$_n+V&tncmWrylrv|8g~1E2d}9^AIO5C-oa_klv2-QMHFGi}d&K($JlLnEK0_KG zr}m^p`5ERI_bmtfhy6nLqFef-r&W1bEA$tGf$UCU!T#XBvS-7{7M1QQ;rMOZ#E-urB8<|temtb#e1*xnG*?x*!HBOoYwxoB z`eq0%TVdAAl6oq?U=^iRFsMQFNa~q*Te*SK43Dc1=Y+=e=4nOsW0f#An2xty?$I*5-au8x zB(+^)TyQ{N-Q@cf&_dY>*{G-}Iza#bf_hDrPVefjukf*Jl(&k#@Lbtu`)-7|TcZUt z!oD>uU>fiCH^8%O({JMvo_#6xL&&5${bC5M_*~95yG5bH$NKt-Y(MPr73ok z)BqV=O^Dz@ml?Z%8vpEjY$HC^nknulHB%Lp6}EYQF)^|}I`agFG5{@GcB!zOtuxm{+2(e6Y$taB&4ZT`YU?o_pL=&a>(M4m z(z>p~e@&QFgeJMToIBO=j^z!wPSH+KL*bntktY3t_8lf2&Y{%FANe~5iVAMdZ{|9} z(JoPxXcwr!SKgv%7by4CB9qt1*iV)(8V&`!;{#uDuux^8|9%kivQ-rABITZ1y81dk z+tgH0Mlv2o&h^t1u%@}6BG+f3Cg-R93YH#@EO zB_h>>IlS_4d@?l^InS5251H|AY1q(1zmzb1s`sVK??GDR(fpC;{bM-_9lfQ0I|iY>45%|Tiy~G| ze?M*O%3SMbrDtGtmuAr%G!broQfP>Xcaqemefe>44B)*@6<^qgW=I$oAtP|Uu-50k z*ZC`pec*E5Y(hb+Xi3B^Aq-sg}xqv}Vc|c(mD~tEs&63U4AR{y-Jrk=;Wa#>6<~ z&0LIr*RcAS(5fA6SKj;F6c3EquMe>-St8scysg3TBeVe~Nq?x_^iHxT z&l0HY@NRZ=MdeU2MAAZiwl*pQ?~KR3r{!sDNy}Dj(VlWBCm)XnxOmaw<(|#&29ZQv zB{QB1Vhgs<9F108(Q#3fTt5SGv0QS9aZq2&I%+Dv;Q{XZr_?Z;y*zhXiT$iCZ(e(= z{%CCp#2-1If{o*v<6S=HG4P01<7;dej5yoRBv)>k42gFw(j6-(WBW9$;$rpSsR&=P z{1Rb4CWEP`X2Pz%OG|-RMgvuBNq6BBsFmgjT~@9ME#MkcnsonKwH=zjwA+|qIAHIP zYgAbbF{fcU-jQLH@Sw$Ei8pkONrjtW=~gy`S{H+0+<25cX3^aCq^~yub7Y^tWbUEf zFb`af{5jX!?Y4a`+fw4XvlP7`N&ioHHC16v4y~el4&W* z^GhsDJp+l=^)6PJCc*DAz%J2ZjSRZkKTP*y5(s_ z;)I`P6IxMAk_HYl%D!v4VTsw{w$o4wd#v@7h)BSUDQOPH+MPu+dtOatu+z?oR11e~ z$b&i2>sE&fRQ1R2uqin}Ds^K2>u_SXYODLv@Asps;s@Ft3%fMIVDG|{BtYl;Z=C=F zjHnNF%aS81wJNFt<16EHl4SIdWFeEh62N<$v|CZ+cyKP-4B&V*;#`~&$7m9q_r1Ac z#!^?*!-hnO)(JBo8;f-RZ7sA8jr)RR=jvoHD#?4O=9Pshq*}7JTs5E5-oGfRP+~9- zFb=Im`N_{G2+a#ci)$#3tK0J=m=@32;V`Og>yyY*wsKLB7O1my=RJFm^PK|YR3`|i zmbdc;m^}q6#n%%meF_b=2S+E43BpyU8)HihHI>JKn0Q;whnBJG;CWhRPR%6GG-B>O-^^ zEb&1DLe)7thb>O+NyXo%8}7pB&7~}0FWPmOp8^2j{%4q@x5AZHe*i>4d-xc6Z;HCL z_Vswd^>Xm?;z6JzCri?s=#vl~W6k&;0!O1a%%pYE2EB7)9Kr0N#%Zt3p-n$Bjq#sJ z-yH78>1JT74@vs#=#^T}=@;h1_T1d&lgD5DTzd0^mDrUf=n^F5A+73Nqw2In?h1}5NbQ4;7l<9l?g>OtM3a-+)e7KKx4)A(M``lm?i zk7Ywe)(C;V?thDWvtqvKX2m|mH~AmcbxIZ{r)cB zgz|IIy-o)aRK@)eb0WPRPtsd34qHaR%$C3KekV}wMiOFnPu}t9uhqZh{qv5q2}JeT zmEU&UiR4-O{k`w@MxWOm1xC`kJ+ZYf<vKQQaO z9GyOFn*7+ljmsuLJJ-zLC#mQeKbd#wAikfznAcw()lRNn=O<}S-;8)F8YSZnWO>Pz z%wplcC+GDrrRdi#6{+s7w)UKey5&e?L-?m?0VT9;I6;EiOj^jk+*CCm8x%UtZ3#_S zJ%|-**ctzMxV)Ln$}Z~MSmcpIEOy*bNE|LHw%0NcYM;}7eAf^_7~Izf#R)&W|9Qe$ z9z#<`HBL+)%uFiv8|uya2hh}UpHS&(m-*4MNN>Qp2(}2*?|l*1MH5Zpi4DL|ZJM^V zvp+bhvaKv?t6jYSg+I|wAlx9X2^&6SU_hU10%)44Kx@|y?Cin0ZLR1FJlM4oe1iCD zlt#b|87_>VY*fu309$Rp$ptpre0Y*r-?J(RR0?WgDiu?>$b70~5pet6koJkyuZMdS zA+H#5@%+AMTlU3Xv1bXOyQDlv_hFv@y%F1AySL4M8mXWEPdJF?v4@fUlP_=jm_(g9 zELckLQHLD&4{U_Jrfm)`F1pvy?qUb;W5gOpWA0*6iu?Sn;Yl+FVM}6{JV`J=0R1WR zml+JBl>-m@$Nq-{nW$=$;LvBNvX0orn7mfFc%vkk8{_Rn)d zCgfIbNL8Xj>nCqcWJH|ElULFcL0lzWyZf4Ue68whd?Z#RAW0S`_Q~@~C7~Im3T-av zXO~ZvEkLfEXYLj<7%fO?ilbW{B()AI2|hs#;pKZ&nH+qfI#JFu7=MeBJvL)wAXyHj z*&nlmKyLMnJ}sf5f%s38PCZ6UFRl5n-Ypk)sh@(!72eL{fY7v_9twe!x+hhm_Ujhi z^s~6jzh{p(_PDCQ^1Y&WTW@h)Mi@z~-aa*cFwIx5MgrO5BO4NuGM}!y5`utbpl&8S zL(z=_&xpqJ;{p~@HWus@n>_J?XmEuf!y|B+|dZSQ$@x;@FhbkhvSxV@` z51snAwQG@@dB5AMiNoxwKDNYblD}9?=#r+fjgtm^jN$K7$=y>b_g0J8rRX1!;bK#r zdyYL$OWec~foh!~<+f1TKkSnLUaD<%JoWX+fN#c@elT$&^>p(pJ~eUuc0*{{FE+MS zeHWL-tfInoRgr>tZMyw&&n-cWbaypk>F4>#g0QtvwA-+_s@$j3JcwbdU@;(x?ZG1s zY&D5}srBmHF_R0X7PP9u25g}^(Q8k{)0!<@*fukdSBzrdtn!I;61;<*a&bD9ivh#g z(py&L{Q7oJf!=Sd-_;%8hO<*m8x-aHWv--MiVbhIx>xMc31_9=#U;nOd-+i&+?DXl zw2E?A$V=cxo8#JJS~x2$VtdEI z;_Jo-%12{d9*7#Zk$dv&2APW^l)UfI7GLJ?tD6hUtwnTAcSBftTMwyv6mecDD5FYZ zu)89!f2PvhI(X^&A!tw{)Mk3}%#Red_hPIRQjEBW%)CI~CbF-CCEye@J}3yKBS@58 zzUnQ9ZcvCc-X+x@_*z~+QQP{=B#GRhkr3O4K}$I`zAidUiMADjPUUPWy%tAGci(GT zibc18<*7Tkn?Gzv%sQ=H_)veSKjvADXq75owwcF#6LX>(0RM4HB|ZZPOiaf5O$cRp z@P0e6FuwiE@qAcfZ<<#9MJfY?XLChHsL-M=4xlgaAmjVEr+;VpbI#8BiEHeve2dS> zz3bwO%&xc}V;8PLHf!EcouEMu$@8BmvtG0E$nr-x46-*wEE39X%Jw`yI0tUTI?42`-KFSEM#i>+$| zR8>1(h#SeOK;umf0&x`!^r#({Fah#M-!K9)B7OfSFCHdVzF*9|)sQBA_EWyEmcj6{GM&A{WpStr3 zZaFsE{Q-DytTg`tz+&$e)xtXv^M3%!dDU7ucx9JGvkM4BaHkX}?yp=1S8yuh4fFB; z#ol*DH5IMf20@YDq)Al}lq$UwLFrNi=}o1B^j?D?QluydNC)X9^j@Vm={@u=C6G`9 zg!s0%jC;>Ho_lV2_t$$PV-FY^E7{4)-fMl|{N^{OYs>7B@KdbC7zqKgsyq*Ln@B*D zZvYi1*l_v;`cL{_YQ3tpo@6C;iFo(|pAt-wefs3FQUYlPlvS7>*c}9tIbX-X8>9M+Jj{Uh_=i@IUVro_`6% z11}7?lNbdytewi;eKpo;E5HG0KJ_{<1f9@@eP<=yh@3<@Lx}T>ykJLjJerS$a$t4B zfD{1+O7+lzJ4qB<;7w75zEk5mIQa>Zu35_S=@+|!@D+`c1y%F zZea{&DH`SJV+@U)N^}p|=h>Ri6>E@de(d9tg30%7#H$0;VjjRu%k~( zk*-5*6q7QdA7x$lX0%Uw8g^a%>M(x!0q6~AIT~*mj1StWHdiO|b4N19f74^CwDlqM zSx7(%_YJ{*AQ>{zMdO6#$k+z4JtKwi)(3l-J9RO}Q+}SSdnvO&z;=j`njAHxgZnX= zr9SP)Z*lz+YD@7u(oBei7FX&BcL@0+Y~CxzuvS=ufZRYz`lc?~w<^M_TQ2)uF6!7I z-LH1iw~%lkBzV+J@_Ge|`}|6hOo98z_MxE$AEkd^)~#s|4W-YatlNNh97JpUbUp^w z!r0pdF$?nwpv#Nd=j(4AbBH&u=?C|$X8gDsY{GtLU?PQNYq5ha6O=Jno7}Fh{YoHV zSnTDH*A{j^j>Yo%w<_|?n?xZ)wtIJZ!{Ds~KbLsMPr?r0@>GY6m1X-@hwQ(rKQ=IN z-FoF*Tf^)U}>&OG|w7;7OQ{TowE-| zltuLQ0=5Pk7jYh5J+Er0g`7&NnLdz%#ulbM7;0(8FPf8mvH)ixn_-{0gEg{i(2QY0 zF|10FujY!U*Ld4!nLJ$Wlw;#@QBY5yCDJt5t%=)~+hCfe>}1ZNb&ykq_?ZjqdrB}T=~J`J?NRKJ z!&d%X>R%vSw4;BPo$$=vnb56j#Tr997IJm^@l2A9ANh7>L$bu)M$z9IuO0P~b-~R_ zt0{1Z!Ne&MyYbZP@tg_faZnwDygln{?)ysL9!PG@x0FYA#Z9hwlqRm7hXU~wq=WMMv)x(D{vn0FEwyR$%cGT; zfb)y6VSZ6s_VP^Pt;LeFISz8$CHX#f^vkup&IOUeFD7+m(}LioJB#2g!)bmB=jV>K`Igumw+A9Jj%9{*cXP$pr0k0_S^9vI+C zhIC#RTV0m{LR!B-S0cmeHU5e4bkF#D$GN7cdDlo}s%5oMTgW9zgj*EHNbimHF4vt_ z>kkKOIzh6muhdrY^Jv2&RLLv5rA@h!5gYlF4fwlzDMf8j2e&3bwBnekAF1}=Lc>r9g_ z);gHPHaVs{Ce&akzAPzk2Mhz-r~G)a>0QpDa1@s?ctcvldHD_&b$1Lg4AKE!=Otea z0Fam4HCt(E%@@9mYHcP{-KYRlM(Z{_H`6T zEF4x@T9qjEaUyT61zK%tVmoZJL~OQMj9lE4rUe`Y%dR;RT9{-fn8yUAB$TTb&kX6j z;BOXOJno0kf}e0kqwrSYS{-e8C){)H zL)GG{`FVoCfR_{%vZA~5{1Rv2m2KaOjkh1Ln;1PTS*)JJF9IB{JcVL8et!0rbUqT>dbv!2C`&=ml`)c|M*VyP$!2p zlZPSoOq}6(E?&D$P7>$fRiQgr+9oHkCaIS4dfZJJi90OO&?=TTGYs(`zf?!}o&P^Q z|DEXxWm!FMX+pMrESp}MGl6k3un!2--c_7d`CvaUYMfZ{uE&$p=@uTGGadmxtYM;F z?mjj!-c#KGt724}sm7fr-{?Is@qzdCF@O3y5g#t+pDq(sIgqw)i*V_P<69oA3k|jI z+v~+|`wnLTNv^bm-p`dZ#QWZJU!&3l>NYQ$PUq(l6>=ciKVB(7HCt%l-YXY?2M1Ir1M|k_KE+Fy&NLj5pu0idLucm?ZqU+nBCwSKw zGjV_W%OXIdc0Tt1Ovi-}*h{Nch--(WrKj24Ff4tZRZ3s}##6w7<9VcB71bL8awRd= zscySqw_W5j?(Y{yiYjrv+Vk(0fhZlX;J+A{Kg_(XT}m`j+)Y}Cz3F_9N1Z{}0XJY9 z&GP5D2`PGbqv?;!1+h-Oh+5el*#(mLuJ;X+?#A^DR~d-p2vE_V??+sT#TXXz#|*Q_ zReW^fR*r+mo_lq5t8XGeAqFdp;>|AzoTPQh$v2Qw9xI*)kd8mYL`1QZ3+(w_T5AZ?hW{azE13pY>(O|uWM;kQxQE)h-E?)pe|>BKmdJL+v7uj$IP zD2rkBi4NoS;%M@Q1U#DMN~8ih>)*QTf5wX*KbMK1$#G~;7hcF-u-Y-o?-s}w*-r`Z z6V}`5;WSGEPbW#wP$zAjAB!9;W&n)WmTP!vnw8Mvb(ipTd7(F3Qpf#=w5)O8X)=jT zJETRcr~C;0og>D}_Fs_|=w#llwczZ$gwC_+I;o0Sg=QVJ&HqmLYS#vr` z%X^zJCh!RtHb~!_>2cpS)SL24xy>>Wk5Iyd=5@37xkeBdL=D?G~C_!xNrEe2GGAf?ni^F zGF!hk?DBDL4pJHP-3#Zs|6xh-d}qK+Z}UwjUATh`^YQtU(xBzF-ggd?@{M;G*~yC*p zk_|#8vVUk_Aaw998x^3)wK3sVESi(Bs`VqXr_I`P_>@V2wBbU}ss-ee-#w(>1*meQ zp-4Id?jT9dNX};FF=NhW4@=u7yJC3<)~{FFnS5J0MY>#3SF5eDesWOM_;S~!5k))0 z(3fOEkMXzOI{aqV^AB2`oMd*Mqvo?A9WqF>RmaoKv$9K*6mXm~<1CBgXM}U= zD`X$5&dYSt&<-%giK3qc)DCkGXu-eF6E9p=*xYYlz@w<76=L7{MJi$)7Yg#_{V1uO zg;IEq8AY5&J7uCkjS54zTy1nl!zu;SV}~I#B6Fu6Na){FAJy8Y5)=#RWmE0 zcS%9nHI2?_{=-!}8jG19y#2ah}!Hhs1kJDMPOP-Uu! z)yfFulHK0U_|o(+h|wl3TGZ%-L4^@?tJM|N{tF}m06i|}nEoeBie9eWcSXV&`j0|bm+=wk==RqkRHStjRa7oQzp_8oU`Ph zLAyI|W3B5#5{CCbE$`ixl=jBnTKdZ5Dmi-V3!}j3L9+s5=xdLenT_(q{m<27^YNOq z334~h*lDoBSU>RrT6=$mLKUG5O;iY)c!^C=Cfl9o#JTq4Ff#6saMdo>im0N;#MtlI z890Z)RW*=H5vJeJRj(SL*zbk~zhtY+wVN(;&)W46kFOMq%B`wb`fLPJj?IZ&3662r zZ+(oUhut-pw`tVgDlT3+G*t1@2s^4DRN)cs3U=z3O#hzFk=TK9cs0sHb=Vt)tL#+>Ph8!B*W2F6wcAl z-yPCUV_YDHE4nSY&8dzGF0eKc8-I(ohsxOJmIXmiCDyr|i&D1I%&c%8zD@mf$N49x z)?YUF+D7MG`e3FmohI*MaiVnXGrvS8wN7pmWUS3H<%`}5lw$7);21?4=RvH!95w4E zxn*EOWXxGTP6NQhhm^>B9<4Af#2b;0H+}*a-|FnVTWqrBJL}g_=9l8(m>^7-9myw) z2dZEQyr>o|BtZ-6)G#K0N})pR6BS76t^G7o+6?VQ(hQ#N-;_a8U{vZlz*ipu$}Ptz zZlX3}701dPnp;$Kj$6A)5_*S@zDQ;~rZIYiyTu|^Wl;Uk{cFE_*#74DM{FXH zTg0DI8?xf16kV&jT51rwfc?#T=c!tA&wWV8)SbUm&idaDSnsy%)Oh&%zIGxR2=aKS zz+h;p5YUU}1`@EvKSsVTe@^=*HE`*Wlm{ar+&@OY$3@vG&xZ3^fRT@Dd-MueGR%y% z{un3HAO5Yu)Sn~1vXr7rB``F`Nl7z!(Sa0gEc&bcC3>oSl<~=_T5QqM-3Wj_OzL;PVhXKag!(YwEzL7l<__kBKg!u9 zaHP9%e*Zl1eJa*Mx>ApTiGC@{qIx-u!k`S;hoEydcog0~wV75go4hubgyTVLw6B@p z{Q_~{?%yEEx7!-@#?1K^FMGFHQyZjh6goFS(x}tdsEE)io3}gCQ*NvWa(1lIKQDL% zi$3zWa7ROrr5z(GTsmLTziwXU9n|>+;`AFxW{h&ohFJT@Z$fGp`+eEJ%5=8!-vX`2 zK%lxch{cey2p;#Jl3mU9!F``)=xEFtW_vvSvfEY->Js_%Vd}0&i+{_x4Rj7vx@?BR|G_F?j(PkIhxoO z=y4w8R_J#5nE-BmJTG`;#OaqrTwDWbncN6|7wJAMn2K~4%aW*JxRzYrMBSY%j4B>d z%Z0^sH79!19iagN0t#5aceRnG7=pGdj;6bYsGi}0wcSDOiPrXjM80l!(vZbB5SWW(+b2{%7aDpS6EJt%3$A<;X4qM;KJg&LWs#~JD|krQhYJ)F?Cnb@4}Ur6egO)is<`%tUd6ws7tC)_DtS2c3h!B$!~xkP^IXvP*! z)3U8*p4)3` zKG@t~w!#OO$xKdjM0u&qu^zHkAC$RY&~VTsyPR=wi{<9)lqRD!%}%ckukH@FE%-B5&*=Z8-hCSC#cw`~ytVW;buv_tLO4s6RE(+6?kL zIaqIMX`G#oc_7;VGS`z~S*UUTc;Bs+2r<;#%}Z>P2s^0p&0qZ4HPCA)Rl^THNogS^oq;e^ubF|?_{LCq^}cAX(}`llV8rHLpxhPs4kOFg{oa-e zbYc&^MDc>-)|Sa&f=%SUDk@*IxZkl9KacGzUI@7ouObc}#6{W7?43jsv+Rj_Y5Tvp z+%k-~Tp~~NY%-0}3Ph@R_+xh^Q%=YG`mf2=)z8=e0y!)WMU~L_#VI1wU;1xKXXE17 z0_jUDqO0wQd;(m{cMh&CeK6TvX37gEyb|c~SQn)oz9nA7S#kha>gqE7u4jn=^(;=H zo)r!yqUZ>qA9YCIdpar@CA>M>Gd?$`Ku}4Jr>QN|^F;KF5&+zO(_SgN0q;U6OBdt) z`tj;T^2%U<2h=Nh|A;B7H_ZU+R1@j+8mI**91#IyGr{BU=JRu zFyHJ9xI>-;Kn21dSakBPX|E6B16W-tAvA6&i`G|q(uYW@H{l<`d^gFaZbY6~WhzL3s zaYnl6bjU%wsI(CyN>5Jt2`l(Yq1(rFu)w+&w()e6`mW?4&TwyPith*f2r;AZg;*>Y zHfbjAUtbGjXNUBV(H20-mPsApL%Vb5NBe=LCWWg^2J?*;#Csj7itU7g7aBwfNYh9M zT%Oz$h#E|Fpxv>?j=%DZ2LCicEMrLW0l^?~>BaS!OX_A30Bzg(1-c+^EP99?kucb8 z&=w{s;as!>=;!EkII zBw>?i@&oEf=t?<%~z>N4fn15w6YHE!mNGZ^>BDILJHQRRD#>-D|bn*mrt2 zYhRG2IPo!cG4_70o@Q{Gf_dHB-QuJfwD)S-tz8vW1)cZH@=s!irxdrkDn$^78`!t(R`&C z-c(UBdU%I%dhI#S+8|+;aUux)o$RPilHP6V*nSh*0;UEI^TaGoCKXviC&;mZcdTAM2%_8hh@Gf|(fJ^gZ;5 zDRz$_M-BaRG0Ev-H1L&wDg$7y>F*zi!g9uLZjVfEOWlIm;g*wu2s576g8+*S$0w^a z@Y15z1WFc74kyp&SNQI)b@1I5C+0H0MF6nU-?Jgv47dyFYXCH}UPBFkfz(7O0oEF# zcpK%sI+(w;h8d8O-E3=<`hJ|}=SG+Fc^(_k+yH_8P3nrUjB*!nUzVnube9W;^UuqU z5S9BwU3vKEIh+ElTnaL8D7^x)uEpTnFad)ms%tZT4=NPuR|N(Mu>Rl&1`T(`D!ox+ z-KuPM#H2u0(`ft#y$gF?Mb*p|q3`O+N#u^#YvteYh07v-mJ-h70&wt=&iLp zoB1(WoguNWg(zFVOBbejnb>hl~#`6qbQ z$cqW{e))HzTuOpri}~w4F_XyORc5>fPG!ulTVB^RVXgsnypOJD;EVqHy`6zGZM2oj zi(RIE;y@5WVgNJN>)&d3rW5@lJf82m?MldZq4yaMM7;m-ECj;R1PFL&gb)dt{*H!R ziD}oX#(K@=7FikQQjepT=IiYAGtwD1F$@JQ*d0EemwNY~e~@r_?AM&Jc=dKZdg^x_ z^&d@^jj@-VQq$Cls^->YKjd3Uu^C_dG3nTdk z_PWjicd^HJ>u;{aYz$>DkcRyPEW$AOD?pLQ8W2io_?sZ^e|?y4#}eZ>nlsDbCyc0U zPH^6S>7}%pQk^TcV^TQ#)*ElBJ&7)9Y{W>|snRKttI~(F1G{_q63W9efl6C9=hHGn zx1H!(cENvrqy6D?KkWc`+Gv(Mh!x6FwQd6L+K|BPvQ0C{H^|rPqEzV4L}KF;-IQY| zQrl{on{%=JCgsQLP46OdK&4RlCDt)^^lVAlKR(Tko|e_GLXMOuGef6u>(63W*ZqfL zD?7~0du55WE4}G%iKm`u4*)^+U#>k&e`9j~gh89~|FLm@iBKvc_btwv(RM(@E29bq}uNl0U_7J|I%^W z*iz&(f8$4Ix=@qN$|m&{>;N-Fa#3G-n&x8CtkG?Y+bt0XG0y1Qrav%L1)#siVg9Z- zWLZKp!1uZD5%LRULJIj=Q&tE-B3{zHzQwZ_rdCvqX&Xw8byjiI>06WpXwFTVf#?+c z^&rkI(LAEnRq2sqb#zSBy0ZPM%}8~dAQ0hxM_yIKR9oZ8?i*`vNvJ25C8(K$&pYb2 zHN4zeV|tf3ly2SEdmB=}9(D#+M^Ww0HH`;MtsK+PYH~Tf#fW_8P5lLOBa8Hu zoKl6zG_V`zHUUm_oGr#HIHc~{4_4R=c#N#)8xJmcaWi(kBGX@*Zi z*A3~kR^cA#`7THKBuUvf*F`Ywx;+plr!(#e0|^R-%C^tVW7)?6n2AT)j)hI z<}5u5@_NhL)V3~`=*rW6A=fopAo3(zt^)I8tb|K)L}b4f+1}=`IRBEzc~~GiKD5?U zSEIFXdDARq4{udl+R1XH5b2 zYa-dt)9>-UXlCn?kU8lWvbv(ca5BLUt09A-vwl$-CldkiIW%8PPwAcx80I5%9h$W1 zU$mqs0$;3ii60gn78tKixF=q{k=}25Dx41ZAU!I4`z`&Nu{BRR)Sod4v8~$r6?3qx z5}|b%_O4qGp&D7sNK+BL4YrGyybAJ3GMAVaJ!i{qL~O$(AHB}1nK^i+$C89@JMCv& zC>7{|x8|4m{N&)&4vzd{+RcVhaU4oorZL$wc}j`MxTv^$nzT`;d>=VmRY|i!X zzB6gTQabwQ=Y0E@5zEI<6Yw>i-Au(jYnq|H%QZ6zd2q_1C9lc}3>h8VrH3;m3?9tc zRYArfo=Q649Mz(pi8Dn)doj3k` z9zF8(TLWcP@b^pigF?@uy~`ZEXGf(D(xY=+cW{h|m0pQYA5;{#=lZ`lQV?j_95Cqm z%(LE7)xRAGV$G0w!NIdPx0^mP0jVGM^8C?~*qlB*-4egN=qewvo}ug2{9^56e)?;R zoE_wiBu6eW5*CIQ0{i*C?M$d64)i-BI@-}Z*)Xw2iPd|yD&ckgM!M^e_*YLg`k^y4 zP~93lWH90?e45s}9MQG{Jx_7dW${NcbtH(?Q6(CbB|76o-3dSQR-4ue;-|*_3@e>S zjmXrG^0?PKp4%jh-_A|x|734g7uDp+)Y^jU!$?9J>yT1)9s-gST^lW35}l})5+lb&K`TQ7LlQ@OTspYh%+?)UJS2t5 z%zpJ=*C>Ek0S!@1wZkjaRu&rfO}4qG%5O-DccvlvbdUEp)5r5$hUC& zHA7C(B~9bHrpGe9vY8d9*h0C*s8SIG9J=)3*^KTvft76~3sGgP%ug|sWzmq(Bd>MqBgd+MMxLjrd9Nq8_+ z_*KD*2U`Y zos-8zH!Z*Jy6M1_w^-!BfcwXM21I$cAd^@ZLw>kl7T2Q#o&}sk5|>4WC+0Cr!exn5 zy61ybTlR%*Py?V$nm$cJ3p5NB@Xk3p+`Cds-&Y%){eHbxOp2TQo_s7wtw(-@iz2oO z=@}`hRJ7*-AubUlsj{KA?C^zKdVBOcWzv$dgjo^P6UK@SIl)3hPu0oficyT5zD$Iu zpn-?(Ds*%zAyiC|&E{%n>Xf&8_u&>Vs)N?Cw)hKhGclu=C6^-Yj+BcAIqIwl-$ui+ zSj@+{c)VCXRgy~~XL8*GZckawG*f5Vo`;hg?cVioStusGN4XKD7lIQP2|P^k_m=6< zhGl4R2(BGl&(Zj^Xpz6fdSS6to-F8z7uiBY2-(^VsTD?cae1h3eqY|}2nq-=!;AidnvK-EUU)mVUQ3xE-;elC!Yb3BsS(fAhTb zz+1Y$chwX(b**^aPWg-5`@1>^ONjuu&T`Dd+_NS?|Lo^eWhWcMCLi|gfOG{@IVYIh z@6_t#O5*fmr>ea(xmv}CG$y-;%vPUBq)*4ZtPJi>eSvaUCnVM$(eT*ki18^tb|P_- z!6BQxi?n;qt|a0H$lUMyo%#_eKWfTLh#o#W#gy2M$vHP-s@9lL&$XT*j%-YkE4=!L z?=y}^vp`vw9;aJXS+d$W>s0aar~I9(n_+R020FSka^@Z{b#4Kf5}x`z6Na2=U%k@X z%5m0j@#=^#4Q)ny&6$i?s#?z=wXVHPi}kYL-d?P+p!h(iSJ;OWop}z;4*F7hk!@k` zm{<1;#O9{J`{he=sy&^ZKhL3KfBO4fjJbe}VC}hvXaYf47zsh3{AM3Z7IV<;SIXra ziqb7BB(&^dW_Ap6Z|Y{4Rgb!o@WgN6rco(LxID37{la=f@!{dxCHO4#%ndvbHot;M zTg{1h8>x^SFDEA#c)4@A?-^Xtl>qWq9+`t?nVM;52~xt3vUoZHhr>#-5xqr6%dYtHINQR)w(>-4L&*y%6%>qzL<669DIb z&-|0BG4)KR(w1+Db-brZpFV`WNZbQgY(-aQorw~)-p+K}eVG&$!Amq$HaA2)H{Nb# zXGYOa?zh@!zbtSfdewS*NqbqbTdn&QZHS8UT~Vh%$-+1vmb$elz+G&)E0XoH-jTr+ z5#RlahPy~zsIhO=wDO`l_BxmdPSq)X+S#}|JCsY_a2lZqXN%(ZC}-bvktfLodH$=C z#=rk7=jnPgtzZQc4y%TCOex2o$U83c#+={3?|Fs)S*mMbH)z+ql@9 zc>HFE@=T8JN4J@Sh~$uF7$vL7wtWI$z~7wl-+^G8=77^DD&KL_`8xjF;PwHAw`PPX zv2KRxO`?Z$RDa~gI#81B1Oxgm$f%Q4K;NbG%ew$T-^ION2Mp-De4&`YPc(CXmOlafs017#PNwmI?MP{8jf%hYJs z$H#9ZcbA+tk8?rBWuJy`P?*gI>z;-n=P?lxMHkEi>UPF44znH0iZvETN*2G@c*1q( z8r8|rYD*RQ$)f^VG%|f+FJV-p;;%E#`E}iyOYQ?BEr?l(E+$#*mKuZN>q)XFcL5p9 zjlEX-wSFEyh1|0bc45ibHlCM$TaaI!X?R;YfdVamMYCnzXfPJRC})I0_6hPJKd&wUW9tbZibjQE zzBgK7V%q)%>TOnE`|uT}8$EQCH^^;EY2V38SN~yVK^;_mpP`84J|6KcJjb`Cu4^Qr z>fz12t`-h&ROR`3xGdt{F?6^jF_V)uD9raOIEl|ty?(FvL$cu1*XKkMJ#(W1r8K%b zonEYc?ICFpVJmPE_f(R9e(}lHBlsprk_RMVh!g#uROa40!kj{!#x|bR2Wn~*I@ahn zxni1e@28R%0mg~ulNbNu9-zgZAbKy>W*mOgH#V@)>Th#^jd2>?$i^X%phUg4Vh5J-ruSNSZ?n2dPk6I^`;5)TZk0_?5OK zTk zh_kqFi?&XJmqV$S)kCWIjGl`!Neo9ZvSEs7@(jyzQl`Qa_=!kA)lQ+j1y~;5fqw zYeHoe-zjTkoJr`xuJEpsEi`+72Eyu7o2#Sgp3mg-$cAS~$nJu*^?Y&yNqL>|F{f@+ zF#JtxU98@AYkgSwy=Ydac>-brKG4mP=W&Pe!lj9f_h!tSX5h_|XERKeq;hw_)(vtR z+WoKe-z|6qie65qH8X;1!{qF#gTF<(DNe6GLEn^P%UBQRB|lJ6zbOPG)|WOsB`U^VFG_iT zXGzqMs?rdQ^HCT_@Wvx_C0_r)I(@9t<-~(=w4G3i@c1l>0iM+xPw`Yt5jkPqb4#jd zp>V)K?ZuM1dzFqccm9I)wz4+;=EJ>5;Bb4^*hNDXA4)Wt>&=-cNx1-_M>TR4RUd9P zePv!KG43qZ#Hk5YO3Gn3#^{z{`m{lnF=|qVRk%(IT)VG8+CG!VP zfo)v94RZ9!HQvPrsNmjors@eRm5(Yy>1RsWblDyRn+3W*os70=_aE_cOM3e{jG7pt z_}6Y-jSVCc=kJo-`8?;fD>m*0Z>EZ44bbeX7^c+{gOO+m42!?aOLY{>=TtFqxHPr< zOifKNS&$0n_HOJqI8<3$@+VB0NndYIe^@WC4%VAW9%reG`tU5!a|5m;v`cos`>uR2 ze&$2Qj`{}^eh#(B@3kg)q;l*j^lu)WWp8_|@CCXkjTlX}q3YXCA3-*TEW(0{O7ba- zeSr&hBhvZhlv_4!H|zXzZmfz!IE5*s!~1MLrX0XXdC%1OnlmIumaD)#`_8FmqU%B) zfGan!5oc6GUIU@XMR4ET)^&!}VA|Y8)%m6K#8MS_4WK$r=Kcc32|~I7h`tbj{@83p zjURYfKhJWD-`R28Lw90$c(0XBF9eKpogqkP$gbuuP=E5jy;6wM##hC6)Fg7ahQ!{{ zC%Mk*%}8{o(Snz&x;#FQkYXBYSd)32?4qEwv|iud&sPvJ#KlPDoypqG@}S+ipGHFc zZM0}%+rNF1{HMueL8)$SCeUA?_@F#m>XH?*wB$rBZRrngt`x@yQe347A-A5ufxH@O1d5DX+eYArUT8& zM)k4Y7Cgkh`R-$vWOxM~xe{kDPOOj5RKqIjouuj=BuZNE>+8?ctM3?BW?WP6q(mmR zWS=+cLj?g!EB2WCqaIDCFaB_b&GF$!+!7M#PdjC=k?N6x^(Tdz+t0^Uj2Dc0p7u;o za?;y=KYGH|TV@L{{x}Bpv$GNcdbQqXP9(^YD_F-B-#!v~%OC)VB{eA@^LeQ8ebiA= zk+|NDiQZIu9IW<8Uw;{TdTfsY6cu&vb^QDI%v&e?+bn9#p;>DMZe@yq!Y7Y9{q31z zpBg>u;kOK_%lifT;*$SK-oLt;qSS6WK)3~A6~PNV{n+F$&MnWawluSUHI`#Npd1*l zJFxL8GRSK!AIaVUXVRNIsNv*RFh*dq-JTjPSSJU=lKKwz2IA&LhwM-y?FJ(61LoKg z8M+b^OUm4RJtfqg#a@IgvydxdXYNb~Nsr||IiivWKlciTi(Q2;N1kwW?BOu!2uZ|}^v)_7_ zknS-#F!8y%jM&T7G%(u#8F9ib z-a*H}F|QuuIzQi0&YKjZuPu8#pIPG1*>Tq+F>^OrF58GZJ#)M|uzbKf;?Dc^K+w7v z+HRF4DsQr(uCeZ$CmOus1{V16TB-2(@CS68l5-E>N?>PMwL^~BtxZo?aWNkaejt*Q z{PfrMW^)bo47_d-m`6MS1flN2gl?D99Z^`*5QJc3&+0&b zB&3s$!oN*ar|f++cP4Mo@7${IV|S+A>19pN(Q$Q~Pql8fk`k^>Y%^(O5gUk$S6tm3 z;z+i)u-kEJbPM015lN>%Q6)Y42>Tb)BC@wK8K zM4WS$OK2A*_Ol^p{mjhn0Z&5Zq8nSf*+b339PiwRZ6AayHPu!0rE~_{l3WJFvZ{h4 z3Nd3&pNsm1zo(mF0U|tv>CUjc5+X=f+w4rZxK=pl zH38TC1bnIvku__-IV!NQ9Z^A&=t8e2$epc1PkADy`o-8K{T_B$A(L%(_DueU5sDU| zaCvGXhci}9=>}J4_iK{alNWv>jB`F{4$w=~u0UL5j9$GWRa29~U0;kY%nuk!hL^S! z17hL3FD@AE&*u`BhlVyRARf&;cS6=n1(wQNNo{Ha=uue|C6(~0^|tmnqLd^9yjnuy zTZ1-g>gUd>pGc}u=N$>sB8W7gX<6Ulb!GDry0_Ls|5iU`55W!b0{Dc`rMz)iGz$^_ zr+LSwr>6_~l!PYal=o_&=Qh7UIBNkcMQy#{_hGxVdUl{7{@Yg^GkD0Flnx-`k;nMG zX7XZ=3lwdq2V50V@hc2;YixmyYR&A5u`dL*=J`u19%FU@4f9W4Kke#I)GGgw$pBeN z#$f=Hod+kTPAl|^gFIk&(5+)h*invzBY}k#)nM!xOpk{lbULTv-y z<|p_kRy|9J(W(_)mr0L^zy;w0IR;AqYB?14;1~j(T*XX%C26c&ADq!^o6r^FRk@LaOBF39LiV1y}4N; zqT?zjRsFM4j!TV}Ri2hG2SA-jK;K1cX@?zu$!B<2!;7jMa-$p=xUBr9| z`qwn;Klv9+NACS@!v1i_%F~B(`?_wvF)OkdD@2XWvcqc70D_Kf-yIXp!^k z>Wr0Z$d3!ZY)$|u?&gR$x+ZtCa3>J!X{zKU44 z1LG!R_2OcGC;?W1u}ZA5szzl^gZz#9e%uV^=a8AFCqFVCWXDfbyQeH-K2`!ewU(!I zf0wQH_gHLy{rtsw*YeQ|KZ{==Qv_s(22j1LTcDM`sX9rv@&a{t_SD*Egje~8{!4q$_ zFp|&{iaW+)&iuEe8+w+HlTndEG~y09Q|ER4b{Az`=xyB4ydCix%Ja&mnRsLP*80)& zdBj08EC*oD*9zFcqB5UKHJ8wUzJD56|6p=^q{dun-DX^Pk~rAQPck1Pd*c~v>AoYG zKRoStXP6`ZKW8xBNQuwqoDyNH#xdh#Y&Ubzf7=UKZE(2QI1O7KKR<4$ zS|f6wDO|&gSA9l4NYVsca0x<%2eE*i)6~@>8 z8d%^uCnAj{I184}{m`CdK??F0uVEqO>K>x>9>eNy=a5vjm-@AJRTYUElMX80!efnjvXKdvk{r>u{1r<* zbt`Hn{5)6e>Av=Bb-ftZdGlho*SU8!s9!%;AxcW&E}@IRn9U+LtQw*%otcC_Kz9cf?6H zt+sN1!JP5W&9X)F^Mg7G5}?_lUk>4T4H@|b$`R2%q4dh=C}7Q4GgK!(h!|8%Vt@Ot zB0rge^nCHmyU~hHtViCzvUNUmr~cKeWy7|vYwD0faqqWuyYpzsiV=VfpT%VT>BbZ@ z4Kv-Lf>C`YrU&>sNEdd;F2X1aoiJBw*49x`o+pq(wZ{_LC- zURo5f30Wn>3|ye;{I5e}E?kb9;ZkkzWtR(o{Wg~|2g`-4OATCYAU;4OLM;5!`71D; zz3A49R*&@MGh~flYf)RPUY&rw>b2c}ol^9#u=M{94E;yAxu}wh{bik{2lWkFS>88* zvT(r^+>4@DoumpS>lgH zxpZ8Ik0ccqwtj+CvZglOJglZS2g;%8JrN~3FuuW| zdpKe1GRI2y+B+U{`GBmkWq)5LEYm_v7u0?NuB@is4mIIwX#@o;fgvVNbL%AdL0I=H z>YT5G*Ej*#$q*?&=-mEMu9C{cC8N1gGDa{s8vEH8|3+$Dbpfu*e^iMwMU?yT@uGv> z!SG06?b|o>cIV}s_;DrP`r|lic9>WoINV;6V71A&z+rRzTU+b9X+=jN5#PNq<xY+vzr5Hs9VXl$%d)Q*wePb6;lSI#CC2r+Ay1XK%* zFnd=LojwkS7d}^h=S!xLQ|adt#}n6Y*2T8z)c>iIW^PF!@!S=a_$-?3!WizRL~fxq znJqO~;3(Voo!&yvNgXA33b|xNEByim2p(eOfV&C=%op_(z%KPgvR|Vn+AgSK{s)5? zX5c`j%3|GE**D~>Vpm5ec0Rq=r}GX!qd-(8ujsJ+OJv3!L0)nOaw$)p$rHQ26M4Hr z@b{{2n+^*$k>*8GR;(mV}RFeNe ztG%~?vaQ%!b(P!xgd99NEg+q`LYg=4eS<4q<(Y7{%iJwtcK%mSO~0ltIS1Bat)&SM{AA7cX}*mUoVphmrC?28%y ziLKut^Q+&Wrnzi^;b*Nby-%}31wA9>{a!5?>aD02?g{s_q^FKcW8jgRN%CjmOFb)3 zW7my{cd|lp3{8PgmyX!iOL}?=;icSUBXzK>W1nNY7$aU>L!QJ^d10vFbwxgT5;rOy ztp+WSlqB%0Sq$2q3xqVY#@!uA7y9-tm`v~$9|!}aH6xt(O(fE-X8ajY&Rce0N5-i{ z+O1Mli9KXrj2v9Wp`hveIH4d^d2S*vwF1~~p|R92y1iQq8{Y53&#LlEN=|M|o&dIa zvvsO*b*&YjHzxTm*=u^>FpwF%`tTOAr1Bl}Z6Wo`1ME@dGY)p>RMBKKl~duvgvuM1 z2Yg+V(d>3430FX)OrPrC88N_{ziPEu|;N&!+p3Omgz@28eck;&C{i+ z9i!}sOaJ6^jmx`d<|mmK=puHKVA;Q3`@g*p|JiFA=s!rn|0jOa|1tt_-^13L5E|e7 zRLS?iZ;&03Md!XD&ctwB~0uV6=@T8OWEu0s@@l)P~ zAIUP1@_f8~odG>&X8-7X^cGtPd@z3;*5)%;;VYG2kJG`Py&wLkAv1z#W4Dpr0JBRxbL;{?ZRKRggu$ng*&nQkorp8yhZIP&uvL zfyW%;8AiO&j|S9qG<*XzLD($1r0)qrY{*iK=x1QcFg(p=_$pd>iy<6fHB*?(V`Ahc)mXA7rCG|a6; zMzqhA;(#VwkS4{g{xrfNlYbcK6z6>+BQEst`IvgJ z`83brA*1`Vsz8_S}GqFCgeWpDnODFzq&ZM zBIvH9AUBAH#q2lT&*M$_Ez@u8#~pnoeED;oxO3zEs6>q?mD77mtL z+G{jqGN&qzt2$w#bG`g?{}%0C1|_qihsSw>^(I<=gQ|anEa%iLc5GL+uEO59FGg+r zDGP0MB0z)iETA)igB9#*XVHHna}oVnBuoAF&N%hM#ZkwoK-8+mWUWmS5|}WUt2^5- z?gL8A2^`4(OB5FjtY>lQI{?+3n5P4P#l!_5v;uzg0jfECqd%&-JbIKiFzfvPyZx8B zAg)ve=_aK=RZU9f42<iO7;*S2(A}l$h|<2P$f;xX;}FcSciou zRJDb1e!hp^zo#$uZ1Ky}X1nEYb(KAKVBB(K^IF@V{BLMDira<8o+YfV5aekJ`oL^Y z@A}sWaG5pnNmqQ=FX3@VGY8wK-bRvO?tjUt_?}&;TkSZjP@3oTuFS1R5b2 zsm$a;@_pGz{!wlq4k&qk)q;AwiiWn#=Ibm<7Bl@TYcqDlsp1QA5pu#oYO{(Ty{^44 z0`Z=Lg1}CYVNwbV>xi*&p-hQxaiN0poTVPGLI~RzyooFdS4lbm>i|-A2%j;`2LF#X z30TNu;}}bCNzKL!2tep`!KiWW;mHShgoXEY$@&3Gr%-8*jkiv#^`$;tp-Hg;Q|i2d z#HTN$9Y6q}(kQm*B?;QMf6_wuuh$;<&*s{H_>45EXuqz>zd6qe{B$wgO+(CXTQ^c} zTjATV?Z#0x>%}WiMv)x`jM8WKNh8-X{e*Uf67RQEF6del>naMAvNt>}!J(96Uo+!Z zjE{Ty;^QuUaS_CLWhmE@{|ZdBYP1rJSEusqu@<46`k<^f!>4-^K@XU}Vis5QU!MHB zz87FQ14CCf4IimZWuTpG=-?tLCJez}7)OQm%BR18qsT-wij7jCZo6@L*O4zsFNg_} zC^q}B8Q$}0s1554NmyEW`&A^pBhCC|q}}4hK*lxPoosH-oNWGH?@Krr0J#IO#J|$J zL5nO$YILQYPeN?cFyo62bFgO(hS;~=o+&@TeIh!*MzKkT{|8%j%b(J>jVyt5ozqX_bzLyl zQUv>Pq0)xtoHnVpmLqzg=%0yj_4q7+&~vc+ZuiX_)l}}gU%L6Vz+)fKbQ+nU>p04? zw@~`O0?!5zieX&7BKblWIis@gX!u^BC9glnA^OT}7K5`H2;%DINRR}40xzo93*MEE z&U(8%Y@gF{NyNn?^qmvV9cduDkI%#q_$q197v*muhe18#-I}m?aw@s;FuC_U3u;;) z0GAw7hDoy`15}%uszVR2lWv<+=W#g|%`C9+a?Ek@!5JSJI$yVY+EwW9tY@`~q}55& zOdU>~JWku!wD9b_DMK*pGCQhpHAn{j2K5;F5-Hw$+I7#0MiU@@fjEjNKxpG{_BZzt z(yR`b%JP24*H`oe;Ttp%#hcV3Xe*#-ShWxM;kOZcb@NA$`^RyjWTFmP2$kF7z6=$- z`ScQ}pmti1?wRx{vj!QOWLz{47N{KoW|4Q13v-(AaMqaNo$RBP<{18Z{G@O%NRi}^TUdiT~*6_D)Lr#IrVqE0Mw6zQ1(MLTr@ButTUc6F1 zEe-k~3mgJXeL5OgZT5qzXh!#zsn2$IgDn|hT5r*|lxvr1zp`TsP=Eph>(-9mdZuM6 z4uu;+n-);8bw4^tFG)$;OH(gnxB9UXltw^Kl`ro)EV@t+(54q30=p%@=J#YAazEPez4NkI?)Bn741g{hnZArK}CcK{F- z3^*)2;#L_72rsv7AKy8-xN5xpwYsh~cOn8mvd6c?m@myn97i1Z60J!b6YfwjKNviP_mRQr#)l{pAyb0P~9bzK-Kst`~@rgqc z9P{u>#=TJ^O5DHH>P7@va@-A0G_;R|twec-at`|$GH<#6~=&v$)mcObye^_bL1Z((`RJ&enL9QR7j27^^!UN*{t1npQm zer<(lw~bM}Xwuv&KoasO2bvvHRw3OqwQ9r4g{(>nz`cz0tQx3(1HxUM8zcitGKc z{)+rdIE9rI4&WVrR9S|SvvFH5SMAy-{bBTTq04!A?YUAy3g{aa+kI>?jC%d6_HKW{ zl;`825w2s@$WkNNp+0?WpTi^Jkj=pyt=I3gaE3VAJS;GZp{58WahYCTti8d8Q0=pby=z6w()N~zWxYmv&bNXPi%`lzb@!I*_Aim-GH ztHa~y@_=rgG?u$?3n$Xsj8fvm{6~=H<0cswlIH@T?Ibo>Ty?#7JLnKn*!`qx;8_Hu^Xc^ zv|T~)kf!Ip7Q!}m9Y_&dv*oc!KcI)l>=w;ChDmUkid=65Q`fuNb(oM&?NMDuN-CG3 z=t2Oe%r2@JD1JfOXLRA zo4i7Grh)fDvb9NVBq<}o;O@}7CWhkZ1{D+a6iAJO{eto#jotjD+a2q($y{S8d%1O^ zrAH@c*`kg$mJ=T(cWgN-NIM-ufZ%t*NIM_JhAD@vE$+g3X5rHoo@Z|zX|dnp^ErCm zi#bF4vNlF#xy*#XZ_WL6iMhQY|CD>N_#>g02r6Q36PoPd6sRtc@d3~;;DY6TgR&v2 zcM1+jd6}6)lwUx=@o!Mc<;}_gOa`8_Osvbhi7()6WOnPt;#F5+M=jKh?GNuofW?-bkTV3k{f%~@rP&QJf;_JQQdp$I-%KUt6K{q>$ff4}^_tQMWT_vPU z$rd(P68sd_h=$%!x?5Pw(s$`lCFj*-8Y%u)b)-^y{c#8Ylw|9P-- zIGUOw&vFr+&%G&b&=2sY`w9z1Dy|u(!MdOX##VO{h_-kqx14!NM+56oyRUHzg^U8D zON$41Sj-P|DL|`|Z`PZSgO>T5rdyauYy7EaS;5Wl)|1+nSr?FGZ#d3PdF_ueGNen= zRghwKz9jDYEqxyyb^R<##%V`i^`uGoUYrtWi6#=o#V`6x_8u`d+fF-;|CqG&%%NR5 zc%7^1_PYyHPuYkQmBQ*fJjGf(zx*`}U4F|pPk?2AvN?6!%n1mjFXZ_6>&Gq1X9-%tJYs;D_;h7w9HG-|c#*MBp0xG;TnupjostaPr&MeA?^ zuzO6|bQNETl~gNJzWczB^d6?k`BAwDkumg&W~{M^Q_$4@KGgk*22AhqZ5MWe<7NC?*v76AkZ^?ysIM8d1&-rAcL99r-liv-Gac zzfO_#QAJ(e)k#O?v~YH2u{OXz4dJGzLka50RP9DM=K}fYK+f(U5FeBR)Qf?h;1irb zO#a8fY=q=uDrbPCQq(k_!jwNADBNU8FLi1BxZGr{l&>p_ZaKM!f0=8wmXq&g?N28I zl%J{bzwNaDmok+2C+?!4f3h88SjKXRgXz41!3%3Zai5xpyXcf45y^efA@bm2#R0n!y*N6!xv(Md| zEu~G7WD^JN$+H^>#}7^k?3PkbfS>-S?iwCe1`4ri0b*SM+e_4w#vTv&F8CtC@-XpL zPj{#qoW4ffrIBGflVc5cA(k^KuO8PhBc0gnRz1+qm831iWY zG2qKlGUGxkxHe3eR;0UAM+N&~JmB{QD;E_y!=`vqZn$lKBU1b;lLE>yE6t3|24YPK zkq$qyFz%M`dTwOqd1UGsYkz|vgz^4hjmyw`x65; z4^4a;DsnPkMX#A^tfPGZ0wZV-Nw@U-y0aevRAG;G`iICj9RUj~Kie&C1YYrsHV@;Tyo!fD!@y~hN^p=I=!+svgvL~E3wUoBsar<8G)Pg+D z(*YJ8tZSb1qCB8wVZPh+37yE`5}Dw=KuBt^_83=(M}q`~5aYzM9vsgDS z#Ynr`eHJdZW1{xB7v)le0l0L)QjpL-7##<~FFW+SoN+_8ZDM*+*+j6IFJUVe;|ZhV z3nwKX)Uv-ybRbMvfcSe2i(cs*jaLZwTliGP%$3dxY-7$^Z$=3B&_&zsjjpMPyowy2 z?Mt1?1cDU0XQPg|VxUIQDn|}8iH=B=P&-mlQp}^|Hv3S%H}n2=R+T(Rcy@HZKRRr;(paie9+Eh9hX<$D%8I`@59v~Gx&l#8A+2$iZFnoTzb1IJwM^7S92?B(Ss#ryt4{OQNNKyN(Z)gU;|TIUC4wm6 zNE@bNQ4Dab5`RhzD*JV}v({=3>lP=CDSy{uu=Ii%hgGC|32?`aqtzuf8^g%(v~B;`> z;QOV#g6FjvY+QXkV5}CmzPGl=#bV((wC15^T+-Bp@;I073UQkGUaNyecueWbEjTl~ zw_AJC7F$qQJ)vjB1r(TTfPqtW*%iIz-Dp+X>A*_$@X2`F(ofORrx`Tf;Iv&CqBh38 zYwfK3LKNGdkx?~_8PHJ2%z5 zE+a|6RDb?-g9L2_$Z!d3f!s!Kv|}S34x~LUfDmGtNy())#dyNRqeF>$3t9!K{StsM zvL=H{7{dfcD6&7A1(w&y>NRe;o;04M+i*7zM|t zy^X70$2D{20(st$>FWM~31Zg-q11zZH~EF$gH@Ml*mrG+!K>Oy!O|twS1AKk)xk$> ziB@*;Imsr0IHDjpCJ+`qIkmxkGGOOd>`AQ}@q+WB8P}(HJ1T3hiZCyxWv5W3wgM%% z1iw)lcg6h;;=j`^G{%L~!u@*`pz&XbF?+ zLMXjlkUwiHn?`HxwC%T+siVh@HJNfff;_ae8qcv;BS0tpzN8!F8hy*e2ylduF+u;e zfO_=1cX*Y(#ey$m_KtL`EdcNNjy;JbI4rO>lk+vAH`B?_ge}-tQEKTVwL8*>w5LP+ zT?64a^p8=#bA3#tyR|AX?eERg$2I#l{j6=3MCnCDot}~%Dh{+&XiQR#UkM(;q$zym zX78x$gY3_LgC5G}>C2LkmEX_%VUQnb74@>`dq&A-k^PsQd~dcMf```2?C{_galtyB zHpc3v`u6HHcL$CqxsC?g4-BYPV={KID23)>G8@Jezl^XabEaD~{4S`Un3L_YB{Db0 zmGV)@v*px__K~2eb}kdfDk05G)*vtB_{cEl;RSjuUL;rDrs#44Vgr<7+uxBLMHV`XyYtIj3*!rumD-i|KOO!YR}by-zF@oY9+c zGH=6YhGu zH|JTx>Wz%@OTAX!hYp!+U#ZY(q~h_Ad^{z}nk&}_t+%g{v;mmW1ki8uNVmI92cp=1 zgD${HjV;F_htl>3Ce-eTj2)?Fn2oj@L}f_nd)kdwbI|B0QIm3E93%TBTg0ZX2*RXe znPnC~CD$`%(&zSuj1?R?Z14Ge593V6H4QY2kohPmL#$N2M;1+cji(r3#U$%Oai;ew z1Y<$;qR=|)0lWelzs3JkCb`EZYb>^J&So2%)blJ zv!wQCyN2py*fx~w=f{Lr9!ApBHDYInR0l?L`n$?A+#i5C>}WJ zz|=%Wu4d+JGD>WwZT|9%8PjlsNsLyO1g&qZV4n_z)bP%tO&)uVAVE7?Hu&{Z(aVXs zd5h&$5U%~(uY_nEIK67#q|Qw;-Sl`iGVs^{^F9ARvm&kQZyw@Q*8Xk4T)s)1&2&tbE9uka4Oc?GOpxF^m zSWo$@J^!aQlbT88f?>gn=}NXsDzv2PVmeCow2flq97+_gy`KKe|MZPxtZ-)vA?m_q z>nLiq&N5-OePJ9vRITCU^wn@5Cqvq^O1U0e6%{!($$R)lWmjPBuk$1bsZKIauP9}BJ+3a&Pjl4@XPJ}Ypk`+@m9 zD%KA3YOELb3RLDXzW z^jdr9%6wgUUR^df${FXKZY^1SJ?}6!WJk2u+r0qpx}m17u3x%K4Q>D8FPChrLXs;s z2)4eK6}mj-?LRK65CIfjfF1#$s@uz4GsDablXiV5*_W@`F7tka-h@7q`>FQ)vMKU6 zs3RvIY19cZ{sASFjxXz6E567SzAa212)oK@U>;#M^xJaUQNlH`QlNYs5B^+o30HQq zm2X+GXo{Y+@wx~-F;^g#x;e5fEOr4Mq)RjFaDK}BT%Ei9 zNuzAIh~ngD9mP*VH3<6PvsMU`uKvjqO9C3ep<;aLi+J?ml*wMMgQ55yMvo0_cX#nN zNn$VPZ}ejSXK2I!N8Bs^=D+@T((^z6+l%0h5Ol!nB1xM;xgQGqrfTae7j#m$G{lkn z+TT0G!btou;+0j6VCtqy=eJCbvrPU(ddf>;2+0WKCp8!h|GXLX<-=eC=7X%4I^rQj zMm6PEw+k7fi$f1T#0oQ7dAS9!6d%-{Vm7YYXx~=3dKhR(4;~R!4ABs#&d$G3CWS;~ zxkxH)#h_X7xn#NW(7$f^QrLzd2s3X8Xl3@!m>qQFdrE^B4+Z(nQ0_S^voSh6`1NMV zuVhE1x}ouL{gC8)@aM|=UxrMZ69gKo7PGv>5NtgZX7FAOfK?)}W9^iM&ollhd$Ik^ zo;ByTj}MyFgU-U`y?MV9zabl1_4Rp@fq?}Y`;tcHL_iG8mS7X0g0P4j7oa_5PZ11>C z;|zh1b(hm?rQveFl8!7<8KRPP?;6Y;0AZWcb0)uk{%zqgD4XlSk^{<*P`d zKtO5c-2PBz(-2_Ae4j1<4JxFL_&3T-P6Rs!d2gE5H7xiXmyc!WzK|N(0(rH`f zAcYPwTn;9pAbdVqO3c|0C7l(PvuQ~ssx%%r4LyA5N`{UIB{T_Bh5LFgT~BCBpEp7< z?wGSUrfXQ&CohL*0E0HWQRx|amy;pJp~kSW`MRQRSG1Y`Ah|*j za$pKR#k~Pvi~;YY+u2gK0y<8!Z9U2Zg4^fsL=X-rW+Rct@1ch9p+#NA+_?qG=ab5O zR5}*syse;l*@cg=a*t(Nchq%u;@sn}++kK_WZpVV8<$jPTn zysi_sir)7Ctydrl9P0VOely?!L?vFabjgPGWQ}A?v*6UML90`SovXvy6NC92YJ^-_ z!>ojFx0WGYz%7%kGr*&*FfXLcebjxD-z=ff6}s^F>T|Iu%_Wq1T=EfXc=7y7PZ7&- z5hZi<;jCzq0dl5s}WwP_9m*(yfL%vd?LSM z!1~C&wigAQeu7&mP(0D!(tHm1F#?ahy${SP`VM!Dk^8Mo>ms2-Qk)9Yzd<`GUwG$M zE(4_3y6i^Xi8`U5yWW+)D}7#Tsq!=`(XOzdv>zG8`iSUb_nYqbPX_s-au%H2RrdRb zXKNj(cFkwp!~}6|REvE>TIwULq(?QDxNs;2Hlze*GdB)dAL6dwE~i_o%G<{knaqTL z!I24P1{i+23wz#vJxS{h^_h6~ZGBVA--UQ_HHwgwC@Ht%l(-UxW=m)H+z?90Vg@_q zSgVyBrAFH4e9Myo;e;i&_91NtDe<&5#98C7L7h;p_05EdygOd)lKQMjXDG^${yItK z}wCPG-KX9uzGH$``lDC9^FK2gW^oA{Bc;Wy7EB5A?KW6=K7?XRr%Qj zuhINe&%0kED(d|1nFo0}$CwG$6EpQTd1X!Ky5gVd9wY2xFN{jRb>;n3Wuy+LIyZNh zf4iW?u$llG5Srclv1J=|0Vri=6BNB{sA)JyaLX!7ouyy@`g$VD7C&17e&T~5_;CSF zoL{m|=V6G?iT59SGC%03@O<@zGb>Z&ypTM!5H68VvXqr}v?4#fnG1eolxIYi(s7j# zkyt$iS(qJy%H<+E5rg}8gf~N~0qFZqmQCBKZzHwa-{JXGJbvFSjHP%*AuRGSt|L$j zmSiPU6B>0E`(W;uzF$E&o{qb++5NG(O{;iKbk5Vque(4e4P&-WMwjsBo;Dg}D7+Pg zQDEI>1f>fV&Sbce47Fu%H#dm=qOHDa;+I|}ayWKxHm@C^Fa{nA;^K0vDu9G_n~90pVuObOjuXf!75 z4a$e74mdptfBAHiomDMj0{S{vXi^V_4wHPeZYN_1L_l)dK)bCb*x@qqyp4$kz#?gdB)0(~=`gY~tn?h4R9x7hZhl?E2Ik9nnmm6v5xy zC5Z{DeVPGqQ@djckRR6-f2h6R08f_dk6RZPV|dZ0*gUDn8A^Nqgz!h7Eu`5)BvF z6X+pdAf2}u8mD~~tqKR3qxH-pWLaNr(x5*-;Zrm*$o~5;asF>bx<6>DY2$*MXXfuy zwTEHWzq69eUU+QF6o_jf!&Ow8AekG5>U+|V^{i~k;?0b&JiKq6wbe;X4gFZTS&b1k z^y(!pMr@6Mi4#9f5@m;4i)q@PG^15odsyC-Ag+XS+QZG@+Q_k|ir3<&n;bj9UQa|j zAd9Dln@02q+WHSDpViH&EQpY8#asAoXBO{r4;OdcV$d8UY60yn=D64fGe!wgE0XO; zHdbClS#-`^P#HhL5pjbt=9t%-A9%g)!~)CS?qS^148>SA`oLSzN)#3MSJyGV(^QP zeil0eSiz>X5!=cWs@TcOWUo6j*kyS53@|Hvtr5h_$VY1);jahxOtS$8z8r4uso^hO z^2}NKJpLA99h951euY6TE`^?|-E;(%@U~E{`t?KOZW#F>)U~>LD@!Po*=a;Hessu;U@fj2 zaNtFA(+Z-U()e!T^3Ib6@B4_QuQoh?wmpA-&xro1+ymMiO zt5ivL)ET#nz5}*rsq)m}8iFTmQuQ{|N18O*vQCXWH9f`Sr3lm38~|67Q$ouShD`4Z1R~jX39_TNwUmX%X;Q_@d)M zg!>_Lc6vlRVU11;vMbLr%_XD?ft6Tf^8*woY6sxT%a`zr}PgYtSQzd!9=^@bIBR(I)pM7UB%v%QJ_M9f31`@QN} zS5ks7!=W1kq0_VueJo0>46>J|7=~gJ%JaVa(#Fer%1GVpC43bxz@w5;QuQ6{o=a!h z6DUB8`(`$o2fr=+c=#nqt)!wT#X0qA@vXdOZ5R!CuLuT^tSGWEv{3$NgFXyea}#2) zKp%1`&?yo8o>?`7qnjwm8Ew;Kz8U%nx*o0ZY)S}7GTx6jk}I}KuIe!(O;ccWp)il@ z7c=+WjJj{Q`e57FZ8h5YH>h{{amy4}*7}c)4i74pmNCclye2E%G7A^`fqS%lv2Tn* z;g=dslad%~l2U@GAxXX899qkgBRmyvhEy-vL@5x*n>R2rx6+5^Rw z4UYyy4|6h=z5wJ{f0gBc!l1Yuv)(y(_|T4D>!)-1dRkIy$7uQG<)eX%g`^dxN^?zzS8>YKCaAm(QArx2#aCEp>&N&bYsPfG zbmJ&?yPPz%vi#-|%99OVLUZS@r_l#a@fjveVihpi8DG^kD2h~f*+Hk%Vd*itluT87OO{wz%yGD8N5pC@T0D7>LK;vvEwEG{V1<^1}({> zEBH{OoE*^3i#KWHRo|FD>sL4y>0*d%kP(+Eq&j+EaWleoycMp*G8|pLd zRcW&?46@JMvJZ8}m6;U?(y2x68D}^*tbeLOepn-&mXul3AlPlnN^x;GZ^P>nB}XSUq{>`Im}qJe#6vtxrmLTFDQ_ky?;8vBkaCB7 zk{CL9iM$gi9r_e3G;5p?ux+C$PYN&GF3jA&KFVDC4T>0WD_!)dog>h5Kh~(l-b=611n%D<%ZKdrF_fZ9SEYkOIn^V3hI4hnE1*Uq0Htd zI5!VNX=s}4@+cR{SlvtTowus4=RR9mm+b4gY+h^1Ml74^GsWU}*QeVkj_nH{X~aAFNp3(5KuK`YpWMIrRc?riaO)=03U=gY14oA zKxuzHoAg=54}z+n8e1PvuA*QZV=?IweN>6lpEQ4hE5LhtW*SzX^4UdeFuF8-#)O4_PP`;B{kEWOBd-)nvfghQt2@&5(BdJMsKxf$t53gG4^0 zOLQAZ!uBsHZtZ^}Z+wMjSON4@STwq2F78jsocY}X2`#(rfaVn-^Sy-#0LaJ6IN-YQ zFIXxW2E?LFv*@C2UAH1O3^PS~9&G|S2Xw9t42S&y@!v2A4J1*J65XOq5M0C7p1@mU zC>egg_Pb+ayNNs;9ew$))lazw(1z?5~Nn zq+mAE^&K(jwAD%1M)Ia@sdRshgBxz{k>k7?G23PHd}0Im#Vicsl=T4)B$%%_7C|PG_d|B zmDF?cPbO&$n$BxJS*)Up>dq{&rtt#6J7h)GBe#x}w*s4A@9kS0U62tM+81h`Lau{B zRmCAq2okTi4zo~7q)>YzD_JiMHe`J|>FoxgKVQ7&k2uNxl*M?1H}0u^d8?^xI%Q$r zH%RSN$B`d~#CM&(kSWyN0C_XA9lU*Z5D z_%B80{Bx+6{ZG?5Wn|5Y)#!c0^f_m$q2Jv5glmr&d8wKBq@>V$d`ur901Wu|^~~Rh z1sZ{v<=soLw-z`}%g7#@Kg@fOyGT9~uML=S)0S@cp7b4(6msy`f`vbx4t$HMe>Z{X=iLQl2Qv zk<3}IlfnPSUoXv7^WU6P{=?IWMT9890ixxKTWpeQlV>kX;!nEn55G}azIiPoN|W&x z-H~&!bP1)G7w|)N4Pv2idN+2PYbKPPSsjqF{a9_mSs-eMlJXpyUDI6HI#qte`k{Qs z?e@HxJ`D$pfZ7q;?5$Q18o>F6#;%uWT)KhNQ03Rz7`@ZRM>f1dS3=kE*7|wEq5B8gOAvF<&2-^c8TesfVKCh3va=Q@b6M(;5m6c4X+s0v-Gr?Y@XZP1-78l@RP z32i)dN?U8xIOIRwXS-$8=2C2&5AV3UY*l(M2Y0ZasJ|g_mqJDDoI>#_tTm%(Uo5yA z%)@4XgWTF5?L1lir9kNR#@?`aZhjHwF?XA8u3WIe_tq>ZxR!6 zb1#j{8I8|ulm?@(c0)M%CI7Dh=1}_HYCa?X;C7BLYwxfdWWqU@uN`VzWr*2=3bDl< zHZ-?e&z5Ivn}N3dcI!JEsIKMlW1E|i9;TPH5hVsL-WW9>_5x@u7sp7;TM`%h5OYpCqv-XzHDi$hBLDUS{_av~o zY$c0srQ{OD2xRDJ7fpm#TQw^~E|Gb4R~}EhUwzY<6RjU&U;4A5EuH7v+O5$7Wb)m# zt;lWK`!(DOocS%6Sm(xT9p)^FNs}fs&swzi&@JH7ip8^rVE;jaxMC>by%F2@w=O6@GH6^Cs)$iWVU4XjalK79joB{KEsnWq~6dFg4vKsekr`?Z?!4O zY}3i|CF~W5IRS*($QHV#88oZb-gIFcJxY~oB>%uhZ{W{6pO*kjA?Uj@;C=aY(~x_* z9{fEcHjVPgERFSIG2wCNro}yscu1=mupk0(>wq6k!qGc`gQoZ(wTy)Mn847p^t#Or z(NTVFhrXKZSeMgkx~0!W+xYa0(e3G>P#aYC0yiF&8edcXCKs1 zK!$m>TL009#19$xGrK^!#`*WjxUT;(qt{LA|BihI?0qeG#zeY|DEy*mJ!}$b%OqmY zq#wG~{KM(FeOry@@Wbl##*FtwNR4I}F3hV;5Nl?M&M3crCfYCB|0l1S^i=xGJ@-f- zGy7(4TZdz=@+szn5bAKIXlF0c7n+F)P6^7}ZK+U9fa7x;jlk0W05+@02``rY^z%d5 z$@U9cicncjqUB9f)2+6EaLcAtX|oIc0Pc1z-+J=3B||Jpa2NBPK6E5E3Nf>J(MFfR z`2^1cR>hLp%t`w8gvOL;^x5K~H&_b6t2KLe{LmUFKQFm%Hk`N>mEeB@=>gP^J2E0% zPU9QXwtL2ir- zoXmOqJ3HP1<85CZ;{o+Hs?Ryuf{7k?X9>nZP3}Ww{zGV zf+9b$+u2mO8kJa6mh{#pAhf1(2p4jA_|wW`+(?=9+IZl?3**X)xN?Xn!|aO!f#gJR z>`|`SQJcM;1h>%1l2_z?>WK&ygfD6oR-2r5R<}Q#cyKArF>|gMt3S=fRkNR6B~Kd_ zk)EfGcd&!y!5!TytzW;^YJH)Bx7dPcD=hwLIAF%nK}rQyoX5vO^W@NwZdb|wYP{P< zHPfPKQerG?!3;YrQoQ(r?iJ1fAlLR8O_cpsj5v*V zWEMHlfQsC3xN!oM{j9N3^e5`I0XIOKFgKxsCfhhKLp!^As-Q%KCq48cpJcV1*Q`*@ z1b6q&Lwun*?9k4U|96S&1h+;wx$J~r&f$UCmzWuOjAAwb4al_D$8E`8 zZ69gxIJxrT8&Wd|+>`I@+8d7VFgvO1BaZc4+%Av@^_zeXd(83^BB!a)hSVMCNRT6l zF5fWV2ZU9Pvm?!^Ie4wT?O_pwxsoJ_IrW&R&5N7rb0ixU5fJ%@|D#>KbHbJVdEydt zo4brj$16`?ZgF1E9=3hE0K%LI&bE3J_o{~q0KgkmWN$1Tp|sW zBTcpMLEKkl3}||FXSKzIt9nRN?E|(J-n|x+QkD{1ue#%~Y2%vk2ib@xe+8(qZ7fxu zIX7y#QA+n0Em;g7)rh;)oGr>$WD!JWp`|N9xL72|xR12WF(~^!tD|n-H)O}? z&-8`0n~xFhrBK;k+j=~-AD43s@P2<|Xh7R5{kki&^#cjoFXM+oHqD3VdoaC*(^?4Q ziJkP34g)#+oH9Ni=C5Ig-yn3TIMQr=$-@{q2QP3p6 zSW3)p^U(?*tBr(Wd6pVfPQ?txQd=PmtQK5)zgBz~pcB1atgH*z%@W@bPs2}f$nl@> z_D_1>Y-ihoLt7&!_9K5)^ZEe@G1Mxdi|~l{HJ@*fkbKtUpAB7-GjYKDy7tb@1M5LY zFd7Z}LJTf`l80&*U>k*yq~ggflDf@2;AP2}T73zn6Y1>+QGaZpYqh~$ONl&ya-A=! z8cqu?P#-FSvo4g<%)&4j6eQ$?-8bXJq{L@yz&cs1h}mqnf_@;Z%hH_Jh5l(xRq(5b zC5qsC8Ls`%Ps2Mp6&z*OP$xD!;VD%a1HYZ^FFh*fl}Q^Aql`Dzb+bl?*%{?9gX)?J zk#(Czzu4#XwXMz7H%8F=H3{>BCVnwAgxDy+z6z4$m`!d{o31v_IQ;;ZZI*l1`MC0h znW3-&69(mu(8kt)lbU8E+DTg$)s@v$IoM6L9--nt_;^S+uIsuUimxk`ajA^? zNb-P(-}A?X&|gmZy!EK?SyzvA%KJrCQE#?RPssA4zlux7j*)bQBk0?+dmxHci|^$Q zADtBGyGDd2&}*VO#pt_zXDMX@G2n%N*V#|fGX1r&78?2^F9&sdXmF0I;rO&n&mTgZ z-9=f{O4fFs?%y6%C3r^gFu=q}s_$a9KA=f}KFQB*`|ALfBQQ-w-K1^!Vy!L25_-Pw zd((=L2~SuL5OD7Ce;!@MABB$d3(NbQ3So@)tLZ@l!iv+v?KI7%yPYS*nW``fO*^hdX^M@>^g z(dwtKLv)Yq=wCPSb2;}VkTakYb+WHI_YX_Jrn2Z}-ok1g3V^GXUoX6>jW}ZY4BAUz zcuRq<;HcoJ=3Bp(9*g~JJX%I}+O>bys~-C`QfZ6{)M6Gs&_O|Z*p9&iX%Q>aC2UjA zE>)vZM5e05FV-C+X}dl|`F``xNIY`9WA&fU$5|fJc5`4WT$;XCC-0ed132`M!eh=- zJJU1R(0^m^EyLp2)^$+`9xS*^0)zxja3>I)pusf>4rv;9Xe_uBAh>DfNK@{(Nya4%`7{~s*@Jl zO>H`glf>9llr8|dg2@TZYipb0w@%bE+fcH&dP+gqznh;cgE2Jk#&~*Qtl?cW8l6Gd zb~5YVBES9s6mIy#E}zTi)=)OH*Z6>byp)z!mGs?wF-lHjGtok_ihm>RtP5^mV>@-J z-j@7$FIHLXokKG8a+%Ds%d25DTdZ~zF$Fo3^il$#hwXQoBp%TJS1?5FeJNA4@*>5C#D^*J& z^yECKYI)S1MM*(q)tVRFNU~gKdxX*k5GUjc_*%84v`=R7U#rJyE6G)>;`OI^Ow0 z@!e5XrW+4F)8q=*Dcf!IH#P`D2)<|w4gdzKY?|DP4UuZV9Be+pQw=t=#hULfCx1yz zk%47mca}fveDGlD?%r!uP0d*3yyO9G9~#dTcLU2M_Rhff(O+^@l$&LI3QXvtW{L0{ ze-xEb>$^;Oid-p#IrSMMxbD`-;_Hg_nIodKe5Lv9=m2Ke^v|5Ngxpf5tQC`Oyi?|& zt!zH3r*2^+W+PD6!Sl9_kbFbG^Umn#)+_a!He59P(LO@|T*+Kh`f z>IpFH=;)H&c754fc~6~-J*G@ertt@dyGS*4rrvT+)!omB%<8>8XoaWoRNsNKXj0Y0 zg3lJ#bwiv+Lpce3~90Y7iwxkaVZhTb6Uop zkW{W>JxvDkA?rQrOe3^?f^OM;S+|V(Z z+z%q6^@Hak1^3$VnU{tx4=YKo#@0uc&>6m*v=S?KVc2Zb{Yb($Elp-^#ewtB8BNU< zE#37KOvc0m#R{M9d9=c9tSIBZ4w(&*_X)fa6cyGsT!k_KW{gz2fQ?I3!q&dF&k^}U zvQWU!kO@^4P&SL1N@($mK(l~_Cd z#-?*t+k-aQ+jTvfxX+!3;OE}eae|JoeeKuNLmQhey{QSGI@$30$srJg!f}Q)i9#W6&ZOx7;A9q+=$##0Qlk z5o(P-G~I!oQl5$mvj?4TTbGMz)So8+*U7v3;)QX8^4tw5*WkWoPhGwv*!E{VS>jA2 z;}ep7ioQZG3z|I>$bD6l7iq{k~ zYb}#eW6pT=^=vMo+F$l01O=5ZGkRn5aR`FAvbCY6Y1*qfgwZljrFzh%DvcnfK_qJWN!N5S zGo?MKd=L=)CO)a%ANY|*ow7X8-hWV3?y=JAf!pin24| zUG-Cz@fcinUvWd{`vj9dB0%$V%>gUX)5_|)Ixd=#kD>4FF9iU5Icd9>JY~t-FL_c_ zWHehR%PJzw??&b~dl%wILXE91DX1xHkbobQEMm2{@z%ou@m9|-q+aVSbUBK4t4bvi z!OqPzkn`qWHAlbq>ljtwWCtCCGM29}%h^|`auQHVKWv)P;?q;6gbAh}d&`cp78`5c z5h#LCtKaf`?>_q>A37&t%J?Bcv`S#T;>J}5NC{{5Xz9HzxW}yYEZQ`C$KoTG>M!nb zgQoLwL%u_hL=V%p0=znT(JwYxv}iFH?lS%Ob(8F8n%Hs~eT*U`Zmv>Ku)h~SQ2b;3 z9%T#^|Dr{Q2i^e1zs)*90Tj%vI86dFW?P%xGn_h|uyBI+t5M5f1oEZV>-bR;J%N(a z#h_*I(1577(-9cRHeUzt{jE^j{}FLE!v)Gf)=k@=>U6?%j%Z>A8*PrWHxO15?6Tgo z=h|wv40Z>Lb=p>NB=AokqW^sbRdlH~^0DXB@fxXbCpM=hkCtll%*_tQPaB*??^Bvf ztm=eX1M7sK3ALAB8_wB}KIxixJ(1D$!$3v3d<0c{LjndCzl5;-~&ZOdhOTQ&S` zzC?v3Wk#&qS$yk{_b(Z}^cLy9htoYr{Fo(8idZQQSFZ_XW zvU*;M8`-ls4#QFO8X&jLeeCccDk`%Q=8WC^@uOLOjeL>u=w|m>`BtQ4qANoii84kp z$)q~3p8r;aL2c7`DcGmaOh?NaJMNW3vy$a>J-FUytC<~Jt};!@EMG_e9lA(AXq<{p zl~txuiS|Hu0{#{~w%oX@Sk~G0Tz`q}5!rH}t&FOD#4Gw}g`(=4Bz=A8dzmR1JKK7q z4h+gzONi|oSZ;WpFvMyC{;Z|mrR>nM4TX6{ANc{y(1UaAhgo6EOWbuYVxE;NDBgYs&K8 zhbL_p3=UiY5g+|fJv3U_rQP|hSetFU`MqnZ54^G5ga*&Q#-%jZu5j1EtU#3B;M~gT z*>7;estF!WjFv>o=gA~JQ$wGtnaV!qpmGz688xU26Ql*i>SK$CI@dN$^MI5m0MkRW zsQC#+HTnijg{>7qgQ_~ds~(*h&d**FCxh$v>Y;4|qDh&I_HB>9Q++R-dPP1;?I5hJ zOi1`+HgKV7TRz{L&Z71=63N(M$7BXJfpT6Z*R}#+LVhnA?|H4q>$t6V4W2vbr4{^M zJHy_>b;ZxOOTR*c$b`5N1wYn43|Wvzddcap`2;7hV++=Xcfd_$!9Vl5^I7kRKIB_6 z()Wc3LM(c(E&*7ESmHyH2$R_wRJeP4M&7jH-jq+hnHo!^fl&3{lX|hCLtwsm#gTt` z?B}arDH$VQ8`CRxeE|ExSKpf21_Qt5#d|pE`xIJ%)~%eqAI~hG|L`cUcim*Z3bfzr zomeuP=l@K$O}MY`!goZE+)P2?66rC|h>lCP7FAIw>3#-7PkxM0bU<^}(6-;B)+#PF z_&1m7c0AtZgO~Q(`ipg`Y+!%P!P@bEpAdkdsRl46#zNOs?g0W1Rxw{E|ps{PVqzDQpjHKdPBv07i{GuuQs~UJM|FZ+`b?JTWF~ewBOo@T((G> zjcjgS_Z2q2d25PL-bMxrY!hf`$D%?~@f7rXgmTN30Y>Ut>^vB-pR>4s(^ zAz?AjIIo0=Xs?xW;w`&1(U2@noA#D_ubyJs~U~GAuJLen}o&u^6sZiVC#HTv1C{TT6 znTe4XfhUiV2N~T76+g+Hg4{g3a-);x;`szX=4GUjs-g+4DUfzhqs80sw+!NM4tkbp zPQ^k&8~VoB<*86(*}_iRBxmj=eT7xnIZ6>;zOVWl^5IWjfD(xG<*FHq?9cDETSgpw_ELdtlXoID z7>f%_&|4J90g57RLZ`z)nUHl>L#j8`CtK0;G-a1Ip{r9ICL!M!!@skT&MO2o(?{zy zt{duMoYHl1QtDQ-N9FaYI1J6OHU!36jNQie3L>tA$IyH8fhshXm8+IZQ$~BK?&5NL zk_|~;*m17=0zK%>EK(&PT0g3Gpv8-i<>shVYm+XkH49=-NO)I$lY`(v3(=(D-e8Qu zD1O$mvObf_90q^CUOMzci=~OuU-r zmv0wrJOcGn4{z)B_4{@Z(kw(MQ`AfSS^cB zzy&uV#ihSEHyklenosN`DnTEYia3DP;%nj?KDhL_&d)$UX79!C3-xT0YP~`-&2W7j z3UF$~@_a?*iIKnj^?6@F{=WOY2P9UW&8k)e)~Hl12>GWJ)ZnPa$h?)c757+bfN-7` ziO5&D9lTBaF)DNN$*l-mZg?asC5`W~#ijs(m>f0wzU*++6f!Uw{kdcP`9x-J`aNR8 zQ_c(ehMsG)SA40@kNNKJ9k8QWA1feWGWu+fPA3InhHW|ZN`;H%X(xRipFX1e%+J+* z<&p>p4-538+AsSGnxD7^&)l-5YRvX*^iHy2bl(Mk>(6`C=><%+0a1y3B(pYA7)rh@gcvEu6IIzpl+X0CAy0!P}q5agW59KkWaOdPyqUY;5VO!;&B^Yj09JfeDfm?8pSqN5S3$Uj9DAeOyocs{meG6T!1@F?s=9Wx; z%av+ZVOI-^9r9r$M`QdoK34?iXX9kT_$`vaxS60_5OdJKyF)xAdgEL7b|!)1RNUd`}v#kEbWh z*VQ2`E6aOSR`$5+JDME5uLOy8Bh+@@o9?}mqe77G)7Xw_ShgfgMB;JT0QpvdBm)Nc z=Xj8T+|Xmdph#N!f8lhg_!k!iY5;mknej9dT(cdvV&KOo&AQ3BizW810TnjDr1MBM z?hSpDc=Q0kx(cvrO0Q}1DGA-KP3U4y-pYvLt7c^uSj>yqlE=ZMlhd+o&$jY;`u(1^ z)&zH}0kq3#oWk6RJRbaFl_*%9+`^x=@8_8{5C%ZjG9l+8I2*yc=8%?lw)^W#LkM@L zWqFt8jbZ76yzq^uR#nEd%AIIbHgVXR%1P~*IkT-YR_Hfh6he8AZCfWglDXT0gC8jY zvUpwtFpK(ROd4HcIVStwH)q!wVg{$ibjDA6$35OAyF;|a@QJzvzhLAECOKEEwXbUC zWSy5ocCmn2jKJtO(rHO!!JZN0bZ(R(cb99N{@^xGW6Jitz*G>6SI;?4ajhRtf#|*j z8b5CBFir&pznOwDFZkz1Vcf>PuuMdoRmDiRCbfgSV-ZJcfxcpqb$N#MoPL#ihR*(u zBTI>W#wVuiJkSF^ys(O_GtiN({JvOZfLDIyQXWKDAz=|ZNj)`xS zkY%Z799$;Wm-DO3!dBliWOh5@1liSOs1eERSE9gX%JnM|ZgrJZ;=^Wk#QE;o5q>HE zXrxzZ)pUEt(CI5LobuoxZW`pJ zOIz=5pCz#tSpSXw+*Vyp_>1udYiB2WwDr-CR@3r$>v?#)Vn!6!0k2bPxVG)WJV15s z7o+cVtdM?)%q@9nBe*JPVAIfFr76MOaont+A+Ed_Rw3SZsfNE?DRkG;x>oBkF9O7U z7J}@e@+|yw?ZmznXV;%z;Inq&2jse^dPRiWVb>uI^u6~*_b#cqlZ`lH*PHhi@0eJq z2{I2|O^#_4WRoBt7}$ZjPN)Sl8su8mTmy0IDx_0Xf#r$D`VN&u;VF3Kq8^+o^!yYY z1O+)XZKv!Jt}<%BWQY+)QzwHr&Lf*MA zm+YtI-m+i2MjXIU{XWrx0b;&ht53$?Ml(*~4B_q`SD_2ojO?YLHh3bNjUHx~FJrVB zuRPn*#15+W_K{FdrzC6@e4eV^l2|OfV0-25V&uBBodJ+X$ZzzOX_?}U9_^LOso}>{ zgz=dPM#>J4zB|1M+kHB<7Tt?rNv0G8vmItfv8t}hb4*)NS&rBzsb%Ux@sc)l#6~xD zZfM1;2|v!^Yz+2yF8KAzQo9t3b!}vOfqjNn+v|wWfZ{R-gd1$w+_W)Yo?9p?m}{$V zTff~d)nsX*9|*a2YXsRLmMjq0)U~j-3n_9tIhz7l?GdyrPv|K+wa|*j8k$Hoz*m} z(zhw!PGFVUn@D?aFzQqrS2f38JL|}8cp@GwBIs;V+L{03&dVVL{3$&}Lub*K={B@? zrq{7|V!E{4P!EWi2zin(-PxfvziRfR^Cq8!#V4tDG5eh%NB4$@_|O}4GV&9+iRqZC zS5SxB4R&0j(&pqeRh~{SHFY}MtTwKyV@ApCn4vnYp7xj)zFb()PPw=6ttdF+LU4tF6`aeg^#G6^-qX76gD8^GnA|3n^>I= z6z$(d2l~fbp%*i49he|3R1)U-+^2t+z1Fy!$>Ylq@wZ~~U+Ih;qSthlO5;Ww8I#*b zR~F^gbb>PU&4YR#y1{E!ww&hY1&J!+_8rLDzUWBgbTcWNk+&8!go=hK2lc5wb<_q7 z!$ShQanY^ShAw+9v+2>&)Hrwv{VR|k?pF)Z-+@?w^GfA)OQ!JXO0_u0p?#W(zy>cq zAmniOwf)RI`lg}%@+D+**nT4SaOpPdLAO&D|1i~SYNfQX5P*1K8DF7|&Wi(wse^ZJ zem?gZt=<|rgwNeS2<#o}W}ezZ_SJ#pN{aY80BxDd2mJJSAU!<*d`R&((sLWRzQnu9 zsrgr1Z8b|H6OAe~L5&S3+ZhqW2G+5{r)hZ-c?{=jn(hDfzq~kgZK5e|=85>|En|eA zV&hh8Oe1It>tw05oGd+G7N7SiULJtNiP*#_)QSrBW&ijlF*~XS_`WdStf`jux!T6S213h|!K8Aj>1&0)!^U2xs?$7d! z71V_Cdk1=|xtKC)X)|?m@Oux+kKZr$e%KWY+|SG#lD0Pgn!5qp@aQp3Z*{A8%%>k- zAK;{$$Wv{R!#Xf%FEa51l)zAHyw1p@V!H#sh-U*WIk|%D; zxPoTrIxs@#4_~V8F_iik&sU%%CO=EWESI$o#+~57dLsLIX&dgmvpIXW-hCF*#M+5& zf1APlyOOHW`}Ovq2_0bc-LPQ(G)S&#v@kQ;q;iKalvw9rtS@hi00wnLlg_`Gw6+C8!R&8wzz)sHQlG7PdM=5gOH<7g926NNF(<8gDA zWy((I6HgFTQ1bB*DybP*S~eU@r$NeqXttOC-vc)9Y$>-i)1>}0p? z9i1ncUwGQF^%YXA6|RY3{AAjM6wlL&HW*LP6`zEW5LbiL;`OK0j8({s%5_Q^4zn>k zU-anG=Y+9@{U=85kI(-jIJw9FjkjnyEK-u>jNkkvetLAHe2ydNEMJY*R72{4q4txPLzqs z7R3)qu(8{Avj)W?pHI!E1~6O95iU0ojg-j9@_Y-Xd^o|PzWjA=j47>yxZb(ddM@S< z(Xa6ri78&mo9ewX1cfwC>M9fRP_cL0wMB{N>zqM>%Gkdoob6en6iQ2Sb|x7!`==my z8Mt(v;$}_h#Cvgz(sn;354+19yt(q|vZ2E$T}>*%>uwjGFJJ)=XISRoca@A8g{|6C z@TXbUDRC<+dv;1LLd~YO8+5NQa6680^}8p&iUy``YE@UYMXA{QMsmM|aO-~BRnDBw z+d7;sJFLi!pTE-H@iwekTo(~8s7v~IvVR1FH>}wNIt=#Pf9 zQ4$Dnengw@E)irYFA2|l7YAW5WP12gkZpS9T6a2Xy^0<>%VIxKybHJo#VIQ4DQnLnmc1VrC(Bej7y5WAF`3yY4YY#21v8cB zbMeBcVO04XRYTuTK-ZO)6LoL3A-0ve2j zNF%~{_8Bi*Kw?%(MrU55(s^Ytkh8Yy-mXS9rGk1f)w%q6D`}j9R$Wu+;DadEPNKlm zIIB%Si(9d|5zyiuV9fAug{*PJKTlXy5?K0iva*6c#Sy0yrV#heHDpC3F;YP~J|LtI z2?-Pn)*^)Y$`bwShD;QFrDwFkJx2 zsrybMHQxX#FBj$O}F19>ASCdW5cG_K{d~Nq=OBt(gqD*Binr!G~ zp^q|6jVU-1F5@$P<>_8e<)o=0hw2;A5GuEb$ImBA@<%T8Pg!ufldnK}_TrgaJmNRf z-U>Y74J}ErCEvBS5pLs2(vM-VO!&LmvZGq;`ypwv=REN^7$Xz?ji8<;udGbHiwZo6 zVoPJ%mJhRfeEA%i>vD&AwNoELcx%Kh>dov~H$hAdY5{3s`#{d`Ppuk#qreNfBM^}X zme3c+2!$+s^v08ESYL39Y(8*7c)TFjzFEmVyqYcY)e$(f59ImU%6nj|<^GA-z!cj-t@%&$&Nn+NokzSqUgqXSQ zi1v6G|0^fnx;CPyJ_!yNQQ>PmnQPH6w7&D3`g{y!qi;=NPNFsCV*6&Qy84}gH3&AW zxXy`Z-F_0`C4T1h(kx#ExR3OVT>HY^88<~8WII|=GF8GO3?DKPr$?=v2*OBXM4$>G zWaU8^fa(KF(Z6&yq#d|x!HNOg;>OS$Wah}5ka<-&Z_5yxBEY}!Lg^5l%zo9Pc+V)7 zO>LM+mWwU+`+q1682>BBE_GZrK0G^jhh=*Z%oE^GHBngWW6mg6AjSZuJj}P$aorA%zPYu)0RQLxmBNVhkC^}y(QH!; z>~FK-ty9?DX%R)Mwc+>rlf2D}ZsonPkB01VPDnLOXeFFY<0)uF+T41a+W$PP z|9WC{GQX_0$*j|)Nau9IGa$vGl>}2P=niL}orA4m5xcz)U_kpsBtq8fF$-RUY2kVT zS{a@^3GB7lI_2xSZ}5trp(Fb;TA*5>&JZs%PCpNl%R8Y9RS-(}z`=7G<0cZ`1gZ~l zX4SWZ!r&RQUsPWq{YFx_$iniX`i;au7X`F7FC8Ma><<)Hm9P9 z{QSke%z2@dMG3T@u_76I^ZL^9Tf#*{QgGXm*Z)BZC;12DaUi1F6F-TvGIqUxt5^4M zY|MFhU*X}pbY++>!+*3xP7f5~{;~He_4HB=g1RYHbz&{io5j@%T=rCQl&W&b;7u+d zU@-9H9^Qn{?Jzv1XVB?xgFBrzt^yKbi&Z2Fk|zjy`;z*J1S*00EGzInvUmu~yaOh% zBk%*DL~qbO0?6ex=EN>bHXR2W=2b$FQ|TLC=szbe|d6% z`ryBL9RJga`(NMBp$&Kje?VEH74BE&?xshNJ=`-b7d$8HR%$=*J65|=bo^ypRPx}e z7){oK371q>Ay0TbGP08F0vjd=G)U`CV&ylLLvSLbhs5u3t;Fx_>&r`g|8^Ppul)Yh`P;J8;68h|Esba5AWYmB_cr>6 zb?LJo&WbJ;AMKugeRjp#B-(fK6vBO@m-VR8kRPFt83H-PE`aRb-|d$sOhPt`Z-NmW zO%{mg_AH>`{|Dj$Ev&t?r13wnPA^G4&?=OMgP zn(?B%qK6LelIEF;@DDq&{DK&4onaTHpGqAMi*adkg~B1RQ{_}>ei}wx^Ji3{1%6)r zM_GO8AQ2GG*b#-{X#-9pb%bAR|9ZPz;=6?{;2bN|-;_dS(V)ma%G#=Y_l`6`S3xm2c) zEjd>VDL=&{Rhu~x<~1eGA2SmLDRHgc_ewp}-9WB_MPNV#Ij;NJ7iqQy4b$22tzF--rxyJ>|w84gfN0kU9mx*MGLkCuVeNpN{dqj5MLpv7bMhZfJu z!;{NtRWzEn+LKNP;hx@a)v9YV)=Vu;GMr_;$~31HF*CB9*^fC`LCdXJb0c+Yg$eSB z&(Zc8R2VC+>vwEL&y9>vZIo)J5!_xcDyOm(!v!I=E&Zkxx=8hJYl9{61Tp*t%D`bl zTF@ddm6M$>Uc9+Cx)(!Al={t{?6%DJSP&itP}u9p-Ddk(-|`FOBTDCoj6w^Vd7%F;^jZ?<)qwDaqyvehb|?h`T$3 z@%t25geIq_*h&~u4dO~0j4J=0S48UF<#;mH46}0FTQc6@tSkM z+AfmTEXp+2R8!t`%?!0}qMJ{!PxJ-KE>%0hZX(F1-eV*cMd$PVhr~VN1u%sQH}mGA zAVsgN0(1k{zNX^QLCVp$nQKB_t68!bQsE63xw#}^xxqLLNwL};AKH_0zY4h7O)_8O zN2}ri;&}&X?R;5f);;AKyr6%S4TWGIUGS$6G?0?)OWUhm59yS7OP6_#B+}Eq=O*%I zH8F!B?HytopxlT?1}Ku^8Wg-i3eC1k*`K??TmNx2BivmVd9J{Tv)-G*-gQSwZco2<`e zQkP7mC#AQruDpBXsm*>8+;^2p zAi{tSrKs_TC*3Or@;}qAr8Oz@yd!9Q&p>aHrY%xG@7i4vLW%i>@Vsl@CCXVoC*iFu zTfMbOC=I@W%=3QnqA#}sGHB=3*OXJ-5JkPCxV{`SB(tIBk1dD6d?l} z)SrCC!m4I#!eKHSDpk{;IlzFT>#Y7;yJ$A!;}?Q-kyhHnWB`*0Ze~)TW2~Sv+(p+pX+Jrhu+r*&90xv z(1FrM7~&bQSSM`JT{}kWStF?$Ya3|1G;1Uj&&2e>F%9si`o2Nq4uxX$(lSi8C>6SS zuHz+q1UVKncn7OZtkfa z<#DhO=<5P6{*7FA+kEi$y~t}ha}mdSoDxs34%!;z#r=6ibnXDEM;o;8m%K1qsBO7A z!&A{mK@7}$2BY1_A*4R&DtJ^u)nJ&nI@NRT#gM>PuOGJhL^aVDGmw_KyuA=R%JqPD zdyCN>SpW}&kVhK^axcA!T4#@W7n}9!4Jn^JC60%K3UfV)87k#U2cQR{en5J4T?P4} z1~zGOOE?Gbj0ADWF0xX8sCZjrA&`Y%Sk4ROSddpz-%zg#e;12d>~fy-qhIDN2D25a z1D=P*-G5Ga&cKBCVo(XyU&?-;oDkPtyjx$1159m6iI=_>4%E!Gnhc`Bd4}>hdd}%} z7b=3Wu~COb(vR`b-Ds=}J9LgN08qU+5l?t34fngSd61ad|Kx&Gal8W~zGDoQMd@(a~m{W7r`N%tCQ$ zyCGa@s;q*k9u&{ia=;+vP5#Kvr}pe9)`|pJeqEo~j;y}+-{?8%q1R$@sU02@V2JHJ zFiI%56jJ#jCgjCyjI)<0q+qSO?{Xb(@kokU%8%wP8j8SY>dP#A!^ull8d;e5+;!b7 z#5@^Vc*dkFQmjpt`56@TQ-nLK@eAvMu7=COS~h=3Q@}(J5|ZJCHWy z;byTPp>@lP+1!MWc&vW-fT!QJj68E$${L;uCivBfsy&-%5AFRr(XWM_{1oS?Iw$cX z?M9$ld-#@dgPGi1}J1^%z zGnr*hb=LH!OvC1h4Oy=FF6ydwDw#8virL31Te>nF+BVsNA74J7SkST@m;P{5ZP+*- z*U1CpzgM_AgFF|8h`dc>cr6^l5ZMkDa@tZih+R2?59TXq7G&eD44ryVO=;7BD{g9c zBA=gVCs4h@0i0yM*NtZ=za9}~tt=^((SZJ(9VoozGyE*FJ0}nIRN#WAK4xX@ZmJx2 z>U8>!9ZGANB^Q3UII`xkdNJbRl0&02ylw+!qNf7EOwzYk;L1NA!TNG`1dk`;a`|bU zYJMZJLQ;q=HNJ}G=-J%p!^cadiM7n1{+lM5w5uapdP@?Sqbv?V+^g17>nM+fmK?;Av{HoqaZd{UDcWJTI)EwUfQ8zz0Z*FK=mGJ z(3)ArpHr9?d>p7huyA(Xu#u7OEmXpymM$LZ?!9udrJ1ds)C(;&=Cwh5ly;a!ur%D8 zb?ecFJC?q++!iigPhimaIIV4e`^y#Oe3Ya;Z=dw8JQV~n?|~gXkqeBVrc*o66e21l zdC4vgz4z*SkE{MwW4p#DGi=G-pcc5N=YLn4{*&g~fBg-J<9*=o5j$5h;g{z=bK(f$ z>Oz(&Im9{}I3v$}n*Da5^ws4T0;>3T>{ibe9+GLAr!`=?Ta82>QI zbg7~J54Zo3eA3lB4!ID=zolE4A4x56zi+9iTVfP5U$k9lh}d8d*o%EbVN5CxIGYb4 zI_lf+o*tBx-8Xv+cYC1DoPDcsN>`rFH6c!x&yEHBbu+%``{R2B07!W-r>>7Bdy}H24Wye2l>?FGjgQGcton_uw~{V&%<~ooI2+^Y zF?DNsq`jAQ7Edv4NXVCrW{|sOyAdHBd4>M!HNd(L#0M0`GDis^YqCH_{`77K?z|?< z&f2wpHL7;XD5eQZZuoi=1TS;x-G3^~Xa1uoq+d6B38_wo3+crkAZEk<`D0yuKp*6Z zbV5^^l{GIk7IO;e37W*0j$U+uy|8_b$lxw8mY%+)81-~5w$wMtx+Vij_j)n;*y~q} zMAzTq9J)lDQV~x?84!>^3NK%1|A5@@{`JC;dTvf_q~F9)JQkqaF+iL2AJA)J1cW-Im&4iTUTe; zvye0RxqO*ftrPwa5;KRv&V)|k28zqlKlSl{a6{tj_<>qN?cuz}PZrz?d**qM3b(xT zdD1078xW3Hp)pV5eTCx(1bS`2fSeY-6Bx%DzgU_hxA=e)v))@#v)MB>fLw5%qCqV~ zPL<`)l#txNbO;n?og206eGk}Gjx(;$2)ji1ijJCK|_X17i%500R&I4+uUm zh_JwG0^3vBlV!)0cfC4Ck4DX2x;Vd@kK*nKU%0BL77V-78O@o0Nhd66CFh^b|)8~2&OYFl# z%1lmdQeQIJTaM}Bzpj81(EplVkcCr9_eGB;DG<)Swp(7yV79iT#H%lBMfB5MOP-o7 z*!=Of%qo%{25)=Wje4KG9Jhn^z*)&2b zLYKE<#qH+1vSPH<<6ffZYR$28u7{aSbZrg!f)dP(Dz8N zx9|U*>b(E?-u??(UUP7_9heFU;A%GbLtx&7pzLRtKaXsmT8tW*)cV;^ZjNy2#Nd@Q zfJ5%sgBnY2^d=BQFFpI-2b=x;^xVP--yw9=NZ{CT*nKw2`Qh=09S(KqjmvGwItnYNV{BI@6+^Z7t*yPAtYt zdOdH0g*!KqA3tpO#q~1-iodtVY$1-Q#S0^@7Nd$py-OAI3lG=&wZr#6)~SonCFOhe z7tKl)PCcYsY13g9g%xom@{DLGCy!d{dN(`Q6xWmLD{;ZP4T&Sda*D%@OlMkRGE1HE zD;>zyx&!Opq*qw%Ez+lt4pgh-L+JzF*{D6c)-qH2CECHj5Z1oVN$SS0t|X+y-wi4- zw(5z@JN+fM{%WYEeBv8@ggs2{Et*#Nmc8wGs#X@5u6$dofD~iz7YDrQ{ ztF=Dz(xokhy~05SSFa#*V~G!!L2=5-(;bkpZ3#Qt_<1k8^mxIw4%1}nvbZLlLF)S{ zS$K;QSGYTy?V_yR&%jND4DhflOw99)q%X*vyecl2iZvZ<|BYE>Q^6D+Jyf)gjODBmaIXoy=81*gLgIli=*?MnUj z$&cZlxNAs*O-0y!04x!qrbSYdRPA7;csMver>|LCQ4oH~`o7zWS6Mwy{hqtN)eNLQ zG3zqU5#BBhgb+V09F*wG*{aF)Bxv(Ud(-(^pzSARDkXWd@m_9Nw`Zgq!2~h6wbfoUS!PWnMR1 zqdb4T=m+a@RR1wkl2WYHdn7EQ&$?m?uRpXO@6?8pQP!k!Y5(UfPgu zZ9A4e>1PHQMxl{7@FMah8)U_K^}0N~v)L9dvEC}LSa&~Nt@U~1^Xo747IoV`=}L|} zy$D<}`pT*Hdf%v#X;^N+;d|##?R>Dd@CcK3JxCDTb@hQv*~WY}@J!@vAJ+2p{ZKBryR*&xXNtYJ*pZ zp0Q$2u@sxF{uPAMxhyAiUyNAE`hlaxbv<1FOGAu2D)%7a^F@a+RMQW%=vb@THYZo! zd>hiq7v2_XGweNG=ot@l>H5}N$Y$>&K3wc80eI*yxvR%_IFQY<6}U9rNsSlHZzPFm zctR?Wx5}wylUDEwi$Hk#JW)Btk)}4(6B|}7o^*D;e!+Z`E|XvIYo8T!3Y6U-XFM#y za-{hYt|MXrb^MKl^GJRzs7(&uUcakQER?QUZa3L4-*9sxyood zTD_i^>$R^>DFwPe-3`C&*dfo>41C@n{ zQ;H+@_>{e+i3M;CSnoaCzhh3{8vW{*sFIfXEi>t~MCdnCxEFKDflJC)BbJmAeK}eI z94+;@sBW_njUaL=m)I4-kr!id&D90r@YE&!?l|lD^q-Pg9OhNANYVFUCEqj|H=`~W zlq22VhuOtzxkj_qf?8ebQbez1vla0}zmOM8f^?Tfs;28MZD+=HkDJD6v;%2z@z} zm5cC9;(e>0RzxlT*TQ4`>OPXxBO1ZD8Oc{FgBodsZ-4^ZjsE@0Bdeqb@mjAXV+;7% zy+MWJ*3M0x`+}=|dSk~3(m!1!BTEDHQ>I zDP9T`_u`V`u0e`B6e#Y6V!_?rU5dMFa82*(IpgfR_jk74d-uL?yf@xpge0&slgu&K znt%C;d;aIcwpQDHUBLYbPyqW+<>&O@Z~W%-{b4fj#*eM$W5d>Y`g)4hraAWSbcD9y zSUZ(qQi;9wktEqdC)VCh{nRhUvb>KZqz{(~GBD)ZtCz@O?|~dFHdl6)8Fy~s+r=}> z!1m^=B&@i!Niywkk*r7AQM3Vi|=ou%Q; z`olJ`UY~T6^>zm=a$5XI)NL#GYWLs&u~=?8;&*Ywk`y z^!UuYWp)w9IY+Es{W@$bYE|{iQh~?Lhbt%-w>KT@{s0gW1uk#UoXR(C*#dj*J&;uIx8c{J2i^pg%-D8oShMRm3xe>Ja#4f zouAOeE869%KYOuW?8fK;zT?}&*X6sO&(vKQxO8b`Up=p>@iX%r>q422u0`zLPTc5Q zkZ=Nw$!3-RR@D3N{QJKnqJF#2+87)+sTH)qcf}Yt2LTbEk$;&|75}mqe=yv>BKAi7 zK+VBDa^)@D^rT7z(XQV5K28`5@Xf@34`}yZT}-Lbe+NL2N-)d^ABT|#atHu7`WqOj z-`(rKdHX8^CtU}2$mV;Pm8NYgPG*-ko!s|MSF2Ub!cXV6UX_fXg=mG=fXI+(QcfQ2 z{zv`lzcHFSPlQ4?+x4!4Lr9B|gE^m6u8@!~pV)}rn>{Jcs{3OiTLMw`TfK~iFh&%v z6DJe@ECW;^uKSN@pHpDRfukfuk$1#FZYsE)yI%Mw!%(vtP1TBGJuPl&%SI eFlm z8?OC-PlXGz>SzTpv$`L}0D=Kh&rnbSH3mYe70inV>9zE~lG_3;d!PjPhx);^ZcXO3 zu&C6sHjM1Y_ng3|Gii*?Us%tHOOV{i`@Q-1=%fAG_((hH8HoNTtN5{CP+HD^PXs}G z`6W`<<1z+G%JW2t6)LU>`+*j|ku+OS4^9(;*_Pk!BbR6F!+Ms+oZz^-UYKs-WHCgT(HPT#i(69G$#ViM4%=RCRGvR^H< zWdE3?QI!giRjTl#2NG(f3=Qr^mN80V9=mpgx2dy5*emIgUaM(NTb-lx3FGZ*ep%Zh z=DlF9kT>d5(ZS9^`_3k@l5Mq+h5;^_KId+%mlKn1PIx~RjQ}x=;Ebjbk!4V##7tx9 zOhc(%SS97I2ExMXdwJ7epqI=~9||E0@UF?VbSu7_bTho`J=Pj88~d6k6ohc}lcQpq zP)k?vmPu;C?L7}DbX)(GvE&2pHBMNI1`&e&KD{dw*PI?flEaovoC4oszEsp1y zJ6kL4tKKmcVw(pVYHGm;BNRxNS`mEDthkZa2%yo_*3@eijy6SgpL{d4N{-kS>7d)T ziIzVYfTFRS~5wY?~52 zY-K&OvtNep)ahNnt?yQBxscUEkV7PYmoD|R$3u%zdBjNHY%1LTc(Fmiu(z9a_$Zs? z0iwr#Pue)%OuR?NlpsIGIj}FNq_Au>oy8H(_}n&FW}(sk8S=Nic^_npD5;Ix-1M#? z^qOBF3-h_7gbCARBP)*)ZO?B5H6)&gbt6Dz#n+>sQl}!dJVjE-+Rkf7SJq->3>iF^ zp?}hm#tHy5f~i<`Zi^eQ^wAFl9GO{UTck>51*gp#;PcTPYi0b_5wy& z-L}K`Go!fc%jid(vyJrm3nQ@xxLKEolfY<-@Ud)jmU2|!Lo#|UNX$2rL^5OoK9k&&FFH#+l|GC(U!H|2bLzd^~ zxRQU|kk9I>&0Bu7W_J9sSYyL8jAT3WI1*zP495cmI@TV<tiNEypdIW}XyWIw7nkuTYJ~*z>Hs>>xCp;}Ky)gh34A%wx zQVhH~1@yAa$uaKDR~Fqn@XT{x7Z!A7F3Ot&(58bSgV9AVbW%o0PI5ILmK@^u9av&)OTsqthhGt&hu zk#Hvtse0UFZ|_(Z!}99mJnoYUoP`*Xb!35nyqrG#2#*hN`fK+>mky=+MpuVWC@pna z#Ee1E+G}a9PSM4Nu(ZlY@@s)1Ro28Vl&iu)jocWikC(1PX1}Nfh;1$vvPtCR69%yj z60FH^F{ALct%#!2hKOA?9D8G9csfW9o<9{x0T`}@Ne&d)1cWyjwKetgO!81AU|Jfn6>KyJ0kROckE z3F;z!K9Nc`O?sxa)Ih76M$UrNj?=h7i?HR*-ADr?+gg`_rFc;Ve$dftkA4Ove;>D5 zorv~Y#evGma|Y3sBE)aC#>auwzCFo0*h3|E7F>T+V~P7PG@SidzOvWHm*UggSH6JH zT$vDti<66hF$|sgo>DlF!_s7F)NMaUl?Qx>zZl`u^8LEnlX4Y)=9i-{uT|7(Qh;C zeyNFWIpF-zw6mGpudDgxgq~E@#9>a=`1wtuu8k4>mK@Xg+n5(`6h0u?Pd6@0=;2&j zuWZ$wEVu}3*H2o0c6_R&5JAlq+x0Thj}nPtSnQL;BVGy;6K}%RVzq9*tDY8&z02Lz zb4#(dOEvq#;DC8r>hSMR9|<>~y-#M7Ih;?k*L%05BWi3axVSOa21c-CDDQ1kt#w0(w_EHi;-6*g` zL4IvW-aP5o?>W((IDwFpQo?=T6-h~@8B(DUonq|5CcTI9eVk92ldPuTdcWgVB91gN zknvvshwN7JZ1FMWgkj(o;^QL!U<6iDh#$?d%E0mC+1$>AG-BW+xVXa^oJJD}erDO( z)L`pK_kP%?d>sz@y!-U1hCpHDevnTA{{0Cr#o9BPem}mB3PWa~>YO&~M1c!$H(vrzwg2B(Z7jIfWrzQSa z6@+vXnFgKYdY+T#I&nGq{XR4NPI{88u%yu_uVC9$k839?^>ZNR>=?BE`KZ4YR{V<&tJ% z;oov0@2XisnDVeU%y%K~zWN2SgmGTn;}bbWjkm-2-+Uw}-{ya|PKjVIf)6KN(qRs4 zbT7H*4j7kvEc+$>?L%ohJAFiDO6)PzLpVHFlkcH7X?4LLe2y;GsyZiLblN&S zH(aryyKwVaxjg^I5b^8bVoDepyOu7A-^bBrL6^3YN=q3X;m+t;X>fiU7TC;d#HxQy zt#?k=CL5U-w{ypfpz?(C3!H4K`8g)eLXSPmgd;x~75ud>I5ACFNUcLc&8b}-`xKV; z=E)2P6dMR2PoM!8$4C%URIS~$7?|VZjofPW_hkp`!>R;?H+C3cXbIhjA{p{YWPs&` z91#I^LqpBPrk`jfD%hOkNMbEFptq(P)lQaWCB9@DPzM0c-@kO6r9VlBOPl%uvi92FjyQSdw>@y1@8{>N!SVfqxuT_Ng?V=59e*V=pHc~G0`g$Rf(Mnq#u z1f|n^1;Gv^8T2HhguWYs#mGUQ=y1q|c_?Vfl~BDfCiaw4xak`uBEQXB(6Dpn+>3ZZ zA?E9Fgz6UklkollPl~Rt>5&`cOS;|;xDa0?ZD8ND=+#GgKHnFeEzvj3o`TV@GVvdQ?ijsBck$$)6N?5?7$#PcJ zxgMmN!fmP7_2n&o3KKMUT-ny?bUF=Re{}CG+HrUPB-D=Z_AM$HI0V`SD$o!H(rBTf z{P{zz1}@JbRFYMn)@9;PhaPAvzPNF5URQKOtC5lP<6y^I=)TzbLabn3Vn@90p?Lj+ z+C}CVpyzx*cKy#((*Fg={5y(~|IeH6{;9oR@(0i{VC%c52iW=w{`ZaS_-D2JoA6>4;hD-jWb3aIn#z>0R3~7enM#ZlKG&e z;uk1K7H{aXg?qtx*oj&DJOdMw)#!94UVSaU21SuwogWy0po8)IvbA@WMyNZb8A7%Q zUopwPTxgJ25G7?zTApr%6#fE%muIhq+h)8d1K-!;&0NuXqL)j)9z6&mIg0i+UI!Vi zb3EE{Q1kWBfWfm1UDQ_7>Q)N*3zHd@bgH8YmWmssUzN?9(olIE0GdE5toA7(=Zx;% zxACcDv3avD2J4D2+epF!okB`^gYI zfhl~ASj!OXtk3a7IF>5fI(RB-_3Me~I=`H$OJV28n(*wMeA;5Pb)y_&NxYwvNc?I% zEpjv4Hu=FQa;c)O&dC=o7fCUgUch4eoQtW#w{DF(ym5-!xAr?!`e}Fe%FSf^f$cz- z;GF-a$aK}Au z2*m2{nG%0ycnJ=^8AhesHb@~)yo8dPJ;}_f?O027>UcNhSZv*%@B@q)cPS?=Ha<|O!sia=bmRJf+pa21D z{(W86LdJn39FMS#G>CJpr4j~{^$>tDj4f)JFOgMgIVwKEuWdP_3MbTFdAg1`?-TQr zkOJo^a=oHq|@U7FRE!EmG%8d(uJGT{Pn;&t1&H1+Euvc7Rf@=Ob~uYBHL77{2ek>Pc>hbbbYa>c~fX zLd!}MABK4t_7Xo%nq`~tnB2CftL zz%0aQtSsL>o`vju`8z~aUOhxWnhJouP6UMJk3TVR~V_lb8R z5t3KYhPIC^-3yH|CqG!_VO|!sdQQdfhIfWEhmfhFU`T~vkv%Y`X+y@7XRsa z{*h_G^pfJO)73Dv}?iS5RUa2i8XA^tzfKouu9~GDQ|H%L}ey-_?m%zE%!@ z5cSL>y;MNFBM*aMLaSO1lC59-jOQwXNjro#Kiyd?l^7D zRcOG_`V6y5hpj>qVB$yN0Zs4HUDLVV^L3o52q` z)b+cf@UP#S%we>Y!W$qU!@#dY~TwG3Ga0|!A z^u7-B_T;&omQwjRgHhvT3C_}-aO2^w8~-(JpRp)YEf zt9`<&lrYW>I7NUu^Z6Fiyxdpx((aC6Ptr(A!Fn}UZGsTiOf%t7sHIa4M5n=7U{IHg z2dv~a;ykZYygYq*xER+Bg!@A$kq^M?I@JWg9SwA+%%JOO^e3%OtcyEy3sIt5c=Z93 z1Q^DK9q~_^g{sDU+q;{1rMvpR$HmIJU&)uvBz(#Y&f~4+2?z|=uuaw3;7W7K$}+8=RK{#0V61n+ zM}gKb;*nMDh{Z-T@pZ)=dz+hM-X(Gn(|rs3)pWeBkz69deQ~WRVcQi_b)Ii7v)Q=a zrmdzl49;{uXEj~v`m`H*g% zc$X*FP!ZXPub0(TrqH#?hvaN!I0fP~Fr&BqTK=(iq zGhl&Ax-}ptD`SdjB{Yi}M;FuLDIrhJjXX)zHqHzMh^q7ENs)m}8@{u6&uu>yvPmH! zoq7il?zsr=m3q{=9TjujWKCrcLbr>n&Sf?~k=WEGXvTPqS&VIkUrrxQgL7k=(g$zz zv|uKISuPs)()oL=OaZ|p0jCrbFV&2djekD-B+E=eR@JTH;dX8A>PXrs^v2)F-rJ-q zjfz~=0Y&(^F25~P8pKGCKy#OULDtf|;HmGx)hl#IghCS6N2NI8i!G^eQ=oz|xJ)8M zYH?YEr>g1SUBOVsa)&^qGZ@a(&9%}K;b!0E75dD+OWILbJ&*Gv3b-V%po78wT}=Bv z)rZXJ(G3;>UrgH%CCn?`;Xke+tImNOO|HR{mWO4pqSy%-WV&s8`g7IbPjqq>_5UkK z`ZwPHTZ~Bls^N%=2Hx`mtqOirAGA#TGM%v_VIS0+^!6zbZvSoz5b%lp4*1xCfNyz5 zMY{w*DN)OJ3SS~l2%mdCfc{dOp&Gh(NRu8T^O~yrO>oiohk)txrBd^ zf)rsZPap1(c)sQy9MB=j%^Lu`>RccSwZ3w>&fTX!*;25c_EP$?>lAy0o`8F}bRWaw zGgn)1bq5||SE;5L)+TYL7Cy%xO@jJ}SVgxa4(o!q6zW#(9$ieiq_;<6n>Q0oHPe_U zosSs0A~irt_y(T)-w_kE_mdNUkQYr!mpQ1E4}m|QDc0Q=OIMpLjvlmRObhg6qxXLH zQ5A>X7elbi43N$Q)bP^*HT)Dn4ZjQI8u$g8+(E`t0ZRjf5V^?sl6$36EG>CQhCtTx zoTAL|CCp+mFbuha4B`3^CJe`El0P7~gb&;a-wKo;&w|f9yFMGbUG!*P=v~WmMBbbM zUIWjQfMA9o8~%KV67F#iFb%$J0WiVtH%~&-HLI=**IG~|Gc=ZlR-4EU9TP8PL)Cm~ zsoyyU@O<&c=e6tf@doXu@#;8hOq2+iuZ1!P)hyB7eO9wdk_i6p`9r_1@@WI9hd=Rb zFn=gFa>&K;KYrWoLmIEtJHdPQhK2x#zz#UQY^s9md);xKBP;F%5pFaq?J(1%eH1_ke>PXO{VkCH14{3Q#~eL8Jcf?hDI3A1jyocASY?&x*FtW%}7`zghm{#gv|H^Dtc|r z9m&Xv8Ayg#?^0wCmph%CcJyW?%8ww}F!{j{Yb1@O_Tp9HJqI9}%GLNA)(+4BG8fc- z!{z^C_5E>hfz%`Zsxw%>gu=# zc6I|*BjnsKfZqK-T6m9vxbSj@0OOp-^4vDuj+iy+OM}My=gg zv0)E6lb?%(OUVbf90G&NWc_oa0{yt<0A0bcds0srhA>v~VO1lXxrOUWaZXymUP|Lp zkgI->?P&@N=$L>8nXC+0dt1@JKtf(XGSP0Y_zScSKt%sywn2s-&nK73^_&8kkV>p~Gf)y7HHkz?Y-`jKQ0j93jLee_7A_=KY1AWv%hp9Edj5_RbE2S8)*RaL#cLK&YUE} z$4s^G=@*FVWTFUIq9yTvU8ZKMnOb|qa)r@GeTac5ysJ=SR^Wpoe2V)h1Eu3AmOcYL z3dv3il(Y+ugDu~RkN8*itN~}1X5f(iRp9J;Jd7(Xr4LNNi@eEz^b7&yP#r(2G1mb$ zMN`lc2_;c{=kt+6(YpZox3QUW<=;VF{SlF6r-yyd>Ar@})xZ9>K47GiNTs)FVk=el zoe_#kb`*vaUj=OsB`+y`ahA70y(M_PbLscK<-lo%w}vt-pO(`CBYrN-FQ4 zBzE8~57SH??fqPi`Ot7xeqkIfY0k|-zrn9sZ6wco=Yx20dMH}YZ|qpW`}H^F%W&bU zmOFF{y<06#7j3biI5ZAp@-4ksA#g~UQinbz54D$3)w!|!^SL4S)nd)*DeeV%a}LE4 zk%hr8k78RlX4v!1G{csNPE?AVZfYk=4sz>t_V22~pW3IatlXLc%)5e*7IO}&wC+rq zX7ScnrsiKImL-PKJp|FjWy~c|ZkM;g44HsZljIki5;TgHfMCTI#*gb04u%F(?s-O%TS*=PYuQIgYj zq>}A$Oc+LuBj=>*j9cRTc7d)C%pYW|a=n5v`Bo;!m0ovjFK+I1(fsx{B4x^~z;Rwi zP}yoG9yS4uz2HaL^mvpdr@z84NTXS$62LN^W7BdJWBqzjEHS zPr$Yiq8oDrXdId}m+wDz7ZT!2+t#L}+-Ha9&~BG_7Erao{4*k^$XCi7y^-@G+CF2g_3~{i^5f40jtgMO{6&*$3)VrM& z7qsZkIndO!PgCRma1a+-wYDpn;O;&x|8DLIT9VLUw&4Il+MDr0hXE=S4CDOEIM=?@ z!*HHgHo=tQZX-OZc8|Y27rzP`K4P8e!a{i&F7gT$Y(w^V8Mhv)(eHG&Tt`{v zBka&Xh@frAXS+u~z4|pVFKOC}xlALr=)*snTW?BtFBl!X%?2Hst%nELr_LfHs6nS8 ze;-w+`Ql%W0al}Tho{20W&I)Uc!}S&wTHv6^y6JAWnDpn>N#p51V;Hx7*E0%RqUDp zqik6iG|W0@P0O{|AqVy9z2bavJFvHdJvTDazA3L$#QaGV>Yx6YYCbOQ<U^Tykl2 z!tEKG4#X&qzRXKLwc_(QkzsMYu@A$NZ=Q*}w-_J9Cz1?WQ^9@*seqz*KazUIi2YtTLSR!3xu@7_Bd{{ogd>&L<-er72i9X%pe2;ellxv2GUnaPl<4!gE8H2$H_8AU{lNDT;2vN^tceUIuD(51D zE9tFYiy40&@#OHwXy4=NuG>v1v%W@Go+4ZCXr^wQ${dGsqRPE}I<9X>;QCRj4@G7J z4^fNou)3KBbN7zles<^6N_3mr)*;x@o-5Ym0>*W|ru%&BE6%bee9RVM$AH%v9 z^sA}#J=J`6jjZa8@>cmSK?|?KnA||KJUi@NW-cRQ;{vR!E{<|s?Zob>Ou(fmQ=y#a z3|F1q@w2aejJ>MC$^GqC=$g6pp?KORLUj=$hYVl{!70eb|y&_gPNb4}Z6fk6)pEdsJtTUQ(cQb;h5q!WZ?`IL9be z-qoV+Q@WnJiqPFn5;-;lvo!~)3Vi9)L}qEcgfDf+z^g(m!m}$L@;4-Bu@UrQ?OBE^ zIwYFqjBOM8r*k4Q0jB}ap5zb7ukGZdw z1hNGSg4;urFL}bep5o;%ig~1?aU2TGEv;IYFdPch5eN_#zjpC0*mche`U~^FK>}Upa+$m~^@~J{wQG z?`p(*de!i)#L3ujL6h{y({A_+r+WM#hbHF{9j<-HuIA-R%>iODTcm@-vzInhkv0h7 z{cOC1Br`kLM3|U?{xtQn$HJNer6Z{RdE&<}p!+j0PP43#J^r`$uoh$NI|ND_ui^9K!l=Mc~bXW!`(l3AKl8FFC`uMk8tQ=6Z%v&SB zd%T-|D+KrED**fi{uv?3KOM8$C)lOAMVx7Nahw#uNW0{bdU5Jxh#(%?}x{^B5%gKHO5RD~*2I znX$W&EWu(vaQ3{`w@hL$lKsd)WJSQ>c^?llu?-Ug;sPU5rhB0U9`x!ETE!pwO%IvU z!H%E)ledIu8z@4g@T*$C>X2<*>Zam-YQjQfP^YQ-y_l7wG4$O*^QMte*J?2iA&!>i z>+U6om)S{6h#^ux?FdOlj6b=eJ*7BBH=l3LHDwzyTqDQout|54=Hx9=Hu|*1JG*Z6 z?5^K-@{_mNPLcU~83!CCMvqHhmaS_XPO8D2%;!nGCK<%htvr25F?|R^-O|XfX&^u_ zD&&r%XKmwQ@d==&kMB+MHUTH5D<8%|vgONBVwSXi^Nttxg zFAh$2&xdmC_Z={=p_MIa9WoXCT*%=oFHb=$e9ZP@-R=k3<&$8GwtRyGw&N+Uu-nfS zq5DcP0j&0t^<&U6IOgOnSIGMPqjf46nx$cWk?QTnvu5?!moy?GXIXtTR#Ip=F<-o; z5lQb*?qpQ-HBoZ89Y#j;r}K1^3Z<8rOw#VK$(~WpiKp6eH0eHEIyph zB-uWeN2sW)b`pfFFZY7D=?V%&=6wqPF++{)`MB^Z`AJE5wkrT|f)AGmj113K44#g@ zKCI&zW)Dko8$~MqV-EO_o~uk%-s$McG)*`@ZQQ5t)m?JA!d)i*p-PB=9VJh(1z0DO z^U9jK=2&oRG8NLKLJZ3^J(Cf~*?cPsF421_Y)GjTw5()is$6E;tDE1HiaYu3Z4@ONW5u?E2UQrxl=l4PpX6=+&WJ3K|=k*!v~MbG#0yP@vcffjE1%#@dj_a zm9_Dbj0GR=TF^I`F*BM}H3rZg=1D}T=Zf^bVrf%F_I*l)1x~N5OYcZf*uBjeAIbf& zj~jkJtg-IIqviVZRGRAPrZD7-{g$PL=kyZYX3)rQsBL3ufZHuVFk z_<(;6qSPnRDE+U{r5g+8Yq?@emzl~`YjNvF&Ar|s6rg%3Nak)&#hSlOy(r@?3#z4h zk}<`6c-|!BK0j+1@>I>9zf|#n%{|sza7bU>CA`WSMRSkOaX1clvEyB`X}W`L1Mcfr z${WoS-aNcLKyfY@>E()!nH-T6(Lz??o6mKv@1HE&YC8|J4jJwdsiek3R}OLd`w6nO z0-L`|5)~YUFlNq^s#h=zx|G}t64`yvWjuOd#C3A}ruiaQTt*LfIX9cQrSX7zisM>y zN7mCKV3M{Loh_1zrT=()vvA(+7eR_O=67@wM1iV z8B4XT+JOX|1w9#8X&E<;Qk2H5rU!JxYJ4(DNm3_5+!!~iHP6k{GK@s=zv;YlS*%TC z-B0rEP$-`?VB5WNx>Cg8ZlkNcZ-dghTk4*Yqg-1J6B7!gQd@ryR9fDUnN>k?vg@+* zQtIJ7pf~N%C7)*SFf_NdOT#afT8Wag%qi?XqqND53d176@XAU#;aEl56m$fS>79|j zua4uhv&)AT>V{n29iDP?qC-@gCXawD7G+j*__9tmbf6DKl;j)oClplHw!Urq;sF{4P>+o@|&dgNNd)|&M2Zu+fN^Mn(^&}?LH{D9BFxmmXve|z7yo+05 z{)dO`*!beN)K}B4B`D`e3i&}x+i13jG78`G_(%%I zgWYqp4^0k=!Qf@3!icSBOvW`4E=exFiIi%HQDk2tvUzxJRfU5+X*`nxBm%m(e3^!`4$&t^KINkM3291`A)<>ot0T4ZmfGB#vaf+>gU6wGhTAn%kN} zOIoU|K`F_oHmao&)?*-vzUMtmqSb$S$S4>a0^xMN((p$^7Y18|*XF%=&}_IX8lG2y z$u*^gn>w02S88aorkh5UM59TlMPqyHi=kCb&vm8_bBeaK{b5mW63dm0auXwFZUgr) z6FM^vm~QRsA*IEeCT0$vGcHn{zMS)Ld*3}qDH1}>_9N;IDaHa>>W~BA6KkC#_GGwR z>TEC`CXR);_;VeE!)GU7%8}&HP0&uVFtrZbwyp^kn$46LVIrCeePJ?G&g4I zs^zUM#O&i#_#hoqz|IpMB+(k@ety^p>5tMqr{`Mow&)i{@h4Vi#u~~+F8j6HPY8I2 z?1WxUSl)}lh~HNrrQ&UuIUH4t4ZQSA5dB~XFt-nvNK~69tu$_#u8bmapZ*M3gZZ@2Z zuD#e*U&bmrCl0s3pBA7m0pmYjd^@85A}pjK`Ke5O*fvexTUr@^zHf<2{*#W?LvThf zgLtBFZ2$~aA7VB4`uqZXCWsVrb{tskhTdGoz5~ad;c)k}YRhX05tq;z_==bsVDC4P z!3jV(OkDWk!P}vb6EbT!zoz69d|rvu@kM;SJIDouQhl>DPWtWX__B#0ORuE zsL5TobaX8onk)3V4r{(Mck^3BuV3t>C;y}nTF5Xtx<=1;^BFhejOFs_Lk;7|?axOD zv3-3c=dbc$)SYf#!TEa(Ax70z(H(|ctJ1AP7kxywDs@Dbx{K-iw<)Z7xO%gdb4?9G zOHTKmGHDnykwG5(WKb$uYc%Lfahf=ByNt4n8#^$L^$T#!?&*HLSF(wRAPPsP96jUU z81rj!g1Kx^6edkXoSL@AxwS7kPG`5{gh9wVJa(+(pjO*AKyL_Fpl~$927h6^%)d^nkCJm;6mS%;&QI<&#Q5zE~UWUl;+hj_ls&|KmXb<;YSoY7H*9>e|%{D5Q z$mR`{?A}@?o}9m#z~(5bVSm?{_`=X4?6HVI8lP%+;o58E3H>n&+4%< z7#H3^CLLDD+U;&+cv7H{%V$-?_|@8Y(Jb!bXlCtWocA_5_~7 zEZSXI%$v3Y8NXwlaND(Pf*}p9cXMYJ+elp4;o|+p4#_&nYC!5#7a@}MKW&))1WWp} zzX8@v3BRqG(jNjU(;s-|fyO(uv*iY#;kVaE4&c$zz4Uir+kXzH{`1HF(}u3k>GNx_ zYaNfY=quqM?k(YG1%D%x{8uH6`OHU|Wo5Mub(T?D-Q&GWA=y;$x85{aje+7Pmn|@w zw^cBty0t_1ca<%V_j=?=I$o|4Ge9W zn4fzUu3+|~UKb;kZkZLVJCT=7q8S+FAtxiV>0R|((42WKm+X(p3JD73;5*(tifYQ* zm}g2pu{EFNVq@?6w{Rn0gwab3Kk#K+NQ@7?JkyfKuzMuuyW zj3U>oeZAL$;m?0hZ+Ft$^A&%c%UA97ao)cabnz*pml&>5A4bk?XAi-ha~}zvnXXBr zf_;e1GWWvtv{0|4KYP*()8-K%{~D7MVLRpuQcKVlE4r3I)iL-5(yE&r*LbC)ttYz` zGl(P1Nln2$;1A+H@#g7rCG4hC&cCCxnn(-1xH;F&^G`RVe(Repq54Bf3SNEY&dlX} zuBaiz6fY$}TP%kVNm4fZerHF@Sf3b6loZ{|{sYOW;*poE4B5B^YGGNixa*PMYGU5W zWqLtN$h|mj=XCS}Ys|TT%%FElAv0xK0CZly$i=TU$)xb}F}UbeaesG_3QZfYs)CJ5 z52YX*y5k5kL5q`l;q1;-T1!Bn=X-=#4|BmeH(C{{R+l&CEn*c}wTF_OBL!yhSUXhT ze-vzSAb3pI{sO5V@qga%v{k(zf96?pP&;!kYPlg&x;A&7AB&KB7$t3(CSRI=TSMJc zvDtL1XMN4*xuvJ1e0ULTr~ANkK5+(Uh9v>5-+(#B?&1t{&Bfy&50NW$N~zI@%5Pdm zMUUesVxB5Sr)}jC8TSMUxUYq}Iu2R&FAW?tE32xRa1yT#*!uE?!6Lp_$0K3?;NeS0 zIvS~u+!{{YtXx&i;9$i&(1MkOF;-Dr9JY zG%5nbd9Xq7a};`^!elmJ$!H2lR+5W$5Pq@wnf?Qi4s$mL%feCgq7Nt4;=D# zj3Aua=h7pnjxt^#C24Zp13iCNmDb#H{TRPdN*dka(wWW9exT245c0eW?Oo6()D79dN7|)F2vK*U5fjWcgWD*wfnz7^DWk88$FAinGSo{o9(fKy_tx0&@R5 zrGU7b`4odcY+IXNl#m>ABCq&#f%>#O^UoB*PA>5(@oQWoh;ofeM@k(awU|~iz^Vfq z^4;aQor~Q5VEOaZ)y3lGJI2OFr;&MQtHaH8WG6|Lzb<_sTiO*O4L<9@2TCj0OuM zAlp|jqSss&jI1Qz5@I|f{K!V=vl8X_CTHAYP>z;fFcNnLPQE`!PrtJT!JgxtqZE42 zZEKrS!A|v&mOro`3);_eV?v^QyBVG)QTTF#K$ePpx1&!rhKrVtD*RMR(MOfF0_1+m zey$dB0XZN?-{Z?_yG*pqG!6JEG+mcK5P{1h%wDIg@QA7ugkvk|4>b13(Rb&x8x9QN`Hn;?W1%#La)T#^0=2gi8(?@+dtCwz6p?J_Mes3ZM+{ zEnUtsG314;EuK}Yr|?x{U_4zpeVXq`swO`n&~gY|ION&+g~r%=8VH8jBy6K^W*RNh zP)q({DbztCJD7uFR#@Z7ylTiLo`cL`}iy#VM?!mX8^1 z#c>d&#v~r+lK^;-M<2(;-6co=Lx{(&KJZ@o_OLths*+KdbuY+EuX1>RBzivW5I_EI zg9K@j$T(qG=C`Dl``J9WVgb@CqhoP)`01p>D1e8X8JL7@>M|1aewCZWT5nAlevpUo ziX{pmCx568S2q>byjGS!W2L@f2x}h?2vJd87}>+oas7c_XOgLMJ&!T1nDYzQIIV^v zHb6H+fW6i!M*{5*v$^x9vUTsl$1nGWm%sxeYfI*oXeUSAhdJlNQ-BEhkk=!~Z;V{o z2%sf5=kSO*oS{g7`GuwfpXI5GlHyw^IJXm2(SXxV!Q$f|Imj@p8@A`KW-q04sd>*; zbEy`-x=aE9N6aj-OBlrB5q>cB@G?0?*hgCIz-jMWFstee0fph8FYm;?Ny?hS{pJz% z)(rVNaao*6m@sVI3J!Ba!f5ZfiH~Oh59QJ9aDP7Ceud@-&1Lxkv7yQl?6y3!@!e4u z)9zdQPFYr~>iHRYJ@!u^M_|>AknT_9 z7v662pV@)kkFJi)A2MZ=lV|tc@8!L=zwOUW#aEEFB(GW%YiVowQq)yeu*zalA|Rnp z__X!r?GQbr1;r#oP_C!l6U(-BbTkM}5}t$)YMm31oI_=^B1<}AXHU)N6C>~5Cg&YA zC2t-A%ulGWQePE#p7`#n$**Hcc*2UBVtCH8L2P^dZiNeT#_w3u4L_KHgI@xmFB7l* zoLcCktWML|u%Ai{-AFHX<9x9h@nya54L>CK%NfB3l$4CQ-=h}uISKgWjL(7F0d;^g zE$sxSO0EOTsd?&e5?Tlw+bLm}h4^#+;md)Qhcs~P7E-OAyaTQ)yi51j65?~jHavX@ z+YuLg=PCkST*;vmcY`%a-zRL`Ag*cPVi(^YjcQ-|<)_!ZjmQq{Jw_kZ=L!02J$&77 zr*tp@h<%Cb?mh4Nki^A{0_{D#Zc`CA|A9|VJN#+|iXY2H6*d%rv+(OMIElZ+d8 zd-mbOMkO?&siW`B_^?=Q9Z8b+#d+xYKN^T#NBDzzUl+b^Fk!s-MtuSe+lo|;URn|K z9vSrE`hoE2lkM_S6IV!jiIV22)86f8>d2hbDWPTa^ZBE)ZB68m1c~w0vdE5Ro_eW@ zV5@`1zNaJak4P}Q-Bcq19{C0BHL&|5wmif+eN`U7mawyn6Vyv)Pou}=<&}wt-VLq+ z%r7CpCSJa)<(d)5c>s{+mpgc_Fdo420bW^NG9Hp{DF*k1Go%;kw``BV-w!jxpC8`> z!8+hXvJCIf2RGA)YK${g?nk0t_w!gO4LF5YCz5>ED-ooIJSK=lk?WX1g{U z-dC@L=|8gKIqrk1XO$52+k}Sc8~p+`4!w-b{lJ9%ecb(S@NCGr%NEQhKAmd23L%t4 z?hym%Y z#*UdTzd$O!JC;!vT+O!gVYnn=7~skLVqJZFL}YaQbVGBKsIR!(^5WK&x0a))p0BRt zC#=^Sgot5>8>QO^Yp09fwQM9&iBnO|l zDWO}ysJR!{b@rzXK#@9l%hvuf0^`o({YE2ZfhHeQT;8?2)_C!1STEV_%zy-cfR{mM z0(HfRHqpLWR&AlO%Il3d=(hbZR7E8@Nwo!t0P%pvk&(ea>K@s(-~bpzZGaJ2It}23 z^N8JkU!N2HGGG2*4hL`-%ktkgOB{5)JS)3mQQ92l-xh=fo|Y-p4_d!6cEnrb#%LNa zc1mwWy6;)#{MTUPansiXnFOsn=Dx=XW9w-SSlq8~I?%a!g5Ul|BnOaV3GnA!3x7B{ z4z2=|V(N?*uTMZ3ISHp1{oZAYF`Ygse1rCMSQPhvu=f^VQN8>A=n#rDqI9XGq#&It z(ozybH_{+IvCE$1xS3uis@sGL>m1s`)kt z(aXTvj{V?+3#NBT{c_~}SwC@WBrpeb8N-j{15PYC_8nYU=rgR+nA&L8=9$RT@Om+= zoing8Fav~IDXdd0!fZ{B50l*SZ@X?rL$05PVXD#>H&%0jj7;ZK&NHL0#FL?GhIn#5 z`PzCvu6$0a718UB;&kmWW9J6EJslj>^qPY+&GUOUe(LDX_T2t7QK$Pz0{1{LJ%q<5 zWb(ePvpMqJ+GspV~ZmXab z0Al7jLYccMQ)GClT7q-mG0jx4SeVy22W|E3+5$XFc5p70Dg;8H7k8jJUe_E z#Dew$^d3}N`FX>D!$9c}b=usF55J6bEzYW87T^(Kc%0Du)dOmFEiua(NiiV7 z|ExodYzH}AFf%(hqs!R*>Ao%?*V;vlsnOf}oti+7PwDiV@3+AtxPGZsH70 zDh38aWCn<2MwvD{v>2>0?2}P+qI_1l{dQoDTElZMMSKjg;bwjEc4@;j?RYZNzee|x zlb3CfMtt|VvLWumw0@&sz$g*?CXyeDbKEz z+!7~r*|h?jm*A+Q12OaGfvkR-BEna9u?4$j)b#AqC#Kw= zidFB&ItTi_#54-{lPKKr%SXpH+m>cAL|GAt@>J>a@|5YT%5yCPnnYG!_)ty#LQXyG z6zrSno_yhcz??t3dja2#Tk zf{c^9-z+Gy;NYi@92Qp)V0w20h|x^fml!PVZcjjQ+5sJ zsGt7(ManBLC>@dGnib0U65&k(x6utX!#3}wPV{goF7D$rvId!dL<`0WHp+2M>4WEo zr5YYfbnba6uv>ZBCwo}eZR$mYbv0gwN5nLQ;OI(tz3-tY5Or7`eBRx1f9wnx$|@HIp~oIg2`PvI9jG?GH%0 z#q?!4P*X>$FYez6Aeoohj?j^&;3Vl3G0m+vwe@kkRM(%E1|bQ_!3^Jpn7?${BDuOU zkHdaK)@0$D#f}B}fjKf{ab-K}HHnWI9uLdJA8|qe-K~@!qz%0MyPLt?CI&avIv zS#wxT;zz3s9*LBX1?J>2SeUdS)aw4CiVn-lp}s<6F2$*Y#Cu)L)zyj5>>t+!k+Sr& zU|pnQvN?Z+%oT<+t_(2*1#o-+tRcx2E*(+2{hB_(j6G`DRzbLfEL4IQ>d{q8-)X?e zKt{!^g|DLS%qy25r=}GfI|TgB>T9g1tf=yBf+L@u(P}iH*k!CiztwqkYCI>W(pL!Y zEkYuH%2zB6CB^FWV2}`~z6rUACi(R7nYhvOwAG|gU-lV5YIVf5(T??S#}(h4*Xn?L zKV$5zo-B^vT)GYqe*lJ=adE$ECN>013O*cRhOGR$tHy`QnQpKzxHH$q`*w{81MRz+ zPX``a8%-tH)s9XPAj2GQU6XahL!A0fdlChnT8MSV%l5e!{kZOB83+xrIKFj$L7NGP zgNF)C(>|*Of{z<6_m#b34%Tw4p@^jZJH!)=F4T@|56&d2%#} zHfK7~`!xV6J2;L(0YUtgJZy(@D&DxvDt>3SD~+u7xgSG-3tmjXy&vKq(8caOL>tgU z?p?oV62~1YYkDa_Uavf_RVMxNS`xoZV(+tO>8f%lnE_d1`>^V9NZ7f}j2(T3hYOA9 z!koI`FJ*c0Rs)WQg?*)PjxcQTKIAWLsf zFQB=*I^cK*+DVSnmea^JjA%LZMjvT~&o$S{?2i;8I>S=P&EosDsWD&*MhBS77Fe_i zoep;(LUb;?Wnhum9-8^kRe6zkmI7K5^gxHRjc%7^PovM*mn7T#vM=1c(KW$1Pk#j3k21Su}sGFAn_$J$(?w}N^M$X zG{JKN$K$KjDXJJcZ^_nxE#+}np||EXpY<888FSD?$7uyXvax>Mvh>jb&2(}T9IigB z!*9noPD{!kD}*x4g1zmtJ}m=qKS|I#g=OZ?I%r>j^jV2-N`) z!*&{m{#eMg1x$^VwNHJa)t0s5(udyV{9dik9WLB2x$S!lIi9Cy(*WRftXo9(>NT>( zMxoiNDP*U$w=Q5-NjOpgvv^p)o&xj1q{@HTp#4+SVrQ`Llg7q|l=1ck7o9Z3P_Lud z#F)0+CZ*KoMqNP?AIg&5Dqh2ArvS=*pc_a@Z!nc zgH~4o?=M&p5~~2t0dE_6(!1%SxuF{bDV2YyBJ((?v$hNi6gvMPCZVBd#hcmP9V^nc z94UWbQZWT13un8J+soIK7%N$CDmP$R`Y85rJ`4Sgy&y}`dZ1w|3bKMPYu1pU^5_0pILBM5E6TY_%~#?L@34#)c-1 zM`oTFW!u-o;1I3;$kcv{Dip08+9g#wXZhaQ+?-cnHmJ|ZB$2`-1=n%T`6Eq3f)ps| zG~00!{P_4}79U*%c*zbt6`35txKYy=S^HZSmZ=!u%u}9dC7EK!woc)lpZ%9v2>*`Y z`zQYXuhb~QO1N>Xl|5{FTKLpK;y`U@PI=*EBPh>wuhF%{h#nK4}e6of=Hm3|}}nyOzzt zFkO&!(uzGb!g>>l5LN#;TyCk;ol06=IJfJj6FGQy#r6?|VOIOqa(bUz>@Z)5V}6qX z&Ilh{^@eOi6J^&7Thv!MY@uPrtZq5ffeWorltcLqo5jP3EvU7O+e4=}j%?M`o-Py@ zB%LdYe2R<~1F3Z*P0}>_qll7zbt#>x-AVzFNPx zs`d0P#(1uao#NpZY~&<8iT25H$XPL>^CG1E?#^l*YL<*y>72$NXppZ4UdW}51Ksm6LC+?pcZ0{~ROh`bxe^~)B6{jEH4 z+bds}R|!J>GrergR94MXgNH|3C)6xsX}6!^HX?%3Vea5+uNJ%Wx!mXsit__pt#Okn zR}mkje%0hJ^Bf-tF;f*-^d8hJjR|dLAtP3c59sA4?nc-=&6r?LcV~cv(`>w$YYcJt z{GJC3DrwWhU3w0uXYANnJKG+IFtvb`GUi=}HJO;cnf+F$-Dv zNe4yj&o~g8409Y?%CT=_p>+!b9KSXf1dATf_2BQh^HI3kJao6y?#H&UXZ$pnY6W`9 z(!&1>R7ZD9Y!gqA#meVE^H%8>2yv#F>4mCWX)ki>m~L`ld^mn^)MjaBKM zrm1&DV)Zg*+%__73yTt+44HwuBcM%Ur zr0q(2tW-bN_b@yN$iLex($NZk1~+IedT$zQ=BZ|hgZ9!RmZ zuodSfuG^kI)a|&Q!6=6;S+_g)90fan%%5&ItyD=D#eZs*d%ahD9beZkx$u2cYu1%z zqlPiN%4hQ2H&JPcgHH@BhtyxeXOpk4t{Mf5XkbB)^`#f?!1nA^atAvfGvIxv>5^Tj z_g{|H1gg0B*8(^GO){&B0`6DCXGe7&8JTcowQY}tv%T}s`)|7i48N&BXF z+88x#?PVx>j#Kuw|D3o|Vo0@Hy)BMAeeT5@cIa{|zIw>`i8vD8J%9mv+5KYZ-WNPz z-e_$;W=e*RUxNoH5zt{SSl@-X0W`uQz0RFtE)8$lRU>odfE6F>#W-(-K*B-IwN~j9 zD_PE7$~$*LK^DM|X3!sq4tmq!qLR=&Yd2xv7(lJVdSSkYAy<5&!d9L2c_JqD;VqlI zdakBk<g=A7yGqO-b;Zzx}Ljc1b@vh#R$!k&6fA+N+wxG#DJ0_GT^Rb`T4gS@X{jg2l zc`m~z$a3Oa&8Lik!FG98May5H7hjWkBk*|Sw{XI>GL7{NQdi4~t%Z54Nm~dxt1j)( ze}SwzMSuL{=8C(^9kd*ozBrl7`k*K++lKRi<5q`1JE%~HQx%qwSPE>3c(O%~d#jZz zuj1-IS2?bzI{no6gO7ZJ`wQwxk+4PdOz|u@)D^d!%+RvVQ()#vUFcAfgtfM4=b{F| zh`9bwX3TYyGA-fC=7X;-J3A-u;=gMK9odnbeKJUO@w|Zv>-vBqv0IsSGHC0|XWpLUHp zK#)hG=To)HK?uk!XVo~BM?9qBb;$bcC4>65Qkr^B4XW+{z@+oZtE#1pUiq@QZa71Hhgin=@ zt*XY)@4&9b{9R}8>$@i(v8LUjxjQC^L0(1+4agvg9#W`x;Om?wo-ej3EgD9AdC}|S zey}&h9e$3Ryb7yJT-{U;zxSyrPQ+N_MK1$_WNRAR9scYdVtxmOJ!Hn2Cv!3e$~wX~&@W4LNoHliGVb`Z9qq z?elcP1A#E&`7T#yi>IMP(Z|XRjFWPVlH~n&7!DR9YkAxrhYOm>W=Tae0Lb@e4+%gR z6=jCsGXbW_(~0p2uUl(}r^<_T7SU`1^TN)IB_E73KNSix`2AhFE?OUQ8pW7&#T?qx zPn!N*cS%-=&0G*?EAta;U+q|9nw_lP7KP?+(LW@FAibPLGidnTs}=YblU>Er4nz{u z=}OAvL0%X`oeOu3 zEl){~DW<1MRgDC$WeQ1W^^T6W=BZrz5VmRH1iIY!wJ)o+Tfw`1C?5&6!2Q{%7SW$| z_HXk=%%pz{&8}1k6TPacDgtj-BYwKG^4N<_UtUN&DLjv4%b>OEX=vS=@0u3n__|l! zC0?4}<;bfwyPhCOg9g}dD`SO^k@A1-*nhIfRe9+Pj;*kriL~o^V^X53vZwB->g1+t zQz^o!_AU!m@~ z=`ZeIOCB=&w!t9G7#QOuPT_-cIb&YQ-A>_FVi%`-mpOe6_L+8hv$q+25}X_dOt_?s z$r0QPaUKlQIX7gZb#n{Ij16(Gqj{}EI=4x}B?@Yf@}$1Fa?07<=O5VL^ zRs5-)&;HfTFA$f?*KoGo7}$T%N&i{;>AxSp;mhKC(FS(oKozT{%*lU_X{yxMlX0Nu z%En9xkNrc#0I?2$rJCTMmN59!p6iX`n}>5 zc}}kGT=AW+rExS7KBd1+V7}7m`woZ0XbC6DRGP2vl*D?x)$>r~{60W-Sk5fev!*i= zo-W&UZaGJIN4O$zrl#>+a8N=yu)P)kK=h?MMZ9`d6}~Oqds#}QhaN_2LeS5#adPV} z6iJk1q2@C6v_!3_dF}*##ikc|QodgfFgc$OjerjHX|vas(07Gj&zesaH%w_Y<*C{| z6YLhe6rL7ySXCyFdm>o^**#Sqe`0QVK-spoQ1>Nvl4tD^wc~i&^oS}X)6*&MGSxwA z*gni@uJkY?@+yUp;z&LHsWbm#WntaxdfU3@iS+cL>TXiX#H{S?m;zm8XA7dGVy#)( z*KwPo-np{#Bw->l29pV{G^HUOgRV6qK*OwYxpvBJ%znXoI5dT4sB?{3@R8kCsl^^G z>+wJXY$*Q=vfJ(nV&^{Rz3~jQq<634O445l4tZ)Cl$S%^>`?k9n3oOm3Q!gR3@e>Q zDGx06o)6@V0seKd{yXx)ly&S+*4Xq#ocbphcc-^g+-;NdVo_*7W2TWu*4eN0PcKjOmntqBXt`(wubW%f8hBDq5R`ljhbn?Zl2$UW?}gq zUJRM9%X*aY!)y|BbQ3bl9@LhJAI3JvDSri9Xqa53K=x#5d2}CmF+4B(Y%4(iY2{60 zT~u4Ge_)#FleCob8~O`zZmsMk7YCELS=tQS>dtUKFEX{^%CizX+^&{~)f3Pf7?gD0 zdiG;Pubf#`97B{`zipHnC=D+|8NH}yjSyS!Mluud$4C*e@p1{jW6AhL+t;?&FP~hK z^?6`#!4*tfu29WQb%!+G8eFAlXn6V#s#f|`PX1Gxy5?w{Vxd7VZ+gb?=Ahwyh@^5X z;Jm$i&%TTnq4_Pz`RS(`JBFUX?oEvgkddbOzPsnf`E5{UaQ>92L~bAN_9K1peq~me zI{&iLmhIXmGdTwJdMZ$#j=W(jw4PPUwpdN7{8nLsMM^Bo5QR9>d$^qb#R8_*3YQ5E z&Uf_qf*f0uBXh#${HiassZB-B-Wb1O0@}*VwUQRh&^na{S1%~_*6ZJ}?(V&7rYOC) zCS*E{Z7>X(sEUubyDsE&tv0YqtS;RV#{xR*(V2WfO+^(uQ%9bh>3D(ttkl$Nj+hpC z%6T>;W{GnhEmTWfy*Bx?k^Z+627xE#oD(Uk9QGR?U~ z){c5KZZDP>_J_5ZuzhoW8>gh$D6MPRv~cF2xmYLMiuF?&KVnxn4lu-=;$zE{T zDIs>boDQibcia_Jx>zE$In1-he-gi0&wdlscKP{iwF082o+Z@qwyC7lq87+_;)F7A zsaRcEg?WHIeTXGReofgqWUOoU9Ml482Z;cWx_e}R5#G@m4husEMGJ!6juTVNfm}^| z0%5jm4>BCHVi}&3A-+QUg(SesH{3$)6*qHv#5y#MFW)s@XgFSO^7H(!PfPomO=)nGU;<o9?*QphI2I7}^Cm+J zmiEMCrz-M|30hE6LW(^=$F2hu2Qe;>li)hIa3}LF8?=~#t<&uTx zRVR*NMPrbB%E_*za87s}e0L$oeaJbSSAqn>KeW1ep$TV5sm*sL3Q$Nc$$3GKS}n>W zkrSeCb{o$&c(|IzFqyvA&!Ov}Uz!)=GrIk@W7SxiRVDS`>~?iwHJVsA z8*{Jc=@I6Lo%Ar1fxS&`=0b*eb#K0v;M5i0g#40n^FkS**4Fs6K|v&BzW*~s{eYQ-DjK(K+Wp993`ZGw>s}9vZGruZx^9CeZ?7uqbK^Hvs#j4zpXtO(}-6U z-Hm!(Kbr_A3n=^ntq8|fcfQ=guB4aw^M><^W@J?nVCQ=pYu5YiD{lV&F)VPrYa1Ib z!tI_m2}0w7pT3{fJgB;aC=v3!t8bw~pQz zE*BU0>V}ou^IlSw8F|U&ne`}{!ThVI*Sp_q5dqeafEv}m==Wcvpwd{&cMtqy;d73- zoA@Sw*Lmrc>3Z9;aU^Kz^9|D%^m=^(!0as)a81dBZeL#k zki&84dLc3d^}WFY71R3L9P^+11n}rcA!-V6#TvOnhC;`?YYwgskE1Pd3EsOucN}4D12`fT`8bKn65vUn{kvj`lg34mso~yDT3$^B9zY{vIoQZ!F6M*z<9FjX;9{QwpW(Wf4!mL> z^RYb-XrD(4p9VPb5DsXrSi_+WDKEiHlg{G2_OLO>05{#j zkAAA>l6X#eXD6GWXMGJPeZIC<$3vWk55g}2h{3bmwx2sUO5B&NqtuFn>-?vZ7#?x@ z%9%{F_hzl~W_jl5Uuahd31VTyce(kJA>9jhPdpac{m&M7(KWlNsyNNRB=9J;5PO&%Z*PgdRwa9k8dUe6@NKiOoEh##`mHLlx%^WJhvBOt8Jh@9z^@i>ABN}j< z{)K7bKYyG4=}fE7Z#D4=r5h*8;^KxG>YcTQ-jZtyq+)0+jE3wm~1dSXACy2*qv+i;|Y=Di!7PHvRs+v1a z+T_q8Z91(QV=12i7EN~liGU0s4kL9tl%BoRb+RyPqe&}yKC`9ASd-==6z&1eNUeJI zf*xqruNvM&Btj9CW6-lThZp}nN9KP-9Qw2WQU5hH_iwmh{!;~^-zb1Pe@B)Z?AD!y zb4gYGFl4A_zD+qv@F?vZMc3E$KLbhrd*Ex5KBUF%gYFjY%J^h^CfKA(`NDlU``X?7 z+fsy6oUn0xS{#;!Ug_|aK$n=vk4tC`uWn||#i{tPD6O(Vs_&%48w;pV`||(Eu>aO# z^xxT61_l@~arrAp*XX;@EAjRQAjj9gE`NxmwM5Aos-?^Dg+synF3 z#Ri^a61b>5#NUwZE2=bCnmWmr{W|}RaO1FBYf>MIV)kVncVXNd>+hdDC-f=v?WZ$6 zRiIL+m+P5vtLRMlHwcI}>hYw}hzq_lxJk}nP8pVXs1b_3ADd3 z6mb=tZDAwU{$r#^bT^76%3VlBNmgTh?aTVeUAh}a%GTR^8Ncb5SMERr4`3p&r2(4e zD`tSEi2^|Sy&5&Mn{f?-lc{Qzl&Z?l3{pRw0C9wuuiM%ZpLjadqHw0iJ#S%dVfF`4 zyzUPMY1%CRM4fLwECe#EPdRU7zG}m+7WRZ~@r|nw0(5iK?3&C)2QuT|uUk;iuwbzL;er8x$%kw( zAe;T5GxOpX2DgF_bnme~kwD6$ zo&hj0fU9;dCIMi0T`OEa2bx549_yC|4heNaLkII~E(SDBN;Z{96|$9u`$-g!x^Xehqa%ClVrfaE4z zNq6a&TFAYMZNst>ldR@$%z`EvQ7kERH96_ z>xrn&X|u6eh7vKv?ve_a^*ZMJS5Xz@Id+Y%mzWWHyX+Z6$PSPwaHGBGW&ZMoK=;4! zEzFGa4RYy+Z|YN`X0O;hW@o{5ABA)L(82VPejTT!lpw%uon;d6)O`(SzWoInZ@pxV z`NOG|6*V<>FOhQ8l;5{Z5eQk_ zBS}4S9wkKcQ8%VhvtXuy1&-spr+tMg;CB`fX)fc&C5rhnw!c7os;08TM;`RPo3{Sn zmz^U&0x-Y79QB1RnGgFt$~$rlkx=lMy#+9FFg4k{lOtvh)5E|UBYK%E?7uWtdv+_| zqk653@Hv1j&E(lw-Gpf>vubp2&tYvC$0mqIPD>O*d)1CFZv9;)Zt0Bw=N8a^(PD|o zSxxmLSzqc~R7bhfjDG$xS}QkFQNv72snC94pA(bkgF%J*67Sen%yzT01^gty?!9A)F=<)O2pr02>YiwQGcSy{#m5+AKOkXz=|SMe_We) zGxyU*<+4Z|e*M~MCU0~+j58Z>N6QNB1^s4ihZ{B=Gv!04ui5&3_t*`q6J%7S6z#C zP~UQ5N(Bmyn zQHi!1tf^p(rH&Kh(yKC&Z{*)%Q6T2j0+vwiHLh!PK!tug1TgHZpR0WT*6^@Wg%W2GCXkLp}4F0f@9>scqB0T<#IIJPqxe{@2954$m))a z$MuOD4lZ0Zx5@P8_ggc`Wft!-EE7DF5d-koif|eENf==miW+bOt%2f-CtGDJxI37a zcK!kxb8UXGtCZ$$CbQ>xMzUDuEq)9Qs~IMS#C51P9lJ%4=H?urhL8JYV=%kIb4P-@ z$pV%umOs6W#`jc?Y@*14z-;H#bVD6%lQYJyhTH;HXFeN!ij}3OFW7!BxU4Qte9RfU zQY^Ba?X@7kdHc%1VAnY{H6j7GhOHh&guM(B5(Q3>X_kSG@T`=7sV5V^M$W}fbdDaG zEt71T%%Dy3R$EZ}B}YvqAU`%Ne;_KhqK{#S?1%H%Ikk_g#XYUr(6xbSo4!QwbzCaO zW@z1|pTKiYZ+^av3N-b=;LlxUYv!EE_OhcrQj61N!7+XJb6Djb=3NGyP2*$CmM6%1 zz-9YN5h^98^x2kAU)TcXjy@lzDZ~w%ek_ScO(aaMf@OGo*p83eWxzFZ7Qt6Da*$K| ztu9*VIi&)-Szl=DcX4&Q?8tihQZwk__%G0WGJw{aTttF9JRO40x~s;XxXQ%lC2E^l znWsqao`?_+^nlDV{0RIsphzip=x<``hsMEr)EH_2)pt_I6~E!pV!v-HQs~9bQ!02&z#5u=;Gs|?7jpZ~U>C`<8JcWG? z!pp^kDqgW8Q)EFXitQ`XYJzgH!|KxA#N8b`*ODc%@j15J62%eZD$Nc4X0kYJ=@j!l(9*IkvpQp7bTOnH@`J zWoN21W-Y5^t8X6EFiDWMUJB$P5L4EKyQ&;A1vy4!tu7GYzckgQBWOQhtm&sZ*`a#; zqNtO|lgvPfWMkmQlQ@=Dq@|RFK(K8rr4WHmIBh zdHT?iFys}3I{uozP^fBToEgKdTsgQ5+J$;p2?ryX5g+Qfe}T+)-&tZ&504AE?{(?#;UdX4)7sk(2>fWbeJ`%>CRH)Fx=#>J_RwL zdGPwH2T0wy56}4|wwJlt>()av>G*kvr$MY$p0>3wLqIpjl2tIiT$aM^K+nYZ|rG%(Xt?q+`Xd9`JLe7T}~V8HJM^DFSE8uG4#Oufl)>ie>FTQq>Y^9uxk(|g1e zfV$9h-VQ=@)-|X(;lus8S>5hRsfVUGq1hm`?K`GO$@WBX64V@OIB`??z)s0W6Ds!U zAW^?D;r(5*4RS2z`H{P|nR!2VmaI_2RkbzOZw8$uVu^b;`+hFa8+i}B=jn+L-#X_4 zk?_{;kZbr(#6dZw1# z-+oaYONcvwD9D*l(0|V`>ThMG|9fb_f9vXg?;|-i>(dcj{Ub)L@5FF-^(5i$zX z2OJxYh{SuniOd_C_p3h5_9-Vl>I)d~{Zz)b#`S?7-r5mmBYQ28>1k}W|KcaP-WLwq zDPJBi#L!$pGVqHUD zR~a^WR=gmf5;0WO6m+l^K%T7aSPGPRSEJ#)_%CUmHpx?%OT!P%+m&VJTL;-mTCXF|&x z;G%!7U|nw*k_qP?%j`ULb}Zj-juA6~v7w^KidK1Ok{DlCy-{B&fKT5j`gW{nU7}`| z5W@&t`Z+|*o1-OaYNGzFBg1btfA)?=yR$xJ<+%ns4Id0#6V|yLM7_d~q9%&*0BRKT zM`z7~F9cu|kr+J+`a7Wyq6P4TJ=?&-LnsUAt_%alRWd+&3%}a^-*Q}W%G9C76d&)% zSz&H8`8;|?pI39L@JGkH?UggJ zt5k4AFD~(Ez&nOckW`ev%1mrUpNS`c6~+Lt!lwXMSanz8#=*o)1|6e_()N=9uSWT3M zE1PMdqGv^OL$6nnzW#pH3`Ia#j!`{#o-DPdJo`s`?4g9 z)IQk7D`xo>%HkNN@psf0UflU(Vs<|H$K>t5o1AKhS*TZyy7ZpoE4kLy_@N)Z6q7}J zLBZbn5#3LY0fhZXWx>DEx<6rXuSNK{JIGnAEVp!q<3_~&XD7;ak?FwdDS2QRQzvs*j8r@!o;G=37N?p*QeqfC|9&)#?M zOa}Jl*avzTKgY=dN=|WdOfAkdou635<#E$dbWp=Rwe@ORjt=8YCK@l9MT8;LFfqeC z=H4E{mjr$k4T~b|xN7c73eCWcFn${T@IiU%=JTUZKPnq#=??An2U@gd(RQIL){qcX zkUrZl5SA14gu_W493sxtEw>$a2~8Du$|pBnznvrjkk$M{sJYdRijyRjemNMD^BgFpzP+@&7n-&%f!wYt1rKE^xZCc zIva4Q4&x}(_6nFjPDlu^diJQ84Ufd=#bbX=qI-|Rce1+p)Naon80h%A-x3T6P-;fh zK1s3Z+d&DmF8e%|g2aS~I+j6v5=AaD4aPp%-LFut?fH&dt7)$N(8x^gG<~33`q5Ja z2bFr&RLu`Qd>+?tHEG1rXf)V&WCdBj2jG0X_b31j6Fg}IKjW_4%x1gL2miR%yK50V z@OU^R;h91lT5{#iiE zAmn-=iR=wH?zIs4y_w&lB(bQ7EZse}la>gtyI;m#PmDBYT&|%Jw-kqWpD9bQEY$vi zMfm4xoqv2>T)0cxU|yx{!ky zp*ls26KK*tNn~b)H!QSEKBW%0hk0Kgs}tWIZMll~R*8j5N4aX&`o12ftm>MDSMEom zZ{Z|~@7+0%xX}EKUl1eNP)zPuOQFMqq}e=kKYu_t%t5RnF`tU%r+Km?59K~?k!$Q0 z`yq8#9guItX9~96T8)m)lWr7hK6i?PXAwrCZSwe)oFw!(GI9cu2kJ-L|Iv2-o0a~e z-IRprSYtqR%*)QyoK&;s*NzoqLZ{-K-P|5&v1ccP+y1!wQo z9(Pk%!h~+|B6W$oS+EL>$wJNRF2=!toeYi`4PIY6)g%EhxK?F2GSfeKzSB2o(d(Tbsa+tM=4 zE)!_~Ng3$4_5NN`3(LgVg^F|?%rD;DM2n98Q4IGF2Hs9$Y>Io>8qAz0ir12m%_XG? zmqD7ZjSV$*-=Y-?N+v|)oK~Wm80_0-G33=FgZT$w-+w};#=JhSG>@I9v&*&->t0Jf zwC(w_5QlcPR_a|xWa3C{&>nE%JFf5DI)H3>+O?Y3QW~tBbig;=-&(#fzgtkUHN~+u zojvwW&h%U2176PWwx2M9ahxX{Kfc(LE-%sDahj22Rp97aZznenMIZ2t?*)N`m|D11 zQ>_?VTIo+WUS(T9wVs^Lca{!cbIqjF-9FLxse1)Sp94(aZ89tUu{-SW$ScU1$;D3C zlwZKP5QA%Tzd$B?Zd9f`9(7YKZ>fp+1LKE3K3ic$do^c1(rw_8!ayfx2@mTMbS({Yf+=XtXB$FK5+v=(c9L9R-x;r zv^DBmDU$aZ+xbtsr_OL@e4l*KE0XSYsH_Gz36&Wj4b{9B#3)y%obV4qjYMxiqMZzW z)gTb&vLKpPBz@12RJoSost~WN8IPe1+P9CKINca5Z4!$j{yq6C={hW$r2&PM6{APH zt-Ue$p`GTF**ckx9Jgvm>%(7a(qB!3$4bsQ#0`b}P9FXrgWz2XrF)oW_uD9nHP(Rc z2_I|>*0_LksFDb7D=&$tB^7#cdn3t!_RU142%ABVo39Ko*>$lRI}#!ETQW_Hr>)7{g8 z#J{eXyiv$s{6Qb)q9EzWg2~PPLp}jisFoo~bgxW$X$=-Nc)Jl*=kNPS(aWOxfFHht zGxoB{T%aJH?VHo{374|?lJhIpvUsoC>|E_7pOnq^;ln}>F$uHvT^UN>@hlGS~NVmAl5cfN{QgOM|6roE%CMvExaWfz zoVE~8QhjQa!7rf9q~^QXI$oiZ`?GmzOb;}F5XNi`K9$ljBFZXfyEayPXR2_c1J5*w zMwuB{2;!W62WNa)Qh&$MPQz7EzDKqq@miy~wz4XM*1QYwSg?u%^>c&FK8ojnC4iey z3{gD%!3BCiNnUon3-}Uk)3t+?1&Q%|_9`V8!zQf9JXca&&~yuHm&(vt|=m`Z&K!0%x~{8A#(z3^C>M zc4sH2iZW+8T;3V-1wY&e5hj46J%*Y51Vpu>tl)d!1xSfxHkY)lHK)h?Ps#6_LQZ@z zKbQ(#cQ-Q~ZsLk)mz6Vx<67R&Nz~S3l@9084qFDHF{G@D@~t%9vT03->aUeL4IQcK zs^NUjz81^Nv~3kRnD0E1-gFZK(cCe;QA36-@5O`|Jg%;WONY2R`&ckWsGwrFSmZei2|rU+!4?7DQccYb<24+{2aNG*6QVCkzu1}kl+h~ge|%nn zx-&z>fQLy9diDe^omFO?qNcOANbSQW%NeJy-{Y2qPi@)Oi<1V(z&@W7D$OLB?OiPu zP)cpTm^JULrHpicxo85nmtwYhn&E4{7R^ePF3|1ST!Ns4O$FMRJ5oezv#%v=%t9VH z2vSgNwxA1!4kzXs7?{nu+wr;k5RZ@TWF|?HQJiR$_T}X=9j@n6HEt(nSnpg>88D3n z*X2qh-i96-nb%i;GhA`}0pNFI+Yo$F%VbHNhH#DDdxh-VMzA1Vr#8w;JekI4LgIcn zdnKh`tE%c?b@~-;l%0X2>PEf+l}%XLdJt%PqOkd1=LL~RYRVJ&^s(o7#Aw?x)~BZW zGK7N6rDOX0N6;>=o$B7`$1=FWR(mcp?ALNN;|0-sG4Wt^1N}Q@)uEF3p)QgXOivKTiym zp&5y-C7}~^=^pRBARlxxpc-ugOuC61rR3(8i-nl zr+H#h_I3-$h@DX|8(Sm(3*BLk3YGhaZ`_r0fgh2!X0GKtiAS&D9O+X@q*>;k)vw!S zm%o9HngxugBZ-C1yW9-%)K0zA}BK{6N>7!~LRNN{`7tW0M)4J3F|_g&SrZwJCG>hq6`*Nk+KM`&@7 zHc{6uA2~#b&Hs}`7y!mc1DGcOus1K4E468(DY4UFiT5z|bDNoBXC&Jx)k(b^jT0JE z0}cy%S$u)c?v+MHZhrrkw!y0;BDoqszfSUL8z?eBke<)FULOO+kij}p!?ZefF)#_p zM%xXEPtrM`^grpZ4;`MZE$DRp$I>`}B#3svsX8)n86Rg!cZxSvQRZ6mfG|(LhG0=o zcJIdzLHci^5B4;nD{4@n5dp^nsv;7%LA0VkS9kQd4z=2P^#-=%dZ^hw)xJ^z|GJ+> z<;iiIGi~2X!BQvy-Fxkkf5YlZ@<+#u$;-}NRsgUFfF2YAv(l+VlO#*@SMmj> z-|>2|vbF)Z`CnX+o3P?}P{KlMFtmn~lTSo}WgW1?Wq+6Q_{1ak2ilO#fQ<7H4{Kd0 z-vX_I1JRE2ZW3wSjMb5&7vC30#+)~$*8n9M3r*XP!v1;q=}JWRwdU$#?Q~LJ@9dmS zexY7vW&a;9K;kb?z!Y-2>YKDe!`!ARY~RS9x2u2~c4(g!r=2@a;65+_PO?jbH*N}o zmSf%?FE-{wlBDV;YZPK?b}p!z3f*|@XSUbw-%Q|aQ7A{?yg0Prf7fw!QI{6-3gZ7(evE3_gQn!CHw3(*FDd^fAB;YW8}-o zkoS9k7BNjm1T+hz8$j;2Tu7XrG|mU4-Z}7WL=dzBk&F{lGnxHV)ASU%FNeFD?G*g% zF>ND3E4CfIMmL#hEpZB)o_E}+3brZBJ7%F`Xw-5pJdzz9jVG6Iv=+& zRWJByshR^nXI=6tK+?a7@0QypK zarQp!5PjgIG^oHk7PM8stbIrtmVSYuI$}{|ST)1C;7DWNjc=$I>^8*Llg@-L{Q=ZT z0yt;jyyv48g%%^D6so9M5K}hlA3fov!=1s$QB`@CR?;A}f`8=p&W#3a2G?+OpOV1O z9zF^Q*V2B;jZ~DEB)j!^iWAo^pbdAr+FJu$R;!xtHsx1g@vX(aF^B4Q{>d zJ+^|g!pXVIZeeys=-S&bd-f=t+nTlu$pAHlM*e<{_Kr(vlBqj-O{?wg zH{K2SB+>Vh9oMP}h-S;2A}_Z%s@=fZvUXVe7Z#5y=W|F1z`Lreb|zgFk`yO(Hx9ZX z5y)a%0v2&oiR?Y7r*_;tGrbA2xp`StP<_;*b;BZw(;Ch{4X1K64mRegl>$YIVdU{N ziG-A0Q#?J$WHzNW6?kXM9)s{4cN91+a$-R&J~=>zh@7@IX}&d!($bWeQ15<}EYM~p zuy8N=SYxbtD73_aVajpbvcTfXjB)3>v!x0iHjZ zg<};V;MZk*8bu=x1IB1+)!Sa!3_Xt0^IZU;$yj2pTi4Wluc)pFW&!bJwim=$CLjpW+B8;%k$tQD)Y-PP zY`J4Go63VO)D{6dZ3~yo@+!z^lo3k?FEGh<@ z^Fs6d#?dxS!=udMa^BC4OAjDW@>F`~P$5?1U$CUNUbAWNCyuEXE!)Sy@@3?eL4n z>>w~R?U2@beZd8+qdSL@9Q6WhYj)OKg@uI#FLCHU>qzF!N#<*xBF}VyUKZipCHQ3b5)o3s)_G)ETP#w>^qq=WW5o}F&W(t z3f9_=TB}QmduD^b7g;=c_Y0X9k(H18h0L?jMVSJ~yq}T}8X&HhFdMb0F}_x+XL6_C z+th%jxEg4p)Mv>|+4NYfQ?9N@bQ9_|Ltj4n{`b2>$MQ6uohC@lpCD`9o5va_HNSh~ zq-4IK+0z?fTX%htbap{~Jq#m5x}u15r?8m8yYBkZlZ)1TY1vvgsVc40cd~!jAb72} zu(K^a|GMfLKJ;u30uv!)SskxzfnqH^)~DMe#-Z{m<8Hr?L!>iA%Zh)g>^G&2i}~}< z*>W7v&hGn(tMvsvp>K7*`~*>)YnZwi6=adpFjc~(PNG9FDw?a&7U?45CttAkqd7Vh zCSjIEEzkx)c2}IC(39@z5DE3TPdOV+OXBvOOz%U!x!yvDhk%&B$U& zAGJ;HGZNj`0v#EE-h`D~>L)J;8>|V1-P#-qBYyPO5ufIvZ$_;jpSE`*7nO`x-qfm8 z=gNchWfA8*{qMusx5+%V73*N*i~EL$?EAWJm8gO&*Atz9sVB5i{t9m+?UG@T3igNsa9 zoEBl~Ygaj#%U-~syn-6ZJ(|J3bf~l)ZIl}v4O!PtlIu4T<9RPN!HX2W;FEYzyk-?QT zFZRZJw}CBs7`9A2TPPtacG`=G$KPmCu z(sR{+7D(&}-k(Go!7rKRi~FZ!G6OB;lZwM?#`}xLZ4Kl5r}_#rlA^0?CWT=3(A2)| zx;}I5Vd&02_l>+*W#E>pl+Ng`L0tE-o{-e-iG~jybxtcoCW7`iv#4+R+hcDp9J(C2`}%J2xpYXZ5g(eT!X0 z%%x5{=3xq!=90VZScwmrn}Z7r^SAmsRlkGN0x0b^Ydek z^~98w|C3*VRMEG76G5+A7xtY_1l3r_zV|T2AHID47 z18lNGjC5Abm?@1 z>t6tQ=~*s~|DEQ@uXWXRo(+LvcFYBsX*=V0DmBeRlCA@mKQeIccHAiymzZ)AvT}M{ zVUmsyy#tJ6niR-Qr!}mrOxU&D4cdF&<&T|=uIxAd@@1@~M8j<0XtB9&W!jsukB6iw z_+{up7WuCzDQGm`A5+k9Qzy_zzO75;2q6@1rcXbrDh&9ZPU(A9L%AW$utQ!1E~ zLTbSWU}1n_|At8Uu6dist%LOtk9J@x$D49CU$TD$_GED7+nqfpHx^QbX4`@fGEmfj zTnjkpqsGD4Hg#-)dzlH#PhTiyYbXS6WDQ+KF*dQQgmD9OHL`IZuYZCLo_WT*@;gW-{&vgYMe%E(qWK2s)}9o5B3E6s?|Xh)1E1UjU*FbU zqv?APk+fKcCwDLw1j*Wn1(J@3ch8*_AjZ?xK{RTS&U(%w+cJU7{mH9}a<-0uRmW~@ zj8EXxyuZ&}_)nDW&sgryNrElj4S7j&#Ao!3ASJBYCymW|USRtg925A539;Wn#j0yBk{kC`cs7(;l={RchI{^2-DJYPO&-BBlOl< z*vyxO7@oC6s8_9WjJJa7&yw~NQaPmVrLp9j4m zY%Tw=+I+vEZ^u?0aS-F=iuzomio%ja@htXZf6dtyv~Wu}w&%Q7equTldBJ5A=rd)W z)X#hcmKxi~L>@8tze(#%a-3!6ctJWG;m<;hEmYELSECAyIA!Ek6@t7R+iqPua$w22}%xvacs5Tfpu+wZH;Kw+{op|iR-hNWuCokCi<5QwK zgGEF$COda2FK$x?dXE@pGKLrK1};AVm(yb`7{z6|^-v}MxfYK&rO@Z`Es0aGJ6auK)9kGZrzYY{tNHRB!pfU2@KD^&{!BneQXcar zYaX~N+}cDL&l}Y8$+CG&Wv=BR+2z6D4geTFm(Tw1PGkOK{Z$LZQq-u0-Qkgw1-|Y5L5*p6)c8m$Q!YOPUg>i*X0F+xmpWx75u_rLqS zKhUEC`eAk;;{|+m#}s^N4J*#~`j4IX7gx1^@W|BhBSmpGVL}VX5T`D1FU zn*TS9HJ<)?myy4)ABxSFEXvQ*?$oNA8{?PF^k>bs-&c5O95F=(fZx&#UZCRGKv3Y{ zxj)8M>jG0cK-NX|OV-7HLkP&Rv4zZ+;CNvqIvd;eMmb}2vJ~UCoyVl_z!NyK_HE8> z%qH_1tXYl{TWIEwXQL>v+Gf3~Ed2$@PXUDO-Z1y3oAi>qn!3hC!FTe^q%N)EiD;?! z%fV%$OM=Pkbw*C~UDb#9m2X-6rAue+vm`owV<k2?Vg}nBvXk_Q+(O z>8@2=d+M}9Rk6j71s!E>vtCvd)$St!0`UHQvzir`y|ip63+`rXZlW2P@x`u z+tDyH9})8w7w*{IrGmGtJ2?p-`2_7EJyuH49GQc|*i`<`Q)ces%Yv=h{XuZEQVs%9Hk^K3fmh(FW*c(canJVjal&^qhgeFj8sF9y zW>ZQCXB?V*u806X#>_Z@M-UX5rchNnkUo}K3f6NRvAk!o7$Ko1kwm^8Q5}|!URpj# zjucp;Fp#2|+cM9A`8=n2dM;f-Dje$Fz}#jdA&@~%e$?8zHKU~A4ow+8z0Wye)A*k+ zrA`R&x5qBW{fkb)f|IY(O;rRwe^v8x;MftP7ib?)@%6n)&BRX0oz2w#JZtUt^ z*Fb~pSA%6*_YRbl6v;xTAxeCRfXPio>-7#s4)S76;a z%8NN1koql&ypnQPl|6iNrd*$E%d=1^Xs7sNZXI7OIZ_OJ+FL{Pamk3@K>04M+DK@b zXm*l@VD%!(l}07 z%id^>Xs)BR?XA*GE&2O0dnMl-Z&L&`D5Pek`jq%puwOGwr9`OJx9S@{Xs*0_v!ffl zPCiwi7GjJn89HvABN;jixIH87Nw=`0w)~+`@~Vg9q}W!Ws^4GOGfxta0s_68Lc>w> zHb4CC4Ih^WMX?LHS{Kt*CwrU9b(DZr)ziLb-Oh{<=KdHD+%ot<%1s{-O3RD7(9;Zx zCpA*@zRiapj4r(ydRp{OO_MPuq^?kgl%HNL8qEtm7~eyARbwt?QF07Ax80EXw5G~D z06(f3Gn>((oh?fW3wPzi-8;rI!5k!v=GiMz*Hawd{QZ~;ckN&*(x=DK@>&_SSMp^8 zsttnC&ylKH{%_2^42N}|-D>HLN7+-I-)lOd--9g!fS8e!5Gr6j$n$^(f)dggZfLVq zwHcf?1Qf>5@sYV$P2B3otC_H7TK0Y5^;zPIoB47PxY+?FOK#r#k_5Ankmb=6syD&> zV$u(s1(s^IR_f^A65tB$3IgScf*k%;?Dfz&^b)$P}Pt73yneeOp4qGsvC{hSpaVNjf==sC}Kbz^71#1E8S)hq|BJ zH92YK`4h6no@7ao$GB*Lr9S{pyZ0@1H7pgyUrci^bK=)yPs&H;UyP?JxfH8(9ZAVN z{zlr+2zz?e(oBREOxWIKiq1qxmYx3(H)Vo8O47gS;ydblt-)Kzo@D)4Jm%tEflE4( zvKNSDD?Rod$O3uFD?`S_=(M(_&TjvlKjSkhZ<&s3_KUV|V#9>mIj z!yxC+HNyD|u4sd}176Xp1!h2FMZZEw12@@=MLP|xtykZIqo|};U&2!kxGE%VT+p_) z=0AI`=pWAC_yH=eVfz&O_hXkK>LT)w15rbyqnLsOVMBK$ba~CKP2)#mXBJ<8pSq>Y z9u5Q!!-4F)*0m(N`um4pI46i#$;%fwvmK&^Z-yJ&^TT9L%$Nsad5IjYu64~lB=cC> zl2c~E*9m8^)o;tH&^vv(&aNbJE!%wXsNP5+sn^oUXalYwfs>*TGR+@DmXupyT;$l= zMO3#r9L}4lh?t$}Z#W|-*(_ISH{oB;!4yY1N9Fj9gS%tVI^XU&cr^isw{0J z!8uHC=@o`g0(jI}+&GrMSV(Y72Telg@_)?WaR`MjNi!Vl6o?Z%4+?w23_}758y}9P zs%-d$9M;_TFSeXf5lcwBBBr6H>88}@&!=Di_~y<}`cQ(?syVS>2~4PIJ~q&5yO>5b z-HD(2iEC;yekJ6G#QVLI$8}7By?UDx9)quR54oN$o3we1DxJfRxw=m3>1TvA9Nw4{3ga26$+x#obtDAoA; zcB1`R3?w%V8wrGETjb0Z?chVuFhH4D0Qhxn2Eo~?bg^G*#`YKfFs>TOV5_^un>}&t zrQL0nDX{RtwiSrrPUXCNmpZinszc-DV;49sMz2w48vNmfC==~+$DmrBP& z=gOlG;p7F(=oIQ|gvv2qhK6)`ARinopp6C7c9K6AOs}pTAd4QQXs(F%oULJ*PEZYg zgYlr6b9Z70p)3x%ugZKAV7~~Rb9#wqI5fT<_e!manPzPi#?;i#5KWi)gLW&Z^Wo?~ z#r6Z^{=hkTCe*k|?T_g1Rpt80EMIZktfW53{Gf_14VS_y`V*+O|6$+pFWC0~NZRi2 zJhcS#nE`H#ul0iGC9xYH5G`H>MkZ!EF3kIrx`g=pj{CViAvwLUHpkc;TU?ufr!6y9{7M#BC4<#li$Y-xJ~ov@BHt#u+it{tU58^VPu_${4AYUqsxQn3R1ElLnV4 zYIP{b<1-kXsH~GOd5JIu9(r|HB1X?kF7bz)UjtLYdxzp(kCm76~XZO*v z4X>bqF8xVI?z!S6M|{?67fQ1iNuG6OeL)U_&H>4m6k7!y*AKvr5DKjdm_IiHXLc4J zwOAg%7*XOQB~7m=DI&%*>Dg3u0KUPk2WH!iTC~@10doM=dxe0FL|Z`4FE>bvgOr$H z5QTA?9PG7LIF_`|ikFBqI>4OzgY}q!4)QYK#BlN$2?2UdR-T94f3*4k89G6Ba z155!{*%lPl!E8u$8J=C#6$xXHuew*0guJ+=b?8%!q3=-ggUXTvu2zi<)2YX4ivrLr z{!j`#L$3~ZvYr4(8o|5fb-z250XV*xUi|>l976miO=0w!Yf*@q9K_i#nqv92nhrm* zt`p=Ec}x2b*ZciJ!=-ruZPvzDf1uecN><4SQ<&7m8d`j;o@Mbp5Zxfs6T~S8dh8`M z^#|t^_>YceB*3p^C~HKV-6{nH9k|-czscJHF1Y{5D>9~dL#3uYf+13CYHoUu_dGT- zKIcoafq_+*%r}lI1HGVXO>_W*0krl+c)Qr=I&7bLm(zBWs2oV+g;8fB`wUcKdVMV| zB(Es$SGI0iuzjel@Z0J$$*oKwmC6oiX879tFgg@ap5o-0C&CX#&c>B=kjC%hWU3RU zq%Bro*%~sJbQ*b;MhmdDv>fg^%v@dmN)oYENo7o(+M;47HnrJQ1m)=1y{9@uN{@Ky`gX2_0^M%^K(vhEVFjJr7}v`6j9K zsE$}Cd(4Wc9gZ3I*w_AcP(Ls@-d73RcRHezXRl%nLBb6tlm*;-ZaHN(QyK`?Ufz#0 zXAPQVEs3yvbZ_r&gEx$V9y4_Wv`>tLzdHa2uqSCbLr%11=o|TS@ZM)Q=ucV-d7@Q5 z0hfxVQZQIwl;~MnO#PGpc zA-vz6ehOh=4WNUF+8Wy}RVQ?=vdihg6`ari{UTxVGwnY$!} zmm)}jHQ}TpJ>HEPf{!lO9>r7{NiFLpc=d6L^$8v;AlDmID>dZ3$D1jYE8(V#j-NKh zZ5gDU@Wn~a`I#PQu2C#AT)o4BG$Sa@rp5y*`fs%^YZ4I7`~>M&?52HX*`b#&>(cFJ zIxM(vlfC=_8`J8ou}5Ff(%UBs^k$WO(uE0h3FSqMOQEwCDaP@~mh%nM!%E@mA$i0M`3x7T*7}HOOzS?O6({2c4_008sq4-VUEQi;^u?qoW7z8$D2kiB4Kwa1xLAA2$#-=Hl1uCV=98S+racL_>h z?%?rMm&A#CO7=V8)fzYDz8t?)8zBAkm6wB5b`qeeurfhIP@V*7?)$sUWpvPwlqX5s z<586_sXY$B%Tw9nl)%};B?()Ur`Ve6Z`G!ik2DIBt~)Rg>{c(Ax;-PjC`;P5@G{w= zPo(Tk9qe$ug;n6hlhA~;{@&mdi?|juS!Y{oGR$_G*4y4SqzuW%7>P-3G_;3zLk@}G z9{PVS53(?}jI`4X5tMDMct)GdAbl@EYGIx33z1`SwsZPyBGCK{I65PxZ{`NQ+Uf%jDnj#eO;jpt&Y(ACd6Mwn`YX=qi)ua9bMvM} zDILLOk?rPFgg*`EA!BZ9_DB)SE<{+ICWbLeQm|ZZY}vN$uH&65+-jedBP`|_HxB2# z`3;pgjkDMqiEO&Z=8V;v-uGt2X!N}9ieZN)wDb3ZY*7yn;Tld~k+u>)$OIRIr4W#$ z9fy_&2nUH<*$p2fi0TRO-;Y!#N(Mco49&EKPHS&LO&L@i(C0gcm!gk?k+aMnyzneH zG(pzdcPp|?r&hnj3ikRI-6W^EP{qf-=HIZARM^%!&Gojh@XIuqO3kxf+`f`#WgKF& z#4ma3fh$e0ue!=oMG%%0{NWuo*G~``0+k0t$*ZP2Xp-H>6lWKXB?C0gT=u_)Ur2b1Cr|vSWg6?c>ZTwUH9`B_h8G@w<${$QF z9IALwZ@O&UWS|)2+$58joeSso#&-a8FT0(w)(>+&IXCilaHy`OyvAqsgZ)sRk%P$Q z1q-U?OH1D(4c$&t^Y0QzeI=qZZRq(-zDE44Y3+eKteV}sOE=n^1DZIX*J1C4T(dra@_K~Rb zQI{H*FZOC1-iOit5S>Sw^XP7DhfM0)Z=#U?vLoWzHO^7|CRtJ4;{84A{20QC{pAO$ zp?3SYPM;gkM9BtLW3ROf00sAj@?NZiTUlF4+%ftO9V;v>K^0h#F#A$2Qt6;;T6W;= z8fbR~fe}dj16BAW3t=CPaMst&L1eDRKzWVQf?7$ET z55STJGoOL(%4#BzG0P%&iU+6dUMwd#Jj})wQSabmOVw%-ZDWu4$8C9W>C-#5HEHj2 zcU#~sa@*b%#jZCKHaqLvGGFhqR-wI*>N5a+JH2ay(TgxCl2;$UW<11H-|{p*So3G~ zO^$8CnbGbsP>qJPtxg%jglS45LZyqxmk2`GQItse=1YgVC0FUwA_-@`D6Q(@4yk4B zK7%W6ff+H5HV}U|mPo5o-2qlnu}5EA4bj)-Lz+^oTpPxV7uAvWRd%8&ysjTq_P?IU z6C>l~4$=bYhFR>=$W35Ga@^YmX@ZYK#q=ZTIq%{<9>YXOTTU$p2!2nIB|wCeEG=H| zG9H912x;U5Rg#X9kENieIund2iHUN?)Ta{IdW!5`bSiWcUvRhCL!B#(6bl>c-ga5^P$c&A1Y$NJ<`&b(@#T zyD3y|&qXgCS+}^W)IxjU>uI2IlX)f7GN$1>zE2`tML%DM(&Gva#gg86ijhCQV=p_u zGrtp}d&SjJ7wQTLs^zEWjL8u8cO zTATWQAxxqxHE5SW;}be`a}O_p-vOtp%m-IXeMakgm0YmRTC+%z;_g5kuTg|G#tr;3A* z)(NAul>xpbFljV3&qeLGs>BK67o4wGy*yLftkwR06r)~xUq;{dX!U;S=TQY<>h=gc zsSExLLHs!U31ZVxP05Ab!1r|73;6alSbL_^JY{~(nt%zz{th&%O$9swpnq}me0{^y zs5xF}+^Fh#H|d&19-_$pU+0VVFJh|xPh*|hAV|S0u}~FYp*^bJcc0j&9dp6u0QdUY=%Owqc##R1)J`9aqwb~c$d@|{`cHV4 z3(wX=qOSJ&jD_6ll6a5d4o^~}qwfV(e~`NZUaPju-ETiU;~t%@}-adw9f;S12Eb)WbLBzt=*-B|s7 zq|mz|?)hC-TV)j>`g7mTVW3=SOgh(2WePWV;e)}C%^@!lvn1*OroE8SaRx&BjL`{t-iDZvx6m?rfrq8cF*nKf@`NS` zw4b2z;vLr02W(RmSwj}wBQg6%ABE@iq@GK%hrnMntt5iZr=Z53rY_@n#`X}av7z`U z_oS#>=|It+Xk)zS7LGfhtey{?;LY_<23c#(%@^&5?F%+ekn}@)Ij#k!S`X?!*rSd7 zxGjZ2QKWl^f3Thd36pl1#wsv{6p7)q_h3ix5_neM6HThyz^L%o8ZsU;9-) z$9V_{O>~}=msT9!{mk;r{*27QM>$sHu*Q;t0Yq3yBC}F7x_rN) zB7jnQk6ix|{|Muuz|?!Go~zqb(#3*SS7S&~7u=SDM^3Rv|OhBGS%?4K0e^MgM;4CW z%+Sf+Rc#|i?Thh*j%HtLu3`al$2^t3biBfyX6jg_^aV9>Z|uakAc8m{Ir2zc3JU&$ zOTb!U{aV&@kp|WK6BIUqnmyYE*tOgJ39Eond~6->-i6Cr#eX!A&>~x@;ldMxXV2|t z(sw`3xKO&^e~10MTlA_2F+f{jIe^@Fe?pwRR8{`(dxisja9_2}`MQH3;1(=kC2+K( zuVDJ@Cn%?KeruZHsqwqWn0FcCK)$sYHT0SxZi>BgX&2l9(*ai$zLGVOJkQoWjlITl zV4-BtGSV~8F0TftdsGDi831)p9q>2%McwmL-I&Se=Y3(M~>^jg-FAF47xx|1R#An_Pc8d zwh&BLpZ$m>Lxo5&3I-dwrx@u_CS)~M_Q&OU|G8(SgB7fL?UIp~Lf^S3zLbTDl7!&A zl#qDQ+0jr8{U>rNKr3$U8D?|fLx!{GFRXJXoUB#O+V)It2NlUu1Q+jE268mEs zAYM{|79fKfzy!5o!t7A@%I+2i)$>a&UZRSgmyF?^U+9RcH`+maIuhnX|1jAi{jSXN zk_w`DLTeH!zxWdrxO=Kj)*a|>IrypQ8*6)fk5(*RXH#&pe(;!NXzc^*bSH2_%^MA) zY$#Gw(nwohZukY)ENWxN-8;@D09P;NNQ8OtcVQR5jnhac;6R#ZbekT+uXLIlV5y`B zr)}Ph$!NQSd|gjMUk-ik#?<4d3YC&WF2Q`b`$?xz{WbGGCpXORhpy$bT3I+|WPJFG zgQGehUI{1p?T0Trf=C}YLsbEM`nM4*zZ;8}Ftg%-#a?kOmF*(CZ{l1CX#!Mz){N{t zHjwR&>0@$&l zcaK|i&<_p!zZMn)9uK5ibKGO&9OT(Pq`HrIn5-o3p`G`+jsxHHw=p@2U}~N2{29}{ zg)}0nX(zp^STJw%YN$lSyY*c)0S@T~0V?M$PPA1)(LlSjyXr`@^@Z7x{h$wC8acUa zNfvA=99nc$u^zOTAG^Y-kLTbaT(4_OZ_-)Wl;oaozH{PXd3|=)CiFhSvhgVVX0$2z zOW(_JrQZ$X_bEF-`wDXyQdG}%tx#WGTJ6_$e8s*qPV~B)`ieDPw2l5w`GNJoY4N%0 zkll^Zz|>Zy%6aUH-z4`(FS?a`sb2_j=)=W7{w}+R_P1F$VkEn6%mpTKmHxk?4p80d zO8vv9+T%g1mKR?XOOBOGk}ZuN@VDx3&Eo>~qrK+zK2pA(PQyLNIBDmsraul}Gd|@j zTvVB&;ei*)z(4VM*xqgckcbmEjabS&d<;7)N;{&gzmf;CCN2@t7JYl}4q-BYDd#_R zNCGMn9}SDM6kvCrpZUi0=1#e3dfH;A5lgPM%;`*$(XT0e_M@g&acqUVTY>{=@7TNJ ziPwxO$)Sc^eFLPFReZ7Vmd(oUG-41^cu_o%)c&)x-s{O%U{eP#)e-%RY{ok>i z=x=Hm0CsPl72TFPAn+@neaZg#tp80VELEhjQYwP!M+XBnp))qgzmQy~Rx|Hsx2v(q zo?uu$n)!lSBP8iU6(>FN{*S9eKcU*(I}~)5N}BJ1>T4YdmAEh}t2}%VX_q*sTv5k7 z@GRgEKV&fBy2HEMBG>}0!j7GA(`7%k#$s$c$Q@x)5zFhhbZ2sU?d5_r%>ph}w1Ew$ zK#@k*xSL4!cKs_e6?if0xqIr?GfJwjeEN=Yoc9=9y+FGkBN{uFym)}JXGiDJfWR=D zC#-xFXj=$vqHDKhma9HsZ1N&8LQ9A*>sKj9kZwATADSLhr3k%`ddN~iPB|w{@q(>{ zl*)MWb9ILCyXUq(xS);SHfjFdum69dY51jWC{|g&p;}5!S#d*5QL^?=xn86hrxHAY zzD1a&Xy_Gg>?$fksA^E|pWo&NT!egWzmB zmS}Dz)(vaPwedu^o1$}v!e!BO6jL=SaoXc0dotkp|DWk#n2PgqCbrIqCV-pN_?Y5y z53D-CFy!C`g$gD$A;HM)3UQDPVnSmr;wR|QTE+SlLDCN8*i~`zPUyO0Vp71T@>GwR zkbLxA&MBu-oZ@dKhY!kYXfl}#74TxQ=GI*^04N3YVlV&(z%4Zz9J=rq>A1k@g}O((15Im$&Ht~iAUpG~uHfuBd)#Q!IV;c= z>_=qN9>jL$c$$Toefm;^abfSn0)xSHwkHZ@t9(NrF8yXnaY1ztMgyg z_WaTE0=fa7M{MeVc-dSU;IW3(*(-Nl%l-sK*z}{CHRMsr7Tf<@z`;L3vqlG&!O=G2 zi{PvYvZnIaSuKUjFJO4+A0+1gv9#zdh<}tx7Jg04G+ws?+!HZfUbCmW=K^svm+{Knt_`B7DP zCUG}PO|g8AM_as{Gn0xBsozwLj&4#theg=j#?S8Te{lfA2$Xcd}!j%TEx&s@^yI__^0z zTdXaX4_srrcEMhEF2b73K+J!gY7NAk{dMd$P}BXB?!JFm4iwIMY7bqDd_3zlyQC7b znI`O*=Z5;cd=#qPfsK=1(heF2P|o(txjO3DDf==LmrtL~;T@Eh;`|+0ypz~;Azecp zDjgg@I#ybjzkgWn)lfOwy1CaJ+Vf)q$99R)vb*3!cWBQQD=$xNlay%Q3|2YDtDxUg zRUM-j!YM{!1TfmLM*bf$QJ8zB{N^<3mBwP_gLr`{pY;#lHKp*?d`W_cG{v{R9w#PIWvtV z3pw4Y2}ffHKBpx6dIBZ{PV))DzNEYhD!2I(ZH76Wo!!~;WMgcK?aw;*lp)+#vXgYN zYqK;?cQ+olF?d%AWm+H88=zB2(RsaC%84T}H!DZ2UuvE{3ZpVN8}Tqjg?Qu+ji~yV z&^#W$=I&u((^M(9t&x4Jv1dwM$q~Ot4wsyWVcK#C#$=4Ygne-{%|fQx@%Ei1CO&iK zo~{(HevNtON;#hMLHBVUb5wbFgZBwJOFuz~ZcS`m>QB)4w^MZoYu_H#JwvE*<`trA zT^6uUNO$r6@7gE)3)aVCgDs{Asnp1>sax=v3`mk@8ljY%o-+8dh+cmT-GA0BAw?BE z=9#usN41*RH{IeOJ!I~zGIjzL%X!km$tPia{0N)1lA|08NZG+J!fO%Kfv*=Q+1D)1 zL#9{_L%vmgc5t`|uOgDHLYG*jpbic-S1GNSCopx{51)!D2I_Ud!8=d_sMjZES9`ty z^*TsM&A`frzYvPdJ7K>}WWm0%sT?8u2@>=96a`@Dy!k&t-4fhD@}#;3*;l(d$9`?z zZTr^x`EreJs#n%nJG;jZtr)_rFhjaH1t_S;ivA)0@n6w@{F8c%|2G)l0Zu%6+a4?j zYoG06Yt|51rZ6@i@xY@qe(mr`3{cNqPMrRd^gH>?LK5TLDK^Bs0r_fX&+{5xWxpgy zE@h2{fJma2!U)xn5E=7b@noMS{tsU*%K@E)hq zTn1-z3N&fAgEjL0ya9Vf_y(Xk>Nqab@*H_SRG8Uw$qojyt?qj@<%$d48TruyjqA|4;IWJ{2+s{= z*Xr)Ry@lx9B1g?LO9cuWPEOp8h0o%th)kWC?7G;Z+!-xVhgOm4L-)$5=N(^AVvkO+s)53>M0%V%;u@RmyI z`w;b*`*5^aAjs^TVc~XUG$WIR99!?F&Rl_^$UJPLO5vnj%QCOZ8e48}~#2{aRB zJ;!u8OFI;5j8h>U2dE(uKVsElCClp&e$N*4&^S7LQK~w=u7u(qK~YAYx|#de*7Mi> zWBi`{sNwXR-1Z08Ku+75!+RALQI><1OYX~BN>s$-D9a@QsNxwHrlrH8hS>Cri#Q+8 zuP%WI$9y&QdG$%{d5uPACpFC%EM28?@6o64Cs(3jF7x-gV%KYC{DT~og8wTx31~6J zNLSf$H@}f0M=j00E0GaJZazZGwtY5(M^fh?w5ewL&k?PLo~`oVJVypUfVX2R(-D`L z#0F`XA1hQ*8XUi(xVi>vUjaQ2mra;vG3(h)#+cd^(DN{cB;a`iJr4#;g@}%hwF+}) zZ2&1RzPOX8u~!9~J#+n@WnxJ;8#1TW@j7A;gi+xqiA^5}utNgV0O#Jkj0VqfW{Ve| zCpeEg!6(gT(Cc!2AYY0k=9`m0FyPZ+OPH4C?v;39NjWg!zIjeEbYQ?zGnw=e5xr&u zf2Pp#f2y#OWLaY_AlrPtd`V+F(J(aoQz`)wi)!p9Cv(sc2B;x;AtKFIr(1`4SzGa{ z2|=rONN`P0!!TwyDa^^jw{hvJWf48gwUF#3s(ji{A8G=S5y;#G(vjFNKDX;o3|pL7 zyn0>ZosH`8eMqKq^d_PkFT<-4=Tq}KJJ)Zc5Kp)@c*~8{c3YU96 zKMH$r`MbP3AIf*vh}Io!vExrPf7hH4+0u}R^3IKV7*2QRcxOBLIIXR2`YgtjU1wab zlHIB^bBl|o(4bmf>veebi@~o~FZ0@@jzK7c{Npzl)F?!GTB&rsL_NjRI_1+E7mJC(p>pOB`Ng%g z+^u6;7>n#9xuV!WFi5j8St_Md^7R9=$4Eg_ti zfAzVsUFHJ%DY`%?x7%5Aw7mRke=F|QibzfHcIB{@rGh)#N^Gje{1|igewlsx#<>x_ zW44+WdFH)`iL=!CcLQ;$(V|*yXmPo<*&vvvWW%PFiaLG~t<7S7V%=N|du=w)IZmK2 zij@|%i(2En%HggPjIb(sK0C#45?Wm=1~ihGloQ?^^hG8h6$4pK$oWexU>pB6f)Caoh+5)BTC}%5F=E(=4|xPKZHB& zwlm)M^3s{NU5%C){JQ&uQXm6;s7Tr8VCdv=iv!oSSVbZpv`nP0&XFaE-uaWoI?O7t zo&RBun#4>*t>jFRYpuZTa)Yfdf>;&Ri!|9LyX}uF+g${a4${}>B3#Rub7ZwQ@%A^b z8Y;)0o5Y1-2Am1Wmu7{+tR;Gs%#v3*BEerATJ3c3kd5r?+-_l#SV5mC7b(zN+j!`c`4j~SDqs}`{k3miB+@CJQ( z6ZUWimaBJ+kJL3C(h}yR>~ZFd{a(C05)E5#GeU%hQ5BTpN? z+cc+FD=N27&uQ+(4By@eDXpY>4Tn6oewHJjCtJFM>zv5Kt4j3En1@3PZXt}v)t4WHp=P-f#j?f?=}mC` zIAN~Y@N+R$=f!<(UEN7)lF#GSQ! zdxkBt%Tjix`f^Qx4z|2 zL(f%_o#}uk=~XHt%|zVC2$FmJUB(X$1~BV(w`Qe2WE*kx9#*IE^=?m4?O&eMIjS$t z(QR$Kp6plaLl@-ieoREBDhGMZuY-)1swBX3Uo>F^5kRH9Dq9yW*;rFKVPp}uvhtWq z_7uD0GXs;Y!w`4Gv7y?LxgwNe<**Y%#!-5Xp}MWaI63|>PTKISTv{R9Y+ zCSWG+-1k8SiuYo$O4u3{V1GuJT>*obhGrM`^JZbP=&$_3bul9E7tOfkN4FQTyaNrv z9S|R*UfJ~p@l6XRKjje-!P1@XPEn50Tj|^x#HQjX{rGu!yrsEA?p)6XhIfrilp1~H zY=GE6lQ|027V<*LuXDy?5Hxu!QKUmQCyU;2Y;b01LLJsv`cUOt$%7;Is6H|~Z#j*K z0Acw8pxLzMx#~@nZ9y$|%n@}SjlXr_nRbyfkiv?5{otb%c9X?({|XZJ6FwP?VbMVZ z#Hoa%y^O%38JAC1{ph~YBj;zj!J0d&+7RhOX2lo=pX@oD2_(Rb{y&c;nnw|tN8$36 zwIux1GWms&oFwk1F%O4DKqDQ&d-K5;>qADBx}idQ2JOYq3T~ zCW90#`M}~&QLXt?lA+%*T_F0a>NBcH`lY~DNNcJW|b?9As5XoqdLoM_xi0ori} z+`oWi7e|0T!$081Y-nBN&2&FHX=m56EQ;{AyhzTltAy{0>T}u}yVCDnX_$jtLE5=$ z;xs(FzJL+!2cs2(IkBS{_h0~q5h`7IIC7L5!lsuPovi z6hjES6dNtyVfix@Ngm4#&IR5`F=xCVU_cbbfkEQhp^%)TTC& zx2REa2|2@L>EAbfk&~#_%Ttc~c!yh``{gU!sc-o`pQB_X^SqWLZFIITG9Di%Cgg8J zd0zPD+r79;$hE{bi?%*6=!lVH%W%+lYArBiH#|?Rt{S_Xx+C{_;VfWf_BDNJ{cxtL zBsbd^YByTQm{+sqMyQ=((SRKtQB}`-(`yUA*wBs48J&AUVT#j}N{EMsiP5tbuXj&1 z>0)5g3Rl`lz3{Nhm!PcFk0ZidH@NUWrRpD#*eob0dav4`J}IQIGv#^S+$g#b>o&}V|!;WJM5&#O>ZI#9g2GqUp$KqM-zHNXFmZ|Wm#~FQ%r);I5t*~MzA8?nnnZi^_&p5b5!h5Xn|Gykd0X;<75 za)yhhqvXWZT&k}RSPvb1qvLuMm6|Lru&2y?9+hdiEJkLj=bJb#mA~V9S~jDn6-%sh z(Y!tGpU+k0=OGQXQa*(er`;q=VeF}x7jL;biUL5R2rH)+l>*oXw|5&R6h!ir*kv?`lzVY zQ`!F*rQLq}NYVYopx42E8YI_G=z2l~27#nMwK_#Nn{3i?UXTiJe4KoN?X}PL0(!5P z>E*YA$xFn_tpb;4dtp`Pb#0BHk=e>%8R6~EuNtckr%2rz>19h9c+f$MhntLXwMrX< z{svtb50@zOKU0oss=q&0m@ZMD)*okeVKH@}@AR;Zr>{eOh~!W9gF$qot_;=6^Wy!| zcNDp%Be4+bO_lNeeMXB?o|A~teu z;;WtrO#|W0Z;*n21)}GX)FJ3CyOKGx*pZ^S5nRY0vbPb|Czn+bf5+X|5Pf-6x|mF+ z?baV7xW{e4?5#7wF(u~=3tABL+W*i|dx2q&#s6lka#_aVXQtK8Um!0368$N9O!D4a zBJY+VXh6{sm-rRma()m z2npwg#USHE`fnS_0$aWs5Z;W0uBhAq;#{y3&A&jxiIc*}7@C2_(@FZ6Iy8S_(dq?z zwjGQr4Ub!i6?#+8@bjTlg$&cUt%{VIJ9pb_LvNh>_9+4j7wmUk9%ZQE6>^*P^9+F3 ztX4cJLuAu5unM}LLy8x$B_NsZ@h3swRI77h6{1<49Bv#!cq;`3Rv>TK1 z($`W)o%#O7Oh*?bN1xmiH-wUdQVVBwAo{rjuLh2~DoX0lg-c;; z?A{aw+Y;+XGT$BY2^4(*c^sGZWbhg0U^C|yep~dx(k{BdKFWIR zTDc+l_C~b4&?k$i|JeeGMZ0(1@E6f)yWjrvzjAtjpVpu^M&2UC+Z>VY<7DQ9ov?Xf zG*b!=O%%Laa0{XXbG;` zcEOrec|2p_VNtB6iJHk1@p%tu9>w~n9cO%y|EK$C1KI5a!C0 zrEyn@5G6ex{LX@zmIV7S3_3;J7E+VOKKs$QhwHqV7k5tWEhiKz`;pJwNiO(?Y*+WP zAQqENLzz6WCL0bnAH@e=d+81UH`GYy5IR=`R4pPZ)PXs;OEh9|-<$j4x3t9AyOf2AE<@b@ap zAQy*YOtQ_S2et;OhW{+xx}<3hyd7S)D*8RNiXY~01?PVydn)}WQF=fC>kkC0{~D<6 z*JA=L;SIv@viD{weQ24g<4=?%=NTRi&2llmRJ%-Hwv5$?Zd(1)j{D#^FURC4?ZomV zd(gAc&T6YQ$Wl)8jaPK4;6+(cQLC`xmrP7VqR(^YymV*N#&P7>zz>9{^sQZsY-^Zb zLCAa&$a3D^(|F6aU&0k`Q_DCIuz@Qa<{t*HyJdtI26q~Z77tWT1!(XrM=gq$(3?r(79emrcjL)li!a#wjZzHU&_No-0sL>2n(PQIk? znRX|0xh0FBP(NgTcRla0d2PiZtV&Oe!pu+dD@b@+u!=FQ9NoMG=7K_UIy_ebIZ-;I`r zK27T_l$G@)*9LLs>Gn8s&DPE(?Dgr0C->4V$J*Bk)g`MRYH5t!~@E{?i11J$*Zw7vDSc+bt;TFwRrpTg>vDOmzGaYY3pbS zypyNbZT21UYZR-oNGG%<0M~WCZtZW0-I^6O%@s-1X5R-CS?vPQ`@YdG`T2fUeE_KT z-8`&cUc5pUyXgAZl!~>R#P4uvLNpMuV6KuV=x{1{cS5U4q4%WRtVHj7=lecQ%5Jce zWNk6v=upNM5un9Sw@^y$GvA`VcdWj|}ku(aL>z zA4J9wBLUztiY2D9K4#?IZ@_} zA;VzAKw;FSS}N0=tZL+-+TB=G^|!qh$_d7FlpOSRlNpG5{^PUKZoNFkhH!C=LzjDS zEBV5E%A^-A{njZqCQjL1#Miu}y~w;XV&L?z<=-@02{?Zsiid-l9_}pj8Z?wC{{qS^ zyxMSRbD?8`JSc`GeLXw8x=!0CdS#{6??si+n=*7Q(IBL_WjN(#=xY`wUaTE-<=5Tk zu{7Ct74|V-LBIO3%COX`)o7uNeuDAJ4ey(*up*BFJzaTJZGQClA=e)`PT>94S#sRu zSup4;2G|dcCmTcQq|+cCT_NY=o6<$&N#2>;p0@#Hw(R*1gv7@nLvz~nqBt~hz^C9d zu`wY5&#!{@_l)oOhzE1vbhC^LC6+w46l5K)d-yqfSj5s4L0B<;B9JywtTAuS25VEV z?@ALnDGBgw{RRS@!M?9V4=1k(AN0&g7|UNjY6&jVwsMbl6$`&|zPjt4G}C7Z>8O59 zbRbm=hp}gh)fFz`KFkl!25?MSb<<2raec|pkO^?(iN%E+k>1gg&=P>qLw~AC`%E&c zWRqS3-`yGGVjWS0{}c zlN-Dk!8yM!V9|@>m;%oN)J*p06~$M(@Mn3Gq$jHoxhE1kua2oU=Ea8(u^Qe2n8}3K zV{rW+9@f~CX`?F87p$?0kAvj#gQT8O;z^+5t55T~eKy#>d~Jl#T4Ax=a7|q4+RpJ( z3{YOJmV#yX491yC(70OKP~T}s ztYL2r)c3`a>B>z0xAA^|C3pkE@}ytG^31!Ss?0lq+3CNZ@=f&rBTx9Z2uGsul@cu< zzNs-P5qh83Y7L4)(jN)@dsq)z@nb3FSp(hJ4>>I8K50!lHUpK@(5a#V_Y9Sk1)CX5 zk2Nd}yA;hGF&|ovdgbX*wkWQrDAwX*pGVHDmG~ytu?wtT{r5)XY1#eg_)c@Ve#9(I8fnYW$cyU4$%RfwBhE93@65$NsmG@U9T zl*A9H(hS73&nrQVI{{{4!M9(z4gbsjP{bgkd(}l6wJq`r*`W+^WEmL7-uU(4h@vk) z%oyeRDp7vW0s<9QRv8pm-ZG1Da@EZnW70&9O4?O^%f`Dgvq)FR^*BPM?yp&X^nV~7 zAl^8Bkk@il(xEjzu+P>RIWBjRgqq%M1=J^GV^hIBVG`_n$33%hBO}!X=SHH>*leBe zBo{3+x(?0L32I#Vdj^V2-Un&DO)wi;H&eyd`v~ zcwlL4uHlZ}hi0XOG!6&hR7IOe!b(bzd@GtCw>TvrlMGvNS$Ef3yv&4?-jB}?$G&m% zNc}E6pZDMg_Gx3OcV4$9P@?)!byLt%#cQC)!J#p+!6j_=p2D4EJ>XG*L$&BT)q!T4 zcp?F-t^aj{{&y#7afzEm`IL94EyGFKz8i%QA|O0mMxbA2jnW)ML|cSZ{r(y4&Zs!$ zWL~{!f(uUi%5FHRtu2-M&^6<8$bt|hdV;~voYpk-e!k;VIsupH|B}yEYVC6Q;i@iG zeTwJF6930(eplJ7Qij*yV|@R*pajT<@y@^~Ah4vNmGsIh=|ERX^*syr3K@Bb+UA_Zwd9RY-@ny`65k<3*_%70V`JwR` zI`MX!{`3-<=6k8p(sP!aM7jNO`!Kvm@q)|r5d{2ldy-!_6W<6;8lhXh-hRPVnsuyL zWFTa)L(rwjGAG7L7(?3CB$HZ?pT%)B@^JD$e)Ye|bIQquXwgU)zQX0I1h}jv`&lLD zNvWgbAi7BfS%q!|Uvb3XhGUz~xwDdSzh1RhINHarf>ClCflG-@twM%^$PJW4Z%7{% z0dbmgpz*G!#-VdcX~eNq@yh@%Fj(3R4}8V_=5a(K^Ad67rx-Hb(N?~K;u0)_>M3Ew zYShNm#iB)BWn)Mu4|o#|El6FnH5QA35L*0`x9IOy%K4X+(Eq<4zz+zuv_pAedtT^k zVYtXE{-gx>6Tg3QEjHAJ~m=I?zNBxg1{eD|jJ2sGvd z#OfouR)s!R?Z{B$$!h8v5|4>}t73tmW$yE1H9%4$Q-!bAOu%FNy;#jMu5ba0Zvudb z_wTNn|I0$2-<}5wi@}&!m3Mfm{C)RV_yJ$-TYj7Q$e!KU<|pvRcm@G=%!cg3FMyO7 zT-2v}j>cpX>Ka}oc~fIMG%eG*_!tT;^G|zDXJA00Rqm~ntKviTeIpSV?=u2%aBW7Z zymc<|HI$GwO}INW-#Uf8hFEx?SFWo?;qLk|Kn$nh7s{94f5GjRRXnEM>g`tj|dGR zX#H(7w8WSO+2GP?F?WU@3W(wan+pWJjFs^ey4HhQsHKHk#PSRT7`GG`BdMd);z{e3 zY6Hq7VMf|Xy3vsgs-O5ujSk@7Lg?oYc1Z9$DWV%5&T#pI*#WBE-`+L)myAoac~`NL z4{|DxHZpl?E?#wV7>nH@W-ZXp-8-rsB=|F9k!>}yyO~RhWFw2BJClX%*fk2pv ze~xSc3kl?yDlgeN5wDv)ySOJn=d7PEVvfgywnwVstITtmOEdjtfGd?RcEix2*F9Xa zOEaUj`TlLzH=&P5{fq;l8+_tD7Ksm)I?O_}KmgYVAOrmdFgWAOG}hvkx7#wCd~?w- z;ULxc?PQ}i)Vnz+eYC&P0>zx|SB}u|wl8B81X1_U|r>dez z-Esu52oAoU>pey3G&#aqBoK{u%kkz5(xtO55+rG(m|6iptuVg>+n9Rb;kna|6f$|{ z=epyzw;Y8b-eEHh*2MRxtk}S3eKLqn%{^SfUa7{Vcb{#AkzTtODP*cwSEZ`B$7_t2 z#+{7jg6Zrr8#qBr@{jw;pe3~fb4X@H*5Z?Irg^ND6eby?gg(p}e?kJY3%KWi(kmE{ zi|dy^Mol0AWtzVUi1@DuEF{v_nqYH|WWH3NU_7xYUiJ!IgF{R$az8C0D}#iQQb4CS zB8Eah%I(XT0hChq8tgut>6E;STE=G}FnC@UXU8pw$q+#6=8%@bnYisu0~MJ_%3zqF zOI$eAmV2c&PAAXCrY0XohDOtb4KAHC(^F4=6V|=f5-2J%%4TvLRkCs?!{UB^C$*mv z8Ck_T%#k?A1?d82&d``b1cbQ|UzTB5hPrpD#H;fc5E-wo3t`-5yBN;rPa)FvoQ6B8 zy7qZ*9K*Xf(HPn7P){DSY?pZ|M@ID z{mF$QuVMqGe0LYdFhbX$Rb4vrr)0|DC?at36SVc-*W@+(ohf{w;f=|+vMzj?xr40a z8aSgyMs4ecvaf?`{euB{$~d)4!Iz@%HiAD_)dUPpQ9uNY1K_ASD`8;F*sO>Lssg}| zNA_d3ND)a4x4O8%c&hCLj#J_uW-asM7mfp9?wFs;1vG)TVPpPZB=Vy>-$mv6(b91} z&L?T18-w6D4@ufgf%pMb!lxR~?IqN|_@koe5U2FHdrlEIHv)e5?XT&3&5FKQM?c}> zB3_XmK4`n`ckH%Q_3nCv(my>NhiF-Tmu<;lm5EW^>&^1jPWy!;-#oiXtx2OeQGzK; z?FOcds`r3|#N=q$&73V0zx@i1%n6 zG)TGz{Z51_^IuuXKZ-~0KJ6jEBN8Wgu<)EOA^|ml2WhKHO~>;WQ24FT@^(|CwchyN z!GNKoUhj*mlTtYfdK#fP3D8q;tbcqb_D_nM;<>CQ`0C0*O6BwN5pO9UyIX$z_D9UO zP9HLK)<^|uxNwrmNST=Ki2>@&mgZQ?ksA|G5TC|=HH@JI*VJBw^_*I5l42^4mrz_U zo{0E{oy@|*1L-s;gr61p6!-uRki&L7BJS`L`N!0pX<4T+T+dZha&D!M5({}$B}(;v zxbb9>me|$m4zmy-?}fc7ZH@O6r%m(kp|8mf|6+uAtumUOjT_Z&412GpIGT^3G9Xkl zKvVrYB9s3ZLH)zewV5-IRowe1oUeEy-CzlLH{TWuJd7;X?yor+(x9p%oPr*qBARCI z`EvL3Bc;Uzuu6Tt8E7e=-3e3VhnW6aTE1Q)00p)GV<`nRnN`um1_1DEx3p>x(Pm01 zoVEFGF0USUbqQw8`%##N?bg}5uGvffZH(DJWlh$S{;-p{H`KNy^;CLK+u196N%G$O zs9N)PdKuym92@Lz6U6WUc@C0SfF0H4uNFahfLQM9W86D`=ixgV7!U;fVUa~UeV2x) zs_vb86&6dnyUi5`>-q=XWc1}%xhLYQPBKww42kO5%+-aX(IUFwrZdj6klf&C5zD8g z`#;YVSUL_w>D~K0QWVXO&Oaz!Lf2|i_T!^YLXGD$S{xkGoFdXP_YX;6BkNxQS2(ul zUqB4g39mz*KX-lIl4TyM9p(tP?Soze!yDyDG@y*}uHg37Jq1Fnuo#8a$CSNUp2@G3&RE6tfyqLr+-SHPEX zlI_-fH4;k|@qRg(LTWVIJYZ2d!HywLm(r%sVb|S+q2uf=G7p?gBvkeo90`RkR}^;XjQ0dubCNzhoeEM#KI<-&L`7H zZ$DAB7GOg5Ac_{O`){i&gPyW|mX3OwC08YX<1IT^t zyZlg5daH)UPfffVTNfy_3;K|31EnFUml3)q`bhWXSm^_pG8&J2ejvARP@O7*Bb(*( zlQ$A&TFJ~EbwfZ%aR5IBM8rmPwIRgaVUl@hn4Y*eU3jHsmbOw!=6h{;C|8nnbq#d7 zScZka<*5)lwF9`*IBEKi&i0rUu>t5J(xPFHzcE48ik1(Ft?w!0p4Z0jlB=inntR?u zTMeDoh6X5HXbD&LEj?lBQH)&+>BO= z45@*Dy$YLKyHZ|#R<%0pfbk<}Cyw?FDYA^cjJ*=b;&0ge{uR=3Q$%(Lu_(n@%8i;i z?YAgWdQiUu9csu30arKbi8zSZm`I+uI$gbAdYoy3S8!@!41`sWdQSTQWLilej)?@0 z0fGGuANUmRP}8=MH`g>9tajH3ss4!T;|?&E6kwvY^(_?_ekyIm!85R&G`8W{yVp`0 z9GPxTc+wy|touD_=QidS5P_9mQn-F5fv}2sj}q5hT{TvkKXZKOPpTGfzlW`wc$?2h zrG4E(JEuBTGO7Uc&5}l%k0zXx$JHXa9)e>1qt3v3T0f);lv$Ay4Skae(^aI?i(Tk7 zGvrR!=b)s>?mv(pI$oj$wlX-b;g0}FqUVe{L|_^_mZ7gpYhTn(U+>S3 z-`0pmGp>-&cu&44=}WR*$Xu`8@Sy_yS8;`31E74qm_-}B_F_HGsFMX@Khbv>%J$qSS~>3zyc=Mjb>)YsgtenEE^LgZbhQf z$#J_!#Y-v(<10lB)!a-s&A&14F|=O64X=428_sKl3vq{Z!UqqM*L`x&(nV|;RJfjR z$5G3fPDzUMO6DeiF;nvSAowTaJOE>YLPxe++k+Y?Bu zfUdK7M9CQqPF^xoD){w&rkc)k1E|#34-EF*sdSp{*k$BHFTzgbn%tl*{BVvCo*URo zU1Rw(0$7uT0QFfW#W~IXFb<+y54)MAs9>c==(dyiXdqTbt+DGy?`@Qrw?kT@;PpY_ z%6D1Wri#oqnK7(SDP&9}qeCV#r0>w{SjE9h+THIQ^CJsAz+>^z9og27^EsDpcGi2* z{zFWPoJE7+l!#oc`hpooimHr*{k@EyUAyWcYl=Hj_>vqk;$x6KQbZjYrm`ajmB5c~ zJ>SNnS&N#7J9~0V4PCJvTqi=557fHkdD9wf)63ks;6rfpxZeE{$lRktgU>b&8B)kd z*Hbj_2MZ8;dyjq1mKdA>MA+)%WjtD;DkB8Dv6-lkx+z zR(2G&rB)#PrHT7Knb1KIr!LFvxj9*fFV-jB$Y@?6Y$$CHM>-DYqqqaS@GRkI5hhcm z`(NHmO}`0ScFUdY{H)`;&x&E+{XR;>5m35_6kAiChV8b&?uaMcIqqNXW8F8=0wJum z$|a4~=GtW$bP?Kqm${QMK65i&eQ;xEH_{c~J@pI7=6h}RNRx!eg<^0`90bBiZzmOi zgpIhTuR6m8&@(m*A}tr}wQp;#6;vpv)%I?4J`21xL-bX<1w{25zWsjyUbBsqmC0UE!hfD0&5?CB~9r@48unFAYB zzTk)Rjn(z+-oh^+|M93F<5?*mNR&LrJ^$?p3xV|lL-{C>ctTn@OL;M-76>DdCBWF- z;I|SC8J=e)DaYwX8UVxQ%)oI~t%5)4#bnWys3&n%wbG50pRb|(JCSgcYA;HHZed_w z4+X0~b#|sLdPatdKcPiBK;Pa*F4VX<2{RHIvS~;D_Hldb;1H%cJ8mZeNIC@T4PT%| z#F1s%rj|ehUZt_RDTq1WiBn8-KJMqnav_?wS|mCPSCaHH;FdjRZ@KUo{N8abns|xV zwtD!`7pdE)o_C#mP7+GLuQXEemdv?vNOWMguMbBC6OWWKf(VVF#DxZHmPP~K+)Oo(_8`4e&R*r)+VrR z{5&e+GH?IH%Ljg2>`4g2<4GMxGjtDsSu=6|sf6+5N%^~;5Q*#X^0NyhszWeBH}K_ z6&uHd#V_#z9Iex{ck;w^>op^T)MV&a@f795^xt3`+dx?mNS0=It{HZQ#gA$Lra#8R zKgP)i@Jbm#lCAw$Yg!WF+^C{ z*DSzpUx%iU(@>E+!K8bDNihxd{Bhq}%?_X#e+;`-&D4U# zGF%-6FLlWY&^6+4PN$q-pOgi|P7IpaVRH<>faLZ3cz9??-J_L{`O=pULtbbGRuAPw zUQo#@B&=0uXgo-Hf`cM6E3=&Tz6Xu|J8{$a>LVzu%v*5WCU2$y)+UX8F(Jl3vm7SH zxtd;Q_Ih>$bY7pa9f#dtZ@j1!hg^a1 zipWwpDZI${Vx%grnyf9U6%!da)^JD=$<-zz5|mv~Z;a4zt#F;JErOIKHkYrEvA!9r zmP-`mVM%YXNJ8^wULuSa>{<{Pb{n#SJ>KQoK8EXU$99VrdeKHL@b{{Ug~@aaX*j}= z7NT+H;qLKmeDM^Tii&l7yp4|nDGLqLqHu6?ofaSM_3Q8Qlf3SA;>+}Sl3;UkViF+y z2Dj8hMEQYxd9E_EdYa94Bk!UC$JXURbVek(TEx0KpL2T3VQJEt*Cm9+`?bf)9WTeB z^YLfVRBMdX%N09;z*n`P)dCx*amq1-@?~d3$aq;b<4BTX{fAd=bFZFY_9k@s>aRuI z^OmftTs^K{ygthO1teWf4TLkXLV%)L8M@e+-q=O?bs0bX_kAx9U*n6>>m>fl$isdw zYinO~-nYewZrvt;BU16WecRFu#v6B6@QQLzM0reBQbgz&lERbmG{|!8m|*MBBBqjg zyPsP@-vkAF!o&?FZKWO;bK7%&e=~G#Oj!CZ*_O8`*TT1*@+OsGW3HPa;GsxS-O)j2 z(NDkx(HwF=xmeo|*uu#PH<^n$pQc59=RAb0YBIY;c)YN*baLLc_GMCjQ!uvif%5-* zZM5-rZa0IurrhTplto49i9pk3wWYqnR~&gk3JI)9nBMMQsqy#9fSvhTz+;S=|LeCN zvDjh}E3N65aJ~$QTJKIDN6|gYTeY&H7>BKF@Ts3Cx`~{zT$XBiR-h)8-xfYjtqL%GjBh9Uq8Se#K}j zemB09X!0R)lh$-vHy_c`?aNhtKYfIgzWnJ{)D|3taqg8IQEN%@(Ofgs-mjvDOJ%I- zMn}$Uj3c|j|9}mSrb`#GoTHx4EJzvKt#)1-zibz53oyP96^=L#uf7=N6Y4!ss!b;p0d*Ah*w`#6Q21bZ;LBIFn`Yq-IlazA?>&^bp>-7%a*#$xHRRqX8|1d|~zVh%J5S zA@r@qmpWZzf@hfW?GZ7pkE2AavF>d%@7IR!n#=M;ThDN@V79QgdO$-@`!4ma`qU@; zh95R_e>__W8@zPg6gh=gTV(zByOQh9c8E{j630}R3*pK^vkDRN{j%)i4%|#x4JuX` zOXb0_AJjduA*$75JVo=0s!6>Sm4OFL@s`lotV9z(3<1#5Lu;m1z}qNwS%~!fX;Zoa zjO*fp_D3R1@|tCyG;M6PX>8kxJ4*b7pYy+OUcWvPt&!XlBEoaL6^_kAyh%7d==B@i zJXxDeS?kGoNhg5s_fXz;Gs1b}yKfli^bprwu_LMZ$+u&)=xV4a;QSgIn30|*ehmM? z5+R&Z&w5z3kGcxz3n}SOHa|nqD36r^yxC`??DvCMgBM-~G8j*itm4Z_U<2KxDE0J> zdZ$JqMmWMOK(K%t3ab#N$btbkPya4yuR-6?Ep?r5FjZTq)`WCZpcCXyw2)2{(hRV{ z+C;KZY8`jqa|bVfVP@wWC0O^eyLLyIYmiPi3ls zjYef`Z~3&!TJb4;ouh>j04qh$&9m z*YH@qF&}-N58lw&SPj%ckCP&kxO0^;<$J3KN)Ps7{l>UB+s`fZ81quC6s2ubrTDeL zYndH!R?#1vts^OJtoKzO-_^d(xDaEF|KL-HM(fnwGL>G4Xb~SfXZ+SONgK3xF4H^P zBJ4Vj%q!f6c-+M)ZFOu?lYc!6s7X?*xZ_$Fp{V8>V1Bc=CwpmG7I2VPd4{n z7caj1G~1`0)dOO5S|p=-I>Ae!BmlXqaS7jl%-AG;Vkje^3DPjk=P0j*%$9b!74@Hc zxqL4v*}s=1dzl9dF4H+{mmych15~g^^ zR1j7XB)$VbdhUz4>I%bzPuP_>&kC}aZAL9DhOSJ3Cr!haq}`kaG` zI40##ozF^>r;GG(vhzxAr|!JcT{wAn$6X8(4dJ4j;~`7pkj%aQLd9=-upgK9BLR zKFdnoCu$MsDCc}4Ix(r2H76A$MPrJX+H4b22_c6CnU4vR!ItyK&IV;5Ml4#jsot1~ zG#mHX%S_fj-#0CM%W)v4^bzMnTt)1f!feY#{9uElVWO-K0P`RH5kEGwb-L6WGqNjT zMK|nukk8wcXPhTJ#P9_^X0PSZiPdZtoX^wW+bh&MmD7bzPIi5PF!_gQ=7+T}k%ck| z6CN%qUU^A7gDt@ecy&i>DP6h6R#=6I_qyrk-y7v#K9yweS(PTEQ*$Q+pQw0--{UJD zA`EDbl00e_XIhScDBjEik~(ziJHBzy#aU}dHsmz>-L*=4Ci8RPAGRm{9lrNZcm%jx zHwH!u-^X3hn|4`x3>Vxli54jewSW{WMum2x1n~R&1>{$aRifDD%4MZ~1^-BGmaz9l zvbgrS+{nNV2*p~SB4Np17UlC6OF{*})iCPtx>4(Ft~KRF)!ckM`!UGP*n=WFZcyV< z^t@nOe9}(AZ=rL)eU0)LTo?Vaj+QGDR-o<8oAV23yKCVea49aLZ*x&}HGgYQ_mTdB zr9q_ohz`b$(EEDubArx@!PPkC>gUa;XD6Ffrx`8nS2~}Of1{}nWEkVHwL(PjeQSgH zeRRw3XiYUuchI00yTFL8n(1neRl=*R=T-V{6f9o^%y!-DzkN(g#{_a?igSpV29$v) zgWum%Z!M5c(5QzQ$iAL3#J9apEONc%fB4*~irYS0IOenV;}x37%9$LxSpu z(}Us)X}0??tp$D!Fi(zrEGaE9Lyh%}HA&aC#`R^u`5pb>iekEyN?lcGE||V4wj!Vd z*&&YG&jMvV^2Ne%r|9FP_HoPWgH$uSM|i>C{olq(tE0m`P;cK0yImH(ZjDLo91ihT zbiqIs=C(r3j+XMn5hLF|Yy5dm#W!~Sz$I*hFd4;{Qh zER+y(acFMi@?(q3)3vOB>PbtL^R}g1ZKi?I7ers@ice9lYp-#?lTYt9# z%PHH@IfHIyj)CkA{4eWAjyRk>yt%Ly7Hc2kVW3V88LrtQ{?-BV2#@d|e)T9m!;gG$ zq=V6J;;O}$S9rIaM|(0L(D#jF0WIW zBs1#tJh)El&?rPQ=8Gd1ktWET3Q_EX*1=Db5^mYhoWPe*a3$j$nX9Y*5)HMe3^gJm zs%cW_kl2uMaNM%t!NQk(-9}jlH_Cz*uINuP!AU=Q1iEQQzD+J3c-l48E_#W@leZZg zY&kEw*usTo7IQOl)ZHI0?kCj}1M-=y_tW;5T+-I=3`z%%a!4@TR?R!6wtOY8VDgLa z&hG-hAn)ucFbs*hJg_G<32>S?*5bI8hT3J#>=2hLdR)v0Op*HGayrBaZu`eMji9J* ziPF_IO+b_;&kCzbWc#>tpv--7v;vFmyMc)SNhwvOj&2m8IbLX1x<(ZXM*xu zwcpF~6ZhH6@?SGAF7NS;6!R^BkA*oo=H(9C3O0p7)wYKnEkD&ulkdV;po)9tIWX(6 z=^=vK?2X0Py6ZHTLEU4ta8_p@MdfioFmUDKWP=6vjN(q!wQED9amhvCI=0cni6}ki zlK&9_salS!2(o{irE-a-WB+4nGqwirGs4~JOxAJ-NA=m<+p~$yGZ-<#nbg!u{36z;!OKDC@y{2t&)VMsKSZ!WP$~#Q3)o)qUKse?i$TsHUp7kkMS-ZH? zSG9$?%sW2zi;;Zc)|B=*OARe&D|Eq?RLo z-J{ud&qS!!A#Ihaaauy!C;1xvZ)man5taZbXeeDZu~D)OXp?88Lb+%fS=Eii<7CagvGliyiiuY%hXhu>yHwkwG&&*tII15HKpD5Uyj zj=9-j0;Dc3G=<({YF=C-3vz9p74wNavVCeIB$~rIu_N*`yHL;9Tlaz~mm7SZTe=^D z5$wj1CKjiD54Ym@G}ohgqd}NUXVzG;D@xX{zlZmqzH+^2w-*e2=w|QU&u#C6Ms(H1 z7d&z7VmG$$aca@Ldylr397M81x-&Qb*x__z6f(Ad8_^Ohk5j%BT{SNr0ne!=(0@o# zyP>U5qyH3Tim1RF#sI!Dio(0^j zWInB;pRsx_$DuuW{(as*I#`zuw|$Bfkt=u5$n`Y0YM zB5`rFbq!&+?A+$U<$W2i)bOH0mt9ktgrJ`$jM0f<&p}I06HBJkQcICsr?2i8Z=6N7 z;Q(2p!1v8;Hnb5E;E+a*%j3TIs%Zj%w}`gmrd}8rF6`KSV*feqy0I3q%HTh!*TER+ zq&|9ZZ_uxkQ%S-rLVfTHX#Q|VVx{+GGuu&ADl=MbhR5a`PvS6oZH5-M%mTuB=+yX% zY>?s82}K_}H#?Rn3=K_};ZpQFYsF4-pZUI@3){v_6Rb?9Sy5W>3glcW`TYcw_d5^N zM@y=GR1AZ)rfulQwWiR57G}&Ji*7PFaU(^AeMZ``W_}%A8F5gY4W2x2+^9)CH*$z1 z`=K6jP(rHAW~8;qTQz@3bf+gX$@mM`$aiShIdukDzi1Y?eCj)|X-e*eH=Io|rJ_L!;)n{6s z>FR~@$}Zr^Tv7L;{2Yz+lx?q0-Q6$aKlQpzOzf^?UqjLkNpT+hcBuG$3ybq9N>X}u55g5V|j%+iNSW-e9IwgL0XURWiPrSc& z5S>y#celEh`Cdxe-Ye-I>Qh3}@3d9NkHv`jss1kC>|`F`p~k356P=sSKKOqb90K13 z&|h%R%(OyR_c>gFzI8d;qqiH{w9h+37?KbLIlw4cfOXEq-&x3g3EYBLY0oc;lDYBzM)1(j!tnHoNAcC7XFYRV`v0AmHJC;Rk|`$*j9m z;(z^9$9XSzdKmp2sMZ-49ec^#4^Ec|OW1y@YMC|jsj`p9J?Bb$ zgx$``%?XaR{j8m7si*R@noa9kzG=HGS8HgnS&unTW5+2<+5M(F?DmkWy_lH2ks_iF&{gunz4`cG%pcfeppGI&?Z_ z;FGkNA)pr#SAtFRX)ZtSweN*8cMS|KKO9nTY+0t83wHBpCKG>ZWmF>#fKcyty0b1i1|{7SlYF?% zY+N_I`q@4A^V9Gx7SwXbkfyd_bl-+8C$4AaqqXjrt}We%NMuXLF%ml%1w2&98+~`@ z*hZR3niG!<6?#Tl<;l&#%p9N$F0Uoc$%^hM^xphgW!t+KpE5+st1Cn)`4W#M#}Q$0U4^F)=8_nr3rmb>8kKTpx77$3C)rJ^ zt~{?yH>7?6d9(PsxOFrzT`XQ`U(3c`P$0^hH}-G1PtHk)JWtOGZO<~R-GkcI6K%T9 z3BxHV#3GNdHpS*qO{j)>BRKyLckdMz#k#KTwxA$_5(LRfl4Qv_sbm2Gp~*o&1SDt4 zAfS>#f@CCTk)LaTHRl-f-~aj#_CDYu9aPoVUsb)~ zd7qo4UP5)Bwj)QYx0|ZWtB`Z}h;F>8vJ!&V*H`%$8w(EU&`*9ZD1N9uovS_%nXFN(sPk1WT~tp^G~R5ri$l7U!3H;zVIv zLbTPa)?PMj2KYLI3z~D{#nA0~&auy#>=jU@K(PMjozdx%bccLk5p#e1fsEr!8lfW@ z5fXE!$(0;3>d_@qb zw4xJofR^B`$HnIeeSB`|;dgRR5^|b)#KuD?3sY^9UC$(}3f{FxVTlv=Z6svgj#cwe ze1)U}AK}O^VOcWtYh!VCBwqQ=Z+l`xn@^nu9UUAzy||%U;G|7&d^@TbLvJP3Uy_fi zr`~9X-?2{?v5tef{CwwQubr=IB%rULNUmQ5{~wWz{Ck56{~Az_E{X>%Q|K;xG|9u%Zv<#;X>MI2U~A98XNoYIL^;i{t6DkO)Wxf?4TTxtqqAtH+SyYCjE6^kZ_) zAnqs8ukqUN6xUW$QeIrj<^ha7iQ)ff1wd_2nImr7G&X1TzA)~+;hP!iRi#3IgEbK+ z*zm&(6?J~OmosBuzIH-k@m$q(z9)z0L}Ajwq-5)?PMg6}xS5=1zd;}$5osA(b9-Kj z{wB${DKCdqNaFp|zTa4gClmlRf#N~Ww4Awz?AKX~wcVzVSEey2Kyd}%n}0v6R@$E$ zh|SrCY-k`(yH^m=yLVANM6kXEYJNVJA^fdZ37pcOV~pRx-MnQd#%6(WJ3wwlT1;`9 zn-!2-WpXO$hJH6<1uo=&L~e!8T^W>*QAV`QtnjwZfiL|Xp+ZfNFS_);v2$MIT1nJH zFWv$7f(_6QU<{Ev5aGaPRCE7pW-XC38QNS4DJ-B|X`t*fu|4#~Fh89xp=Bibw#V4S zy{SKZ(!pu_jQ3iVi+-#tCN3C$d$vq-vZ~;tDIs4@@^PGzlU-mCpNemJT+!{-H!A;E z^>0>Aug2({jKc@|c%I#dnC2|kU%d5)yQC*)j@~+tY<+sSJ78yhn!QOoA>ktvIHr;1 zsAk}4=xu5_M6!<`Hmn(p#Z$(Lobghuy@dM<#p-#a(R??GbfnOb)x%1!q`;r^I|q^s1*` z{XN>Q={fJz^4}nCdhtNgP95*8?Y&H7|8=(wtJfD>@X)O5a2YDt2m7pX+|>@c3bcET37gZ18-l`4`* zD29D`ZCbl71tlS!=SLC)QF76XP$g0_xVO&Uo|1j<#n)m@(b!V9jjCU=?I}1fdio0= z72efRA2QwDah^0dt~PE+D6=Q6h;p$0&L|PQz}`2+8J2isa7R#~-ysPB4Xdj9B_nuw z+{eHfd-8_m%k<$2R}E<0fph)m+_#=8FjwMcZ39%K_`OM$Ug3zXV>O_IjMSZcR0Zxa znu(WfDRxNx$OGdpO+5U}jy4s`7Zt~+#%K6^DAy13+{(LEZe*WQ>ej_uO?X@d$R4dx&hk4Ru4PXSH|ksb815+^tQ>!? z7lf7%+Ix$1#u9a0(J)6wKFm@*S-$4~rrSM@viGzd@!Nfkl2YU5SD$5SoN@lusMs@7 z^dZr(fRX!wX(!&%&n{_iitx3d`^x-b(#r0|0cD(yX6iTNIrZ(n-xpXSRvv7(eYW5sSrsC4orwn>CmE`JhRfNq+0O4? zq^X6gyhQ276aqgV7=LYCxwR*`T)1W$bmjOeR7#7TDmPSPFnZXu>8O+Z<~l<%xQ|lo zk}q=IQFCi+OMqFWqT}7qXsU##N;fVv&z+R+DQT(g7>FGPB+vfBIAU#eI@K5a?%9kU z!C))tut2$xWo?uGxV3Tuen*cY4F&pmR!Z<=!9EZ9ZL6GC7oqHHCHr{FZ2lQfwy>9N z(;gWFqZO}*-n(gWt+qVi3Vadx0v{j0QmuPb>`-Q=zJ=MMC!f3goQ}{NP+r%)T2Je$m#PU+eCL8wRXww$RCaD*Vg~> z3IjUX|GVEQqShU`0^GYL`!lSO$^owS`*u9cS$ef)9nuh2=R6^;ZF{op2it~13 zsQ5JnQ#ScRFnON#NwC&mo231pa`rHMaKFsBR*Eo$D37wnbn-|pgzzG#d-h*xz(5p# z22w!v{tT@E9DM#}`6;&F4RmMm*=3vJptcogW!0s`^F&|Ci(8RC5B6ECX~rFbr_}(n zNnCYyb8&12MXhH7)sclC;@IAl@{O7xrQ#w31w&uQ&bP`0kzFr*F zu|qaR&9c7fxNM!9tzey?DG*cOB%>Vi8{}SsZtvz#nVL3oW|llStz2zN$}Sch=XRg= z!UKVT_Zv~hEoFmgjf?$@EUG}pk#9%Hf{at>Y4g=5pyg~;V4!SMXt-c7ub35Y{H#Xl z3u`79AiCh#(=gJ%m-jhBNAR}9O)w+U@^~Qh=+!h4?GdZG-Ls}4)q6kq82mHPkIbhrN9J)W9*}UTq&(53i^%b8|KK@Qyq}oULW}Oe2aH0o{ku2NieH7bGfp~lj}FMp=HZ zt$X6Xbd^F)hrc`UC2fJeCi+x&56gxRRWN@MJ!w9~>Mc}eyQSApDK0+d zCa|xyr{iBHXjE{1{GIqG7%7+omlZh^ zVi<%-@$trnT`B{i7r=7^3n3Yez9)6Vh@dU6rq2jR?AY%!JAR8>e#~`)6_aa4ztyED5>@+txf%{uTLRd2 zlatAlrc{ED2QA!gpB0Vscp?5sgkSU*E-i(Yl>inX6E_T+9~8?RK`LRlbK|t8H$E-n z1V`|0M);#T7jvzao2p41z|GacXQ-20U77ve6iWiy_`w>dSMFo#3{tPY6~F&n_Nc9G z1(RWwo`UCIlKTrBht+_rdcQ)=3^`LZg#`?!hWK}Vc?nY0#>nFkGt|qtY4_WpF z+48-qmC@b$=snBBM^E?-x%Z})QM0@C5aFFFr2$i<3A6!e>LYeAcl@v-DaigA)+lMj z5tjou^IpAdZ3Q}IS|^=es5=MtASxzR4kn=3!9{SPGT1eU;k?%IUxdVAZ!wOcE-21u zG8a>0&e3q^j`S7YvFuNMh~@kWb3pXx@B}+F4*|+$>Z+Kgl)nGHzIZAuNkN@d_1mJvLO!h`wNju6Ul8r>RASYuU25Ii+9C{s!TUXE0`LI-83* z9e&xS7m8D>f`uox!Rj{~9TbV(h|1Fdeia6j56bofGa`P2w6a%v+qts$uzu%;d4N%D z%)G(5s6Zz*VBtbl(i-sQQY>8B(DT9Wk%*nuGevxHn^@lV?PEs&5L0zRd_(Q6whPC- z!wYuodc8$y>yw_+WlTe$8zgY3qw)Av0$U90$JuokYD3MZxD#Q!FP4{KKYLl*nnGcM zPa@LggOet#pb^N^Rd-Ca1s2469R3sN1Hxc*n5y6@kifSj!mI zGMqbPYK=S;H2T>m`H@WAN=p3}3#b;4^=x;XLQZc()044f(>93T6uTB!IGCkYy?9h{ zTv@r_b}e&n-as-!hgxNjX@gYVB(6s^-p;77U37lIKJ~F-^fpO30{@#-!I1OrQ&|s&VYSuYRQjU5{i|3L3HK6V=y=wD)`+c^Oc#g)0@rLVaaAa7+@LH!0f zwu1v!`1R!JQhPJfn5Tss`HEtxoIJTbeAz5Y$||?WR99VczEGGCOpLEl;U#>1@9^`p zX-3w@?Z@|KZ}~1>a1S6-WWThmUGbBw3^!bwLL&J*`sJLGlbyAD(xqvX-vpulK??0ysw#=S+VJrN6%nWpk#fQ1H|M-2$ zzv0(Iq-L>$TzA%`ce0JMC!M~1+(~7l?d?4!A1*Q6-A^md5772=Q0^+bssuh9MYlu= z+;4iUNe8#wYj+uh8tWbGfD92=-D!$Cog?)1lZ}msY12XKmu0{;j8 z+*k#_+n#lh&S!Qnvt9614vc@!`WA=&2KCE6n2!8t4GnH~NF;x{(f=yui>8(Ksr$5a z;KOEuujnvo4RRz}R%OvLp|9)aI}-akN%I(sE4c)#RUhmR)ktfhIBphvCwyu;S6F?x zO2N^3fMeOAO>=wt+p$lc7GIAatr*+awfgGsVUIFI+9I3|y_k{LMWUDKl$uerWNNW*ic{?W+>`rukq*Xmn{*2R!GvLZf=Dx>Wdqo@@ zj%>T)55@k}9XZ7`VMg1$j`rj1gLjq%I9(z&5S;3KRvo1tI z`1K}@%L3a5o_N@)s%i2qwt?sM{fZrqiwd$?CJsF{%)8rZo5QWA9S=9N--HP!vM9#j zy$r=O{#8lK7|B3h(;Bh2psJTmNyZ|4@{Q=8Lf0$e^_SR@^_BXlYL>1JJAzGu1`}t3 z%)=!p^)`hV#>D*cbH~Z+rcKGkGLnkKhK8iML&!zu_bkub)5WHWY?TGG3yzTD%1;bb zNQN)P18Y((3p+HI0ZMBMwzRmiGZz$3a11ip-J{)K@;uBo$M<y9&kHwuG_@qNF6KR2s>8BP@v_#-*Eg z2Ee!g_`&=j`_;v=R!+z3K*y$a@iC!(`v6<)eXT>zj+!7iJ$8J3_{uzM>v}S4!Dm0y zs(~x@KNmXgKaT|FZySAQbKh)z=ma}@HZ$)B<8uCbW_t>8}&Gt@Q`(L{MODd9*pc=k@or$DLk>} z!k#L;#~+^A%b>O9$}rlEA#dv(q(*bBnr2>IX>Kk`fBq4duzM1n9c(?LpVBT<8)8`( z*7fa40pNt}Qbr&lO{}9@kPaQF9VMDpSRG=EWasc`svf{ zGD0s~Y1gEP0b1!{eNP!jfSABl@E%5Y+-}v_ZA*v^a)4w_=<)$T8p}P+DNY zj$N6jc?E<8j;2wKVcoNqfA-lylg%{s*w|+mc5BvNS#KNZBxyjVo&RW!e+>SIBKY4a zV*c*`H-;C0InRzY#|=3zUaRNoX;ZS4fc=s6lsNX3t*MP9BCgy1Unn5|-zpvd z33A~cSoIp(yF%8(ICOtE-E-tCqLN$HD~6f=XMr}SQ-M<0*JuF0xQyLj%Z}Of&#{|y zZoO{xZGVZ%Hak9kds?`Voo%&F8~d&dv?=@Wy1=gaZM?zKa@V~)BB+27`^ED&>i*@~ zffh}u@wtq(yP`pq!Z42&yYEwQlNRzvCqI;Q?c}=OhpMc8!1$I?gpjTzB7ZL&6h?-`M_jK43pfMs1M{f2+#aFh7l1AJUQmqRxs2-D3NMe z(t+gm%1TD#pae)=m$;j1ak+!4q1jT~9iy?ZG8kI(NxRK*p0^+Ua#>2WUQry2zbjoR z=mqEubUF7rr?8Jds#Qof8O|NUqN&Q}Yn#5LuhGp?_xNVANzR&dPNBztIh=4%H|}qc zu5DBh0;sS5oQv<9jy5ql?@)s1!%)-3Rt8pfN@P)`ZI`M}mEXOl3sGcphZM-1kBL&; zjoVFiF>jrTd?7DBxTcIRFK=)DV*CjAD~abwxVRm9Z2^THgfeH#G0lKSR7JbL`@YYa74{GL56k#c!ah@D-fhDdQzqF9;+fxn1ebNzP-`#ZMQ%Pk^ZLJwCQ*`~l$P0!%H{ z02t z>{yM~kZJX8!q!x+5Y)rlaIc6D8Ihv1XN*$LnD>1ChiT(Wt5y=*JKXk-9shXJa&Ul| zTUy)KfffXm-VQVgg*qlv<<)4zaeZ>Gp)t>@m2*SC&IgHIg)1f|UBW`kdz4~)wcOn9 z%!FOLCuQrfa({(Ss5Nuq0ZUt%pRw0Yo6iPyYPcU0E#2UtT9oIY_^aFSdlrS^-1c!{ z&RO0>ndKbwnoMzkgtNhgOm1uGm=)ng^T#-WJuKHaMSPdO2I1lmKBWi)@{b78uwCVcpH5wHpCBS4zXQ z;~as%LHs}Due>d6sry}oc8%(XF}&B%5aE$~9rI>R2_4vX*NNbUQvr+=CTqdB|G;{k z6}Rkf#2C22=%`9%xE6(vv1wC%Q`@KY@d?X@y7_IH(dkZP07{P#8@-y?b1OC4I>!7@ zEW#??^<&I*+!fugmFrToazMuRX9(Uu0o!GGB3U%*(T&-6nsT(lB;6LTzZ%!;i}7=P zHNGj=|1uI+f;j?DLMA4=*fPL@Z8rI^vajy#;7K1>GdE`sjzT9>SOP5g@!xVhtE|>I zVlWECAEfUWV5F0JATetqtsc!Cf~q$Rtdwt=vF|824RFv)l<;AUk@#H=Ik0TmDQP*s zyn;`BRUQN!r@SoEzLj)ge7WmVn(`6@c)^m#f4clXqZrEpAGVi(zzH{?#M}rrf1`0x zi)(<2YRsDqUu_V{AN6aAu`@mA6E9FUcWJ6Ne!5duKe}nS)1IZEPIg<76xCe_nb#PqIEsS?^{^Co1UEOQy zXO6kGO*aMf`tbyP%hH{rTD5cSB|YPMW5m=Vc%N80;+5u)vKSMjVG;%tL4(G*Z{xL! z6hY2Ge@kXGz13c5==qa+p0{w=lp}7w=51v3C#bvSC}YYa-W6{7F(QTq$P5 zY}fnssd1c26Z-|_fd%7A%euHgXiG@{(te`&Al|^A7ww;H#J_~rOyC2uADn(aJ8ascwu>5dDoKLcm0 z%1ZVm`@a-vI6o*XnTM&nEHJBX8~mu;$xTQaPyo=Zh|fUl`qx9BBrGtMvw}(fZyQ37 zKcbzl1t}cJ7og3{q`_$3I<@vD=sXsO3YX=lT3TecXQt-)l1O@bwU_BAq* z0>w6+cBaW3u%y}^%+Egff#|DEqE3&oA4k`Za9RG1J-3bJL|6A2DMv{&!jEtDeHA%s zL5+@=^Ari6uqdzgD^TBRVQ`&Jj~MUE7Dmif=P&px*CF8F=lH=|4K0&48RxpqiJx{K zZHP_@5}UZn(~JEZn_$vl1!i7RY31qc_HB4V#WG%8l^hM5uy7tOb2NSXW? zzCQMq?7g+P{~=6SVvNgF5<9M28+)wL0%@s_w_%6Gt3=B@#Zkz;=l5?c-Igr7|MQ$4 zGhqZI(!=i!pKZrXC2u_eo03h#CvUD`5+zp0MAFP)k_|WR$s-YNT8Aqs+Kh!a&dy@j z>C38m);Sg<2Rd#G${h6gMxad*XF9W88oi^!5+9D<_<6(b^ZP?vKSc*8)df16Syyp8 zsjKFi%%d1j)TM#=*1~w@n&fQu-?Ehb52e<>cr)Vh$JCXcjo;pC4)}FV(eBhQD%On=%_xDz-i=egOTugx-E)T#R@xRux`KrmmXP)j2WJfvt73M zf`1ci#nEfPRVQ;fLe)i^qMusNvo0NH3R;B`MjdvHKY ztz~T`!bmIFpR?2_4nAVKBUzMmfA7|VBfH#YgPGbs9;Xdp$05!ir;bW{~ zK9)4V92?m|7PJy01t`}Y5)k+?$GA2w8+pYysuF^fKsg0kxh6(Ju;l{^-HBMTh`1Ow@~3@c*K=2k(7}Y?ZmsNY-U7E z-)L;OvnP>cN~+9El5ri`aEZ0n;~Hgsmw9~r%n5w=zh7epdZagMJQiaL@A!5h_mdIm znyZ|8`(pi-v|8#M7ot4@94$RM1Ib}Fs}wIS_f<1Y1G$2F7Rviv88r}G8v9^4nx`-A zG+FDBtppVa#s7D!n>%IO+%#3OJ;Q_T@#A@P3cL7f{_siecV{}$>4b!?eg_s)WJFT_ z5+O&T!_xD0$ME;Ou5uDxHYNwUVW+aQ>y;pVAgVV9Li@bq-kkGw&EKF6BRM$bA0dJt z5F(&~2;o6FBl&&<4SRW|Lm+vZx`BW7ce_hJ!jX%oqI0}7a6G}{JBOXGv?#`ajDO=d zsLT}!l(cRJU1xzjgZ^~CDgXJCgDMm({|3F;)%RX`-Bfq=oKtN6DF0+D$}Ox0iZ-yl z>BR)SU*WGXga5JGBj^rV>jjcv+VsA5&gupI9i8Vb@ih|kmy%b!>{E>AlrnC)~nuS z&4F2~zd`qWI=a7MXlHnfTAF8`*r#|&<}Y>683W`1MLxJ%e44bI{INU(@DTmeGwi=T zT?`P=j~(^(Z9yu{^;Zme1&dr%n9;R2^xlesnfIuyNdfvAwfLydaXs1KgZw>z>$^sj_Caqo=%DEw%H$M7x~B;)X>hsm4&zTTzPKGQFz4(c+D zgYGV_psQchU1_0&DFs6CCfZ+LEZn9rofRY6$i_F3>t5z*MG~VT5=!jc(ayc7xqWV< zMM0A??jr&&N&4^Xo0dN}ef!_w4E%YI{*wpzcAzlYvcSi?i>CNvY<}6uI?x>c;yDg^ z{(aOX-z|jUB*f_X4TjqnHOFSjmZd)Li3y*2e&y*t`PoqE1>p+q)+YOgBPgaqJ;G15 z5Sz+-Bng3`~Ama$1coA8jDh!$JeJkBM%Th!0YCQS1QewE=+DHeBbw z!U67@oydPyB;NEVz{a_+WeG??zReY#q+PG0KCHC{3>1@@s^Ebxo`fY5$N4t%d_sQHD8zYrW)BqPw|H* z{t`~v=`~?neVM@;RBHz@L*bTi|} zUkjzxPC;-M@5IYJ+ou9Nd0;!jx|<+Js?LFTpe?a3kh`37X z9@%axi4rkVmDPq+xs+!ZbSCn&&z!KLRh2jbJG;h6X0*H` zph{7HoeX>GhJ965<4tw6hkQlR7uLBX!YIosdo8#4K|I`> zohH$lWcL7=UIfIF5fv9IE#;X<`~aOj(lc!RZKgQpZJxp=%iK}X zPG5n)|D=cvzsmQ9`e}HJhG-{#9V88=a+So@A!-%VOeBb$7CCGe0eptmTCTV+oa+Pl zb?cNqNiA_9D~kjKZsqexmNErB;mV1WrS$RcIoaaGQe)aPHw&YiGN3 zMx)BI$-%)O=@-&fpj#yAlVn7*An)EoOr5>f+%WOz!e@=RF-4d@GdrLFmsx zyWHTorCk)wr+uZQ^(5-huT#xiHCc5>w{hA6)R}nb{g}$dy+hYdoPTa?16$4k5HMqt(!ckvn%jL zu7xc}(oin(lfOU}v_kug%sSX>+{Heuc0gN&r^4=LN6F1cbEfYlwOIN} ze@*+m?g5QsVOsXus&Q`uK^3c@I{O6Pw$+G0zv_|wD9G2VGGJB43SLxQY-n%zZ0sDmi`maoAfY0#?Cj90Cre6NexE zxbRWh{%m%1NVyN>S=|gj9BMNKH%7nj=6+)pH}bRUaR@}n`bO50h9A8PmKklE??7|V z+zM`9PV#jDALh&(y-z9k0feLB(#TjPV%&7li430>@jRNb-|NZ3i*Nqa~vSjd!N->?SRUKf2P~qvABN zZF?t@+AT*kk2cqv;GIJXUtMd|+mH^k_^FO9wLfK|#W^r-8lnf__KXC=8dBy{B6G9S zPXj7cC?PZ^uZuO{%B5n#=UUyfpHDWxc5Q>gDk9U`OksY5>`!4m9Jns{-6c;8vWsPONpBp7> zRg&tUR3H>8_Z(e`-1uDJpch(K^8m1?DP8WC|BIA0?~^($@@J+$b5dw` zScYVmt+@|>m=(3=_e#n%RKvAE=qST)p?)3reb!(o1y{1B2el~#1cO%*kKni7(dSF- zn?)ic?mit*mkU0SmMd5KuH|&<;MQMiM=n8hNP9FQyEFuG)^YC|^~&Z)XeO=Y++BDB ziP)MWRAot@a*&WNt+bA|WDxD@0cqZQggesCW!Kzaid62&rbM8U;UDW3WFDxOJIHv6 ziL$+qq9!D_qMgJ!9P9);B|CJ|D1Y>)SmVEMX8+hnkr_KEW{!wM8;_Ey@>qXPu(0fM zZ4;D5zNA`(=I&!}Or9O`tJcq0&(IvJ$v3>q^maZu-CTadBR zZETu~R`nE&z#;T+FbId$6jR?(-AKR8?&qd=qGvR2nrbbdi5zRV^88*onv)*(&OM3N zYSV)FE#0gZC0b{o&-`Z&jBIh>I;pg)tZPFsW~#5nzCmFaGr(kV^~tHNV8zU*x`!qv z>+Ik`1DOeJi_iALt!`4U&%~W&C(=!Yu7xp85WR+#5A33T{QeU9SiN37hNW}N z$~EqSfI^}X_Kx&UX5Q`=-X*kkQl8;H65Rg|FAGTwC;oISa#I7;S2~I+REl>@To%!- zS8%Gp*OdBINlf9dK8Xo}J3j5{AXYqr1eN#0+|}tnPjc(aEm(5uW3OJMWH4fzB5WWD1jq_RuAmb2Unw&wM>R zCB&+%??HZkx5thMDL;%4d~i;h%HTZqR5kPd9zH$yZu2AD&UK_|?{84;O2h`DZ7%PQ z-JxZngSTkI5g$)}45`y|`$2C(gy0Qaj?7QnzYH-Va{bkjl5yee$XlqaW)x?=qjpuc zgmvP4uWP<0X#8$>NM>x~`tI-}+@bXuD>Qgbi)^G~eu+QaGoybtNxL56n*w{Sc%OAR z_EhDt_8I9K`0l`M{IFUsWVM{HiOju7HhXlfdIHLY*0HNzg^MvJzK&v@ITwybzd=a7y}B2- zOxX#`9l6HtJ~=&v);#$r1O5E)bmI=LY*+V@gg711T5DL38QV9s-I^SgT{fTOXSY4r z0W2j)(qL55R9TSy=R|+yDPO+{oyVcsv?9oVyuc^Y+&K~}HggD=T5V?x2r8EjIfYbJ zyhYv_eDTP_l>FAv4IK`YEU5q^%7+KJ9CNZ~LsD729KTET_o}$VNXv~FIi4cpA zs0a6BWi!79ODn^@N=1`{kh(pnNfl|m>)KKWQLn^axXs7z+vb5wjjucV-J!8}eHmkq z>jJ#tZ1aA{R1VqV26;)^v71i456B|G8Sfko^j_lOhJ}P3o7-s1GY}*9Um|96dga2K zQFrK5B4)7P)AMBncfMJOQjyKfF`u6zli#wmx6Kw9%$UjXGHke! z9jbg*CDsq)0cCICteJh;6k6sPG}%2l55c+ z@L^LUVo|5Z{lWW&$%4SQK1{8-&Yp|7j?%U>uBLz)C1qb5aS!Y`Mp^_A+L7<3$JVT7 zR5C53LR1HSEVZlS##g%s+)!C;4@eZ!UA zNn0wV{ zZS#_>JoBWJ0;SSwm8b3wZ)9oz(f%;}NVxvQ8Ytw&Sdj@_b?}jf^jMt6wt^J^KJkLz z$f4|>%P3oPj4+EdzV(~)0I!xfG-)modCXq*ZFT_7rE7@j3oPsy*SA$C`(D3c8cls+}eSiuiS)aD8elKba-)5CX2j! z<~Cn6IsK6@pM%vu@52y?GKmGy;OH$PzDBxX%Znb~OrL7Jahxpfg< z;icHwU754E3@)EQAg~)taP_8`8lcO3yz?R7c}aZe=BbWP4F_U8iXEZ<(T7*~H;6{< zwV~bzN6xf0x16O@`Rf&}HXF3N10X`3$=*a>yCv&DPJ9UIOtnxv4%XzMtmapda^ zyL^93v_R4BH`WLGHTK_nXksZ8zk;~GqkDkTWp@cO4kmhl9cu1BKK9jsAeTOY_6Jy4 z3T@F*dSMC(Y;pNgL7ZbTY{Z+Z_lB3jL=9mgo{RVp#kv_+EbWp|m!w#ft`W4VaFU`J zf%;rcYogKc`24=wcc$ANg5hs*%n?<_Y7k1l9edleK40eUs|YKxf<=RHpdjR7Wty?(;%as!valS9Ijc+l7_YafOC-2lMPpV<}|<|W)W zqntXM>7aD62lre04Bd{G9T!10#|sXWynQ!;-{3>p+kyDJbGp=4Qrie=YpO}V!T+TO zo*a+)NiTnQ8t{`I^A|;@0`2JH4`)lfbxD@=z$llC)3Xl8_gXyc@-0e}%?|~VpbOa! zFQLT2_0^x&1xoy=Fbb~=4u8Z^heTduK1%!s*%#MODhHoAhjTn4H|mIV%Aoa@P9S)R z6_j5Lf*cOw2U)IJ`mK)|_r$|O(;YJ@g-m^0Ba?I9`UwmSXVhk2OF@;*?qDCbOkyc8 z&yh!&S__`^YL>PmVPTnkL zUm?abcv#=V2hX0r>5)rZTvClc-AZ4G9$EM9bI=Gn)U}(8;r1*53qDD#sTb$333&^0 zAsG1Kvumo=Nn0M4ht5&UUUP^FxZewIHqF;yQDq7hETl+F)D$EA)tO7IRU|z*!0Oui zp_-YQ{M)S$)Z+B$n^5|7Al@W5(!$x9`;jiC)W3%vBlYwp=m{!^yu}eWPxFoBU@+W& z*@|C`mIO*4rp8(`w8qF=ja=vNqWg|{hfE%y{3K>!Iw|`&gOq0oQ}i#t?m+Zlqnvwl zFn61Q>is)ZM`&s~#c{Y(0b<~jXUF|{LMXU(jxA9&Q6pjNu_?(RsI*@oMS23?a81VV zIbtgr0JAO)I65$TZDykMv_}M_0rw7vG{ku?IW&-L(PwS^`DdjRNUFA>aEEj#Uc!?U z&?+QF5;x}OHnoiDQB2W_y*^F&VN6@!VZ^C}a6-fz!gbdt@9x*R$#9Pby;fmqLgT`B zI82dhsc-iDN}JM1Vc8>>c3T57?qYHL(?Ub4IS@|UFD5iiOLilM-zI8l!n~(j;dAHS){Qlb}ar*Jf zcY*ovLw;?jN6c#!^v6tHj?{s!Z?tr^$P?9*u!!McSqs+%@*Cy<&{+mrxPN;7ZwB%N z4e=mTuS1-Jem#Z${YcE;x_|+px!j}&cYsYGc+%NohQ_J=`JDy69A{)uzD#F7!S*I? z0~A2E^GwOXnGjXA`M$qFw%Ez<>EmPb9V4eCMTbq){qE7xmlJrE6v=QIb1WJ0ogLVC z0xIZ`_K~x6))l5h0QYbyB-56CL z#;-HI3Ath@%tvRa)o0HasZ#YrQR75Cc7uj2HkR?XnW0$)(83q^v3o%zXWWc1E;%A# z(f1*e5>sE}h5$o>Xf4)VY>KhO3590AKits3s_AeQxf~Bu{!mZY<^C%D=6A&)is2iq ze&mmtIGMvZZ>dOcc&{~%Dq*LeUzZ%R&Q#^r#h!MDPAwJ3E3v07Zz#RL2E3W*<`{b& z%8geTO?*P9W)^*ddWdfZvdo`5%^SbtTUi&qdLOwDX?TPKDBg#vF}myK;mtPfrE6Mu z4fkE`fehZp8)cmE{q~0Zl{%jS{XN&DiP<=SGTke8uT{=>P3|swq*t4iKm~bH|x6ISxCFtgeKghCoc_XU((zik!$`|&U zO=}0(GUpVXDvGUXX;d;|${VE^lxD5Q1Wb-U6`K>G^SjL+n7V5s3JV)THnRfPsGd*r zXwVQEY^H|q&~4BmV;$lV(R0vURPa9M|t)fJr#G7TdGw$%bE@h;UNIPT8{79e{jg`EUTKak z)ep3t+>bZMB3Uw-e^Jc&Yer0WHOS&a^Ik^u^rY4pJ@45(IoOIDbnaw)v|c=N@Cf(m z)4772HI7(M?)~#H`AG{%4UXYp2^4Bj zc=VfN^NUoS9o!DjpQtPmNZ;*pQ^6JK%wl{wC->T%%*?JvrMcrn`nsWYjQY?+)yDy8 zd#d4jk7Yx@IeFyZ>>J6Z#baI|kJ{Mtg$eH^Iaevm^q+K=zA;o&ohM-RNPrp;Yb^+|obN^lZI zYgb2-LOv5ojM=q6M!aNTo;f%(sAg@L<@p@tE=ZVR;qsFg7t?eR_oIc8&S`-Bkntr_ zroGy+$S3Ss#GArJO1)_t260P&Un@~}5V??X?C47G2+L=`udKj>Q58IxTF?J`Fs2id3tk>LP} z1C^TT-*FHmHgwWZCA8(<;srpdtd@gm*Y7?xYfv|qBivQ@4)ARYgu1{TD}o2cAB}D8 z1Lnf`Z114>2msz{g48-#<13u0+Jqh-oROqvEQ}gHuPqZy7+g46C9(n$S%9m-$$=v| zCr|tV`H{2vr5-QHJ*EB8j5IUy>9c8!LO{hzg&98NlqZ*LQPuMuA5QIu5UE0DV;hAVC9!^vrtYd-q;z?RV{S-m}kjef%@YFiB?SnMdyX z{z-vOP0LX0O2T4rMSD9HE^Y?vaI<9DjyL!^A6{0|^tqJXpu^~)qrl+(H@1}i2X4>p zAZ*VEu_nSM5w*D_q2j{3jlIFPUs@5@wSGi_-Q7*Np$C{BM=ZrrlttvjB-DW*(?z$; z?r8(cR=V~A9oetj+VPe*d8a<{9$jd31=r8Yt#RD`!bI6G)U%{E;hb3kU}lrAQww!{ z%rBO9ToAT(HAfQyhnW&j_E+45L?M~z*FZbL5z!bRSiY32*>Qc45Lt7b9ytWB7N|?b z4B0XkRVtO6o;?+UX2(Ybl!CPX27L`EuKzx*>d;0mv7YEQi1}96cKLAcPvn;x48nXG zQC_7>oRczqr?i z{v{+hcVy^);8a8S5W|}uu;2{pxHF6Qt$N~k2eW4E^!2X^So)O2-^J=_(!IJtLO(74 z{J&f9&|$4L%`{UW*?9$O7qUh_KUWA)ZduBNJj;Ae>RT>Z@ zS#A1k4HG@&f|rz%zo?|J_x}+)DQ%Pdg(MdM?_rO4AxUJB00np`PhUqToVq@+@lBme zmcg^`W3LV!RIuQVnyvSPvfNy^8a{=$^Ol##LGs93OBHy4Cia4{UY8#=(UKc!R|b!c z#9!fLe&C9&Nd%|-0)=pRf(x}!?Yu)iok+z@TRryo6hz#Qc-*b8Ac3NuOCAwM$+(?* zR_Y#3$nz-9W=Uh59XmFoil82REn+n}hJHJ0TpYg`!PUmULT;Jg2#)k5a4kVa4R(ps zA_*~c)9-4nC>o;1L}K|G>^AwO*Mg{UYn5(Qd5R#4#5mS^hi#yy-jtxB=k|_zGqAp z*==sHIS%Q3dT%}%ZEzf5>O8+7BS&A-jzW&DOF@`Jf22SY;U{iReP6LC1lWsT57v-`E;jO#DKJ!8N-7p zX;g3h;xt$xD!_kUOp)>~PKd1YW?%nu6cEVV{>!_HP z#W(hQeBbZEjn8p|JsGYe*V-uD;^B*~X8`jHD4w88Tcu;~MjzUU)R~Fh65B@Le)L%( z1==S1S_JMh_h51uhAAFA@`SfFUG=)~Umx&f`2QYA^?Q(?Id)OWTsnbV<5bD1N2K_a zg35@U|9+3?&(5bBSdGj6Qmo*Aq7`-v06zcUqX+*4@B{j-9Hg@XM!gWl&vUbdFWFvE zq+((>+;z?ej=UCzB5ZqA$Nu_+sk?oMIrv~9va53>cNZ)ue(bzbth*9r=>`vRZt}0H zPXw8ARjy^5+~F!-j=t6ZMPJ`CrKiHN4CQ~u8?=g9wOpWwJMSa0i+YeCvVtGHtX2XxP8}F=sUh08agXd>Hue=Z`X04TRVM zELVT0F*veWmM>wQ(^k}5-TyFhqL?uJt<($AfE2j-|E?D6pB)cOW)@e`gtaN&O8dog zrQ(7li~e}|_SO+V7Nxf>=7o)xmS%ht6CfGUDY2cwhm4F!8?@&uD+=`94wHATQ+P%0c8KT9S}sbN)JtMFvFRPViKXV;wvP!@yiY^?d7A~u*ZJH&0cfZ{KaBYEE^M)%i4<$Pdbz}=oZM!{4xVf_ zKul`}KAJ?oTE0TX24v~!&&d^ae#QE{d^P?Bnb{fck=GE=m2oF*BkK-tp_g@;F;2|K zpy3~~^iJ#3P)U$oVk-;E*dl+#&;qcXC7_zg&~byYYY0)bB295|GS*KE;LY-g+A(UG zQfC-WCs|Ggv{BmGvY22wvJcRT!>6KIPC4BELQJ88H0u;o*&WzZokml;I~rk3OX?_U z$Hbb}X-DI_3I_`NdSC3Q>}K$xoQr?T8yBIHIs~Rv*1p#@wACgGwBwj+g$UAv3&>_i zpVN3{MtfqJS`d|HhN0PQp||l?gV?1ONcpg_)L*>=34+vhe8@d{YA13hpr-&|xw}A) zzuzgTc91pt|uy94&(u+w6VoGo@$Xgchhi_yaSh$5kB$swdmj!(=?Y9+b~fk3#&UF zuWo&{eL#P5n-WVe{XSN=uG{p=XZNXkE1h03iK`#H>04dgE|uAZr&crC#m(T zVeE3`?zCU+$evrr$%3%-GbQi;nUVt501EpWVDgEv9{QN=K_pss0Kf_KP;t(CvZ`=+ zXx{8MxgJSi!b3%`hHHB3xR;dh;Zu~EIocD5e>kQsA&AO+VjtIas`h7J{vZ1sGgy#$ zp(}}ZmgbY4DU`U`#b-R{Lb3XA-(3~RB^-k-v3)hw2IN>%6#J5gpDC)i$SgM1`X5bM z>GT<8%sQEGgsBn6F%XiybEEfvajRARI=LBKfD&0-3O@<&+ej4SQHonA^EadvEpKMC zlTktXx66*;_~647CooJ_=MhrDIWrb9>tv~_#`dg)?}}gDV}XeV-4g(rIxKNA@{y3% zh4Rtbh}kT?X=w|>vyrHD+RoBy&VDi-KXM$-&o~z&eH&Mh@~CL5{((ZiNE_qQTHUG} zfTCs3nsw%wHd$H&OfE+!ApY8J#XbBgRF9BMbDtwy_4ADhdc?l@zMQM?Ws|~RPP<#0 zkCsDYu|-Hf*M5e#ew?6IwNIoVw2ub#fYGwqT{BRP zr0S|;1#kYkRS$Nuem9-oOK^ydai!Md@fyhRg821oM{z8sLHbWgw*|oLspbqWavWIV%h2%xpq= z_ltV#FTJ_0)tec1$>R#hC~7dE@!nEK1ftv6bMvZOJx*t~bVi_HT1C^0$7^}}X&{+D zS8D(5l>dM2LH0M*-G8t2h8=7Yh-j6;o`eyY-_1$X(q)kf1H{>vL0F6_MI7 z!$wA(#f)0tq4ZW3z$<3GKw&Xb9y0C}NiIU4NKi0O;F2P=z^F{|d8t_wogbNLFNEDd zuRqa!P@C&1U2OTF%jBlHO%4Hefe_Z02u7uVzV|O@dL>v^iA3baPeypI_&dhNzxM#c zXh+3Ck9`_enhD1F__RLnqh8^QHa&^&7uM1b43}rK6$Yo3j>XpGk+48#4^)X0jC4C` zx^iok`;q5#9!hnBKdv0+~tY!bMu1>3fBnz%adtaX@-E%$zrE&T( zlVd0HRLRtb;X9SocKgkRu@v|m#CDaeoQ%de0lf7^@jlIY)7fnoccmlE`!Q$n`jHYx z;wf3pkmo2rn0ZNm2|ilde9Jz@{nib} zlqFqg0s8*`n9KMZ`R<=n;P!s#lRamyo#JS3SgLg)=e=|F+JERAkkNL2NXf8yTk$#l zKOCanal1+G`B*QC`{jS3M-9@9kA+b?i`kXijXs?hnQ@!$Jq+CG zyG_zL8iVMi&wygkR>oUEG6;)kqqGHHHMiJK*4?z`r1Hht8Zhi>U&q!H_=tuUxLKK& zWuK)(Bsm-M5?xacCcrHbK^D`D{9|(yHUw=?9~_@RYzUsg{4@EO^3_K(qV!UXxOdY| zOo2D(HocLraE^D)H9@<>=J~bh z=QijF4c>VC3uN?U@FF;dvUuf1!bm-28$|;Zg_3Dx4!M}^Y1tUdbA2fuyC@r}JN*GH z=J!Q9{?Ox@zx;d8|JQ8++(__2GnuRB(r$HsX^oce)eu=}mn$jDWrfUVWM_2vK#Jk607xk@7M}WB@@dK5n2B)U5AH;wa%F+ zc}bFhe~}^gN!cL9s6yRdomRUH{tKiHjxpw{si@JjJb+&h_PYc5SKTe<-z2tFq3As# z4R#&5SaxS~h>`C8LIY3y{eVbh#$N31?Q#v`tm<41r}sswF6L8#A3nPmeaf}q$ggo@ z?bc{zE_Zo-#h-0kW3xYV&dcT)gp2J74X8oz_~U74sBafvJk zZSNYTR36;2X|Jcxz|OIL1#Q11k)2?7_F@?Us;$Q*u!r&?jI$6=o3EdieWhN|+)cR# zGk;GMkLDSZcfLfAOjWWiymu1Hh>wc&ZjGn`{1}Qn4F4|-qPP-BT&2cIPZF5cPKA5p zr$m)hdP-LIK9wJp74)oZepEUP7NB)wzS5jsa=<3itkB}J0y9}~od(e!Zr|FCtIChHs1v;MIk87z*j$T<>KbRX9FlM5n|9f1qJ0#K*vKEcf zws({MhIF&VJkM5-(=#;t1PIF+h6cY(S~baLQ7#?@ZIWC znw`tYj#-YvEO}N*Tb>vOE#nxQ+g)pJjMx!td^@L`nbohM?uaqylPOzP)rrHBQfrqq zp;S0mEre#BrON)t4Xd$j!1u0jbsZt$=d57;Xwdcko7$MWO+%jps-g1)%s){~tuE(DG%9Co8I zEA?GrPuh-Bf^j zwL;^NxlG(9IZofBev;~d$blF8jscLH}5-cU6s4<>Q$U< zi($c%&v+a1hp0XH7n+J^I9p0R1ZKeCeP&0s&B&H`n}s!}INQnJc2cKAqn{XTx6K51 zZk-csUFW~`1lhrx1Vr0UcR}qe>`P7Ay`U?+Iec~QAOnIt$ zthQLa`Jwun{L(cx5f~+Gxy2ULmXAmbXRA+qP;Y#y4KaZJ&qtqqnu|=6$1sR zO!Ct>z{DS8nO2DqDRj;zS;7rG=JBDSPp zy1jCgS+~N<>6CM7u@IHhPndx0?S5_(87T^j&nAxP**G+?!j4ll7Det4B3@fH?KP9a zH4m9g;O7~8YhW_eT00oedFc)+jFV^xHZw#qGuCcqWqhxn)N`c|y2NuLc-49;(cKm@ z-HL;h_{g4B8MY-q;XVB%YmO>6jPfpRPBbCzK*|0K+=q70tVq@tq6+i9hf?5WEdY$S#$p7090(?}}x5E;E~Yi2`lt zyh0LqnIC$>SKUxwr%8t;XcpQPFO@8!dGDx!0e7q^?4oJSN+1w(57pM5#EuCfT|Aa_ z`8HHHyRrm2<>CVQl=p8dcrpC9mA(J2>i2*AKZiC#ZzViw%QT&z`inbfgV%lR2b)3n zM^tMCM;#m#xn&tsfWiU-(qHN}wIHtJ=KOWzwV>LPnH4LX_SOz#?67cEg=id5=m5gR zKZ|bvHKAh}Jn|*kk-+<>BzxmDSgSE0flUeg z0#F%50jLM(uY#{`>||bwV;YM#oR%(FydMHo8jj>&pzOo#e|P~P*li>%pqi5!Gp20O z%2aXX{7Ijb0w0{ts2QWr9q{Sh2Oj%0NBup0vNZ$BZGF7!oUDmvC9gB;r=E=LV@gkC z9UnHo$HvP!(b%F~^<@KS<&9?T*DTZB-h}ndW7Ts61rU|-luey*k5mg&nfZMeqq3%e zTL#|5R&!R}Xyw&X&eelV(-j}Q7aoN>uG7l01-tBKjQmq)h=Iyy3y;dSjQn0kt0*aY z0ZHETHB4;^xC4Ao##k$_sXA_e*>k95Q=O&TP3ULfIz34fwG$}zXeuBZEulnWaqcRR z=yI7f!{BZbtzw$M$Sh#^3gMW+g@QDCPu%Of^h${5hx$~W$}fBd?`20Zk5)~8tp9AB zKNQD}MMt#)w_REO;WTJ9=OwQ2Tt|{7`-(4pR`1KR`Frtc#~D^z#~*4KFP;`&H66K^ zwMq|~P3{g|3Cnr!LDrA;Jfl*^6((v9v349R34d7q$eMq}L&za0^bx{IYWWD?#LysJd8mv&Yf4JzH;cBt7Mp;2;Vos|~%?5bn`q|rZY zpV>+Fs-rJ^RUk6tEy*Q?t!60S5xQTlbj&j;ZXd>2x>MwGbZpk+*sa*ctu(W!Tsv?i zw-{OtUvRGkYT!!5mIkI*HYto(yacx7k7N0>;+}Vu)5>na_y#;#5Z-~VD_&r&`iiAO zwn*mG;TX?;R;b7;3w=%OY4k_-9o(dJW2y{LO?lK_r>CiX}-MEh52jYRoXJ*gPKRXFe4D#3XC<4(E z%OQMj2cE)HDwcOxg)b-A0epE42hb)xaA8p0YHdPpCp0Os)Ivhz` z9qA+(Y%Fbl5F9D>xY-YP_e$djn7U->(iV(KojCsZ0jbV{%faT>TUAE?6D3CR=Ryod zK^?x&#E>Zy2(j+drH|DOr@GgbGOvWhG=1>JH|&%e$|T+*w}MWsfPhHs&8ytbC!z^q z(gJP=-D=UCVtyADBQ)UWqctL8#tK1K3Q5D&Ng`ki-Q@()J0x$df+=X;Fz1GZFUjYd zW{)~ed$9BBo25nvairr^C?}R`RgXnQFX-_tN-SESma8+T$q}qSGQbcq#~`JBl3fPf!^ER>s@|ai|KAmA@F-#GpF0j zm}%ih8O%0w<@=82Mt-Z+tF@NI+yc+HCw4(rI66c*W2@AsgW7?;S~Zv{)}Jtx3Y^Bl^%NY}nHddsM_WhMA z<^pT@24nI&7shHBT0i0IAFHfx0vIU?TA;*aDRC{JH6cN1drHK)VjE`5Yi^Qw$u4db z^c0;GLe?n<``MG5hSfjg*MA>N;GYnl=ys#-xm=7#gm((}hBS*X@++5?R-Zq)e(z{L zDn)+bYtX1w+1JJGEBmCWiSu6itDd?Kyj`wq)!b#1*%~;grR$5eIaGL zpy0nQxFc&Q5jRY{c__1IXDFK~Uo<)&VQZ8YEE zt=$JL-J1#IDUF*M=VfE^P^{QE&DmFR110y%+(Bz;?|p?cf2YgxdpLnrZ2aoNbxmnm zlh5+tl@iYUg(P1g=ezkr)}ow95Og5eA#IC6jFnRrNfAbWoxe72U2Sp5JF+hm(|es$ z(Niwg;hxk)LY+`WH`3hBO^gR{<^LLcQc(i~1KLot=V#s|2ixpLsj+1X4vAnOhdA<+oE&?$s)5Ui^kh; z5$pE7?})?y`l)G;Ue()Z3*~JRbM5#L6q{{%PGFI5={VoDXk9{?)hy>KT~Ew2tcXXp zgX4?O2ohraH-W>yLMupntrZK@$BlHlKr$Y-si-HmOUF_XRR`Bwzs|Yi&=gMSHJe|G zT2e#w#OBJv+?2E@oLNVB2T6k2BTroBG@-;>hW#4)^D#e{-`)!TC%W6njs&?xwX=>0 z81>wnUfV0rBp6PiEs#X0^O@*i4x>)hFXqd)qxR1zjae0e?`K9y5auH#C##>j%%)1_ zYH_(iYqevja_FfT#SQzvf$VS@(_!Is6!CC z`lf-dE`J1XvUBmEhkM3DmpsWJ#`h}tN-0t-B#azh--Lhv@cyC0CLoAP+XBR&T4M0W zZ8(ObIbv^>8e51CanBk_2T4j9$*6`5uv=m zh3YYDhN|)qNgVfY{fzPbj2W^_4FznxRvzqDY7?vO3O(5y?nPZt19q3P(TFG#p(mAj zNJ}X`Y_d;Dw+KcJtz+uh9XWnzg6!@;WnyAFh*vcie^}op&`ZV|59u?sLE3y zTL0jUdcnfm14>qss{k2R4onYl_ruDN}gn4S;df4bot-!(8Q0+(Olk>5RB zzwO$eKEkvW=S5r4WW26}+>2+5X%8u!BP5-S%93J=lA%Er5_)^Ce@<}+{|=O<<*fV@-{A0=Q@ zQHOrvy0LXG;M!`U5F`D)36N5bwqsGi?Gm6!3AX@Jg!~Bw7gA1-HF2Dx%n0VsTt|v?nu`5DH2m4V(dt_fDlgelG$KqE4O03gKp<^5^MZd_Jw@8Aq=#11kzJ6|46F^9cw~K3;3qvJcJwO83mkQ^m z>(NlrIcfvGTe8%$eOnQ0^BO}76XY&qx_U!2&!hx+3n5r5E~PaBKoWjKNW@NPzjAF5}b#{mg~t!J`L>=+qjTZqry zQ+7qPwgr(#&g@(*tvqGEJ1&m+_>o`VT&Cks0tswU(}EqJyPiVUE(`2aRrd})$7#qO z<3uTGe0Qi*J@?P>3s6CQT_H%drBrnKSU>Rq#kbc^_~z(ULzKgPuQA5}z-_Vx5%5i% zz8Sd`Y=*~M{Ba`7>U~?C!vh(=^vYxn7SbmN+<^WL+u%70TqqSNVP@)~10XfUeu0X? z1*UB?$WE0rS=QNw!;3?dJJ{asydzOu0FizkT%%=USlN7p?yWV|y46qCLvTa506xxZ zC4RqR60Nl|a%X9$*w3!BrZi`%Lj=rhlL`D7J$xB$ zlwrX(29?`DOwd zI~Qo7EibLve$f3W!T%7qXpL%38Pir88yL8eu&b%a6qkmfGCNB~gprqlsM+|Ioc}FwQY;30Zi0R)3d)~bd z5+NsbupkwjO07=hU^!V-R>EA zi&W3K+^7rTBj6tUUi)lL_~Ld!_x;AT^JEq!rndXYRqAxx=ZG%;B%`S-Ex|Z*Up9YU z_NUJshatALyL2}f2jr#AT2llrnCjlSTgO+%HM%5X_Nr_ytxPf&G83+fSR=|DmOX!Hg>5i)Iycu9 zFN35wGp&zceRW2;H9sT4zbQm>hYPM5&?O+e=(iFA<>)RwtF&hv1!>`!YpeKfrIjr~ zCjl237_nPXuWYJD^8}kSfx9+mouIpl=0JS#w?3BMfN`>%XX9Vy8aE-M#S5%UPSeuo zJaTSwpIsKFg*VT&Hyb0zKjbw^G+Y?8dqQ0c^xQJv>S>=I+OX$&*b053XNgcVl)fzp zg!E#=mWLgCVm>s~(Y;Bm88NQ#L!92t0A2}b<0y&eXo^U0gmh^f zE2chY4A^}AG41HqVqkz*9tnS^-2H7he^L{)jlezg>oU)G#+}hlxN^Z&?JOp2sSp0h=?pS&IzN}aP4HB(pNVc0C_S&}pWdkdQZQ!g`m6hVAds-R&7u=%QYaRCW2V3P`V#@{R z_rHILQ&a%lSs|>~p{pJe7q?JNa2?Dq(AiY-{VV(N!7HJCO*{OBc>pIA`K!wx^3ub6nHcI%bq>BEDG5OBa-ASHKDgxH%^aW~ykpY5+vIG7?s@ zziLrd##>yU75Sm5nhiv^9>e+>F%t6R$0>}QrOP{#_<%NhZ;d6L(;MJ+XL!P(=Rf#cs>?qrEtQxaya zCmP23x(`{P>F&C5sDMZ@X!Y!3EBM%4X zWd^Wb)5lSLpgUbadG31C0}*|*hjEUs_CO=#Oe2)&!;HQ03q-qQetFm-UwOXxY+BT= z;?5$RN+8lEd{EuszrV=mK={Gg(Mnp4 zf|c%N-serd8X>XdPdqd$=sgPx8kR{ii52uc(Cak5(MfCfVM-w4M2Xm92_#N$;P$GM z;sITfE8i!(5l7MQ=cB#$bdQfV7<-!1za4bO&$ev+p~?Q_S=|s9{{Pi?9CFQ7}pbPt_+IztB_204mCV!^}`^RqZ$5R9y>2T6noEu=5cv2HZ6)iq( zMXYMhS?riHP`#Q988f7(Ge~^JO1|(-blLybDLluA$ax9REmi+YvO66X?6X2QakvsD z+nlJx@j7AB;U#I@jc;^Xj_^gF|NcRu>GdsR_cTQcs3UulZ}yEDk9{3uE6WdDxq5s2 zz=D>{_p!KnkIXKy*wqMuTd+J*Bhad3Tfo3-+{V>i)g4L)FHvsQ07WOuthA~^+fBt{Jn|^pZ&=-!{u)m>K-6q_{cQOym7%I~E_;|Fu?UJH=-A6lsLZ8w^v8SD$`oQ38^l7kff(pVw=ILy zks3Fi^^A9o$S4jd4f%YV`>}pPU!+a!=V2&WWr_%tgl~?; zMXXtgxi{3n^93MY{9K|Q#sI!R5X!z9n2#i@uKe_tpn*dktg21-|6Fjzz!H!185(xI1SG~3TwbGqIV~fn%K6iEGpGtz7;?^rp`RcxGQ}9C$3oI{7 z8q2;I9cf5~8p(_cJydqXLB=oL$VYO7UIP#dOzgT>5Qg=zp~?F@xcL;Om9jfa*dT`M6P)i`G`Q9VxQz)S&_8?idEmMe zMY&Q=>*qDC*NSp{ah(&nOf9A7yQ+xHOYbV!E<7$ymq5h9XDf{Xm#%y87bp$#)l+DE zxDZL+k64t7v^gK+jsf(Hs-G2(sGA5#M+NcqQ*}BBKmJHZhd6C19?nqDc|9nC_RWxQ zGC{`2IatkL?rw8ic+&_+qDjaLi_066AHS@8LC!_Uc!x?ZpY3A|qr1%VbW_~Q6cf!J zr$4pVltEDb7~+7;n1?AOx?Rb(DN!P*HQ!N7`!Lwa%cD=YH67~A-nwQh^5wYn^BVj8 zfX&EO^@lP3xBZEqmuj;fD2)+At_XC;B-VAOny+3Qdj)7OIgh8u&L14B+OsFdkIco^ zJlD$shR|9Co^x-uu`;JTn$#v|kHJSR@>HW(p=x&<@@0H4%C*#LoYes3IZl;|$^A#I zV=ySJBzGjq9?CLEy&PjVAR|a0UBGAAaIM;*t#^uU{P<`~agwp!V?o%ZE>7z04c@Pc zGiUFhTIv&o5$|FEYn^Tb;8%YLbZLa2Ry3&@Tpc{kHT(tA->G*YI{IzV$!DY{J*7%Fj2c%DE!EeOZI625$+%96^{fiU>i_Dg82kTNB=bM@PyT94Hh>xg3SKvS zep)JB3+O}Cbzxm?7ZhxOp&K7?EjvAnzQRTSAmt!r5bATpp#{{+tbp~~cw`IUD}n}w zl~{)nOsBRICJp*$H1xlKzT)Y<7l`F<47aBa*59aXQd-9LHb$fr^_8cqEF}Nb#WTfg z2|I|kN8=fM$B_RIaTEUm2*LJ4&E%&>-vlBidgCYI4k@8jSg++C2+ahm*5W^Thx<6e zKC{u&py$KK6x$%%{JPDJ_$ zZ}OW-@JfDs9jCJa5j>`l;Ox>=FbuDmaIzY7#u)Id3Bqo`lxVFAL}cCd_mHEPY5W!W z0C)vW?ZNY@a*V3f^waeusbsl`&o}?$@y)`V#tI~EbhVaKQ$g9U_4r=M6@ z5@}3UqQr_TV@Wk<7}cEswmvuBr5Zm!e~PELLSPAbg_+31h(~~X--ZU4;G%3p&X^ZF z>*6nv2PU!Ye#K62ibX>%bN+ApFy6;k=$chehLRmRGQ`BJM!pYG%Wx9g_KzWYQsF~0 zmEy_Dl6=3#s_JVlPmydlinkL4o#Yu~rJX{noYkxji@rk2@C+}jQ5)Vl>ePZV8#Z`u zL-@5WjI=8MM{KMHQl7n?Y8sY78GgP#|H_X6}8@-a*Do< zvV^j#wgoM2$tOCQzmLJEb*(B?-<{D={dTBV6B(J8NfK{pb+bfkxX&1`;qo@LC)L=| znQW*#y}FLer#N9%!|9Tgn?;}HQ}%vN=A)V#$Y|Z%)%W1YZ00L`rv1s%qSh!SJ$4vx z3-99W#Z+bh)%#l4pT7IzMn(#HvP0p-S$CWvFAROvR?4P1+}m ztM0jeomt5@viv(EmbrR7AZCvkD=KA*wmWZXn%q4pI%{-J$Su~<)|z`^{VgbrIpOVA z*>lQ#Hiv=*+5DkHPF6Vp6E~K5Qd77oSL|%bGy?2W=x@txzC44Mfqm7>cn4dw-@Z;M z9b6!cioO2XdyOdad2k92XmoEzx|9~jEGnj3^KK{0VC8-)sbVG0x6CcXdFPD`W;#Gx zmqKlc@)As#8hz%qG&?^Y0}7ZAkb#sq@uOEVM<=F^+f(`y6#ny`IY7y6!!+zn0SDwZ^F<7_wgVj@_fW2F%F(1>t~9#QHL_8hGO zki0YCFaO6FFu+y*|NE@^Wc8Jvfn3YDGhXu^YoGq2+d0`1b)g0o&fOR#`pr^+UiS;s z34gh#jt~!UFO=WhRndCQDf_mh?%~VtC0{@#fJu=qQO;ez2*?Xvn6JcW+X};2D^NVH zalBg0Fq`FHJb~B2b%fLQ7kcdegi*}eC(i6<1|;K8uQ`ZP)aKSF?+V?Kk$JStiTHYf z9uvg1is50MhK=g}Y-ShLW0J}nK^#jz5xFT(`%;ai6uf)|G6DN{Lz)C0|h9lh(rY_T}8g;jmH~ zGpluVZ)_4Fc*XI4ac(p*ta*q`e`gZX{l*FJ@m%w-XVu)_5DN=f=M{0KH`Z^?Lp+GO zT?`p*=E)5VsKvGA6=7LoG)=5s@A-t7dx19>nABJlMW+NJVK>ieTXczN_E77UU0r+- zND?zIAAF1pDpwoCVj;0BC}sarRTDHdO!=kOk6bV-^hS<(HkKMO2>2_sI`JgFopC!X zS84OA>a?VeBRA=-+EdRZ*QLvCVE+0q8V$~WqfRnSuXeh$b32tJG{3?#*8+C{gc3|A{zqGU+)2)JAnN&Alp~8TO81cip`rv>nh#U9m#J` z7qamlWuGmkPD)T__Xn@Byz)zn>BOdqA>#ZicRcSA)$-PwxZQ!XsnuD26L+HoJ+ebDjJ_pY+5WJ5PV{xg@lk-?krI&0 zkpglAFPQ+}ElfIq`cnIYWuk+CH#;g|c@FTH0b9_4TYij5Qb2@p;x7_oGeoDNUL?J> zPtT{PSqsol_9?QsboOhV;4Fn&`_9`iB^XB#nu&yB=Yrk=c?jiVV9gT>_;mdO0Y+Ww ze{h{tMFE2HHqpxpO5Nn=8m_hciP4VtatA{4-?cqr3t(WIQ!^GV|0c$a0Eg{+YAwSh zbn6Swbb^>+d8L!+juI;LJ{=p5@Xi$HQvxYiSd{Di-p{?#0NDoTX zAzIT%<1{;Qt#V{UJSZ=^gCe%&C`KQ#Uy8cC0@ z8L9g%UPqqhN1p&=Y`Ll7F5aFBKs@^=o+NACy+-x28nYZ-OY(}{q|^xVpck8cWb#}D z)1VuU+W(HR_^YQxPu&s238=iTxY1u(#Ccp*_M7IOL5^pC87PnpYg9%q6RiSMuHaaH8!Vc9hi+l8377w zRUiB|>31c@I9bMjpbz!0(%g5ow!8z2ZQ_#g-1qu^|H3iqV#HttU82TOMctRICs`la z33Hgy%ST|faiJ8R-*jlSMJGty0m2%JHvl=~{1nI#d&uQcu?DPEZDL#L@ zIQh@lj)0p_DJ+cbt{!HnVD7+5zFT34fW}m&Z;ASy&6|kjJL(BqA6Qt-@Fv1PPL4my z6`cHSy8sqeBAQGwlD=PJ7NMf$Y@_7v}&=mSkn)nGPAvq0Xq`*W{T z;^dO<*zlig98qrimT%$MNxh7VprR}~Q1#Q7Tm=*EVJM^IBNdrBPYQZ=_*fs7zs#nx z`1+nL48Lc?0(ATP<}*Q*?nrRa51TwHN9j6sj8F1Kt$oL2`H{0-cnWPJ?D)d!B6&g` zD)F{z!qB4ns>!21p_am5GWOLw8WXza=;4y-VZr()p7mS`3Jca}Sz#tey*S(oqMbh-TPI|gorpWWmZi4++{ zOqvB)5@Tw4YI3kbjc^wv9k`qPgKo7Lw`Y>Td09W#(8~G1#h?N>4YQ-K@EE|2ADeE9 zI1t1QyVlR&52V{Ph=p|Xv4khmd9+DhR_$FxW7lSvl2esKOu`9yhj!ULAk z7l0ji&;LDAZ?4Z*Pw8p6^g-$2ektH<^$V0Z9>B=c7~elf<6`;EuHluh0Xy*Yt#Y17 z(4wS%feryRyA-M6RL`G*Bgq-4EAoXF^!IgW`#<2tmpOLp6O4+!>9D#oCeI4p=q}I^ zNU*1*qPGRRV;L>#9K5uXOWEEo{G^t|mN3fm@qL;}S@PO!W+$!pQ0R|SD|SU1-|}5c z=!SAcqD7X5#+Gju*>XfJWV5#!5goDb;@)_(1%qC@;(RMT6McBGN@FGpUHAbEXp81B zmNH4dqB*;&Bd9mEH*TmwQ044Uo#N0L)SIGi+4I;i3ze-hW#g3Ug}o1%nnEtfU*~(= zw+~r2Q|o;B{&8q1YA)hwKbYAjSP5md>e0_twEI9Y0YoqGvu;uLQD#d`y0PX@;*TZ1 z@qKGVKzg?~saxU@z(#GiDY5Q50=26-%o4nA;IL_9w@{_ej`wuE>DcyY4)G+KaHBfK zd-!mu4yC{32dF80djJkDGj7q`m~d7bUU+%^G5*O5+(8gdIEzarJH!s#Wo^j0T3NsP z;RAbR|4O}Rhjc-eu#cp&PTKTYVJzW)hsXG9b}KLhH(PGK9xH3Jtav1dt>r-qiNUO0JMrM)obNld2U}Z1^0u0(l%{+dkLS{N@P!`#TbHQ3Vl-jSyzY1 zQN`m^(DP@MPj0N6EXUZVOe0~wPKRVmGX{fR#XBF;vv`#kcOa2auFw#6D#J-x?902) z=^kg#$dkt+k6%xmv^*M^omL8h^Ui_j#ukk&7!KYPp=YA_x1SC6zL{3~r(Dw(+#FY2 zgFPiUnyp(G*n~GK=Pd6m=vMF9M=m$CpQQl}ZaoQ>11jakQ?@UCn2J1v%C=iE%@yfx+va4i|^vfDF;_4SRGzk^s1dT*;9YU>N2Tyxxd^?&d|6+O1gcr84C#w|*! zWo|{D$=!|{Y~)+MJ8|_6J86>lGn&8$QR$Xe+LDA;o#t4$t$;WCUHaAL|6=dG!=l=< zebG`tlq`aXM9DelC?HutKqxXwh60M5p$LLx1Oy~Y&XnW~k~1h#iX=JboXWfGKK)*A zd++XZUhi}6_wIXt@YSkS^-*)JHN%)={6bsGasO55Dx0&YB(5I?UZZgiPlx3 zHWckTwh0TED%dRImLnxQ4|}>DP%g>>5w82UdbU23rUAYza3sZfU-$D*&D9m>vI{^V zU=VfUvLtC{TA`%LC5k8NgP0_qV=X6{lCuHHeplEcdz2x;w81DVFKzW>tx)FLc&)Lj zf_|GDh)jSfz^B%_F(%{PU9gDq>0|y|g`1^`nefD@8P|Qm>;&dZg zse-3>d)P9+P3`b|U_S+C$k9GJOX&?R@8bM73M}#U5HBDl`? zj|qQ?pB+w0K7-GAeCx9pLB*HiMrLPnJYJ?waK-8OQK~ z#fFJczBn2ab*kHh!OJM&zTW%dOwNGAh=mIRvoU-6RZzFFqB7vZ0Oa*MoNQh%$iBx1SWYiYlnY)8X&&)Ae?LM1Ul_>)F3 zb?j48x`OP|*rj1oH}%$2Rm%yF^nkQ|St~5NcK2qjIRE@MZbmYYIK7lEomFpOx_diU&RRVVY}eD9v3aDw>xq>D0xcg5I>Pro z+u?McMz7AnC z2^V=+7pNu~+3v90g~J&=k^EZ~`C0~YRnlpcD-k=ronzpr}Cer(p9(9|>vyf|R z3S-7~ju{hw=07Kf2eR%s;DxB(g}XcIVV;=Nvtm=QI<%&lFKvmNF5QpWl;ykRX=3#O zj}p)i5D;m+iBh{P2Z$F) zns-XYmYPSiZ|>(Tq7V*P+!Izap?XG4ANcy+050lUQscR7M)mM^ldS&8<=!D&X*h8s zy)wjQDZQaaa82ORu>v#Gdqxc;jrs|gqa-NHP~nprK)N%J^b$X}H!pRQZAWxfl|mAL zzNF&6>#54}LdHNYE*KljlF7H58b=7^z=aFR_7d1ni*rhNv2oAQ@j>ysei%;z9HpSg zB79(#JB75A+b=Bu-wW_!iFP;w3NJOt6kF!Pg1n$C#dABwuQW=e1zlnx>^*?` z$jruXLY6Udsdp@8o zVo0)~zBPwAc^t+c!sd)Ewm3rf{$1#yOdlrRy)L<-TSW%svkza}=v*3@f(3w+Qytk7l6RDMRfb+4JilbHY zju)N;Wq`i-A9m9}>b`$F4`}hpo*6J6bZ~jaH0NRWQQHkZ(3+;|L3d^+C?v_?tlj)+ zB=rv&ir-b4`ajLfgFw~b^?{Uz80vvbz>>C_;Wp#_$_VEr{2t?w$SX_0aQbPa6eXL4 z_4Ai^SU{i+FTz8J0dI{;{2ZBuyDAPL{}iI{N>-v?p2jh}+vjimE0G(MwEWo+U zZL*7x%aOHXqP~#uDwC0QV)o?^P*wAgn(T8f$C#%8oc0e?o0#977v;{*xye9oNEMu$ z2)j!1?oP;ZDdExgeGAX&$TtZt^^kL;C!7QfA77g;VGDO95&3$7^Lp_r!ZgDTsl<-V zd8#XPgOhzbE++5Oxg3&;V;*V3K9flTZpSSh?8MWGt1!zg$v&!3HNNWV5KVN9b~`g| zuBp9nv<*Z4Ykhs_f=Xng;m7quY0K<$zwcu<1NVz%Xtb}_byy=l3^=evM<#+&vsS3r zalaiYq${6`vE{iXiK@Iv+r@hmlYhHS%EZo9vO9cVwiZM_|0f6v{ZwW9cmB|sJ+I)Q z9{h2K-g(l^N2dp?7wQOi&z7c&p#)nG_o#4NK|s`EqU9@+`rKd>8b1eNz7gZwN}QP< zD2QzAh6tPI^@cC!i~hcy>VMAY^Z%--{w-#QuCxBcc$)Zv z;WGw-@e1Lkx}^j&#; zl;b6A(PTPuE9_jDZ@iaK6IePWC|Sb3km6RX|DL4CzkRM$Q{`AZ)CCC^N7S=4`|K@T z(HvZOdUKynxta?iK6K##4!2(RSE;gXM2FYa@kt{Wdz0p=P|->+XTf;4gvjJ_yIYih zNYMdh)(t;6pOn}i9Mi0Cn(23Ba`$J3$d~G?3t+X;7=3*A`C*q!HFxDwXv29~elMjE zI1pwZ&VQPgS$$}Gsug3(9PXe+y5{Gr!s}&AfqSi;b0lye7(|45Z51j8H*9eHa8vHZ zcmvAPl+T?d=;8J~%mWfH))AA>3 zs=G8M*5sX99HOo0O%C<*;a=d9jmcA@^!-S-RBwWJidGX~{QD35bFhl+0&8h(F%ma# zALF~JbRntsyMvBriLSWi#xpsY97sGY~9w2j*$-8r119% zv30BjsyfO4)p7P`exDQ`-m+}GPk$2xpt(k!SAIgY07|`V^_ME1)x~z5io^Feqo*Ng z$-883k(-hnvI7Juz7qdm*y1q{Ttg3TJJr{Xc(GAg8na>#%i$0kNoFfjefO8g z2!AT}8XW#QxMQ?k-I=L@#?h$E$aY@ga+ca1d~(Cz?%~!n!G?uLbpNB=95{MN zdF&Zl%J&C>=(n`eZc)D(L$YLX8sb>+yY}6sTD(EFW0ndcFe+R9may>y{3W_(0dEHw z*UjD@UDBCaw1Vi$=tWE%z5NT7yUFEVl^DLUfrO%7@$8{=(^?i&uAVpD?Z&l3fE^6} zJ+{O7-5;R0EN)>PLZ>Z@P~5G4%ghbedg|vks*PK-bJk{p{2UfCBN*2WTo@<~s3kT! zKoQ!zGH{Qz5?h&z<4Fiz8568&^U@F{jC1jwT*C zdP>_)XkNq5Q+E>&ObPwZqa+&2)a3ae;-0Rn+IM{?G-FYDr1b&IUzy)#_gWCX(M&Ts zxEp0>?kgD4-gO{i%i&Tlfb|xYl!F*6+fG6Z_l0+Qe~aRkU~c(X){78#E2LhA#0)`n zd+s&`Yx@&QQzZ{AWrie6BV*@T=oxu4diW+?R+vAe{^!*OiN$6%4!2U^(R&uz(0AUZ zjhFm1ZDsYDb>Pi{=1UAzHNK~)_@$ryR^sJ`bHNh}2RsKn;|j_f>5hS&U%S*b@)ZtX zlXC!~#MUYBUo3V1=^^OQLeS#;T?t6T!cW0*ML>Eft;Hmc%?nV^!~ya{w!8KX0s^g@ zg{38Vh|Nol-Q;}fHEjdQ)1&U%((_q`Pd$|3{_f~Jx2!_fkmQ?0IxW6lyaiVH7n3zf zrd1t>Hki$_A=;53kV)+4M?enn*KIjfL!JN71f-}p(;tCqNgEHwh|Ua;H*y1kYGdLI*(^qDthdKk`s=ZS9#3U!yxxd$G-huEQaEov zf8Vt7zo`a~`n$Du4HGTp0^5OYHdL-R2AzPe2ojZj6^8j!3nKS71$Q7X`OB8~_}2Iz zB!d3Bfzkta8a|$NqgEK9A3cWdB+sg-zg6^~+>xB;k}G|=$0VzPl7izH9lAEZs1kY% zafe=;?gOA$g|7>@avLhfKDKa_y1PVhOg~+YP64S{flhRoFfseRCA;PhyG+t17J1_t zjRnCn#8|j?5`c}8DO`3T9czAbM0&0AE-HsD^V;)me1@}EJ2Ts1wc*%PA}I2eao>_v z!Jeexj(H+I%!@|;tmpee9Z@jP2MbHMDH6n_*?~{ZY>8FBz}d(|F7IafKU(nTiDVd~ zq5=`V!~%iVnf*rqu{GlCvDcsW=TEbA4OIxo_olM~hHK|^wE6BI?wYYy+VQ`#W6m0N zzThRnJVJXDy%}%FQq3(#@OHXRW+B>N=<)THU_o%Frb9woHCTsjhd$@}2De|pzm%Co ze@Js#m(8MqemwiQIeDk7LE3EHqq7>G)tN8#VaZg?Nc;{Rg}d&TfTW(N4v*B+NJLOr z2FW+X_wL)gBWjhF_W{{NmiKG)fSTDU>nll^l?)%0Bq63&kUX(O6xMMWnaCChfo9wksf{f~N7NuD z#2KSC5?$X(8Plf;c}@(o7iWLmafNr1m3Z!DR@ayv_8r_$%Du21M>6c#LRvhfZETOr z#=q)8uW88H0JI+c4-gYT`wFz!0^}=d#?n>39w%1m_C%Ycs=W*`(xfLg+qIJ;AIC-4 z;HmV93A;kL7;^Lb7H4Pew#ZB8Y>t-Cmy|+P2prpKxVvJcsop!;VU$Pv-xZbiz-?zI z(jz(d;x8UJYIwHy+LL)@`CfSI6Ol$gc~e{MXwn)6{p*(Y%Z|shVZ*mYR0v8?zw}0Y zmCM7*BkMjX0`JS)TT3_2o7YE-K-^E?9-7JElI)1^O=?(^<8Zj~PQ@=3n%0IXE+7<7 zF32uqOu>wuIxy@V+RMw5N$>h}1yut(F@|o(T#K+Q3)dugu(Pl`osdRxzSxivlnu%S zMr3HdFrNP!+|yG~i5vVl45H%_y`*w)-p%Stl`THf)~KN-Zp`-Z`rB~diUcJGack>R z`iQ!cJ_VdEyR=&LPUs;n1z@)r6~J0$qj0s*b#uGRC@ddl+a~gDz>gZn^5k^`bJsoM zwH#*yj02)@XKzg{5tZ)%SH3tNf5Z6(jy-q5&v35{L`_*Xtdc;s5x(}VZ-uO=@K7I*z;lk(RMHe~* z3c`FlHk{sa+g9D|rvlH-ziW=pDP4g|pjLvhH!cU5>&Mts>TjQhI zCtdQrlpu7Pq`tSE;M0n><|q3%Ly1tHHiBC1rP`;1ZF|#w%Lgg5 z#feHoWFiD*I93|dJ@cAVT1>C^N(T3j8T#%AggPY1AmT3_c8Kykt9K*k5_t+xxfGdE zBM2%u*Fnok-sb22t6ihgjR|0Z(d+Wq>+l~SYOlN}5(2A@>#|7-!t>Q@ampe`stRY` z{1=Zn-HJ3DwMr{nFb(uJVzB$|COMxCG$k6-(~vhVmhuPNLY?mNQs8W;S#kB!C(ssG zuvT=22hBEw2Z4;|d6nno56Gh+1dr)*Ub`FL)d<2|*VZ;BBN~tzLYD-ORC+z}w#nDh zSA?2k$Mxy=6E3UXimGI6k9kTG@_qTx4K7n`k$VTXbCB`qO6Nsb zs5fc#< zD|HI3kgZUCYv&zbj&>CE9mlJ@fbFF%l-}ZMwT>MVSVpA}YHn%)^QTz-IQ{3(>^=l5 zhZE?idhnMlChOGXmUs|>iAZsnQlE?R5)l$xtiXBHA)3eIrKe)ynYB>=OW(SE!rZh@ zuN&fIMQ6};0Uo(LeTJED+j1mV$E#QQyO3iK#|XKCZu5f66{hGSG&K@l=OZ9aE&ku( zGy+6IWq_JZMVH|zS`z@?!v$ca09my1s>JZK811OjdE?gfAlK^L-7~a#>W;oF8ecBC z;D;@C*a9f0@1k?V=E}!Ux4~&bpDUJ{D7Xm;dNL%?DX*n?05+Z zgeWb57vHj81)$hE0kG=xNIZU-SN=s5_cKbo-B8VY7u+-ZAzREvY!$<+uG?!3zK_&o zBGQgneqNe6BeO7BXI(ma4x0aPP4{oAoZvE@1DI`|C1kfKKcGAX&ey*XH%;CV_KJvD zwcV&8U7IHCzSh{+n2b0sp~4o|Ms_ug@(MW1u{HOxane{V$h3WSe&yb?^hmH69dI5h zF`9tj5?{-W@#<5(dI(Vz<-oa#S7 z&%?uwD9-jwO}xy<(B^|HSs!!dSjU~n@830X!A3=)_b-0DMQIZ7c-hx(mM9cS>qfn> zGn*R%w+hQ0V2zPuotUr$y`?2W!}ArB%tL^AmK@n}MSnUzu~*~XaJFntBGAQoIq?G&fK^?OEP<4;7d4+T02CbfsOAx=AHX)*e1fEO!IBY>d?KVBf0Ts)O(C zt&Ta%FKMN%O252?F026#B&M0{GAbi5CqC>I&MCLj=eMD?5vM-dwq<&=z)viFL|Yyc zA3s|eSGjvhS`*4MMRj148*45eo9FhfXR^$1$moS$!A_H1-iARSmOLsW0RukIi^4(< z5E+`uW)l5$qim*Ci^U;N~G;2MaxJqeIxAbUODru^eR0(FOoT5Gj*>Y()j(afJ zx#$fn9m+eb-nNs&v#Q8a`(`45%O17_y|M=e7+t~jQ{+!V{b=j_w|SE**rj>GY116| zvTA6j@py=Ho^WKtgZ`VBY{_Pe#gTYrW7Mp5cfv}BLEW0*utaFy;xLW@L?|*Amb*DW zmEZwOE<+B`4S%?ub`RyFSQ8{SjVgWO{j}zCbpZl7oS@GRS$7`kRJ~lb5@a)wCaK(k znf4bdoUVSI!kdD%IiB{20a(Dh!&4%iM~&&?0R48_5%8fgt0z;&3`=HwQrX}snEWX9 zz8+lUI|p@i_mW=AH8t{QfH?gF#K`dj6k!1|Agik#qZ#8-($S|wnrN*$2hzz)uGwld zgh#)F2!*EP5e*Zr=Ri{@m(!EDiVGC74J-YBfUry<8wd0hz2ZEY`z&D)wvvn1f3A`TO4w>iI5Kc*=3EpG=<7SW}YL3X<4)drF?W16n9H8}%&_BD&s-x`Vt) zigFQ^F7aP`WJHFU#2XjnK{58RhMut+8XR`JajDmp;z~WtOjF*XdxU*^vleE^F&JPA z4X8%gwp?ludXxYemPX)a+?)5~70145*ru>K1c=~pezRURm4-UU)DN3NlNttz+kaL{ zhZKJ8?xhX{ey7c0$A`_`;z-e!9ptoE=~c4?Bc1F&P)r#AUT=5|r2WLWBlMb! zlvwLpNQ8Z|yreQ(OQg^fc}^$!M%=5<_;#x0k~3P@B}nnycq2vV^9@=O7AfxQZMxhF zz*L2jVtgk@4Rn7!I-298)0Ke}n=@-TYkSC=#l@j#;wyx8Cdy3x^1wMQ-66iMU%jF3 zTTDM;PTBkEBJ(@X?S#m0(KUl{MHE9LXq`u;^RhfjE_EFj?W#B=|2a)36J2gS;KS)+xI zYSdVI(^!owmI9W}vEr+*PDMLSXGoeborx4a6_>nAO+F4*m@MYiS63}7d)H4J)XH6o zVQVwbAlicf*7&WCwoS8-^eo3@ufb10>kIf?^?1_ zL=mp#=BVhoqbykl#|ZO3~k598okR`t1A#>P+L6|w!z^$2FBn_qZFSFZg4O@<~-hHf7>0O~53N-~!# z8U`=RtGON+A@sHr&NVF6V~h5TJ}lr@GSnkCe6zZnx~_-8V}1V4HdPu+3S4JGq3UL4^P+$Hg)HBy7crmK1%pbiWE=;8NoR%HJlRde;=ZLka4G;AQ|V@*H? zD*!dya{zrrQ_%HISiu^aF+0e8fJ}A_!5XSVw${;G!|GF#ghk23SHV$^_ z%D}iFsUUbe)IHOxk|3~`a)PAj>-=+Sw1nRcIQ$h2L&zEBCyn*`p`Lg>!Wn#D+ejkQ zMexJPee{-4AM8~v84_8i4R`DKWKSnyE3|KWhAtS#pu1|!eyJ!v#B0Q(G#(cA^16&I z@Jp@NMhYR({W^~I^{R7fG+~aD`*j0gLH=-_^U4L6CyfaMweg6%ufqo77qVzLrPUn7 z)O)*|nbyLp=tjKw^9`f}gq}U4CZ47C%I6Te?y%RJ2rxnzMpQ;Hn_r5?`*)I%nQ&7& zrX_y&ao(@B!i(cq^JYJ1ZW;8UU;Xx+S8yawCzahcp}gT*&j&07lvYkX7FAA7(@4S` zibSgh%mj^SOl;LRg^zO@CyQB~x3I~USz+$A*_Hui8j7~ir!MbARhGho)1I9d+2gbC zntqLu#}SV(d)0V@>7x+~%hui?7vDE=QT=53_#!7>mYt?#IZ``{<_03Skl&Z3lfAf{ za8o}AA9vx6#CcO#xf>f0V_=1&(?Zu^71>}o$?7CW<@vrg&GJJw-|1Ik+BjdDK-F!r z_w#?;(BcA^*^84R%sLCkrjqb@V`~ z^xK4yvbB`ztiOA9#%M1x$|+~FlL>6pLGl<`-@KWlTSi!pqbSa~gc-i^M0kz#Qzyh| z9?G(G$M{siSz=l`72Q(B?H@+bL|5a^TfXNPf7+6&-ma#MAAwkL@MbrsU9*XX-jfh= zh?vnMO_$kWWEZw>NNT2-+&<|@-|iRTf4HLQ2?5X`9dbQ0d1I~{>g|P6d{y?jGBLy@ z3-Z}s*uH|4Y>p|{*~c4NoIM9#FKZ*fc=A~^F?<3u6&!l$8PL9*{YO{&%{j%NE~sRKZepDo41^&fe^)w6y|r-6zk5KWVN5 z5Mo4ULM~A-qJCG)5-oRJ07PIHKVtu7m2t(u!?vdt6bXbpp|JXM?~-};%ULdp9W9Bg z64oNj;PIxHjS7#j@b9&vN00v&3S%g|8LyIk4)4&hZV02ju8D478|D*^=MF--%E*pk zo$)uaeaj>k3}-wa&i0N!QaRwEUKZv7BJeZf;i>u9Ypw=50>{&c0edpHi(SG+I|tP{ zA$a`HO&WR#hYFEzOlU(?W3w)jNaSr-ZFAjMC)FUL8YArl;+p}(M)BvLB+f@g&}l04 z&NFr2OtYVMd_BC|$0YLr$c}Zd>8Nfv)`Xdj+dk&Lty5A}&M2I~q9ZB8IfRF!qCB3S zZz;5sR^;rwP?Re8q}kLn7;5ZT_8Fm%k(Q12{h+(a>$y3);y1eZ%|z8 zdK^U&Bdt^F+@$T^e))+s`yB+fqTIp5bQfAhjS1UK6-ETt3ptWoe(1z3IKn3CUPKVI zgeVbH8%qo+RHIHco<|rWv0uQ73xn)e6!M|tx!i+wIY~whUcJO&+{V@NA{wG3GPUIg zhQU>W2Gr2}o8&$XdWQ@9IGgrIq-h*Vf{VuNwy|GJMCN&%uj0BzOw3O{6nK{FxHr2& zHb<=2#Mmq!S}u@5UvgF^lzz&0C#{KZwl=B4EH$%WA4DZ=`Iy(0Iu z3=|OY*`GU{!g@j_iU$&f=cab`yxc8j5ylQtkW;OeHjcR^dTU4bBz&vpZEjuDdY>V! z#q^=M=yOFk9mV9i@SH=2<5)KVmMTh0DJw>vjOwi zJYMYY^r0GCaf@iqI)yU6OzmIk(x}0D<>1!J3w|-;JT0oZxz!F9a**=sJHwmnS~K>m ztS1ze!)#rO@>nm>B+OwrVl~D#bjotRaS9n z+!(i;sLxr}46D5E`1B35cT`3SHeZ`2;gRSb8!P^smy5%Sqb7$Z*b1*9s2|Y#Xdb%Txk1@@W0bNZ zruib}a&*2W7QWJph)Nj+8eKfNf)% z0?15l1HOz8;t}>wRv(OkEAs>Rh`y1d%BF&`85YfiRsfCTN^fD}RBFf%d zI|ynBk^`Ra*H8DC&LR3qmk7Sc53|^lTZEg3U1`EiG(|N4e}L>|sJULLkyL$pY=y*)|krfGIAr({NVxL}q^M!K9Z zlwndpdYC@mICe|Eczu$nnR$OV??DIa@m}RcVF%z%$5B*ij2=BCS8~Z5w#B{XEHnj= z)x3@p-*OhsDjLtN9vO6)-sNbN%`w!|*Rc|!Io-E%DLn9V2+WM<>ryT2S$Vna;W^^w zDBn`k&@fij5axa&oFms$Em8(;RFerCp>pm4_O2}^AKL+My~vck2DaR0X=Ip>i^=WCw2%}o{vVIX*4U< zz~|Zo>Z9#oeMtrp)uUHaJ>3})rPsx?`ubDNO)y*5C5!PG5@*nNHizzb;~1gEnvaV5 zC=$|Uhug)h&1S8_szkBf^nN;oP5Xc@4PdU}LbCPY+q#ZZv+ecHmGq1QW5ml7lZd#m z`+iNT4&UO?ZSmvu`pPq_n`YyCEzemSZ&ajEB%7hZkz^KdSJQHNKw_tHK5<iYwXJui8Xz1 zLIF3*#jL#;-Pv8y^1BPCvFc!;Nij(=NkRa|nlQkf*3zg2aHlPG%9sC(J8k?yg-4m( z`!Ya|$QK>-TRdZ7eRVpdXaihtn?aOn>W(=*OupE#Cbgt@uCuAu`{6^%DpFPRH)U5 zpr_II(6P8=DgEzhqG<&zAa;T>7Lq%v4zT1g6xm~Kd~&3lmR#-~;YUhPH263?VtmJF zbuO|-6Hl&Oh2|!f+Vb5;cGXLwy`pV!k)!W;u7te;AoCt>N(15BU^>-bRoPzX&$e2W zC5POuETswou^jezP%*5`ECs%h-^Lc`>^4qrze*MKjtH-T64d)!}xb zUyQscnumB>6y~rE%^cPE&Wiu3lLbpgEWMxdpxKXhr%Be4QDtKXJUN)m9MlXS-!%%-o(A8@G;dM&rV-s_wse1W`2q6xiEZ~1 z+lTFF#qBjEK+oCtC4iQXq#OJzJ-Pp`&k6gke<3&lJtpruEFt~$@p#MelKubeKKp-% zqyzw2yRSbj;SS*~S>{x+5#n*^WV$1NKM3QK$WPLV0qqh6hQYbAye(y<=P(Wfmv?@x zYnk#EpYobSbcoC%5#4iZF7Y~5J-2N%QfF~<4G*#Wqa)JtAY;WTO@pYyV=+5_t+gP* zkI^)t(m0WC2u-Oe{e?okJ)%N=NG$79Vhz^KSc$-K12IaZ(%(~J6cb-z74ABwa}at3 z_7kG1+%Gt%=tSsJu=S1V2RzYKyr(&5)-$9-{DCTe*`E7B>*wC-1Gi?)ZB$Mk4du1Lpkf?^t<2`GYEuZ_a<{091D>CsVK zp$pI;gl!@XfBiz3&iJA|jida1IZ8_ZAO=jXtZ?%I+H~4at#YaxuLT?lwe!F;hMreZ zhA3@reLF(PWv>&M!;La^>l{JTfl0KU3hAj2=_{J67j{uzsqv&_J#W#uQnO33+~;Ui zY$~e(I3J0wfDr)a(^?Fr`3dJkdm#W|(r@QvHjkqCX8Gl9Z?J>M(?70E*> zf;WLt=R)rLXNGxz8xQU=^jz*3-gJ{*qK7=oOFWIJX%e=%(j3YY=C@D50XBwrkz1W& z0zoP<;IW0eXST$d5E2t@&~DCRoRkwCKiSLQF^R}8-TBy9l{9hq2=G^bENB#m0{E+= zX_4Qhd?!(0@e!A60!jF!4tGIGft7r=+@z|u+OziMS`>qOzR{zlSF|9^Dsbvd1YqH1 zY)Tnvh<#HRJiBU{@TJlCTjBs~L->C7t4R4C@-u07x0Gb`5Ux-xi+`tzrOVCCFwdFAw9J#!SCw-EUfcXUO<2tD!UH{EDW*AlG?uY z=hwSCY!b1q&dA~1BN?8Re90f5{DSmdX1!3)flrow_PMcIOL+~^gXuOs`VSBM#>>6$ z=#m}yO*Dl+)Ruhn-a>-zxaInx=ah>|P`i>8HfnNti(ymJF&KLx6{#J=hRiCb(9nOqqHg>m(p??vP%`B}c-iTmb&ET1&O>!sL zv3vx_`0PESIq_EDi-@83N8W+M4S=%w_&wdCtwxI zt0RYiy|x2?k$)bMfLgLc;BHd+*Qu!j;hUZvhEgg+vO$7-1%n@FyBE5rBcxZuNx9L$ zhZPN$J%U@q3Sr$IrB%?yrrX`}BXg(R&Fh)7#bWL+BxHu4Hbj@Z(fA4ya(o_lRCUok z(I-UA%Pya8GMHB7@ZQrlxB*XrA)fTJqV=gOg#A`x42{SywN+o71W#b)0+E{9k)eyqW2{sP%QYSwr~JG+(;HKQZDsxb9R zOrvLrJ9Zn}kzzj`HkP+IfBg_BpJ~Lg@ahyLVXSvW;#avHse=uvD>Li%@Xph(9-goQ z#S7j+DJLTpzP8api5Qs?2f-zQW2z`zxAZHklbyeOEN*S4!iOB~lk&gw#ld||;P_5d zk;d|A{1>qT-Ki^G&lc*8%#23&tjfe4Bkj`Lv82{lDEzNf1jtW1ETr_(7e!j8T{ZW} zs#8~4n`)^93}iop(zJG@;sv%oXU5@L5-V(I>oL4;Dy9`Pd9QIjHTPAoy3E$839NU( zGOZw$!=ifMzuhwWo2SV(U{&h3Ab!6;)6MXyV#LE$(MQv55&6V;x2TuW*@dfjjgRcl z1Ck~L1eHmTXHO5ui>jJSTxOG{ZZW;T*bv^XXVqy?*wd`k)M<&{AOI7&+luHf>MJTY zSptQF<8iq(hGovM#93Fq#cX^)y;)#6t%}~Cc>81+-y)hgS!FcGcbZtQPL;+p5rte1 zedcCU1>1rj=1zk+bg6DS(a~LHd^KiS=jhqX$ZH!pF>$q7Su?N=VCI$uB!36Fa{J#o zS7#WMe#mA3`v%ecsrlRalTh-T$c*8)Vfuf7UVaav{EN_Vec^uz{`V6S_&@9l^y_`A zGo%2VnPF{$g*SWKH&)YU`Xa-Xw&h#XP(;nK;8acc^3S`Yb~EY6D~xQJGSGxqAU$qk zUe+BRT?=2QHq>=(e_ulVdB5&`rbk^G@fBHXMeGIJ8g|r7K7Q~?+msWB{cU;>o6kB0QB}zfUp$4yH0Nw(Pet=S$hC3kl*+A$4qAm*cJm{|1W3d4& zL`RL|lS*e3aZ6Y8!y#E|^i}GQ=o?@!A~PiM#GVtRCQ`Nq{Y9vAIA!!XelEJH0JUf` zqA6U1LGY#RLx^cVTA;+%3Z-6fxDR5=rihbW(Rb|sUgMN@$37C|IQ6asqFlMhb!Q1(;+>mR@ zFHgql2gubWJHgOXW?mn9Y8~TaF5@NZX_~ij`c^WrYGctwpHKKblofAh=J4hVZvSvW zw^hqR%Y7Jx^I{^T+z?80lEEm6>d=eF@?as8GoMebV@0O>RhKjDbs;1}8I##>ksIhGz4=>FU3p8?}1eT6J(I zE=Shd6fzz!8|$WuA%jli+H3mg8WK2WtAEY8)PKHc%zAUAB{j+g!oM}2tEiclP(Y^A zU7wpnqb>o-wG58V?yrodCSn5@E>k`d5Fon)VOOq+zGnTdOH6Cbmf=%#l!Da*av!!$ zoF0k8P>3k4I)k1MBJW}1N(y-DLw1jRxMBXGqFf_r)s;baKi|V=Vj%Z5s>3~3Y1vdi zfFdzrS4=z1VE~lMwM+aMh!a<+C=N*^Ify2W5Ho zi!l19)#Ku2yuBh9STJM7=QFZr&1TQ{#SH3@Pqtnbd)b^eOnbu4WxQ0;Q>nUF2VYk` zpeOmT`yD6yQe!%T*}(fYVuS+UT_?riAy8l0=B!C-;qPBrvpO-5J@ej-iws<>h1#st zA0!}bMx-sDAp1i^I?>~1aAahO$(XgevHO{;ylsk`4b6`(z9I119QqTx&Riy7>=kDQ z!%dO(jYpk>i_9guGBruM5K*Bu^+@)LXPwzey#4X6-K6xhC@pkNICV-U-ta~GwziV9 zY%F;W@$hNPjXXiz2IdPCgzedGd?N$XbHj_6!E>v^uWdVKM8fq1>>Bt|mr@SC95QF! z%@a~n5Sb{iZs41?oeSF+`o!eSAkW~4_zIj^p029Y1iNaEtgaT7QsB|~z0Mrwd9k^9 zG$;aN?z9b91+A?Zb+E}QTixY37hztC!0(n;46GQZuH#qb8Kw$)T3qhc@jXUPlsl<+ z)ahg&CnIBSbC7b>XvAR1l1eu2NlO1}nE>iSxs3fa@7HtK&}5@Je=s?5#^}d@?RZS4 z_m&Q>@{DS?KnpKDQFN7L-WygR33HBYH$1Ac4y%Sjqaz-*nC!`n9LL(^Y)(YZvO2;6 zap+Dlx8H-+|9Xx&?iUZ1I^FbRXsCy4Gyov9u&5EY+*|cda}!Bx;=3vI`7nfZ4E+3C zAJ)GwR_c{jA)^i`+RoIys*kh{Hx6p_hF0fHpF6J#Yfp=cJvXP705BAQNpiRkDi9lu zrC-`M0-AYsQ6c4(2595eK~I+M3p2%k3vbm9Ci9PhVQg#>btJiz!A@b@`mR^>W_$cM z_vw!u!@ZZfI6Rz(tDr$vyjhGqF|HFgq7PtxqXF-Y4e(>->C$Sdla7_Pst0<maJRXrXKs_!g%AMoO9$#S`OA!vr^el3~U zD~;wnLMZb7{3AgB_~-}deK4#m>Ri^#_y{e1n?N~`hZEa(WJ%(wQgyyY# zwD!Ing2#l@n?(Ck2Z~Dm2Weag#~k?Xw-6IoG+)YBHdu#o((pT}|8xV67^y)O@5+mH)E*w5(iBr>g;V+1=NTG52zV zeV+wK6Kl(fhIBz7|MWH#7%>VvjD=RTAihrJKpmgKoi7qZG>B{!$~0Z)T$Bfy+08SR zW}S~VZQy}kBE`f`WHcOtsM_7{U`(sURmu9?-Dy-rTW*tQtf(&W2h+kA(Ns7u1G`yv zV&qT|C4YapUjYc}UN~$WD0THc6JOG+yddH#(3a*agRM5AxGQh}1(`p$*kd5ij^cWx$9b7e6;u9vU` zhFoXqz<>KAve(qjoB)m$fQK>S0XSBOT8>}=ts2uA(wiN+>!i>%ZZ(n=qwxyv>E&S< z2cv&hawOz!Hg~wEltjjLbtSe_R=(D&?ef}Bobmgzi4X6zZtfFPnC9coyg?C=34{OVumUk{QFY&@N)!R>ja;xAVuygtQMHcYH{`)bUOHk0p z6;&10SaN!mcisFTcwx9O}T37;%)w+MZ6$NP|hiMva# zXSKXhs!+$RZ-^ax{ga+T`7mBHmjn$n1fQ$n4olXm0O-~h7yy%#9Yo#WieJdRy|(ym zJ4)8;d9nz1sNJeZ&(2&ndPfjePG6iD+L#gDNvxGOH?uVc!@9aknmO3qS~dGYd5f4z z7W!44yIHg=c?q_M-Wg8?fQccB@QJ%%N_Zh zy}WfYX#V$)cVaT=c*m%Hhhkc1@3i`+8GK14v0^NEm6x*YybB-+G!TvM$H{S1xooGbA z7RqVh{#1+Cci)q6mVD_PuO;!C^~J4!Fgn-h+h_rF%A|hklyL(>4D(<9c(MHZh4XL6 z0GzyoRcs5qj~n7NE5H|3IxwPkE ziD7G!cXM2UMLeI5X?(i*W4#TB8M%wm9WxefTWT^hY7&oIy`_`eVt~nC#@lw-`IB_O zb%L==nN{A2iOVh~X5;9ZJRHDE{ryz<>&&5Zg&Qfp{(65NdDk4^&h&}3FGXEG@&ec> z{=`2(7hLtt4eFJ!{kyP3dh^o=17KqP3@ocXaj-ugL`8?Y>qOaa zUI2ni3ODEnXkSYF2Z&Df1d*Ec1LO-lf@s{G$4!4bW&$+W|L-;Hmq}c+`In|eeL7!4 z22lXW&+kDn$V2wZ)e^%75#P zZ~upT=J+g$%mHg|4i+Lk^arStl}LD}r6M!T=cV5fN_0TLx%#i8=$EdV!=f)w|1b-F zW{iB+PoZgVZzTkz*D()N>xBxL<9>YUc)cYu`EpZ6WC&Btrkv^vcZ zaDB@fm}z-sV0%5w?~60GXSnd`zn|Swf8lMAnzs0WaTs06M8a{CL*=_shwDSs3gn$WCDA zvPj~&|Ha;W07cbp>!OVys3b+nQHc^IXPTe{2`wl&DH+-(=hWn!5fG4^K|pfOS(4-)`o7M)9A-GFI72v`Y|m;M7L}njK1H-AdVuT+V{dL7DZnx81*bnzJVuqidO@s;9RX zC*B+(?h33G_nmVmr3|4PYop?@V1fDKc%Q>%ir^u{MghHI5&{tg=Y% zL_JwUy{pg^>p{mt*V}J6rl&_hRA>RB0xf`e?|1ZS0zw&=D-g#!)5$!mu z50B%=vjC(f|KR8&uE1t8cEluooo>^$Gzu?v{&|nzZAmFNvXZyvpj&{Z#J5WqVD&Qq zRzJc5ATo(a{PzADC>Nq>JjEwgv`_?XP{aWNW-A(>|NS8hu}V%q+oQ*&c4veaAfw$! zr^;t^$L??&;7pl(p-AbZe@|(2iIrMWcLcQR79L@w{^Jw-^Q$r>zhR$6%XHq*>k}R0 zpahaRO6%sf>tbU~KDhz4>DQOJ3BF4{Z!iZy7o>sBGI{6{>6&Rr%JQ1sI??8$=GtiI zX_gf>klawNz33}!&42aACYxC40sUsxIED6G4W-~d1DZ*jBiMJFX;=14kMPuu*QY}2 zckn3SKRtpJ=*2(^yOIyCe;ZxDzZJPFb~q-m58njFBLzT>@6nXX+tUzf3DBHZKdAZn zq2c(DBTw7dlZb#^0SD{BPi!AyU?=)tPQN`v3fH49iMxJJJylBL{EZ!rQJM2Fj$s zoJ=Uo?Zakbe{N2Gy$(_rqPyyTbvxs~zMFkxof*ecLV2&(nv)!*d>f$DP!kN{UH=vF zis=SmaEG|dwjld@fTh6qXNYzF#E<>txEInCUpMq>gNh z;4ntY`ITMC6|zk6H&Gh-4-PJlAWLc|rS=q7K|CUMTJu{~2sn}Ji4L+nkRJ*m%#Vd) z)sa@sc@};=t{B-GjgvIh|X^H=BT1 z;PJ}&sX6J76`BfbeBJPS=2{l4go+9*Li1l~8hdT++{K&0ciQN$k9yK6^QF*QK#**p zk392U+i%ld?)SkwNeX}HNo)34FlA9-Um%&Lic*m(gkoIwPMk>@kCt!Mk;8blP1rJy zA#QmHB;ck5E7)c55K6oEINQa(A4_wFq#8iTn(M0q}}1hTS{{hy=5 z(!mp+xN8gNhnzXl>X&$DB+mx$;uxY*)n(pOJ&lu3ijz1qxCApcdmtqDUJux)Axx zr9Pec2_S~;i5!;aXzRPBB*t%C*V1wXCu|kD-WWZbdjk!ExkgqWQpWM^_e<|$wcfg>VW;@q;Apjc?-ZQ*ZX>1Fd-<%0*r9?+-POLY0Ea*O^ zz=YjT$cc$8dHlL}l0o!g46)YgI&lWF@1?)&R5KiEb=++48l_liLYH=;v!Jx@BZU7L zbAj4@I;lr~*)WKPD~iE9_J>CguQ{v!fC-lbis0lw9dIW z>_kizv3_LIc{odiF?kFsTk=$}WR9Tiih1F{|6L>d81Y7RQ#XhEji!-913(_2c@!VT zHc}0L=<4V_k|Narg&ARw!yJ<;0iUG&CW{BRD>}{%*?9=rW@_}&Pbw|UHIZ#0j3=J@ z*1K+Qj>GjU&-bwG{TEpbS)zKt^MIqoYMmd~l_hdr7DZD4xQBgPSt1Y5r5HH&RY=1o zPKbU^j+Op%Cmm~=AI8=*KjZJIUMyFnY;Kj37L-M&wV5(D_aXERNRXZrm*5WFCyI3- z&!wew)s#bXt?hH@b~iFcemAr{;W)HGL_Htw&NbEcCC8#kyHiTddNb2~!;cD%`VlTW z`J)spBf^pY`piANqbR%O=V7b8r0Cq;Q3vWQ zR?4%eXBhXS=uB3lSRoJ5hs!JN0_k+fqa^Q(oDQ3OoUiPaBWn*`Y%zrC$ycLlwq|G; zYLdFs`IL2gr(3aWzD(wZUy6JcwXiCeDyLLmvrzc^auUHcP{--~FLOXn-R2*xSB zRj4ZKv=ua_`i4_ZgAIAP?gS2@upi~m2<9kjRxFb374%oc7#F~~ZSpae;z7F9`%8_w zh%0VW#L|NwEwZ|WnPw}E%lg4|4^9ZwXVO)>o*v>mAZ$onU#<*NsAq&!7Y@wwb?b^2 zPKXgQMkk`T8MS%mEHKiaqI*^$_x9^_1X{|jxwz^J*Hx?RX%7+T2&b4R=-D_6M z!wd*ettFhPYmvqWGZoU-G~mi%5_-I16@Ad&V6VflItp^d0X|qLN525C-MQ&G_a42@ zJ1wvF8f)?%O**pf6*X?rL26#H8y;xG*MKcvfZV0vfP~>Gc;53!(0*OQoYMK|Y(#tq zGaDt)l8-i*X`1@klv^`#py^myt0LSEB2ZxP0d2$^h^~)@GHYhEpI*F?VEATjhSCpE zd*KD+h}T~rTC13sA03QM-;ZG{LZ32)ccs&|E!8PaG)uj6D@x028&iXPjKNstmX&Cq z5k;Bzxnozjt#W|7F7h*q2f$%`+X)AHDqra0>DhAwj3nE|VrVh_eF!GHR~|aH5UOWD z{1s3HRs1Ez1`_cF;zP~>g*MAV?O0E-eB=Fu${>id?m5S$hwUN-f!GBu?>_HMA2B2f zWJ9WT33OKQ`xBKSOFBfUOx|u&tam3?qT_o*OI+t?+NWzNKE%S!wdG*=OI!5P*4m$V zMTL3=BGzP~G2hI?7EZm;qAqrzDxBpc+6yYK1VTdpm3yW>QDp;YiSZE@^J^A@~DNT#e$C?>w+u^zU4l6 zyz0jtHhi67UCP$+>hrKk@-x^=&ZCOp{dc|323Iel`VA8NTg46R2sPEj=J0~YvK$^`E229 z7NNK)XOE`4%@M#Twf)JM!S$#k>Hq^)ViTVVYYqT16dcdv1xleD{h?Fd%}%6>MJ$Qxpv93+5{RjcSFbs!mW zh+3TS-EnvEH$j`f=g$b05Qsvt_oS@^Wla;Co%o&Gz^HSWzLR_n6f-6lDtAfkPQeL) zInv^z!xY1HpH{EN8bS%lf*>5~O0VTr#1I4sZhwFOd7r1A)KmPp+bH4^t9WQDO=UwA z2b*?ULi&Ny+pmJGfws{J%OanmrsiCAwSlSaFI_VU2Ne+lUOTj~o?^ZJ0Sl^i}xat0)=;=kZ-TEI8cUgx^J zwG3#E1^@E9D?vQPTrckw`vmVd`~#$*(W24t2Z#(U*mc8Og8a|)yFADMi5A;(m%ry?{U20MCJ#t z<8xh#b~nBkJoT+BR)|rph$wlASAcbVCzFr`X>5h^9-leA*s3rtT!wdYCAW51zm4MI zOtRuhG}6LmwiE6`RC?3yQFtd`QZp`>8(l<3IL|0@WXq$HUG)326q$!|7)+x4#Y<+I z#gQkS-jtKDqaV}+GmvIKQeV@$#lYCGI9iKaz0`lxtz5r&f(BUI%Bl+ z4~_@Gc+cL%fzDHbHR&5p^p%_hYu(fhd0QxdB$it`W5qGwHS5w?tit1vginc*1mm}S zTnO`AL`=S*q3cPZ1f{m`qPwRP?JbX$W+T&=^ceyb)?J3m>sl2`sllsfK75<1rfaD} zxeDWJOn5i%?b(9WDoGaW>1^+it&<@dm*CRl%`XbXgBl7J4CgAadhE!Y`gdgAY3(Gb z3VK@%ru){G>k6vw@^k2n>x=Y`2`3^fUu6dx6x^QBiks1|n9a1HsH%4M z@oVGxfCbbcFDK*UJET%-a6$ra(u#L%*4X-Mgg!IHI)>NB!piUCx9W@tN~?$8?hwYP z)CA4gya2i4oH~~S?qBOb4UKGf<;uDR-mW@K8t`3hyUTf*?1Nisb*d$OoG5%*P&VSW zXe+>IE1^0`*`UnvSkBh|cRrulYvo4)k2QL&P{-GSQq1 zT@U&=W4XF8wCE~~BjztjB^Ry!7JY=w zY{xRw`l*#W*ZV`)l(m3bQp|VXzzf+Yjq)yC9adZOX3(}Mp*~ohsy-d#D7)R<>X0lp zKwWp=p=oP}K;+&9t}600sc^qhw#oy#Wu*g2JAK;ROQV^R#c(dwWhzPgOz0r28%5g1 zh3y)xrLU=jJP4f5B;!^3`les9eHjA--F{y6?G?^POTd!u6zJ`-Z%pM(Hwz?@>e`fX zMAoI;JP(>Ud+*xLDC;JK)8e%9@K<-Ts`YWWcpLHqypsdGAlAl9BbUUL)OMqNIPx7% zBVM6@(~!BVa~O4@QBkn4v^xS4IHr5rr$jJ&5S0+0g)=l!~|7k zhZ{{zSvxXB2j=BRfVwPBxF=n-`ZvI0UH6DojI_4#C^)NntRRw%GhL1U9Zvo(eHY1| z)_o!39i9bOm%baesUM?6mThybPre;0X^bzdG;8weR0b&|Oc=x7$7pVWqVk(WGw$rT zh+rpY4;xXcOyJ?0)26ItJzM|Ex_bEafNDGae4tS5-Krs;>sLNQqMhlw?_uf;wi?fI z3JG$bUEzc5bQtP@B2Qkft4d$DNMc*T9!Zj>puk0Br=D_t0IM;a7vq%M$Vb4P5P z&)jNiVeXhGi7lSn)A5Rq_b|_Aio{BHjI10ZVTFo^#yIYeoC63m;_DK>JFp{19V)C!xlyn9NiW5fL+XPRPqHQXP`I97w+Pgj31% zB-h&I^PG{iWWCnaIm6^fYw}c%`+7^!?KVM)ns$paYpy!-Ba$gwZm+IY7mKc5fQ$!= zt!U`c+H2sDG0QD#7dc3scr{zJ$jXQMNLht>ROBA-)N6h@-G<;EcX&@Uv2NpV!Mp|U zPNS#K#xq^#L}%&-7jw>MkkvX29Ng(VA)_1Td+T7NZ-B)_jaWlFBJU5~(mj6)_q$aux*?UGN z%Nd3eP{;G=Fg}j(pCR6(p+U+8U5REcTcpUw+7QIM(GS{L%_RzJw`=s{2t_x&Qy zD(d2wSsxEAh$n?drPZfwg*q`OKh69J-1s}K;NSdwudy}@`X2`IT=9Rihi4l9n>##+ z$QEN2ZyM6IB(X1#Bn+xvlICJM9aXBWOBe5`m5*ip($7G3-wlWO_w4P>Uztz+PY5#n z6S$1}KcUtB55Q;uI1Nw$Tmf3XE~CmYzXOywH2}#`Lgx7Hoichtg%E(hg$W?F$uD>)i#>pJ7MI4y+W_uupbQ{tLOSPzuJU!$vx^F5uSJCbH%Uc8;=mIaS(SW>DczBxuLVo)k66$rI+ z4BGi%?97PJ#5)!B6)x^NKeT#KHrW`rM4?XO+uu(csa;V~J+U4qimSz894DJRP1cF+ zENn*S#BdCGt=ASV!Q?91sq?`d9^!PVD>}m}_K7c|sgd*!LC4`Nv1V^NQz|6BBc(Oo zJ8p+`{)6)j?2ZDFRzYMU^OBz=5!tz#$Vm^#(S?Tmo3)e46epiDr@pep!D3=;_25iS zY`v5CWy;K3a{0G+#O`~{BzoG{EMd~R=~roD#n=*_ml|9NK|JJrSjIr5voO4KnKno$Cs=wsCyFiB zjiNO7EyKFd2Rz$#X8f7>VZHE|6IoMClUZM?qg9i!?3dVC86Bwt&3olfnZ9^J)?t|K zjq35}K3%_Q?fWd|YU4`npdorm1#+>~x8^m9F6(W0^JozWu|&BIbMX2?>oI78g|QPO z;C5AmPp{CCBsB-|fTbak7;TAb3WRWX{yL$zETDXDEP6=Rp17hWq@!O=zQ?H=q^jL` z+LY(&J$T^0bX}9s>Kv5Zc?e!oor?Z4@VN&y8caXV?nDiFQ#ZESdLl8>*cASh;m(4> zUC!w~nTedIhb)-6rkZMHm`}+7qZGC$VBDPtauhGJcI9Ii!zb0NBW~A|KY7L76_Jrz z&YY5GxqgIWpf3Y8M~o7VI*5L@dqI+iD;Cv<@dkTDqrfmLJ6;m_*2z&p`w5!IFJ@8t#Y z;s?IpMfk7*VW1ufzP{V~3+bRod!=jz{bm*8>W-kjQEZ*SFm1 zX#^ra%FI{IL;1N#uQk3wpSraksUo^bcx;+$QVR1j77`ejYbpresSP$ZCtADHVN(NCYa`%aZD zbAwHSN3Me3J`9w_6rQJ0%v8XXY;+NaU*!DlG4NJBO&qEBV2Y5+DfZ=w*Hv}RHSxhg z2*y~-z0Y^}&B8$C1+(*(wRSp|(_eISx&`|19)yhHWU96o(>(kJ^W@Ev$(v=cgi*zP zEuu2wd(BY#_+C!nE4@_$PHtJkNL6}&6wo-p%4gRT&^3Sq@2E%aLV?{BbTlrRZ<@)~5DNS4y zoAv}?ntt_S;`1RQ@lt8r+PKMwN{sP9dxGwiwcSrhh+bF?gyW<~8+pTE+4>MQ8u$ZW z+Dqb&lX`vP*51~E5lJYONv{^o$Z8>jZvvgkk0Z9;RyKJ?-Bk9h7%Y0{Y-^fQxD0aOrP{Rt;p zLLF%0nQB>*!!13A<1`<7kd*N5OpDGW;F@(HvUhs(CTx6}DbbRFjx{?XPGOyl_+%v5 zoI0r!9;m}qm9GcA7@iomGtC}mw_8}eRVk0(_mOfVO!$$H=(_*7kNELmHwFJ`fTc5yQwIHASsxjPY|3?ofyW$~171^_Sx)#_CMH_t=uEQbuK~5pRpA9o|Tg z_GDVDR65R=<;H2rxj=1`&fM+$s3%wT1}Qj?g>6#bE`73Ie}r+Q0}tVY$=-NyfHfu# zkKT5qEaQHNlm7#>{K_6o(T~gsIjjLcbghz|R9j*m=Ul&kC*ea&bu3#>t-`?47G4Bl zQUP|eT=%?nR@VLsK@C|m;o2x5bVy%SqgjzagBn--g)Ech@C#@Y$Ph>CP+q$xG3mugiY6 zu#OxnDz>Qf;?}QTzV71aWcw^ydmgCJue@lzo6feyLD}BQK6OK(V+A!vwk|L4^;nNI zIMzKaeE!m!cfiiS_LY54l~4O=*|hk*m2N{?J}8`|>s^Tgt?ycjZO(9Q$~z~&_GexB zTCuS^?qQ(QYr$7lQ|#+a6PyP}91P?Nr5oB0f~#&xmGEz5+~wc^I9k9a=!ZD#ozFkQ zwX5e=s{?PzJsfhrOUGv!CNH7^*G{H(S=|4CA5TrFC=p^$g z;?dTT^LUr7sY zcisChpBvYB_4FDTZtGT#RK`K>l|krz$D8+iQkc7nJk>uB6nfI3eG3g*z7LCUmgWu0 z*gbY=eE!7tUc~?w=$YItABhj2GajPD6_LHErw3NMuvPO1vd^@)V*E6OChZSxZ8M4N z^l;jn2=Raj2?G|br>hx6uA1=J)98aj#^?i~6M=J&4bOu?bF#~>a+}w2EE_B)FN`7^ z6!8v(dgZb@z-b^L;l3*db6HO%ysy7v*{3W((G8GfM{jCK_#$r3;sg)P`l$$Tga$UR zxKV7~E}DjT2S4}mMMi8MM84W%E!^J-f7{DTqj%5`sy$eqEolR_Z2=(i+3h0ufygkr zCf9Q24-l#ML(8OE6x{r!le#N+4VBv+=lddluJl<0IHe%><%Hth`DT2Hc(|q4y$Tt| z>Os~Z94u1>a_r{)-W3v#>hcE`DT2YP`Y)lS)(Z-)jrWvWQpG-64Bo;>#rTqWRX^yA zU2~X}j|Q(B`W;+xC4NRz6nakJxD27giu&R&Dk^P<+GN6|#HU=D3iR)mw8;}bAY}l_a{$bXDE|>n zie{arO+ipDL`uKd4Ivu0&_0<6<;>J`IzNfPrvK~y$p4I~$6uOv6j!n{zo|2GCcQ;2 z&1KY-vk1RMdIAnC2K||E`Hy+UfA7(;NgQcUl=7#66&jnuIOJX_nA8N0;B|83D;H$Z z2?^~-e0rzC#}{Yu-gTtE~w z09rXVx(~2DiT(qmJniWDkBLedbd@Wd4mFa5uz4`QplC^b_&K+O9-F&ycAh>WD#!j4 z4bL-M5kjThm#r{)Ey^R)e}2?+{UImItE z6<@~2DMg`wfDVLKAHD?AHjKw=v+qIA2qfFviK_r&%JK)XH=eS!LyZG9BruVoRX(Zk z+a~y5jui&T&oT*cPW9e2$c9E|f@d zOYFEeqB&9ho`gYQ#Y}#HA#m<4mJK;Wyr-s*eW3e^RPO#vgx_YefSe~e+tMHxR|3*Fu8x(x z3{ZqnRdf>X-Y3F|Hx?{7PlCyxM{91U*7@1Pv*+>A)k@rZ zIB|rV`+D%;MZk?5vNn;dT@87#B!-F~AD`e^NEDwuuU&N!+RiGsKtlW-X|XKhEpJG_ zkO;&pSyPM6F)nUO$0r%Nv#bl{Rv`_8zh9YqAevxeOl=53N>cJt44M*UptLL3))+C_hYqvag{I`)fHo8Sj(S>8vr}?y< zEZOl}G|%kP%5r(OF|(*y*)lNDX6qf5uBtym9(EnWmdtVaUdr399;#7_egG=!KQMZ( ztZV%thCzzPM7klKx&;e;oA^!iPb6O%qvb&lmRr;=Nb4)>{U}3WCUF8U(&_RL3~zZ3 zu}qxEvF!T!WekDV27>VW%7KSrHQd~wuTbX(6+f6R_8tBqVx8GP#v^PhlaOQL2R@{u zF?7=U2aBgB=QyQS=t)r^r^*CXu3siiY-2=pB6oX%>+<=PVy=aIR5)^eruU1&wqIk3 zj5%X0Ua{<807oM0Tsy6;6P9M7swB?} zZniTY95Fisz?dCl)9?X!qv@CZNqyT*T&WYB1iX>xI(XAw*LLxEU-RE+%+!@wo;J27 zc;xRraGTbQX=z3svb74Tedf7CPnRw=402uMv4C7M##3t5)LqfP&)s}^{aI3q_UFVf zt=>#Anp1JtlR8V`^W$hWk2@5*gz6u9oBFPQrgYY!U#~*F)cRDTyPTPhd&yS)JQ7Jh?BiG)oS+>)>5zl>Ui)`3u%be6n(&EReIN9^FIX zHB<_0dQQ+#wF;gCh3BzK-{eW(ZIW*q*%M;OVhWdMu_d4j)*xu*8(OG0jkag9&ExCA z#QE$uZ&8OrV9uZVzb-Wl0sscC`|a~K5vfWkr~7JOS|>~_Icyvk;;~RRAdz6u>N60n z6*_e-BfJ@kpbhb+95+XS!)!RpYVOe22Z^g}a}Xd+Hx22q@D#|}u{Mla=K;tDA+XWO z7$t(Q$pLj>)CH&lwni-?4%eOLq(K~?XasVYUdphszha?#K?ZuKV5DNNAeOTAlQ5uB z9{|fcLU5H!(Y`Q8Xl_$wa} zdxJ|%1k&srb#T=gL$w|m58nP*(IW8kquZfiWX>cUeNBt>-K9M_M?kJv ze$w86C8@@*NWY~k-aT@Pez-^42srF&XbLvw3{4M3QDJFNav%GCjgLCts=Hyj0*~K3 zv6!B>u5y4wshe-y6-}SyCbRUvNz|Z}cZiLCnfj+k_UF3=O{1^_&CAec09UAqAW<-} z+~P(RBbNN-rUKS2kqH0(VgoE>La^TzH+FFTu#*Gq;lSg>gQ?Bfv_Wno5KdyBijTU@ zzkXF%R}{$hhJ{D--6GsEUoWWK1N|KhBaBuB+y#EX|M5|RbjF&W8t1xJb$dUq zP24PZTB-8NV=(0|h$VkM3&Jv?v4@Cr>)*C-`^QHvcSYwecXi_${|D%vI6y#+{)Pi# z=N@{bOd(I~UucShM<7MBIH+>9n}K@MOlUK()m z4+n|*O$oNxq?=?o%!^aBl%5h}&E_RLDM8gUX3BJsQ^%lJVLIyS>?(rTYQA7MQUzj|tnDE|&Q zxNB{EGcdV2_4b%tcGqaBMwf2W+c@T#SC9I?zAJXu35C|Ftg)bEFAsB${34jkI|IVn~EByb-aV5WP>HZYP_H=2nc23>X(lg6TMjgx! z7yttSF3vbX6N^EtL=C+I7xF$71!&jezv=S&_wC;%eEkfOaR#pBGb~R%9RLFLQpVe} zrLEJ2lV7MafEcJ4tT&w$QWL47`|*u^KFb(KPOL6^`gxtUdNA1sj2JA^|FTmT zHVlOyb7}+ocPJ2&Cn8&ZolfyT1K|D{mhPWbWP#t`JDi<-Y)J2H6mvd%`SI!THuXt* zTi3Z(p}v`5EKg&&9c8e=W@Qs(71P@onc{Qr&+xr6P33=p`1yzw17G&n7yftuf<)5RLzDu|+G-@L7fy#ecC0H(d?ce_VgBV9k!rfol!6-2Js0YKgaPnd z@2$53ZC9pW0_WvIC|2Aa)?*sYs2*K6}TpK3rx`Ce(MCR|N zd#?z7*C--Pt`l{EVG0fOx|>XwKKe&@n!gKa{0#x{XOD`9fWiSg(&pOtecKOd&3~c~ zLOkMH(wo8@q$LBlH=WcM>#x2vJ+WrMp`-Rc%wp9X@+u_2cxPZ&==lO=kVTsWh34)Q zOKHY3c5v}8#m@nbQF<~CU^1MJm>bNOAfdV&agoiIE zu+A<@E8<~?5PjL01Z!5qQ$&jZpxOL|zOk<1mu7PY-?_XI*|ytl5Pm~aAiX__P0D8>3)o(PCo*C$LIhpglUQh{VQ0rccd;Tuws~pySDXcd& zdo=z3yA@^ro%3mMMe=L^FvQ&>t$q3^B}5AUgPNb3ZV{Or7R>J%h2{%pI=qb-9@#1T z#=a}%E4#d1=IzRfcOs?p&OC{87M}_6a!ZGNKrdUGl@r<*F>2NhSn+WrC-}kz6Ar2` zwMw5@%5x4-+`b(GG6()>1pf6X1q_CB7y2!mjRD9rgFs>Mub%e+A_E`|mLR ziSdd0QbnP3tL*ol$0rqM&t7kih;EC+gO4lzHyNS;^7a3rtD~85C4;KkJyyuxA>ul% z#hK@{C4c`WB8ospN$U2S4VK4?_f<`LTijg%I;y5g1h-I%mX1n|xkZjkj{)D97*8kV zWjts0?$(Qf1+G*(I$_XvTBo~et;PqEwz&6BV8#fX(4U%46Opz4CX1Z2J;SS@4S~}k zdHHseIXYSastw|8Rl}ha%dJGjo(s>Y-P7A!_MpXCkRu?neh;LMA&D`C(mG@)Rj*&W zo;}+KYHSyiS4TXE?=LKc-ii00Ewzoobz=0o9Ne}5ytviH(rSi;r-PNlIj{9)M;5`8 zd3$?b>9_0FLZ4UAFFeKn07-HHs~89<*4>-SZWdnWCZ^t2ZA+D~&VLtHd?Zo{7m$MC z4`(D?XO!$a8{xS<=qeiB=&Cym3}^_qXzwI`>Kj>)X!N25ZtF+Ei%I3$7L#Uyot~OC ztc}{A-71p(cPpQH>(zH~jE?DRd9IU7E%Q?zyWhaG76M1B@OYc8YMaD0*DthAGwz`$ zo}+ll-g7GHgfS-rre&?_fP?qLFV`El{mw%h?)KwBbIL#I8x93oFeNVU;ShD$J-}GA zy|dVW<;ZYf3J74XZ7w7w#(7n2^*bqz%GZ4|&XJof_Km--n zL7M5Wd)v(U2f-Z|@Yu5kt_R~ohLwd4R?a)u;Qk*#2GcUJEB#;OPq+S?|3~mUefC!p z$NYQ1%zu-|-Qx>)w`Pkz+KjeyX$YSD@^fEz$RSh#Lp*b9;p7ed%K# z(naGclwr@q1qH%j$MDd%Eop$1dd^odfzp;Yve(a}b|l&2iYuvd+)U29AIM>GcVSsl zsTMwe4q`1N17UpA95zoL>;$mA-_RGWD@aHTLWRy1e5%KLwtQ4~MT&kRswmGvB4tCS zkuX%*z?iO0sOc=$^vQlmL42TSC%ctI&E9j|#e8exQ~qM^;VclhLVu-yjNi(C65=h3 z&jjbmt7&`gr()mZIHGKTfB0a3_Zs04lNaDCJyVZ1>?V<57*D)ZF$Zx+SulbWZRkKB z7jM~i(IMsOg4EP~B_6)EOi4KOE$J$;)J;)mNqTjQ+sT@eJ%9+q7Wd--J(S9XO~@v9 zpsL~BM&XQ$|Ng=a&W4u@A?gvbO3PERMKIe2sJ^#fHPXtcs{hxDQzhzW!MncyY4OGQ zKk#oXO_yid^TSSVe6MsRBN-~cuBq{<#tlJ0pt}q+;8hRH&6%XXv>?*gP(=R|`v~i=A)lIZiimZpeb7JuX81%Tv)!$%o zZNYKh0A5`AKvwe_MR@J&IC@PB2fXSVZLSi)_km3hDNs2YJNxmMUR-})G5+JH9!_7| z{k?QzG^A`_1b=1@pxe=ZO&!!0I85iWN$|zlG!mxX=kR1hsdSjs*P-K$i-$s#oRb>y zUiHvBfXvVQHq^TP21 z#=zBcls0lC14jMHPPxQT^aYeEnB}9Ij^(5Kz5J_G#_XsvP4BgJ5`oU~aiQmNLtlB0 zzb@n^3=E29Ae3OW7_SzV6!(B^4Gp zaWH-TqXN`noG@s&j&+uoWg)cyZoX;(ZPn*MdNp2pHYcu8EaV+==yp1hs!eikLe2F2 z0gS7*3AUYeTz*@q!C`=*w5--;ep6ptA#PW4q zDA)?n#p^g0VN95}4Y2BIfT3U|P0bo9?&s7!#Jxb73XC|qpl&;kCqz#NUE=q5(;AQM}<)hhn zYsuMO6>-SY-D(z7u8dLzoH`abt- zF81z0;=n-5!?SZy@8Iv`5_lUqyFwr&w$+q<+kbA(?A)69mx%Lk zzv(m*Yidk#0LF%AYLkq=j14_PH|7Cj!@Q5Hw^Tr47%4Rd9;nWr2xMM*N~+)98A`i< zts-Q~z(oxls{hs^49Wd?L~`DtR9&@zHExdSW}WqCj`WA(L5uvG0|+UKpsDc1mKBjs zZu;Yya>3H7`UOg24Ao@Wdp$&~Bp~bKlc`Aa+KhEvXOEhh_hqx3-tE(m>6yO?!MX}w zr?;@6iWI4Bx^$)nKU7uL$36XcJxSF2iq{=9OMZ~DbL2!R%7)X@*bpZAMt@6s(Rg9H zO|ZzoezQ0`ciZ`}y!2FaK@nzNo^?+B{)Ga|w5nQyVx4P2=}Kd{hoyO5dee6!=<<{L zYUEPjW=n~b8=PGL)#sS^obYk43AH5EO?r*W@j}mqdP{E>O*yQ@4Z1~%X}oET8CUqV zr|!Wg6B;{>nl!6%C_dYzqRL2gF?W=Cqo`*@nek#h{T4k{Y!n+;w}^OKkfUyzru=mI zcjan!lnmoo;$3<2H>~G-l9*UDRy!{k$%Jw@mUk7zVbH_U&GOeue8$8S)^~*ko8QDw zPq$V^mcodCwi(ygeALsGc_t_I1ZUw%f@%NA9Wnp!Rxiu*M;S-bpkgR8(?mv>h@Y}_ zL~X$@D-7Nwq{@ZzTk^RT_#;p*Ay>kd#XtMd&BL1sq(Pd?bsGW~!aH<5oOhH& zgpj3WJrEk<@frZ?=`xYtX0_`)F)qiTa6mN0Vn}7I~o2IZF4sKgm^>VGHq&K{s7s1^2!pT?P4q0j0RiG z=RBAx(HyzZ-`|x0>=*M$5Cd@HPa&mM!%>?7w-s)7Ea{VM=sqT8brE_`@v~7s>C`>Y zIGykP%I=m#%JW$@Umlaa8zWBgBGynl)+n7Bhm>PI=NyLCx=g3+Z8o^Ysd6Z>|LgN6 zUj~)I-i3O4UICi9J6_vBItk$G&T^ucWS(|3{!LG`1djXw@D_hOagD$E0|amf6XgEU z7(EXq(|4MVMMHh5TFtC6^{&F$&i={qJLAi_^SwfW?M%vENck6!o)z>%s^LH9%-RVI#1^} zvj=Ukd;==<%KGXY8BOGHvNY5PC-^WRzkPe4y%>1BN+NS;eu$urSLN9|lGO*Zqp zChlAF%{t+dsJ}hFbr=`KuzK)B!pGP}xIYu~-?)`cegOC0SQFf{pnPwBzT=9UYI<7p zOeM-JY;TRx;=?more~~wY{nPCX};!^buO|#YEg)=BdNHhv$VuYV$0~OG#L7 z5086Q1D+TW+v_CF5GI-2MPP0}^ugq#-~SkugmC5d96}b&$BSAPRvl zyqszub?2IwC49KeJ#mdQmPw%bH(I${6b;ZCu1FFc3av5f8!}ky!nwq;!!~9p#|}4K3!aVT5I&+`IlM7&u=UEE>tfhae_2K%O`!UZB59S zRb86O8;H{Q>IurZnIgj3?qsG$PG%VWWBKF)Jex_d^f#V*ejFObt(vmR%KxsiA!(ud zZLDGiS?Z`CBUXBFt&d%2Gv}m;T%lz%X#DhrjdK`X`1zhjiaJq$KUG$k3D#|9T9$dU z@@RaJ?Vmc7=J)C5?NLV5KGg6wB$T&>?xmKgm)G_o+XIy;=g*zJru1z5k(f(#b$}oR zqaRp_etSEA`Z0a=M}PaeUOo4r-NDjS)uWJ57MuL~fN?lcpJ^Y(AX8^hfF8GrQV_*Ir}Q`ud#IDFjq4vKHQENOMAeQNj#*{xNNe5LDE8MB+`7`~V=lMbE53jeN_ zP?v!P<9UI$V>54QhY2%felh4Ya!EZy^2ng2cs5tbDSzjJ)PW`Qvw1Y15!o#QuDkY9 zACPle2(}>V^mM`_Ph=3K(9hyRDO1(}_zcM2&75`o`;zDJVb~%!GJ-#Vm%`@N3gp}@ zaW;I+D+a!2SxH%uYePL!ce89lz0!ZU(9LAVrZ%_zc0G%faRf0)(Oy1}9PV`G_z3HY z)F7N`4<1^7J@z~h(-({0=6u)6P8JHRDCXT7FjIcF$I0huDsnv2*7UU@1DLjkF|iwd zWV^o5!V-7Vj+CJsUUXi*$F$>`*X1#r{jF$Bj1iocOr(?S$b)3r^6;734vqnCysbN7 z^fBEnf~7G*vQ`X-k4nv~auW}|4j|>1d~`hdI_VV&jVcZfa{5xtCkEGLX8Cc0-YVt6 zD=2{jaswYl3i3CcDo1_awjCy@a>5VdNO;twaKbr2WFU0~`L~r5=z;TX@1=_QXA|O_ z`W0-hHMh;lMW~rJ?A0N|(vSWC0eia$k@}eo%a`9+edf?CYv9dt@I2NZAX_Gy@FSn1 z;f`vw^^eQ?$tquKR_Hiw_l9WbF1|~~Y!Y}*9M-IK1)fC@Y#xa3Uf9k>u0aI5KT?5T z0?tYwlIojUV60=3Ol9Y9Ic}RXNp36HDUo7N<-c1Tl@ssW&5O>*lLG!3AP4ttutLiK zX=-f78wCSk(P#t6KqmUcXG0mH)91WvcawIp?4Eu0rw6+Pdr~)Xr#;>RuV}l=p#5ns zx%q*8jjr1w#Tff(st?z3w_r)>hzyP(eRrnO`^s8-p)H<0jXafij%nXo>JuAJ6Ixbw zi4l)J_Oq!!V>aCHX7wnRTN+nVrRXTA*Nn8QQh4Ez)AJ#jf#Z_oq$(zVm+3dDIytnYB`i%jD8eQw(Hy7+qZC}_#jHiNc(0|(miImb zk20}$4xY3kNbjp!62GXl*KvN;XlrSiokUS zZYy>e9~UX1jTK{OrR?%Qn(Dm|pskmlkf5mYtjX@ph>gmg-o(E1oVml}0T27w z9KqW`cS6Zq8L7L=!7GMHM+075`ENRG+4#ojwRb_f*X_a~F8C9+m`{kf1Dvw5-IY30 z@W&S{0EWQ#`Fb*#uJH2}*O!t^Zwdr@v8dbqxBOgQ(cLqIcHdFsbXtK@5qG?V3^oz33MdT-66Rbz`f5#)OQ zD2eGjOEH0jPW00;R`2IO6uwg!R_`XO(mlNOqf`0$ZDW;JLLRcBkVR~s{^Xj2TvRliu}x zmjDU8hqLKU`BEo|F<$1+h~OOHb(&EQ72ptNRwP8mI~-UkQt>Mx!HArWb86~Ovw&wS zv_bn62?CUMwxN4m`A0-SpW(}h9#7DI%fC1D3mR+mT^tZ5oo|NhGm#0(ccSmL0*5V; zTVR|uZ}~L{<&5P8`YCj@3Rj#!>m~~}tWlee|8i3x0>8K6R+dd}fp7)(S()9cM*ga( z-D*s`f@WLGOoLqsJ8*J<(>|wIoabq_9df;t7OmZK-A9Cj&N6-@@D)uj5*@(eQu(L$!M=0x67aHE?QsK6G1PR!({| zuarLRmS51-p4zw0?!J!5c(l~@*wl|}&>qzH#79Bia(Us_@eoCWf|6nSlqpdUj{(C3 zITd!V*?Tc;y0q}k=BN7FNZ4Gf5T%*<4+QbN=cnzv%jdB@TR+6Yb^8s#P0`;goWJ=R z;-NqY{F)TSoRx96?5qqyk0-aQGb?r$qJ1iKpV3@m}ByIFE9P#(Fb zqhCAhk|_lu)qn4&GJZV-KZ@DzzPm{qOsYHNZVAsy^_G4UNp(5Bq;h5405`XNaim`l z9WhA^;=}5i1j3Y*+uiZ7(~}{Ft(dZ2>JU~^rv9#}ql`+zjPVKA$souTz<~da5c(%} z;&i$^g1sAN7w8P8u+m`*q6zvCFeTp=?cqC>trGr0s!jQ;_oo3q>^>}B_&@jU{~g~{ z{eCpK`Vqvxs?S@3pUbDtlyD{oW6>o3#^D<3>qx+<(9(h!3RzjIVv8|ZE&X6Mj6A8R z)y0NqJ_kpu%=Mb>wFzJ0Dc@Q8Z3O*^=C=14m?q~|!8b(Ws5%=kGUZGMv{r_qTDj(l zI^b~MB#T|lcX$Bi(MI-+=oSU1G-7V>_Pvz;tt zQ&4vzbu$q+?CgE173x~3-YqeZE-T{9JK>(wDP$f~CPuOogV~pjOxK3qgRi$~%DdwQ zyx{QY4B`@^3K6lF5Ne|7@Fkvti%sTO)puvvq6As7$aexN`taM6 zf4>A^fQ=r$wm{Vbt7+Gz^n}7yQzNcl@LZdrQ5TqfLEIy@ z@vrsLFw){d41nXFFD*D%*FwkG!2w*VefidR`&uLbaY5bKWA%g#Ymng$N>X_@uzsPx8XogGwS)^V}eXGO;uQRmGDc`FJ^zF;q>@0ad? zlTLY9&DPw0szbEf7M<<=jFdtVDg%RI^!;TI4_Ly$o}*nq`k=+Xef|~kPoh^2kLB^g z)ki0|euzq96_j~Sw>FbQC&cCmVr&_)=!CyYuElu>um6{KT@zPuzEpUuXHE8 z?Y0FMgm)tU6MmY>9bt!b=;aW_TtjO{B41ZWM567`p(>I7~^5*Ec{+y(T z-Ls~7&cWM(_>|$~T3&%#Bw}}uCZi5v@Tv%x40|J8wnx#QUeMofRrg{wF{qf_FBOrq z%nxN>$O-UzK^8jy!YG=|Q5Gw1-njtfwkF#s`re&|jx;3_CvOe(*^d*bk}ZT8=bx5# z<;a&O^XPlQXmZ!=_E~j{*ygD{vUl+P(XlU3Y+^vB6k46SQ)s6(B_d;0Fi4QPVpjuW z=F$Sjf_7jU(iznb5=QCWoMc4u^(VFbuHgX!fg@P$5!rLy4hBH$1FJzB60-{x`?x$R5wh*|6^rd5*Sqe6oV>+|*VEY>Em<3A3Is{s%0Qb_p3+9G z`#P+{@iOj*`;z7IXL~a9Xb#ESfmc8+;*SE5RiLm(4BY3V$R)pOdw8|KKVH%{*1_iu zfBMQFFsgZ`wH0v!)bq&$DE8CokaL&D3%+xU{vBr0bhA*tM{z;ywE@x&RS&)mT#*~v zy@WoVAIlz})~If3>0e5Ib!yG)NfvE65+C1OYU9P;1OvWHL@NCOqOWXgIlof@Odj|2 zG~vYGXMowLz5d!I5ju28GBd~jji}i;0sNJ`_qr77*hDazo(TJQYDzJ+&{6caH3ecG z_`8EF!l7i13%>YEC*7Z4=jd$$gULnKnw+U*h!H`g?XLCIEi3X`nw_o^JN3R9ct)%X z4Qx92f!UdoPkI!-pqVzsn08>c->#W<2Xhv1x9vz^=emch4Jo<>-!|-Ajosm`aD(#q z%X7?zggaM1FY2StHZ$_0#OB}$_ikHtUvfu&rLmY_Pg{c;FQAx4%Nie)xvR+Es99W< z&NgzE#BEM|{gg*5ET%T!gl(Ij?j%9&Cku*~z>HV$-ZOs|3C24cD1B?NtK$|bV#!ml z9#m2gfD-LJ&K%hA&bOG2=8RQv<3y4Fh?OIOtlg)wB2mebvp$5@SeU9#a7g4vIz(QS zH5eWlM3lN~u-{j(o`SiwB-sSzd@-2Kd6FaDagf;a2xHCDCps(3+Xd-M(~hP(s`$vU z%h6|-BTJqy3{1g6LlCnn6#}#zS=ar`U2g9xbQ>0YA|Iu(5GIHJKnE-`(4Ry z@pYi6n6(>ms?!l;2dU>&r)*r@=}y*I`2_ba-;|& zn}3nMK`s$lDxB}2P^ikbgp5-KqyMDGDN-3LQN~29>Bzj>mjQZ0{Rc>SB7eH%3!9v- zw&wndj0%n}q;An+LQ{T4;SCKLN-tHr>a+a&JPSTVyPBEzT;&$$FeE9?Va~0|4NW~# za>|Z3W1-={*gZ7M`f%_`Wp>$oHRyIa60`I@iWBLR=PfkGRt0~&iQW|s`*iqhKm6GA zX{NHenhl2ZT~Q0nc5m{P7;@mye~~ih4qk!oEiEiigt=_nK5LHpRF+3R@!r*s*flN) zSLb*eQfT!D=+(oQ6 z%R_t3V2Zc=BOeUwjbP!gz}Y%Fz*SM3$t%~)`uqwFM@0q-R+dCv6mM(Hh|dc^gt^h8 zR-+=8HjssFN2wM0Jzc8T)!qZ47q`9$steFk2y_tJb6zNLqaVoA0HvK**iLN0RV|g` z6^*3>>z4+0sSRX9@Og8A9@{g(Au_?z&4Bc1vA`B(o@Z$3+2)E{v{&I%#@9!)d*Ikd zl+KaS061J|awFtrkDg6Op9w+_gPQrLp$x~33o;7OZ}81dKu4$jnUd|%GJ)ES)e>W{ z;HrCm6n$7XIn}FMqHXyjSmCHg<04dMtHp&PoJWUUi)2lFiV%+y-9burYUBwSJ9AWL zkU+P6e#&{6akS|y6Z3aTSO?vcb()`;irIc5#VbpwWV?ur3+z8YV;V)^D)nzADQ^BY z>*~R{F=Sd{DcnC$?aG630R+Nl5XrwGTvA3}vvkdsVLaTaFRA`)DU#=mv2A1;{E+^4z#82%G zcwUi-WAj+~C`lq3g$AKzIe8zcnI_DGaYH-Hd5n$jst1dqtUH#{p}Wv-WJEi)VFyJF zE>ha7i7KZ?%i64>mQxNF@X7IY3XmBb(jp=68+85O)ZPDCk3FsW#bP0ShQu6tRV$** z*smCzI27xft)zyIvMHCL`UkaYT`uzVSr@++vlyqK2~NH4l>NJ?OuEle>3|O*es=2*|H78`FSYYy+Uug=}66khG6R~nsM4XSaWV~~=l;|_Kv`(MS zfWX-qgp_?XUZlCV8TzU8Jck54HOUUV$13#-THcz8wj@@o6&!>BaPd|7p z=Oh3d`#4af>vnmSYMdj*=7#Io*WJIn!^-XjpS(;IAuW@GxNA&nHbe_Nw&M-|ZeLWv z72Vv=1x|e5w`xaNmIk}pNn%|&O1B7ov-FYE{Ki}%!)9YhrG-ekA-Bg+QY_n zj60sAYaKK0P!k1sga+|9*w5XB)QF*_IWMP#Ob?3WX4WwySftR-cny+4VX}xRd<6!18W%LhJ;Qbh(H`I@x} zHum93MFtXIUra~cVk*1NhLrL6Gvp%AimwFJC*y{AQy?@%}<3{i5TEWquJ1*2k!H=~;u&adS=Pt^;4QEvP#ZD9DSBm(> zPGen;aRAt9K|obG0g$7j)t=*9UpgxCiBc=(XLdcOVrwDXA{u5miN&zK+>-h;)ab|X z=MeiViT@*h|0JxhEq$q=DT_eo73#XXsZ5qYcm{-B;`?>gXX#ZIxbHqxAm8CK@{=)q zQ}gHaJI0pyJr`D|HX1BjXZehKdyZE1xN>;!e7<~vi{$IY2Ra@&f|RW^TRo)< zkFL91ugtodrgF?yUwd8e&9HurpHm^@N@Bv6UB7KJ#GyLBR{nQ*9>9v-ie_y-Vkllq zrFzx6$lr2p7Y2bW*N#^OCy|EDzKrck7MxKcC!(+myTw z+Z23azW2n2tA9856Pc89-LQ(MzwXlS33r*_c@xT5fqKY~=W88{bYoC($m8mUwY@Co ze7)LeKB$<9K(uULyi&qPV%kTh4|c7-*HumNq|yZV(i+~k;vcnm>|I@Ru2J2&Abkvz z!M>TZ5x~o*U&Bl}6aS5s^E)A^0CPyhE3XE{%DgvB;?A#)@Qo6MHhPM#L!RVfYyl>7bwd zd&~aJ2vNh>T)NHU<0ww5aJK<4t)B9I^dmuPe5>9+M;_{xEcTHTBz~~^$;^FAv`c1n z5LYK*jc}CJdG1n#zjT-H@xtV;ZlxryZca#8Zuf|Orr&bf$pP~?_&-sSG70!slY_X| zZWz0ckt*yYmZLLbsXj)=;g%-)Xj3OC$_!>gx573o{2tH27&#mHwq~KGQD179`-ibD z$=a&&PnKbK^Ihm(Zv?Vy;Rv(AHI@$#joTQ z(F-v9?ISxw7W`QXMQMSyQ-~d)cm8+I)?cxnK(3JGD6V7p;pqY}sPW#Y!$iPlCE>bt!!fg=)K|F~#LHDh{EDGe7ovA&+ z`J(7FL8w-U#fWL5bVQQB48cH&r+0VmUJVC*NV0@yF>k&}eky4n$8ALPUB8vm_PBK~ z{Pg~RyzQ5x{pm$vWMD2b>9E7IEG*B$)$IY0>a%M9F|XhtsP0JD$5a#-7w@suLp+Bx z?^L!rw&HBF^s!_}ERf58TjPK|P+!F13`zqQ<9l5hA!#k4U=(fZko#9%4s^lkq(llxfM zt!L`}$X93v&!te2m%i%hcKZbnvmR&9V9b+bHer#q&rR#%V6U~YHlta zvls0sxv=_>UM>Pyldlv|V7zE7OLbLc8uh~YtO+f<)#yc;Fpt!EP61dsTX!((d51^q zo)TkqovI_-mQ{1m z=E=qMjIiwOvIhNEY?5u{J9=(G0Ie|_IftGHpURc>S6cH}d9hllO{{t-bmsu*X{U`L zt83Y4Wb}POhB}K$}Ic9rJYD0n|Hv~ z3<-q-(z3Ij*G9gNhC@akT0%q`OapvA>}vV1+Yn%(Kjlus?b?%m*=x*6E#{aw_7^hG z>V0Y4&|5L2l3`q0gq{xI+Hflc^mlU-SK&M$q+Is#nwGMD{p_AVlbO{Hv}JkU#h6oV zOHuLuVg~DEb;aRcP27OHA^Ht_R13TZC~uPj%>j4zbi*buH<`)C6(iDCTBc+r;1{vJ z#HH_ZbZ4z}zUM#ex8%K{(h^WFlbEHnN*;1}))e1?9!xRsrKF^4TQrb(zhy0M zubHj6WQvse)ZR^mOosrkIjLvok^p1bUOE5Sp{QXokmoi#7Bu_>!07TK6u(?#*>4CF zO}c%VtBx{9#b$4xQ0c1IjJipSmpYTX?WUZkOKDh%ceDe0Hj(v*}k&lT(FX?u?B%>>LBnab7l=#FghUd#Z8dnRY zOsaY8K5N5sz0u4cA9Ponc7ZUILz0??LSl<@eY4d2ZGr|OrW5YfvDTKH&N6yx<@N5I zwls?CS-iR>Ual#*Q+u@ickY{A&=t|D1$;T)a$RdBO1`1IRUMN)VaB*|98DtKQuO5j zMX`)8uQM_miXKTir{HA5yjY1E+EVSi4Iie4Ze2lJqFKbSQBoC?&cabohXhP~_;5?# z@nS_U{9vOyd2~Rlt{r@MxE2dN6%Cn1ci5ai{XRWl_&i_X3xxwbQu!`_ptq*UYO!z# zG<(Tco^-)yY%?HhMu_F)*GBK>B4Beb*D*J~<}TN&#y_I8CtwaoIxWNR zfh}6X9>)TkSA}tg%G#=gp@a(+ecj&iG~2d$?b;{)>74rJ`=<;v__U;51O>dfhc$^n z#tRq~$!@26bB{2fKxGK>zLJmZIeYcI(;+BH%BRaS^S$vUaa(s*6Yps%&r^RgHFeVW zQLcMzG{us#4z%s#=Qvg!iDuU!Jb&&Jlb|7iWpk+az_K`|r5m8eWJ0Fz+PvNqatY3I z%O9lLFOT30m+L(q)ncb7lDqw_C;cAH~ACf^i$5iJ|+2%2Q)lWVWya&W85a zPrHS$oYhavKcU2Pk&w0gVBS*OUZnzkcfOuZE`cN|8e44>_0OP%L^Yf1e98bvtL?eX zT6yN+itrb6Rkd z71T5KIo%!QXrmoIvuR0D1O~JQI1H3;G5CqbhhIY4J(qsju;$3*qYVFP!^(W;+vLJ8 z8&-#E{<|QY^42G@97<1{KW7qLU7RK-D((3cjV1}bcVBrlkR}iwbVz##HH`@D`aCkjvRUmy@UWT z?)GyBO+4%#*r6e`1t%wnbDrk3j98u|dSxTrvDsbo347oL9%6hQGrCDY6baamSqzhW7D5kMZN%?MR=Yl3>^o|_ zjG~?dSBkG)3|CyUjfqeBlu^|VQ(0gdcBk{SjPY7j0X23U*E&7dB+m<3*Xp8S0#6sJ zc;Z`dv<_e#a#zLn^rx=)gh1||du zC`8OpH~mCO6_Htm5es~Vgh)p9QD-U@_tJeRdD+w0!{h@G#ES)NModPLxHQfD>96Sj zr#4vuXX#H~^1z>BG?5aJR_ol`H=G5e_9qlK(tm)sKg`3g=eNi&x$z*TXM!{`nj zC3Kq29#BMi)eset1bCB0t`jj zx9g~|Y{Rlhjt2{w`I+u^4uXL?Q@K zhSDda7w?V@uEY)&_(b+?fz5C7ng?23;fsdTY39_Elke0i)h+r}Ros#`ddLm96J-bU zSq)PU%=WIR%4%-QIbIOT^NPk zS0dA~$ev~pB;)B!P8Bvw*QV3^1=D?GikC3p?lV@DD?YuZ;#Nl7xg6t>K0c%t9n&Yq zOL~{)&m7n$i1pFM5qsrT#okNaa_%S`&zk)Hi)%<>GYMIw-dadru~nj{!5<)(GqYjL z%fVGdadlMTN1+c9_1vtnQK;1XNL$xePkZN{#IBgg@n3FXI)Z$Z&?HzPPq&mC>c)(> z8K+p-c(%1$>gj7=t1KvvI7%>*VNt;NlFhPrVlA&saqTQYw1h;S@$y8D+F|rb^guwx z%M~YH8DQO`q{1GJQR+GqHyp6%Xnmg*5~8r=Hks($^rlDiNBH%drt0R3WHJ4&s$v$q z0LhLQPnHA3GAE0+&Xn%BYihP6U8^5Wh52}~i3~)fV&}yjfyh6oD1tDz@2CS)+0!AK zMa%l0FqX2O`Hbrit9#~WR64rNSCQ)ggs465qNd4w^KJE-jRktc|E?xxQ*EMZ zwdSGQ^OKIJ-F1Q(Ntjh8OzTuV=SyMxzzOTw3JuimI%B3jO%>i_$PK@>L?z94I7HyO zYzoxt1n>wY9t(lVWR=l#2cDFq3=xteeiyI!W*NiJ9Dri`R#NY@^7_A9$_Q;D-ZIXI8i2K=!S;MA6q0y%gC+xPqTr6flA+15c z7z|ff%f@;o9M|H3N%-?vCh2evVhJF{1i~3U0{r+B@y7?nVJ^5k&68gC4~+-dx|9;R zT%XI1J~B;vKCd0Y{c+^4ye6<15f9r#I$=IlNsdwkrN(g9l6XA24Ot6QBV6pc0EaX# z=^2|;rRW^-uRPoxtW6UH#_5a7nC;7$&>ynflDCP-2>ai}DveI|BKO*qk;wxMm30c@ z+F`FPUEiOmul0%P6_C3X^f8~@Dp4dLpKKIaW7OKde&ea&%Up9w!=JIv`7;M@Y5C4) z&=s76PL3T_m?XM*RWEP&U}oU^AYEv7;?SPQOgt$3{c(IJros=Xn9!!E%+>V5h&1=7ZOMBp#-s4<0!lIJ6hgpWjV0 zUcWRh>;LqOFNfH*Z_ls|O_I=c;h(SHOjG^ECtF%sYAwffu0Vv#)Fw79(l*j8;>+ep zGtC|~W3}7FM&r@>*QaodcT)Si1F_q3Pciy$&e#WTl_%o)+!~`Ha{n+z;cipnvcRxF zLS|GE#$vk?B|U+mjS5rbOd}(H8DHc`=;Nyp_l8R=QUzN5>(I&Gc->61^0nQHI8vj! zNX%#H(&r|+IehE1g=EKV8ew@t@l9AQftCeI_IOc_T#~Jvgu;9_urAwNnZqgI-Zu08 z{ifd!t)G{|3<#)sMy=u56Q<+r`|QRO)(|32_5=|RSl4|#F_X3;n3De?AU7XY7)RNx z$^wFOd3U>toSC4P0?4PmV6IZh_qUnK4)wzY`k+*xOJJN=?b>J7Mu6jeAO^8 z?|b-l+)ZGYTc_nHVi%CfiXs!xD9a^OgbeEGRm;tNUwS}&_!u~uJRP~K8Rkm`wn04( zX*GnU%Osmwnx7w59t_8t2sbu$9D4ZhJEZ3mi$^rx6U&Ey+a*_82o2ob4EdH!<5$Vz zS6{4QG0_KgPcg~0k%^>x8ejZ=gHd3A-3-VZUo8Rh#%@5~*joiU#C8{&lNdiED?9i; zc?gxmgGFt?%J!0&9^R3FJ$jDw2oFPV6zKrj`M_*=*Zun4C=ahOxN@UB_~`Ygo8H`5 zTPezAs+r!?vKaIOA47CV3n15x5(f5W7QobJs0j#O4*|jJ+AqDU4#@C6l0t5bhgDu7 zVR(W<{r*j!i#ytMIw;wSR4i2yh-!gbwfMTr-}4KR414W01|kz5M&q7FC97BHnunn>-FhW+J@I())X zwvS#o_o+Sk8RC{)d$Yv-{lH=30~EYM3cxnoUVu~?2`H6GVtyZ?1ik|+pRR17EBKmk z5RaykQ`i#ivKQhkqHE5}iH*M)duRXTj*G`=72O*v29DOhzY_7^e&0{XD)ecc|4LoB zU{7R?z zzlYYJE&?G)KY^>g-BxIbd>Z=Dt=HyP;)xBzC#w%`;2pN}=2^o~YQdw=%}=dx7nrqb zN6r>R>Yx)(!k)p(YE9keLS6+uQxsGeT>ZU={&XXZ<~v89nyC_$nU6i`dtzK0#QOWx;y`q@AC zEvVRJnb`vMZta^2dc}@aM3uOTTboDtwcI1t2NX3_bAjfWJxwN-hInVMTgDSQsd*>P zny}uc2<}(S!m}d}BfWz?)NE6+@VKKT7nUH;Nxjf$J>@v`MdhSk%q(upABHAY_!LsXwl1#0|gf0bn&kLDFgPs>Mk~4pI zCk^MpS8aEaKi_7v)z^dN@HM#!2IE7g?*kjQjr)pz;#-9zyLYclrzXz{J_4lt4z@X3v{>d3fnlD(gukz-gIlUmqb_n9i^ z_RZrP9gdxZ0ZPI?o@06k>AZz`zoJajcqK{wpqlnUPrDb8Mw$G%oaI6Mva}&Y;6>sy z4|~~lEQ=rR&%}f4o3MZ;Ri%Dm+X`RPn4mS~2qnH~+1qqoaTg|4F>Hwszh1iZWlVK9k(d)*FCKWYKq6n`FTbXu9D3H^9Kz!7`-iz8+h4Fb0CIGId-yakYw){QC- zPUtg;#s#`Dre?q~l24aFhgq}1s|86dDfLLxWA~rlkwD-&UF8R$xQmtsz~IcCid_|> z)D_!e#}W|E0LLvUGmwp$ktXJCBTY#u5a5pfN&N!B@Xgl4qIsO^K=*p?U@b+m z`|e1adoL&+*yIs+CEwtB0(pz8!|xtifKyclw;-U*wH1#LXIKBnk0j}9J3!pcbt}{_ z@w-asl!Mk!C2b9C@^6Q@#~R_!X$2MQ7)FTzkJR=4J;V}tBvagn_P`@yu$10=3Ov$* zhO#Es6QgNKK*Y}n943=`)GK}+CaKMue#!%fN$ma~PnP|BGnMcBm+iWA;J0T`RvL4T zp6|O4+w3r1O{?+OT`t4F^q15BuujXEK{Hp~EM}}WSbd54X{a@p({tdvMAPa;Ta&(C;@(G3NG9 zXfI${m-c@rUp=XpGE!9?Ff>J>D_|S|yREevPLC6p9eGC?D8>i6m6!S7nNVVv!8047 zDO1N}`PJVZ3mPY4(ua23(W1tF5-SN2oe(41VG!BYi7w3>!Vni7UzDK(6P%?r*kB1h zs4@-k*p|r|)mG0^tk@`gG@Vix<;~0T+QUjp&Z9V;*X?Myi*GcfaDtEw%$>W1=YF(MWsvy&MP2EyDpVRKkTYs&m-xAlcl^Fn~te9FaYDqU+)hP z>S>LRxft=(fpc&2=kWtNQr9=0MsyFDp6lI-eqka7tJSl`2lTs?5EMNu>1$6IO5Dk| z^n#5C%&+z-z4ztoN@n{WF^yKvlLKL^%&{|O;BL%trt@ESyL2NND=BZjhlQ6L7=V2h zs8O6TDMFjGv@}pCWp|GM@$JJREo0nx35-_&daaX#dtHZ_1#9^1cL(JBNRxTp9U^_1 z)mV+7igy7jg+iN{Cw?Sy;zdoWi`4q}AH>=`i$N-$dMPD$8d@H$=LA3QG{%1Ag2N`s zA^hOPMviQm(ofy*&qRZNfvErYp8%4hl}-pw8tC-2&9V1|m!)zv)q?e7JL;ud`-Ihg z`J^!b-thmZ*N~ysvL<;{oyR49Roo<()IPsBffui`Q!<>CF_6fj7Uvu2q z%0ui_$fmruHiC*zZ}7Uh5A3Y^lK)@sa*izk6JlKtAgcVBN&p;qC_HzI|AdME=XvXY zI~MWgUFoUn-nYHBR~&iUO2$*&phrQoGy_fN%2aO`!G#t9vbn&7eK7$5>j(k% zZM49?E#dh;&b&X6Yv^Da{69d;!C>^;oNMN;3!3^Sgmmhi2A>_C19k?g3$gKvhsV~qRDA* znJgr@7ri*;yudxYu;Ej^Lwmxv=DN^nPEOwQHXQIi`n0B0d+F>Wt~;4$`<|rUx)5(~ z+EK5c=vM7zpvRZ@QF&pY-E9NP5tKMU%P`u8*?!%EpZIotjJ-^Cs&O6Rj!ZNEuJ93X zy*h`G?xxLZS=QgFyG%S|;IF}=eB@5+66NUlisi7CTv=;hdKmK@qZcJ?B9 zf4?aao~`D8Fs%1@vZU0lBZVeZBnA$r zP?$hPEo#o$sMxW)^4rW4aQmmZv}WS#{9_uYQL4GD@_D5@W@bRkSN|D;hdcgJ_l*Il z_VVSW`@5(t+1oTTVnCp6W~@;Ff!438H4X;?tw7hsKhSx-j*M}GJ^=JfP$4oX`ucgphK$y$`FKeGp_PmAQ6)hh`N4zmD-tPs6Q+E>e-7FIXr!k0 ze|H_d&P~rjNh5Kgr2Ues!khCkW{3Gh3o~H%?oiFQMcz7q319pba9%*bi2(r@`%9%! zXISIDh7LOX6>wKz^sAh|bIsohT;Xm<^Z}S?JFatyxv4Dd>DwaD&*pV5_}kiKn32T< z*#GFd|A=4w^Qu70;gf}r2K4DGb@7Jqz22G{*ICNq|Ha;0fW_4%>%xs|kRZXGKyde< z!9#GjBtX!{H8=zaZUKUa;O_43?(Xj11iL$PX6Bqb|2K1Fp7Y%Q+)G2#&DyIsyNX(C zy}MRby#yQQl{hN)AXMZ(CS>w+Sn1mGMBa&GOVM-9r`=9aI~u0+8S2jc^m`*KTJnxQ z9Pm1hB(65!;j{u9=OaMo2?}Wo;Be-3wt57?M|hqBwdvYVG8#;OEmjpWE5nBoD#oh= z^P%;@iysRTP-Mnb#(BRfae&>U@SAghvkWUC{1Jrrw^7&mf9iCo|6;-8s=w`*1@7Us z!9=AmPRoH)0gD{oHqShzO~}fo$R=d%{5sX-WGOs6uIEs)i=MSQKOt(zKwfDhU;_wHT=Yk`a>>~!21BTm<66g@ow3?escc+eo(3VxB zhw-R>l>5cll()u7$(^!xK2jhwUzO(Sv5T8yE2A)4a@~QWR>_jyqj#WdPJjvyb9P_r zwcqlb#h7cUH}ty#)vF`c+Y%)<))WZN&rEIvMMf^pP~@nrz09L*tBG`=PXBQm`nev& zPHw^yeW`9D?L{^EZj))X)C?W~M?jz!kh-cOW5+Ywt9_17tJwT+@GOySp(ueGIf9ez z8hcG#Q)+9+lJd`rQm^8F`W{kvvgAqiABHtHje+23J^;i>Tt zxjwI#u<5<(G+#DT*R$LPT0&s5;(W7r9+03)ajeqRMMe zjThIOtM}ASuEdGVTwI#d5Ca0V<+|dY9gMpu-p9`x*m~?Sokw*e1U16lCwGjC!!0(H zwb;;6d53r&gPiZoUn$#I6{m{fkA2hLi&HKA6jW^NZt7MvrLLeu$EwECL-dMRq+3j( zvtT!xv0OZ7mTw`7jGiy@6|8S{Y9ik2*RO1WI!8&DYM)=^6uEYIyeb>ze9hLiwl>Dg zw81|x{sp58C+s|>qi8hA*1c3a_L@Z}UcBK{=A#{UinjmEI=*sPKxB8LO71&WWpl|= zjt0kfk~66Wy((O6s{v0~rkK8Y8_G{2ZaG8T|;qUk<;k z%xjlh21by7!R!Y*{-SAp2y#823|&ccxjBqmwE|~^7c#-v3XDNDJ*4?}_ zIAhm_f1a^?p>g7?sv(q5IP+@>>6<#6?!vdUDhbg+qn6?Vj9NiTA@*SplG@_fYA^q? zxc;}Wk)RZGoxN07w4}7&U#LAK&i_cOpB z#tT?>y|e2Ttc*7s6>T4=gyh;_5W3}Z!%;p!i`<)=ejt|Wea&<{Zf;V&>lnK29it(~ zZQgfJ5@=Fg6=$+@`Al>S9UBtRQ6@__vzuVHo25~DE@Q~hSG!U|{bHO3XK?=)$JFO% zA;rnqcrXv*d zmnWU4)E1~b44yM&){J;i=*k=0#3UhL7&TKaYi%;2Izd)0BlgB9GzhK@J~{m{zz+1ujWS z{vL#7;(e$AzLH4?h=7n$?(P|Tq@}s{1cSk&w&tc=5b4!W!og3eDhW$Ckr6!N z3`V`mt*WZK%g}W~5J@)!U}t*xL5chkM6kulKd*gHFI7T7+JW+BY*GygY%#^lBj*)+ z+4b2VH%|!Sn77E=Yii^~Q((Zjocv3pS|(%d(8xu4AFtnO+tnntTYqi-RVA@}8v*g; zK)LMJ>%qYR;WyfS;czv#nemUHfGlT9{Jd%sg0Yv70CNM=Y%#F0RaQl{G^{y+e3Nia zc$oH4=^esY0ncf^;wEFy0zD_Rm6-rhLbH*VnJnOeAsMA+yM7F`A5BCwjPJjaODs z$d{QU42Lmu)YAe%C=3+g{eHL{E{^;s2)BLgL7&oB3tz}U)TyQ;S{XO^91R-2eG>mx zq(DicVo3&~r|;kkY#ikbrbkDEbW%?iMY#r2mv&9|!K-$IbG^_$pP893+1FGe7NPsy zIWb_AI5QYk8CUrQh(^Y?bblCm{~mcqAtx$k@u=8b_bj8jS=}yEIq#dXWAfy|*W+X_&#wW};jfskB)c3s z7B;PYt~U=vLs5>|GqMqrN>`OsGxQ>_)VpsR^s`=4MakC|3!Wy2`)8dpZX~4o3W(<} zCMO;hlE?gv-p~P42=m|}g#DOWzN1;bd<6mGPT`vGV@OX@Jtwl)mhW&ML078%qA4$9 zB$bAaD+(c5HXm{gXjL6tbeBzS8+EVWX0GzThG%@yfs_qV*BL+DsO}tip(s^sU$4Ir z@`5S}mk6^Tc@qdX7a#_*N6tH&A?VzXAmXCw2dv{?-|n;@K|ae5ec?crh&|;~s|OU% zTe_Vur;6V)`x!l(Za?oih-R^2eY-I_)_%|v44SLoKj(AD{2+(h$_U=7tk!n!uzri^ z9B0zTLY3s*+A9#$4*AxG4sX_ls9IE%uC3Yv^}VMRG<=7g=h^1|2vSN7_B;Xp=B%1W z<=S@#XU5f9z+z*_Yr<9#FIKp*!7W75UBbiXMOr*sqlE-fVR23Sjkie}ZX^_VUni67 z-yN$i-48wBJ?B@crX%1KAptTB@QNrH>H0f_o;%bYue`w6ohs4%nhBNeXwf6B2k8T9 z{EMgwtqMMZa^(Tx8G-QA{Fu5Lpp5$2E(iKou4yN`o3TN#<;RaK>HYjtea{+TqS&lm z^4nJPMfwwVD-AD>8g2>RpTz9e*6K0lzbwqkyLY80mh>)Mk+bWpno?}N4~nztMx}-C zjF1o*K@jFP2-lfxL`+O2b&j(B9yCR0&SA;&ZYt92mz7rU7?9MEVS1`*`ha$7p?10r zbITJY%}W(EOO>(}pXr=M%;hd>;>NsA>PL6(XOc zd?4-X6y0ZS2#lG~{<^?-%@{N12_ifv{W1YkQxD__KMY1(5ArNqntvOo7DvvFXCxNc zB|_J3%L6A%S+`+1Xf`U0uZIsfZ>#KcwiD3H^t-!f0)m$29Q15c%>kSeJ)~`)7YCHwN)~ky3(^?JnWAcvu}P55q+6&} zTk&JZ-_%bsrA@f0Zn~WCH8v%i+fmL5t8DNa(xkpzA!7f5sLDlaWNZ!7&NaSA5Ze9q zlGez_^$W0fGnNLwG->#;*#9P0x=GSnGy<2(Xc5z3Z1g`DgYw(EtQz@&FSDM#qz0o8 z(-mitWF3Oh9Dm2C>SSStQ5;#%D&=A=KXqxWf6vousEh_3u%O{Wl(m`#alsf6rz0pNjJPe{uir zzfhknJDAx&O+sCkXgI1-?E(!2dC@_6Y+1A6$L@Xhr%*ZBG#Je+oQ(f`I>H zVC~<5fXXuDk%n}c6FASpgn4>~SA@vl{lkpYf0T{-bd2^7b%*{qi)>_RXlHM0^a1?) zoz+J(WF9Uu7P8-O*jd=wd0GD2%>CzP0RiT>MwZ6*CS*K3K$8+OvxKRIy^$@mgvAGY zqc=tdR)$8%f`Z6@KCAPbYFH^Uvxs6Bul`TIHd!V($7- zDKf*C=$}6I{LKBP6Y-HR%^~V7eoVWn*sBCXh1P!9`&R2)ALX}xG#F@o8loXWY1C*q zXyFgyokNf>_%)rZXy3+Vc-MaV1y16bHD42Rq1_A0;QxBICn(zeW+$}^f`eOifp8!| z;10DnN^AZHGXIw3x6G^@+a0vJaM~ImV`zpK>CS`c)CX_`iQ8250x9B(oMS30{WKD>G=UHc7PR zVo~_lGi=eZnwD?qU!liT->Z_p(jk!e{1RC_H}KV}cszA(E7LpPo8H;aft{ua^BxGzfbX1HN*m!s^uyJt-h^a{l2q}qhamg6SC|}aNqI-o;%E-b<%R){2 ziuU(Opb!uckP(rwkdd)y32+H$|I?4h77!*PLId0{7$^!5G$s@bCe&jGh!nU^IH*58 zfE>R+J)odrfa^s-L_$UZI#gnSprK%3pkZO);9y~a-tNG05G*F#b8=P@cr3*a2oyHh zY~GP+h?JtGtvE`fCsgboZGDiCabMu!6HvdTp?yWi!O6wV!^8-qG3B-P7AQHa;;qH9a#sx4O2zvAMOqv%7bCc7Abrbq%@s^;<3|5X`@b1^oO& zxiA5_pkZNQU=e=H1qJN{JYg_l;mBFxpNl9We6YcyVDm=A7L80RZAGGFS31G@Xgi9G zOU1EDefnFpKS}l<6U^toB-vjD`&YTf8+fvTCmVROfhQYyvVs2-Hh`$7uHBP{$toGT&^s$d2mQbw z_z3b!2-UlotAE{CQiHH5!jDZER#;BiZ1C`$?++tq)Sc={BzoTSmu`Rh$YnpY9Jh5m zZDrNkHx0i#x%O4t`>ZA+jwUR>8m8Iq0ZUOBNA*}PzkGfk#mhqlt5lR{Z=Q|+N@!)< zwRFS6AEutf@e`%-+rt|V%X`PGI|fBzjC>u!Z1o^=ON72PQJoaNq6M`3VTeTWXRvO{ z(u@ww*^lHP%x<;W$mLR?kdKN2oYNnkiKx)pGFgw-uZEO95Xshguil#fT4l~ba;;y(AQBn7<=N+~j19dpRm|bU0&K@56wFuE6&7=`Ifa8g8 zNlz-pK~L&=QqR8(i6`s%^F;DAxSr;oe>2HHP0dd$*VDrOgnOP~;S*|pLd{P%761E< zsW<^;)C7i~ngl6Dt5GZK`c0x_kUqCk9IcvzZ8QqM< z47X$eyrhAqB88(-@)3lw>p}W3_%K~}D|8il@Y%iiX!fpmW2oas zq|4fc2c{ONSWOFX5Yf{MpQ6RBp&v3I1Q%C$8te6DFM1qC_ooP0$$v*(r;LnnC7Ar1 z06n^*!W^w#0@b6;9NCRo&9-Z--ioT8QCZd&*d&lM37&l9WJ?w4R}yZP@6$IGG|nVN zgb5~8 z^@H@#P9*Yi6W81g4!FzOn4Sxj4Kf)p^r0yt?iF^~b&9Wg2B*NDil1rjG*MQ>9!bnZ z^o7IlUMNx&tzY~=+Y8#hGhZi0X(dq6iVHk$YByqNTckQOjAO(31cR7yp|*{;Rx8K9 zUfd2AJp>=#DO*Nyi`l@A(5xMKORkx~jO`SJKZit1p9}|NPjlvXR*(Ha9MhrW@IrW> zCoC-8YqY~Z)%Y)wx&MyROvW5gboFJ*0p1z6efl1yH6*T>Wx^aI9x}`bN!U;S4EyN6 zn?d}~rnx}rXN1`B9MVu6t96t2g<_7J{w*Vst1BJflQpyYi zl-0^Z_J>s=lslyf%hup`<8G?CJ$=4Z6b#O)Bn=??_mF_KxY_2a>2?xQ0?FuYPoulA|LCb(`CEkP^|M2WC?LFSeIj$k@S3_A^&VEn!kYub-mvq0$#lx8nK7R zs^05aFf;73GkV)HN~I~-viQ?UzD}~}(hQQW(jnoXkpkO;cFBre$YqWojq~x_Q@`W( zwAGp7BKw^?-S=b5(xz0H4Bp9a#0HQxn;sl};FqMR3}MEFR_U7_L4KnEok4gE_&yPM z1!_|kCssBba~}$-Eo>7yo`)E}zEuRu=K++MOyQ6>ZuwRR%Mi&&P^H^q;kHY&=~)#} zmAyL2fcJQ=mXz2mb_oHpXuOV&5x9D?*&UE5Yu;115%d1LP9P}yWAYDw(C4IIs`@DV zrOv@uaZwLbb4MsIPrS9A>ip77NA%E_U@cg5VqvUd4~JMp$gu8SPJspZf4Helanigp zHd`#134$86rD&dRwmUj-C#AD;Odgf_C@skWIk z;iY!zqdu_hs2RU-5@ehpz>MIQCTyoDk{6bNf=ml?qy#-02aDqJ$+LwG0@{~}# zvH9d79}Ls&i7r_Ey29!skn*2->5boOvHdZ0 zmUm;j(LsHM zv)b$@PA&?-J&lFuI5#E(*v&H{FkWM04TE6PA^g@HR?o~mNE<%}uLj>7!tfj46W&`e zrphx;*xk?doe*zM1)L~%2(n8l?A3j9dZ3);HCEEpTvgj3{>c&Y9`kTtr@Q-=rJK+? z;XETB`VB1?#?F#iHj-`G*Xr6Mf%qQcvmf@mdqS86KgKHit)DTX7Ml=-h5uu8L55a?`1P)$3UBd5R4Z~wZ7=3#Oty5oc z>f`OtA+&+@1_cCT=ls($7CXuUeTyqeswJw-gE+8Qah68VPt z|2UBkc);3QVjjtaIII#bzHPN;%A9rl|K7GyW39HC5E3i~sZ7^0)r0dtR-8zoxjfWA55MT2vU}kphUc5<%Nww1u!r|DL4@iCHfit_jkW(@0CYk+u zvMr4gv8*Bt8~XDR4?gDykMw1hQPjXA4HkbW;2(c^f2-Ta5-?OZ3fSmtby@lchx5%;G&Hs-EbY1t2b4=m=lng@(loXl0GbM`x!2VjybN^EM$x2Q>ui(!*H zB;4!}`E~f@b=O4t{zhb7oD0`lMl!a_bfho&lysXxN$XxV;h#Z`8IbzU&oApUc7-tQ z4@p?_`oM>r#DL|JIHDjXQxSfPKtq$FQc0KwSR)F|>x!aJ&fkru-oBb5Y!uUs6%QDq zBkBmmTj-!ijS_~vE^<;iMTL9_aJc(#%7_$%6d;RfTiEB&@8Bg`<4QdbyJ3Fcu z59sXG+`Hy1H>zoY58$=qD$U)`Ma4{|ra8fyFa7Q|^z?gfsImD2I9wYHX25P{EyNOs1tx(S^a2Q*N^5`+ip zi;={WUB%-ZaeI#dFeQ0OGHWKa=_l#(U1l4?JpHAK6q7{?&_z0qhGqwTY zqgurfC}9#{6^;<~`J@(SvZU}HYZ-Xc>){jgcCXYP z+$*Xvd%@1*p7|!OIgC(|6QSUmnz+9Ud01#Y(nNt*Qp{fKq>Oj;Mb%b2QD-kh3_G#XUXi$DF5nho<&M zsBN!>t@pMzCcZPQDZ6(Y$%VQ?7Y1?$4KbmeEm6%wgC)jJGPF@z!vix%Wo?N1o0`*&I=%S9 zWwwqHsuYz^PE;AM8Uu~zy}jN^@vM3AY0>+f27JAfqxm`7}*HBEKwFj>UV6aB?ZDB`G{`>H74o{#Q4~jF9!=M3rR1d!0xTl9mjo51gG1L5MA^ zu|0IPgOFew&g}MMtcAHjDu5usNlB8yLN)TsTd`L}KImjY5GJ}|8y+_~0r=-Sg>%P6 z#p0Wb*R_+PQKo%j(d}R}r(He(z#Y&C(MvhJVrFYzoORgxv5jD@u<)MfIa*a?# zGs@@S#yavBTJ%9KVsG$0XQhfBv|nNxH=ev>&ePBou+f*ZT$@s-e#7qlJp&e6CExFT zdxQ#R56-y;31yd2O{Ywuu@chk(j$nUyU2em9lvW=Gx^Z2%7b~_ftbC6yG}-T4@Rse zWo!$@1h4PBEiHw1V%^mi+mR;iSWAy&^8IwhGj{cIX{C9E%Ah0aZ=k&>viEq<64nyd za&G%4+oGu=C;DArz2Oc)GS_6meXY_!qeg1{^-v9677)O>h1!GoOZ`AH#hp9@xCWD5 zHK*lIwH3E-UvbYKrJrpD+xnJHLo|$G9myv1P6!Jn^(UA-;50SOfB7XPbVXWEmltFX zbZEZmTwral>BnIrM78r>x{OUOo^_LNfVd-sT;AB{7vekky4x|>F15K`g{B9Iepl$o z?N#<-VV&}N-4=Ahb7!9C4D%L}pS@@n_qh+E%8>Z3Zeo5gK4Fi%l8O%MJyF=_q?DMU zc}R9g-Rq6dSur0@!<8nqOhPA%iZduRRQGt z$Ta+^-v4#8tgv9#^ z=5#ygTp!WA4sZu`={|oZy0%AKB!B{UaRvX0mAYSt_S5XRbFc2q5Kl1|WS{XW8@(&o zHCbKh7B`a1kF)aULUoit$J~y>2AKLff;H|9O(w{lyV#u1Dc~Y8R9>sWzprhIYlz5o%BA9{W2NXf$QaFR7A(374G3|CfEfI-1O-CL3t{yc+ zbu~C~P*QyiEzQN|^-`|Q_8`M(+gTfx#j}}D7B*d-7sa|Mv`1u7_@jnF; zBhZ}`a?ho)^1D{p%98vM(hzF$=9<#~JVDUJ7#YL-b%KDQT7hZVY6$PHQcqA1Yr=6> zZquqf3doYfO}FT`V&^$q_eO-}C7+cz%)AEEa1J<6%`1;?oF01@3CIrBq&U@Rw7Iki z*A4Fx6d0T7d9{pXQr)~ee$ylY5t>C=sNt>jXBdf==fctruR`)=bTjG zlEfMQ5X&%$W36s8(~3=$IEco_O+Fja->z176uRy1vze(8(p&nSDiq(s*h}U)`w(Ie zLXfY@X;x^qbHBt%MK!#fxyo}61#y4YpAtiQ?>rPlG5DkBL6Kb#{i$c7Zol0=`oB~e zKbq?SO9u04@#-7Cw`e3zlN&WP2@ z(A@Oa?baq{C|&Y)xS%3ym?ZnsSB zeAIl)RTn3Iwb5+4gO7tC=4;Hyh&ncz9|E?NWm2I&5~`EmBBXeFsNN^@ooJQ*>M+bG zs(eeEW5x8bydq_9wMq;XOj-TYJIa$>PdyW|-0f8L%PLUP-~L8`)Z^nTb1+reo+)++ z^pFZu8+6G>-v~B4Z{cBp{E#HT=sxXg(O9nfVd(5(eru7!J#OF;q`qZ%msrMHkAJ9D zc~;zoep4fK8`pd#bN!m8i(8QLbaE?hwWsjY$VF0TuG#bF^F>12Av`?Pl1nt+Nsb#Z z)jxx1LI1=Px*!;7l5sZKuf<;>c&2FQnzY65Zg|x!zurK>&nBtP!PTHx;Ar_0745Pne7ACFp=%WF^bvlzb{ z=i(k4>IC7AgS6uj^b5cFOmzAY6gpjTM=`-v=?R+I)B4inaJVt84s2{P-H>dl8F+#4 zsZQYOcg;?cUlbKTf<6=ijvCqQVp+Ne_KSPPQzF+#5XFvXsOK)*D?QJi%M8yMuIopT z#N5=Soz~^Z_?>3Pl04i&Y+K(EuB*AUC0D()r3xlNDL&$&`!nEFNNNBHuHdif2c%*l z$fT=`O-QEGy(Ve=FuGbHon?}?n(NDliO{{woCVpe*Hy&^%+a346gO`y2lj^23QN)g zpRc@xE?rQJk$+)4c;N@(*(8D|<`4h8cmnTVcd@QBVZfLrLRS@! zNm^e^Mvs!d=w

    mC!?;!*UVpB~RaoAI)Ilu&n>tff_wac&Vj8*x{A*gO&N~%ci&& z>)^r8=`RBO#?%ETTIPIHsYbbPj?z}Eql9&d(#X!BiC7VAHp1$01)rUS2Q(OE>Fw+q z|1`7Jma~lI@=!r>C+*Xyc>hWCI|60akzJ>K3gyUe*1?@=KDAOyCWayqT0EH#8h|hT zVWAN>Ff{}*AnutEW2I=t7j;dAxomjpb(6(fdr}lMFL`=z^T)GkV5p`CQfpEuPk=e$ zfwb}d8#AZtAw}Q53V~WCgOgobnJiN1_N&d(USZ^I6yUzkPF;}9gip8lVAOPNr+`sD zNG>HYCs}t*t&Y7R0@sQowEGw{WCaz~Mz1^VPH3#c%0J4PWNPi^BA(Hb5w(mD|LUzy zZI41N$%pR#-KkK!)rXjZJC5*{YxNhs8QtbqCe)!L@@3Ec&}3qI&$>MH^}a#%%$dwt zg?R8dcL`zdDwtuIII3Zoo-B25wO1LrP(>PisHXPPouS$2a#d%TB6t_)aQe_LT7CPv zvdPaf9FJGrvvh%oY#13zF$~lSGQineVgZ!moTwD6Y~H9XGnpPDc6IpjwD6O2yRiXg zJF{LoB@L`9G5xB1z(v023lRhAI~(VeNk(Roc#Ry56t7^wDcJ|nQq7ejy6;HT3 z@d-gfhzszK2!ikHTbHRaVTAXCV(A*m)wo-n4}*enQVyA16eK-N_4~R+Fdo~lbt0I_ zz*Tk9GZ58pCessi0A&=*^&vV%KPghH&a+ik8xLH#a=P4sX=IsCpcQIvD9Q!YUlGBE0@T_wb=a79kzTxvqAl!dHa>3NWsF~Q2C1Er5&?@v%X3Ty$L@(>?{0bzmn4i7dH@D}nrc#XbQ)B7~v+K?TIZr-<+8;sq z8oRMCrlvd*?}V;2eNm7f zK#Y28kVk&NKEFo*4oknXIz*v2Z!UjZ&Ej&(kfM^GT*f5X*G_}Vi(jzF-tJiE8C2Qm z!F!a^FlkU7B(-70+EL<);QhYljC09Z0KIw z?&Kf2Ey%1LUkQP>rV1N%8{+dMKyTmGUgAC>NnV=|Hm_x_bf;0N3QY@E7_%I#SmPoq z!^MY_R%}I>$DS#;SoTjrt_4%dOkUD{h#rnY;V`f7&!vih_x!#&&sY=n8D>|QX!xM^ z5ky7PE_4OlZDcA<=S?R(3=x2@B2Nt3r}zqE215_@P0ksQKAL2_UFefg^`a#6y`X5M z`wy%^#aJT(cI%<~qT>$CRS-+kDs4%d%}0>o&^&jJh4G;nz!Q(ipXGs?J1QBNG0?B&CRb|?Wq8`kh6s28C1{u`iUb)Wk6LBG>8e$%t?M(FMV z=wt+tCZyDhy8yJJp|0{1g{hrbJ7+s%tYK@e+PsXRKjx%j`sK)8)xcT8kKFddNffIT zeXcMTKO59c>4ITx|Ac*CgzGliSXbyy3~(Le#LxX}@PcL(#8<-q%v{>RosYl9C#DIO&4b&wNOTnuR`_yzXzJD*#`wgv(2LkJi`1cpfidgW_?quT z5Yph2h2e1IRVF#^DJF{?wD+|MjrJJ_`k$kBe#HjHR_P@8`MzvJRb!=xnz@VG8>{qJ z6hKId&g61<1F^9KXW@3RfDqgO+Gsy^-8Z_^x+I+U+JFa@Z0bIFvGK4@M^i`K1}3B+ zlE=X+jfeo05VTcv=f1MfpIc}ppt%ZRdhZyfkvWm39y+m-4?PQuAp&1DuUMwbd5POl zMeteTlX*1Vjf|IJsoM%ID9SF37J3o~Cv)IMY{{bLAokVx&tB|O9>YjHL$=6P;KMkK zfUjHCv!cMW(z9G}9(zJxPDa!Ihk&$lGt0-#;Ve? zU=pLwfqZP!C{RBu$S^`MLybB++>O-5j<_*3=uSxBjEKs{jHR6Q3aZQmCiACvPtWde z4h+p-OVybsT)7TKW{!N|@|sYih8iiwBp*?q4%8K_a06iiY!$UQ7Co(Y2V)N9`FFuo zN*$|FZ$3oyNdngjt87tpSp4JspoqLSz>X#!B|x{YQ^U|EqQ!?FLmVV8jw+hVAPf^F zZ#FdMFi4|eIxRKHz&wZWing*w`mF_@|J*zD(jRD^Yi}f`o-ucj!TE|O$OiAexHp~| zc+TME=_2N$RAwBBNXAZ=J9xSnI+VK-j?d&7rM9Zz*hB^AW0NB}omg+qanGYJQbiX<4qqQg7_n zoa~Ij>jv*_@<5yJSR`Q_$2_9Q79*8GbsqUmPPNvz~tsJhtV240ee+iLRgvd^sP{W#N(L~vC>L^A7bxj72Ye`xq z$G{n0n`==zfiGd!0Vbd5vf50SIO_$qyH^Lv&=ZHTFR$;Y^4eNMwc9D&% z%g@9z7dY=H&h*XMy35RKQQ#D$sL4Z0HI)5s)MID-W@jvg_+za-xT+Y{yQvYE4t2RM z8!PIn2j-l==FGhu%&fq5ESZ~a2Z&cFy0I0>0OFPRblxpMJu93|wPkbxNdxdHrF3QE znBmCXyXtGlE)j|aaTi-}>kT8fw6K=yGr>x6k1Xqy4@Ll*QuS4X$k|`xz7#?-J)hGD z9mf+NDq(!QaUuMcnrMahOKtj&B5wJlPH2_s9Nb*)xk~!bcUH}vymg;FxEKOBvDuTh zt3Mx_{6J@qUd`Y0poGLTRdUcso$7EYw2^)M6x{nIogKPfWI;p+cXxk(2#(54Pw9xwHOpeHu)DJ>xG^c2dKtSk*bFx1TIg249}*=^SC0x4aTTx18FcC) zl~kP)-)E(+)k}*n5co%he5|Tb1BV3DFx0=BQob%*WMd8I3O&_VV%x0?`0B=Llv#HG z7L%{|u2-_oqh`g3gn#4BvmP$5_|r?^=zR6OeH}f3yNtE8fLB@ zqCw5`j>u_&36ED0cbDQj(aB5Wy6Rw=2>}}JfF9WRC~0cOW=pO^WOuui#r-kbALuD= zRt5m0X^SVSg`0s(y5E?ac2+y_V4Cxqwp6;2`nMiu3wm4u+BuTX^h|}drB)kj>iOTcJw}}~Y;oO-}K!|ZJe^+|K+~SF`TbEU6Iqjt7e&U+%uOWB1 zG1_-nDSbQ8S>l_7iWW0@_rG~X3Ja~?j8*QdR8DjB6U)pJNtNnpXY>?7L3zEGu$CIG z&3B9)(VS~HH%3*^77iv-eB-Ueq3D%|@Vo4s0&K;fD-PoTfCAfdgyB{pCY!^Q$HXoS(w~2wS|jw*>*1>DM($Pc1z6 z-U1Ls>-v78ajMvIdn0f%Sz@ovEl)bL-yP>-a(CRaGyKI4?wseB%lv-XK{NhD*H>FW zMt9}W(6q*kukTBAGKZqNqb+(V0@Ilhy$ z!*w;M$)e^duDkZc>q@o4V)-B*>ZZ00qs}e#f(tS;924Y1LVy~MA(BM(>*PmXczvmC zDk5GPmeJej_!`Au{YjW?s+!{`V>WpTmI_!dYomg})t&)ziThc>L-)@}ks(N=a<0u7 zg1P3oOETmAaQ=lhW|7P&1SLM=Y7r7S_?&_fJ^}~y}nF_GX`mN+G%7LD0e)C*IV~TN{_xWM#(87A7(;WAgN6@OC+uKS{ zRMW9yKZkjv%DU>Rz+^DfPbGu+xy?XoHL+To$WyZMH_C2mu`7rTdM%9?)%T71!7}bEj9lH>WHZM+- zpFu#b!@oZB^UZMUBw}0vf94IBnssBx8JMw*LutSoiUvdi8gxdgt@J;-FAz}VaGd6@ z<_;uULY~O5KhJWJiADXfQj0&wXJ4!bDFtpD%e(Zi;2Ix6qv(1Dxq}6ge4$M3@-+dL zvI}|*41_eH`B)(aMB68q7cAIG3mF-c^)7%%fRwni2C|wi5wa`sGump&QMzj>b)b)Q z4(7qX`L485=z&-A%Igu726zt{z<|pyOm!H2&q>SIj8%V5%-qh%mT~bz(m?3<^azYj z?28CggGzJtoRagXx}0JE+{9H=*;ox){mI75oqr??M$E1d+fG_oc!A&evazyR$;Hq|D5`jN9F zd)vp|cgX~1N#pghdB?w8+y?9DUv#F>joeXYd73y!D^-slO3xL(qN?q(&SM*}<^##u zkdT}n-%)wuuZ~x|)+0Pd>0gb`p%Bdzsd?d{`Eh0mQI9J+++sS(J#ddh9neP6BWKsTD4j%wuCGp(gJxokKt>pGz=xo{d+K={OHPBH0J#d4q^`Y0ze#=@OQ z<@Eb;a7KN!eC^0uwPqxCIc*fzLWY;Hz~!!#UVXlxD@l@ng@45GAqt;LnwTrke}+eyDw3JX z{ixwN{>ktfeB4@dfZ7syoMQDONZcDsB|b7O48<>yMDg6ag>k~rH34*FQY70(`oCCv z@31DjZ(B473P=->-c*`^fFcOeq9RQM6zNqdQbRAH22gqj0qGs2i=7-Nn_jpIa-ZSOTduRtsootZNar2NEyksrR`k?MD26rf`jdb$j$j?Q)|l86?0+kM#w$( z7J1LgK2=+z3DHWjm&hocE1q+0%*~3Va}&#G-?+hFH{2!etpv%$F!m1{%;E%5P0M@B zdoiJH^O^GB9F1Vz(WI@@lAAt%K~FBk&3(QEL_Z{K%WZB$ieMXkJ@o5mr9b+}kZhe1IlKVyM2XhhLvQpXgKZ%!8u5!+4H# zyna&D$SR|_`1))>hYu?s)wW=YzBGTxHo#VP)63qQ5F3J5FV&G*bEj`SgHAt-XQS*j zN!wUsO|=gw#%2zo4hoPhCf#6!*Q3ivsV(JgbGadVO%-3Q+1FXJYy!n;M?9IbskHtp z_MI^t>sK6J1P zRH0k4$8hq#mPOmoVtZ39_WzXLX6)73+v{6jWF)PLXPRz&67^?&RsaPf8p3d&ER!RQ zSTvGvob9ZCI+m|_#iym0>>QKQ|L$qR@f{L{KFUvOBDw2=GksHNKap0BCsF+Ry`GRb&)Z~#EKIir{H6o8U>wN&mk#xg`~x2SgP^qNzn;kAgUwnx?P9e;n_AXBIK zTfRL$%YQ-SQGY=n;CKz#m753b7M>8?<-j0Ym&uoOVLZK`I{!%f4l+C|C8D97pOV44 zpA~fvhrCiA!@_&nA4RN2K*60!`qC51ITUKoYY88eIbUb@s(j=6hLGJdJm8GwhsXGF z?l7xW10d5`oG+4v&6G^HLg=9Rr5~g`zz6x@g-64MZl=PRPKC|k8RQ%%ntYZuR{;Zd zn>1JA2wdTj&vKpp(K(8x?il{OPizD`*>%S%i>5YN+$iTAa z3wnpBN(QJ$_a!$x3gRQwXkY8go<-zkG&Sl55%>cCO_?p3M4${Z6MKIm=#E0hL}qoi z(ogzu7u)c`)oQEZD&BQrFaClI`#WAor94}~HHCagMY;*zTH4v|fXmWg^~$%#ty51k zW^!PjR=I1v@t^!J5PA%P^>FoXw17IdWi^HlnuO{(hFZ3#x+lx5XViFj%%+x&#J=J( zrFmQxxIQPqdcx|qZLIZU&Q>zG2$Oqs*{-{*jkdbXr+$rhPJ!fk+@vJ1Fe4@Ocl07O zI?ELkCcwSL2#4mN52`A*jUNP$dQMF9BrRFdbQXTS?ng#4DDx^?{Kd7O~JS$!cNw6GQjM>+9C7 zm9yBs&7ZR227|`1mgvG?t{HwNBDdi&<+z&PA@I$Vty=QXwtE z&}x55g8pGQAYQ?j(Gw;Jm}vuk7$`(l_Lgmr(gu$G=9`9=BkdPP{%o?&kY}<^SK{}u4(x=mEy9`+O8g_^9ktYQ;XCwenCC7j+cl(zIpWH zPpr~H3-phsS?Wk~*JW~K>rJeCJJkMw&8&-7@8_gg=*-<#Z{2Al1!r>mvK=xI>2AQV z71VyDPYa{=Jyp2V08CZz4G%fRm*yLEn;}GU=X#w-+pMX^K;9=Hb*AmQIuqJj3d=rY zmU;|F$bsO;FK^=o2z}WzHN{ga@BDcQIOCJe-EKl(PIl;LMRCshG4E(Id&xO)*Olij z=-1qGYC5+Yi_bLR4890w4d#rlwcpghaQL6@I~zo?7-1AcYRSHML%1Wetm}W7matRt z&2b2GItNKGanhN*HZtpl(Yl)46%VQ9ptP3MO6>Noy%YCmS+wJYLYNBO>xHIxN+nb| z$PCAZ&H@5jWoWYgMnJxe4j+m_K`iNL@Oj3&yZ2vO@G^0s{>-FH+Ghdq7D_Q>Pg;ib z*91<-*1dWg4HBGKU8J6|P+#FD<6G!JMW2p9IeOqrMAJSlWcHnZAy`%IEh@0+Jeh#v*@sw9Cvq9A6*Ki6(9y61&GDQv=nwop`MXu>=%*} zhUYu#?;aBNbvul%h7`XC2m(L7%!I0rg;}Q;Cg6VXE4U zro>EjAn_T;nc-xgj zSY_o({bWsW5<}ShtJt38=X2^zjGU=(??PK+&X`q(uyUNtkF7fWG*@{@(oZ$`$W zzZ7U{l;atR=LnuZ-+sZI$&N8DEyD65XG5g<3KwjcPw@c`E{z9fbS-71L>~w4ztde$ z(F8r;j0;~zS4K!HQbpWD_eGx!KU;X;SnFLXwJ2oiZJY6xl-AaNXQ)Bl@uf6ol%v4v zaydu`=<nZ-?`YCV-}}p=1jo9GdL9M$q~F1*;o{f=h~9xX-044 z}}sain4bYEJAw1QPDcQtA=z8c@6l}K^#f9l-{kv`-KfLB0^ zpc;qWZB)|FmWU^OI7&>G7lxcg%U^1ls9UWiWAB_3WNK08-did$!iQ!O{PUF`uvtjuW|dq;**M{O zALT+Y;-oZt!Tl}qAG1YP3te^+8HsF4+ZVH9R3RKUPMC1|C70dr<*goMe!4@WbY-f? zW%?>eqiJrFKo?c}4&Bz{-GkvtE5v4Lpyf~W%SY$S&Cv&?o6VH%-}K%$+>3*IVd{VM zi8=H!8y8@Bk=ij{t~9CZL2JwjR)}!MB)v~u%7hM#{DX!!6edVUfewWKX23zTVjLpH z+GuU+*l$dnD2raxuR|(@PHn>&_G$LXF|Rm@ir)>2i!;ekcmlKUy&LM9Lr(q9c3oVL zHAaMjb1zt?BU>K)C_9OK`8h?*w@V#J?ocUCbk;}RYKdW{c7e@BFHNhaaU%Ztjhexx zyl+p1lkVnR#4vKEV~q6*Wu_V-`Kgh7H+OwLDr7!f_UgO;zCrGzIbmEn`55uIA2)jc zEYG57n^`D7l|4Arv@v?{PBo+dySUDkRcim2_mv4*+)pc1&I|#m6fH9A3Xr!<6x&YQ z4#O-do?R(npCEVHv_F%IEL*!=XFWx9Q@qfrJ|jf;|$?7V<-WFGMYP zP^X()vWW<2H@B_E;9`s!{(^qm17K60U8%n4b=j1#ZTj=1r#ljQtDc?>TKnE6o5ho` z&OS8wn$q|mL0Ucs4iKnS;i&$CzUl5%kH{A}*{4WRwq?|w>UfgTpOW?7A zzo4rRO#tQ^vjV$hZ5ICv`l1BJmTW_WJ~r0|?~GU#)n(8t6@+zhg?8Ql7EJ=Mla(B$ zc+wddl66K$t$tH^`d}bz=%e1BU)M{1?^(;5&4@=5u(;&}as?y)$D3-K#R-^pHXK>;r}h+MknGDOj}YGbsdgCMzui!d2L6 z2bIQu?lAIkQE%BMVJ$G6s+i%;P{H!e$qAI&lx1BYqy3CdeO=5)hI^FmPwYvE0F5BQ z(*MWf(*JkQku{PP zx^P%+xOkn)khXVLb&;S_t2`Hhd+X#c6)!Nl*ZRn}1c7(JzVN#zcc%1ufoHs~WhlI&pN}(t@7y~Hz$j~aWEflHeh`0DSNO2B?6+MtImk=o!98LUK0{MEUfc# zHt3|qO}OU|b0X%uvB)bfs_J3iihS~_9TxW5Nh@}ipV;KpN`{?QK8V`;d|Tmy?U_822raE~_ zRxW9EXc4Mc_Sr$T1ZYR%NW@gyJEcl0P-DrmIhC4Gc-XOtIKq0fyQ$B{Xr+PkotHa*vKjHY^s$``} z)!(4tMQ0UzLMO-KUZ`60YB^3|rn1715!=*Nr>!5IR$?`7SeODSc{TP&usL+!&PYw2 zm@hr^j>|B}b+(NL5aSyJ0CA}!d5H&Jmr=gn?A>1mng15sDV~t>xQmI9%~4Ij;WZ{b zbtI*%pd!kRILx&_LOIu{KTc^x^Lu+NO&V(?F;R5GwklR^4c1wRhRwTQz~;5*7p?(_ z)8Q|uuNu(UKXDs)L-wRhrt#wI&EwzqqXFAh`u3ixOcC`KkS9+b#-z{7y(!;nwv9}> zMMe9#wTBAF^_W9^@ZFJ5$K!eps^1FUpBpJ$R@s}7l|h$%;W+gbKs>y#KpID@il2;j zkQ9fGfm6d@9dkMb`l)*rV`%Xz@J{d*pb6gQAOFW!VSp4PehpAu+nSmL2(W5;Gt5aK-MG7E`0RVTPaIzqTE76Rw6?8u_+a z&f$uV;n$1brK&UMhCxnkcm3{nte8-3AsTg`l|p{(+?gkE5F$~gUikf1z(GRouG#Zl zgT~D;!7^#8AK28sp(#PZnr$)0ug;$==s)*D|{7A3M9uik(gaTHNJOA8X9a?u)7Y(;<^PLJ4AJRZC2+L{UWC zB)+3-QF->x&QE5=4o??xy8lSfQNmX@faaO4kP4cX^rC%S+lOO^J8c^*cmT3mc*{Wv z>o@BGpV6pabJmsbte0<0xt3cR3FO!?{9Ihj$EZ4R*K4#{28%pCDkW@uwy*sc1Q(;a zq^qsGy0QeO?e1h`ytc>g__-{73#n%OH$%t}M=i&?@#j;imn~^ZnAQZr_(RF&h@wR~ z|F>27E^i|9R|FJ%dZqo}^*=sb51{BCH+ac)PQ&%CyI@K2V@dceWiB*`ZMO<*nLThb z&lZtS!Cvs=LCxdeLFQF;78>{Noj7-SqbXPKBvI#781|NZQc2udK6P1M`F+zzK}M(W z!i-|s`#LLYCE1%1F)|Of8onu}yN~Z-2&v$jOf|IJ|Lm&w%o5w&(jrBBsGHhaocj`U zZemrQ8#{-UTs2ZIr#dv3b%m^FG#`@OlER@7Epk1rv}4UCMPwW_+ew!}zv4CDkeG9Q zO#Br5;ee#Xi^^YGI2#})tPs-l$xr4o#NOI1_>Gt!-=-UxP)SeXbULlf0GrGDVH3ci zX=fgFQ_SZt#&KXSdS~lKt#l0)$KnkIGm{#rO|O`gP(xhV)1{Vov0xjggxQXC*ovDC zZwD;IM)(CfGXtMQk^lsSNDg7%bH9aWs9FbGc2e{~b@3J=x~Ndm1(w&?^6lWT^+Az9 zKlm95F-4{)0E@X5E!kWq)uM{fWeA24*9cWLB{zYVH+=R*^%OZv8sw%bUHuyAK~ZJBmF9U4lS3fkn5rVD{Uy(l6XvOuFjCz0$~~fAKv~0}U0r zSG5yz(P~>@VaPBa4mYIsM4}Jag)d2kEA{n@-j~9IayRmz#5S?SZ_UC%$l9zg?n6bY zg6G{-OrwxH$Hp=Snhf-j4CyLN@0cXk%*{XNC2IoqCg|!;73-}iDsy&%F1gorN4iN`@DLk6be>Ncz51g>pg3bbbqMhz zuR+87MFISmBKf@>8F!Zmu39SITtX(ytgrB<9{M~`S{%7}>-hDAXav+XZGhb%Li=~s z;K+?Sxr7c7xodmxL&Bj$X}(GWY;}hVHfuFq=ZGgae*3Hn>&Li+BIy}7<{8Y1fj;tk zAM3RWhuMHH?#uE3%h2SSKfJN>Hck?q{Pk2SO0Ek*R}i(g5|T*3MHm@0Af-qc)L!mz z7wfHp(GGIUvz=+PowRqq_<0ed-cfPt}Be?g)se4h+ZUEAm=V;ppw z#+ym~E77)_s4lBpx31g`YYl>xHj$61?I zGzF&n7mauW$UKp4^m#{*TQakJTexTxjR~!FFjla6j{ui;FG|vaq zEdkNuVTh==I@K1lUtAH-kjg_El0Kh@z3L4+w!zqaD-L;dZ}FpC0V9TPFTXtJHOHz) zX-r|GAX0JKEim&*N!PeEZ_ZXGojS!f!pAzNM44lr`*X_e{@)`-o?L-?jR`aU5{Hp@ z`pRrgC`Fq*#}>1wJBq&=K6$**$Jt~CY-*Uck8fB0J2Qz7NU9K78noMf&t~w6lr2lc z5&K8IWuibW71~-T$HR71fivP(%BuHrOk;AG7>o+`+3Kg&FKc=@2PE8~7Sc$=m8N;1 zI;f0LWL(?um(l)z+J64uezy9csc@mKtU%^~!13Ywmy``ASRHm?N0cMp1;Yw_0di_Z zGmpT|ev|R$DCU;@b+y{v>mpu(*4gX#o$rm7aRM;_w=$LnXk_U+0L}6EU(jt-`(Mxr z&p+)zHyvRZvBm51>(M?u!O=2?3bw-wu(p3e`!P~2nM$pr6lGwmmc|sp@|*#dHM3|X zqM-N5rUd+<`(MIO!NgEMFvV8qZ`HH36fWYAD_fouQa8rT(w#L+C+pKGbr02aUF%>es+%NmW ztmh<=arO%vchp`Tpgi7URHs}QAbOCB-1^L=jeGitvk}wydv@$3$gxC)hse0_igml& z$G*0Tlc#M6At_(|39=zg^v{I;48q=SqgZji71Z<1dg5BI-&R$<#mqtS1X?fJLGG19 zC4D1AJjop(0pQ!DF`c%vEigvsy;?>eWh5o-|~& zzWm5eUx07zo`v7s&;unhcLyG+w}sG~284bF#H+lxWQVV?a{ytO(5n37+^kvkT~ar! z^trtF?(F(P|1mGSo~WxI7dC69jlu?{GJ})1u!YqNyi$1{85h@Pbzh?6qH0P<<+`#6 zW(KppZk)Xm9=K9O;HHn7%PjqHDEsHbo<)0DfLcYG0GiT0@Pe5}EB;R}7=;@3IUEJ7 z#F+NOj{~albi}v@SSO`4Kx){v{qmc=fE#y0wTcoP8H~tWX!QX}!Myy|2g*MvOA%Mdd zdhP$V@vWrk5>kys*}|QuPD1L&sl>kFVU(yiz*)2kTeq^(?^`@rP^QuS$j}@`lyJZA z=69}p0Rsc0V!Oul7@@>f6Ds{(X4N&?dDS}!&h^*Jtfes5=$FKW4+(E{^(I*PF-%wD zAG{K+8$Y05+Bka1ZR?$A8o>P(q+2YM9gtBTt4`ZO}adg{jT%RfxHA`;A2U znLdpzk1A83d=;-CFw!c6%~QvK+uK-Lqb*V=Mph9E``%7>M}$5tu4k>@RwLa`M@f{& zM9qv@@1h6nOjVqyB3!SaxE#2-xzcTN7%Aw6)YvVC;8rok)fh6I(lh2rva)R_8}U@7 z;OW`x+Ye_4Qs99F5$TE2e?h-)+HEg!W56M@AVX-A&Qu$cbB5<<%m?^z{EK^fW|5)u z@BDXyQX&9h;@jJsKB9^^{^b}>;E8s-%a`}Ghd0V$1wOa2&PkLX1Fv1Z$rgTU`lcc@ zqs(F7sYgjO7mgABVylk@9G8|YOW$Q?JRGiEQr}I z$A}Y0%W?AT6tK{ryOMen_<`&EOjhqZcM-mrw8+C_p9(L?sLdocuL2tLcGl-+*%teQ zBOh>?%?z{MrR7{MOzg9zd-Cu{T?>#=9^($B4PnVV)x2(1eWY0zc)pvS=}LVb2iVsx zAIloGxb(coMieUNVg*)45}z*$#)O_2SW5Kjaau^bZ@*wi%Ks#rVI&gp^1$Nkv;bN*PKl8O@Bhfr{ib>8#Qbj>NH_9|{EvZD zMAV4&Nay2TQc5#Q<*)u_mH z7>q?93Jj{N?+&@-pcTVwt!frBq^=lrF=X4bf_4s&BKXKe=>tXHtVec6W^s4nqxGO3 z_*4E96ZAJ5APYZ$CIC$-+sn8_1B7gfW#0BrUF)ADf}e=^Z|KG=KlEO4S+?yozI+>I5O zYL+W_S!uD^UJ9Trnz3_7vGCqNjGQCZl#$>YaheD+2L&(M^&jxmkl2` zTLlnsI~{){jEnYUEEbmzQ4SyNG8RVf$v(ka|sIpA{`3;f%;A{;e*F7?kloSPhq{0!O8iy zcXo6n;h}CRkbG-9)O0OFL-<&%;=vS}gveh_O=<>cl%y!c!g{<~n+lC=-q-yY=I#k= z;F%mTE!dzo3!@w(vn&M6`AYvQJ<5Oa`2Rsi?z-#p1;5{Ypo$Yp0g&*+-I5AhZJo8cBdI2l$^&)R>cGn4NSbe1Un`2M%jJ1<$M zOwbWKz=V^*Cg5?_%eV+d+=I)uYbrmW*lT0<0OU7g#NtIhPo_emnxCv@c=yce_ZH_x zBqj{kDCMPCM3|O5xKjn;{+|BC&R?C7V$zRB&Kg~+fcD;{No#qqyFcTVue}pP*PgPj zNL}@*_o#l9Q|76W_`kjhP=1oM0tL0)av1oqHv{O!sv-D2=ks_PhJ1`Z3>RBwHnC-H zjhz5-{|bM|{G8L=O&8VMD;2Pqv^{pVDh;W$*5`;mb+5%ZgyP74EE_%Y(Ehcx3<>!# zm-BjNjg+i__0J?PGV!zc< zeR4Z1g-#oh(7eQqb-NBj+c*%wY&`K6iHlhat)p;u&)9>bbB?AB z*ToUZ$eGIj&iDf9IK*TDb}I+Xa8?wzKCiEL-L!XAy~a3lDC~H(WTN`Vvbe`XCrmUc zp`fOed@r(UaG;r!x{I!Vgi4~n!uG#0l5Hz(R4BzEZV|uc}AQf+Exzm%x-+k?Gi2P|j(BGjz{;~r(=adQz(!b7&|1fke9wy|c zz9P1j*cZ|e7_w9)1%cc%9uZi8&Nn#lncRA8u+a|4z}Yjvfz52T$S=Omv&mkWm43)W zF_up9Y6w!t|3*omWA;aLz)O~48UVe#F}&B<$+ipnn15Mf*@WH3)cASK@>d__vqRo1 z!u_l*y!Ih@G*s~%PSNC)86rF7qk)dW@%j->;l$4lfBM1)|ALf`nitc!m1PgsN8HVR z?EZ%(_Mgpu+g{_lRT!;|Mk5s`Yfo$53`<{|E8e;U#y?R968F}qJLm?eLYVPCENU@X zF|}+Ca-WH>WCa-Qx_?mpNmArv(CZyXO=oqYy!gw>#Is&uQle65ko8R!XqILh1ZpqZECSxnm(RTC%dx-A>^7eM) z$iI$%ITg7T;Y%a=wD+x36>emewWChZ2b3cZqVIvis9%uLz^KYWkbjSn0{00LR{?Q5 z4b|;kN%5>R*ggwi8E!uf*Y@PL{X$&2r9}T|xnmZ(vRNI7J_->4i})ON!Qb+#+1JM! z#WK{QTz1e5zFET@w3z>Xs8ChlYw)U4z-NZrr1uFV-p@2TF3rQ4r80RuuTyS2m8KL7 zW+YB}wRoApSFB*2tr+k%1+y@o1n4zcD*+=-pjr6fC}3McE{3V7d}kiHIa`v@G$_z^96sCZzg#3P;uDT;p>&+Ul2jo1&cm!*Dkg;jb#|7_Y075 ztzEr#pFy}7gnYYkr(fTikUyarL_qnYJ*r+d#8`zY%17^$6GiWjOPRCG_AG&~2$2{W{S@VP`j9q=@t0N=$p;LxQRInr=~+Z^s4w zCd+o7>HF3>Z7hyd@%WX!ICyyDl`O9(ulxz7zXI^6_+(n~_4o1nYekffG;6B}`6-=xw{E-(jtBZB3tr|Dmi=gWSa zbrw2q3%1ZUm$e}2a5js>`WDNMp9A@}bmXw<*mZmK4G19M|5pwnJu^5Jvpgg2mNn+) zco9;9>IwUbNus!+9+rpF?4+Y|(efaO&hKPqSaAHIcH@jFql3M@SosH$!q{1R_od&m zrg2rQAIUGBCR+Obwf#e2s9DT0S-UZ+`;}HS#6TnNbybZ$LCg23{&tb9cP}_|=(g6Y zHcDobcVePZHWf*?XjChG?e_3YZc{xIu30yCs{g#SMGnh+4tT#7jZ(Ohy6N1Z#ucSZ zl;Xmn8T`aJjS^QM`Quy2oqS}l)-O`Jy;dsBU1P3E zi|?->m4X&mV(Sf%RldMdqzOne(e>jTF}Vocue{-%n&e}w-=1w9Wz~Q^!+z*UNiu!O zyep(zXkBA3^3A^45Ro;Z6Y8f;{`2fw#c?#8dh}-K8DomLnnUUQ`D_uat*~`b9t!WV zm2#l*(ZZ@g_!^@}E(JB~3HH~Yq-k<1Ng0u{Qg@MO23O&E4GiU0eTk9F!8k#Wax3~q zsU_w7uF~rOZWB?3rb4rZ&Xx72qu)tK1U5%!N3*Re>98LxR@}6yJ9HeHoHf6=JiM+u+Dy7?ZwmMIN%{?VJlswDk=)6RAy+(J=sa>#% zcam*ayw80MJGr`)wi2an+cSn7x0pWI|Co_u&^bx+p6y#OzpRVDf-;-!Q$=(|T%M07 zguU0h0aAeeI-X{blKP2=XCI{HF+|5T(80^`_BQCGk`7w9{1VNRv+BfH53lzZBK9~z|gHcOM0`DhttFMr|)6}+3#;1Sv0 zg~z{22T<>rAH+FWs_w3yMlM9Yd+yTmelq4W|(qRpTr7V!%8= zXAb-eysC0048HoXFMx0JTjm_RTK3pjo`mb8uRS{NAOL4_hoFij`EV(=H%n{n8$7TR zrQiN{oSULo99;rE%c0Uw@)mGjvW&r__Q5_xGYSj8VW)yn&5FH)HQEuE!x!u+=<^=; zObK*UOsMM?FTAE%d^hO?CqJXfn~U1RLtybw`3c$Ir_&-|39T9hi3Il@-o zJr1Q#x(v0sdM}g|ZExBHPn@zp!p)5iuD`tZn5m-!^}SiXU;!G^=fNO*cUCO{WkfS0 zWt;5H`N-Metxr$XbX|ysX6*ac4}eoX*|34p_-V_Hq1DeB$d(VPEz_J|RHy7vAIFRm z&1gkM>=E;ngn8!XUSkAhKFSy{P(9s8F9%5zU$x%7>%CH6;ER?9Y~I&M3Z7CG{>FbJ_@IkTScPHLaB|4D+fb@2?<)p? zwzaCq&xOxkyS|dYFaj^J(w+lkxyeoFh>^?t#_`i(deln{MH!!q;MYm6j$G3haa?Ll z9yg>|&nh3+0_h!vXMlJA1z`b&I+Eot=(`C5@DKs2pNrEA={5m8ar%{6iv#v~E#&EH z=MaWn28^eP`rNVaI&jkIH*jXfsLb^Od`R?lpn3CN5FP2U@RIn!8j0YlcDONMkVfAyn@KDZq0Gi;jDKl4_6QY}xEpuBeR zC2`4VlE`1dwtl8rtOzHF5&z=g!|ujntNKA#mH$fZO0&90@^`e)#IL8H;$oj6qn`rv zb=%W}W_R=?hHSfyPV6s;aT!~- z1EAIByBgN1t^yv9$UfhH-TmW7yZz_SANyol6XP%|W9? z_Cv<$(jK`-zcfo|H+#W8zdCG!rv|u*UCGh7vzWbIzDntgE9p@|vi-#`ktn8)z5>s> zC=ACcWqIM;j&{~FbdN#o-+8J|mVtPVF6x9)+6+;B$?9^_)%%fnzbSQfX+G}- zcOn63UxN%X>hq8J9G18HtW|IfsAL82r_Q5*g9ak3+i6~kLTXWVG$K?*c3SG;#zMdx zplwgh3X|;uMy$%yWDD<**ydBrv4oiG#hu6q1kHZ&5yn!js+zr>@>?!3W|-ohGL?TX zI6W2KYEyad;ZnXEQr@MF2@sulcPiguzwkrrut^8;-^*ED_A>ym!`&v?pp zuRs4<3s=^&wV1T^vs9lkO>PX8CM_`BzJv^K6fk|!oGvuD8v59ggpeb53jG%70a1!m zu_W*Kev{~7+Yf*;opM{`)N83d78B$hxw^Y#MkO%(F5V{_`74|1JF9z-&A3V4c)@K^ z)SJ{A(|DBuJ7;kZcc)e^?5BUY0F>qoPU;^n;OSdAfC~s{vnIf{UsR6)L`2*_M1;9- z@V^le;Cbl+fQT^r{M*c4qQ@w=hPoH)fD8%5iIrx2c#YmqEXS`7Jtp4}QJ@^|{)*yU z@B3i*9cyI03Jz_%bKql{)8mn|6H_=)G&l+RJ=Q*OUn7$3u@bSwXnmz|uK&ZWRE3Nj z>sVmRp4bu<`Fx&;gj$D}H{egavRMCa7Kt=rg-xEuRPz(_^UP0)nIafc*7W$DNV+4h z1LEhhg-wGG35j*l!Cy+Ts<@}#g`KYSnsSz;R`2*9yAggO`t*&RLT?r)Q-J+)C4OZ* zyU#H{TeU;q)@**X86(B9&M7glvc|qzYn4q&*bAm|O%ZKlw(+_Za|fePo42T&qVcNG z9XfJ1aDm_vgJ9pw1U0Nt(M~c4`CbhN3)WsxrfffNl6l79`@zHx>HLzn(WWyMx%(iiqL@wxqq^7ZJmZD48ZkBKA-+)e3=cP3$z_o&f&Wly6K zz12kVLRzN5+0Ct;{z;2TgU~|{>PZ0v8 zS8~+28M3y{E?BCrd<2Qong5CHv$r`y(}d4m^TOqzS6$PvQ}OhTJ6TxKqes~V1P z6v}HH8>G^ISlVn`m6fcP%xI@IN}-wP35C*%gNlZD%Dv%5!#{Jw1qf&Uk0{%JABz6( z`~SPW@c%#fMpwDD(L-HcHmVr8m`sQ+B)q*Hw%7g4)RC1skV*!+3zRW95%Fua7&D@ris40PHZ_+2dGYh#vlq=tHb&uq=)8p^x6sh zhKoZ^wFnjeZYHMCe);V!fr2s?@CADS3ROy`h>(?=Ebm&REbE!wE4fyiS z?FlpwQ00)Em9j@U_ooV;#49@pV9vaYxyVg<8wW@B3aUbG63CicHPp&!*Z7(~$uW2Z z7njU_w!s-sLx0~Q<>$+}@OiMv+Ww1<3|n%Ghno2pC-4uG$Yyt5;+T->S@EaUF|L0> zL*6I51)~bspw+ZdDxL(3TXod!)^%{N)7jv) z3|_c)6mLT~)CV3*&Yw&q@DakAPWgS)a_aP-K4&AWQ%Pme>7u}kTKt&MEc#oVQF$l@ zKi?G-!P$o+D9ZN3#?#QXa6PVzXE_?w3NP6U8*S56&6KOKysLER zvua=ZfTAHl@@i|RI^`gk?-;XKH|am%_ME`E&#@P&`xM*LY>gK0gNxsJc@v*yUAzsqcHm1Ae)iCSNBo|>#_d?& zUEaP%16b!oYct03i(3}M*5ragUtXWZom4VKf@UPYXnmOcTUDRXu+`RN zH{?(`|JfNzqrPS`FT{B-b=s#M$(QJ3W=|`@$p+1)^ytJt#nL&TuM}%%_FVO9p(p*E zO@yz_o~k`~_ZQTB)Bu^kfIE8*dx;0t#@vAlBR9LwFk~HW5Kiu-;wRi|@MAT>ISEq| z4UK0jn~wJ)U6NN|VYJ(R6Ej$O5 zABkt#)fWyI)J9O)>>RCF4-R(rGR-A^r9%nC|8eDw{zg!RTBf#rCfOU31J#g`Rki%U zK(lepmJ|Lkm)FJaUS$7Rr61!8`;x_#v@Iez2oGw7uTp4k@|rjAz_E?ooTnW`72#4 zq{LeM@^k6OO6EUCeZLSJ9~_T+O&ygjhwrW)mq@TU&> zwtjB=KitV$Ixfs_D+$6G->FMfDox=Ckk@A2$&xc|7VK4`v3*3^mtU===}Q`T2Ng`d zRXsO#R=f4Y*v#USLvc%V0tBt2I_Xx9@ohZRm=IL`%9phyq7)*Me&a5=vjTLt0VCI& z8r2rM(6x=sVqDxZz2hKsqAIp-Jw$cNC#1>0f*Z+C$K_cAs`d=rtI52dSb2=&R-gnx zRYaw3JH7_HR>Zf%d1Re_4#frZYL#xWWZi^nZ*)!!Zg%Oj)@gg=hl!G?MI9ZU`-ba~ z)gL)UX4ay>^f*;W1%5PBu(}Fe87eJqTf`mdBv-P%Ij4MO ze8-x!zo7LWLMo68C}YJ{SEC^_Pe9?ecG$0_L4*`^_IYP+5bOE2VO!Wf!zwtSSuZ<7 z@=D!71S0!vs=V&eFU7!wUJ;Mi2G%+#DfPGSh^a9q9e75pFZyVG?*Dv`69Gg5OvhS!H=yc}`0+e(FlK+lhZbc1WJI#eWFG zN`At|U)NA-+eUq|piTmqL+MW-RMK>2gep;y`iiXfzv{!fIHa5+AGULN^G?*@AHW9O z|2Znp33kn`4?6v~BTfI4g#14f`@h)tx>w$bP{hb)d6aZZ^A;~E@)Y?xv5x(j{~G_? z-Xe~KLh|Q@q2mj&JcR;OF)pcG;4lgMzXC6Zp0a-Hz^v!W&=Ys zCML$D70zG4tTRlP!|bc0x-FSFz5^@~It6Nm50(M2bwU7GTrIWT%LL3?as>4Mg4#=| z@XC4r@_VJ0X|Z5oOhA%cbg`xMqeJr=+gz3EJ(1p{*>|-nrDWgj%kxJDKE+BtqogER zIU>RF12vT>82je*!`b)dWeUWhN+cSd5Db#T|4|7!CIXfNj4f00=?ij5->^}SVdPX%tyV{5E`L9 zy)U1*zJ}qt*AdLFp^(Kd{JW2JEmOGytcm3--dUoCk=yuzr$3J&qaOUMHF$XE)elhQ zn|AweLc~nQUyAh4!hx0xOi18?+d>prb5d-#oS{4Eu{8A#{a9XU>B_HW_1K{XIWuXq za!c$7WKVAdh7@N2Ta_v|zJxs7p5?F#(rmiO!Sx_s<@%T8iW$1u#jE*JhJ%iWS&%;_ z{T|u)iQuP27L2%p*~Is*UehZ5EQ==zodRfyZ|1@l`p}v?!+A0v$&&V zD1Cnb^_3!CC=1790w`I(3!f>@;VLg3HZIc6{aDt;FnS=(E`>&Ir3wcs*<#JzJ1hr0I!&rNR6uXC5L*aa$2pODOM0L%jvO}um%{s&#@Uo?4&b^8mVg$7@Bd+j}D zf%0T>1+us~w3-Ipx(f#-B>3O5Z2%1ON`YkbKM=E3_1neIp2*Dv_9T3r^@dY{i3P000UV>17@Yyz6yi2gb z4DOV&YG>iK=CnJr=TG@BVR>>$Vd)WnA zG2Bb(=C+JWOH#V`KeKpzM{!66^$*C5vS)q){09%3(C6>djM}E8h5w<~sT7Or6W+sv zm-uGfNvpjWn8NyRlX5I>XWHoEndjzrGYOj}>{Sb5zOFWf3&^n_OJv?l5w3^a3+!H5 z%bfmaBT3iSRYQtO^98@BPlbg;^7RCR>OVQSa6woTzSLVf^1s0hZc5K8BU^6EaC9Ja zLN?u+gGV|Ah}~nXQZycaO&n;y=Q^-!Ao`A&3JEyz*C9LtYK4h+7$$Zk$v1TO^V|fu zYnzT9EY}|(l)FAU1w;!ZViY^}ExVT(TgGa$LM02~s;JrPu;$RRx}G}h!(80$E{$)+GMQxhe- ztUOV6vdcw{K)i~5?f>!rqV2uI;SATk(Gh~^A$m*nsEOWd^e7>WE(xL&ebh+^q6a~A z(fdRhoe{kR(HVUR(Pz|A*Lkz|xz7I9+TU4cU*}xMU(=X(Uh_WBegE!X;Vpkpu)(|Z z&pj#l5sK7Vzja1X)bb9BPpu)2;p_%=9Q*b;iY~%8Dioz`{Yd4RmIlW~z~!-ZWWrej zp~(*2!cDo|bX!LU7+DmUAS8Eo(dzfg-tC>phhrww%<17Lj=y}fvXl23-5b*YW4Ka7 z!_>Und+3`!h59{n@WQ!5gCOTr5X>^y!G1nRq>;XJ^xe}pE6=CA)sC;FN*4#VZhWd? zfgb)3cBF8u)Zd1;#O*e{j&j&|5h>d($s z90wzJnz=lPu`fgNM^X2@jx~7C5#A!|3DTWayKCyz{g}BzxhWSDB%vC(`LV zL4)&|1%jrg$qe$P>SyvvFnBP>dwrNI4#tXYy}d7lRaf{GGJ8`~W$wtj8-(B3pFf!rV5@_<$ zuGw?{C^+BF%~aJVoOW0zZ@JxUEy>h09$>OgsSSHWWL!l|laGGhWLt%ddD+`r#R8J zN4~bFFjcG~7sFu>bpK8yQ0#wH;Fz0ci3Alemb^?B4=VHgrxrIkNso=B-T|Gr% zO%Ro}*dH_bTny#zYIuLFz`~2nm-W*wFw3nJGf0)+SHCB(JnwOQR^m8L{9vsZWHw$u zgI0+3Nv&ygH40MjcWHe4#LlFxjPaFQo^5h8D6$7}A6g?%svPtwwKaO>nsByp|0c#6hxL%KCv#Td=w|WN zZ0X;%pIJ#r3<;~f>#=u)DNnoa*H;N2>LXHK*TbWVGt~Z+ z7I54uH(P%^^Hc9uv7C7jXK($wbO|4iE~WG6+g4t@Tt&PTNkFniW8WdU`5#uiYhX(v zY_Dm|eeq>S;_K(euSeRfIsX7jNe=P7dm@MLaOW%=qhd(g;?nU5ty_`*N4gMWKJO%A zq55b=Xz>_j*g~O;uj|h)Sw*VepHZBRvC%-s9Y(aZOZ=5UR@}7fW&T#=Og?`EI2luI zvdgIj7zrWyEm*^lurvGXkc%^GJEZ#M7`(fYe!a>V3v8a83u~-5y#QA(#Q}HvU#;=} zC*t`3x38(*k5i^Tl6d==JUgK|G*{4LMQZn2AtgF|#>StDue9-glh1)UU;QmeVpHK> z>Hn8dk$?JK*uC)pfEswlFa><(mVk4oBl7!;SQdo`&NNBaA~E3hhNe3QM{?4gQJj#8 zH-6e|bxc}?Nz%zPEoh@6tpbk(k(%R0?KFd*PolG(hQ7Q^ZiP2EA>k{{V>=8~i#rp5 zmte=Yk`eyVOWIe4|=?WZ(_UBy5F2Dwv7W) zbA__){D~}-xF%ZHeMn01?g$gE#?G=x+&9R7@x=n1Yq%|G&;8?7i9r>^c!k7___%zk zPLVHym~9#`4)8zyzsSrcAy3ddkX-HfAss{TBsJirv@f_{RpfFILy6$hVGsPdI?jyb!xDsu`^J3MLEf=Z)wewuFuk*rHH zy#rALsP|t(d+J_`AeowGY>NH5cDA*7#@QHjzS6eYxGpS6-f%Cr8vO1eo-uXf672k+ zum3L-{QrKR`gcD{tyOI4at`ADJPCa0+_?%lS4@ zbt+O8T&z8HbXsNTJ`dw+9Ca_={at&oy&}2AtmFHtRIPr|Zs9s13l%g`Z5kf#Bsen2 zU35~PGizzELUOE>` zLs^yBWmZ8Izt>N^zI#wDASTh2MA!Md=PlkMZ5dA8d*292!|l^`qpDR>4{!Ru$kGAu z^Bf~fVFRe2i-r+RNlWPb(zwINpR zt^0E)mwZW>!&t-`U9xt~_ld0;v3=8x_-?(3m!F1gln;I$C>r6?%bqeZ42Sh2;fTm< z6@L^f{*JWVSz_^E>4orU(!%0huxpeD3)pXPfc+uGJLe(!lM@EMp}kvPr@C#1kd|A# z>Hdh4`uLr7{bbjiJObDbwMTuNE>Z z34>s*=N-W}f!=xK%K2^1-BwKBu|GalpZm4zUG@AQkltMo!(8}@I!zv7YsFbEUeaP`k?90?O zxxXt@L*ih_te)#TGP-9yOg+Q!X0HeWTmn&?m2p-7!{`vUr9XgyCAiDXJ>mc!v+bQ& zrtEq^DLk@8cP5UeesEWytue1pt|bJN4V+bW=!#JgR^UeX|E5ZLMFXOM1&$CrCRr|=GnYujgDQ0b}a(6RLMx=?bvPi?a!lgiOkKLf}f*LinLqP#kH1(#$lAD( zxj8Q1tB*e7%eL2V$KW*_)FR__Wjq@uaC4v1@Fe=7pKQ=r`KBx`bdbqXeSO2*CmGeN z_L#4tT{b0c-93H!^ebmRd1R`@eaFj)3cm-b6T4}Ar7@cNm8nCnYf(0zS=SdR-qKx| zM*nU&a9$QhFO@`}JQf|EcM|{_*W_*ww=28Qm#+rd0D7A2%x~&TAEGDS6LAbs5(DjV zhj^}ogE5{CtWl-YdCT3cPe77-`Jw}|o*}L&X-Kl*X#LG|z#)jZarmllQEl?Q1jEd% zJj;s2C!y0^LP$B_JnLtctTv13cc-2bNugV&R63HfAniP3V)6PTYMd{-bH3(U@W+aF z(gjYR4@{z8&%SNSmfaS5YwR1m#ZI!faj?ab;?uzw`J#*Q-T)xx0TMiiEi!`rPMe zSXiYu?7@g#737U}F?^bGkV$w^hW3&m8E)gHxkc^DHs1E02ia zdnKO^(ayTgEU*$&IcZm!IZ04mc)-iM9>PC^fT5Mp3R2`aM>n`41b~7B_||et(=I(B zVSOz*jd|P2>T;=>a`i8=F$tf?c;hBttwneY;B9_yvqv!ty|^j7Wp*nR)qZu&oa&I;$s_*x#L5D#EMG{9P5`1}ew*D-yTaPldC9x=FZKJS$s zHz~)1yRzF2AK+-lB*e2t>^N&O8iY|PQ?vkie@GVG3L!Zuf~uf(V2pTyQMe7yz0L)u zfa`#x0$!V3IRvacBkCG3yO)vDP#829)SK+~=aJ9Fm(L-_1YygqM@!B@=|>h07oL5y z$Ilj;B413vvkAppORc*7e9_vZJ-%yNH#v?tL(eM7{>--fh=rjPE=VBew_U&vUg#&* zZgoPPX$8$!;Oy1T5dCeNneZ$PndW@g5^Yr-B!j}M&Hglg>Zq*Nj3Xd8dL}T2^Hv57 zN?6|Ss(b$Pv5}?DzH!&rZ3gf83WnAq7f$=JQufA^$A_F5g?@C|JaZbTozN}Ry7?|PYh(UK|oDr|Q~ zV}8CO!*L<)RX4!7Fg%Bs%dz(<4(^iSvwE?iQ%>4yxbS>J;oU zh-F6<`Mrxl`DwV>)DTnIKHKxHXeP;Q#w8&y0{Hc$?)+|0N8*+5*lPC&AJN_FoF@rX zAi%3Y*+zvS9En2^dt{ygO6$C(?7%Do{*L=9{qhpuuV|xSl@A*y;l9xiqUg2W7n##7 ztJBfpMsHv9p{~Zrkk}nkH`G5sRzNJhtd7UqCaE{+?T+v>JG&t$?ILxu*P{qOh{APMvwD{Se%I z&uQVOlYekKCQBdxwgv-Mn}qwjtWC2!Qb&7X+Co0VTGYSsSEbTc`j@6&-0gq~Cp^(#-gw%KE} zd##vf>vvnfbWEIrrDxKREJdq!@LqB%k65z6jQ!r!P(vGYY_%Y ze{fG;r`n&>Q_h)SRXy^s%@F;{+Nt9S#>;9Ku`5VxXv0~O==G4*{w-edYMz2cg4WC= ztUl&27D#)#Uo)u_yCXOxL(2uekBMUyoVmUX@_pVtj8Gz znC)a+F}-G1VTD1;*f6=1rq(u*-Pl2QhpJE$-mvDefiQM#X7)!`z}5)J2ducFx{?5N zAqheaH;4hC&Aqykg^4KaLSx+I52dQr*X}d4LehE-Qv7{_4II9?ADElhgmPTkF<*IZ z?F8oT^Rez*?~OGqKpp)R9Iv=DI{Z>v-P7x&7Fy%x`XG7aY!jkYUMTrYf7FdaA96X> zwy8yjWv1&HO%#*cIJ;K3UUqW( z^ay{l>Vtg6w$Fv~|e?*Y1z?vSO5IcCQ9$hj4vg8Tkl! zrSK}oJUXJ+U5&0}PAy2<2^LWcjMGd#+I$jXE=fecn8o4IXBp+o`BHGb`BT3IxCBep zt(RwJsTa&)=rns!yVw>~`1&PYg!sd!asIOF{6|lWR_Z-%YP4ddjQ7s5=4`1p(Py>L z*5X5->8hmp>hmSRk%C+-oHkx)>*L@lNNeq3Z{%HU`p4RA2*$}=U4}=5?!j*w*5bqlz`RI zTiFsFY(b$lQrTg0+3RJOtzb@q8gkhQaaiNl$np-%CRhnc2WWy7)71TRgTZojc-k;VyoC?5=+5a$+T zR~_X|d=K1}sL~AQYhs~kNvx@PW&DGOfODW$7UP zPH}z1>@SgIM%)L1Dt8}g?K8z+6s*a$xA*rj`x&F-6UF0JoB3aVcoQh%$hn(Nw{>v3n{4G1fvX09RSJ_6$(h2burm5D zD;hvJN2mu-&M*0LFI<7?H^%4>3r)?m=swZRtkpEoBlz%~`!}wdaGq9-oN4>JrJnt3=klG# z8mWZg+FCD0=`87(NMd|23863vHQ#xM8BpLbZPzU+*+ZSnx52ed3B&ER9t^EaY?&WP z@y&TbluW6_z{>`wPqS&ejHO-tj=D=sM`X={^EsY9^d)!$Dj<4Nk{k~5dEk)I7qiYx zq#Y-20%zv+;%q(qbm93S#fP`k&8nc7G$i=8%|>Xyqq;q1e-?4<8tCul6Kp19ZTO3) zn!AgZKy@~=TV23TR@mCH9N{}d_wzAl2l*qFp~|lupuP8OeVHvc%0~;j-<#SyGGqd^ zAxKlZ&ucFp*w)CuC%PfPi7QFy?xxaSO9J*WYwmBuJWZ{_0eoBk0L8M>RGkWiGn{lV zSyMXFk~JKb?0A~#I5JP9qd0y@&=1k9hpIu?+O_*~N(u=<4UaWzLl>Pwyu$gY z+>GzvHCXuW=SFv)N467<-q$_0LT81@p<8w{s;lfB*ED^c<+Kj;-KsbjcnOh4Ki>Gd z8~3S^i@{bC@Wx<4kAAVYVbWrgw)C}wt<2}(%7WAzjTT+C)ZBxE{1jU`UWzPmRY+>g zYSbDw-PyhfvekDP-VkeW_>vactxf!Nlh5@Vn6u-`_A?bO0fc?h4)g4Z1vXJ|PmEDJ znCwB0`NG$rR_E}i>GvX@UoYHQYoZgy`SrqzR6Z9~jD7bH5al;aPHo2cB%*;rP5Bx9xv$!Kmnm1e8ocb`@~bz_x`fFrlBBWl%wD~%g9)>qJLT39xuO>vO2KS=DB zdUm|$gZS4sH})Q5az=&}x!m&Ps;8+X#`;kq=LcO}@h6^Dn>pg>bn?9uk6bq46|;Y# zYwClap*6N?uM%5l=EKgLB9)(0J`T35P658rlWg~%*-dFEy=vb05Sta?sUUqk79l;f zy-gbqTU7i0fhE4jgR@0V!PC4gaX;ve@OZdOv#G}VDM0!`tW`{230+YE>;`l~ck4cj z+aYihE|eM9=70P42JxfO&3`25M$5B=`<`3EEZStq1bM&bgCx(j5{ZpnFIM#cuTZ$3h+$~5tLBx2J_*=a@5MO38feM# z%>M3*mqd15aOAr$(8<=68wYUz($=j%&O)j1GX-KjoUD=TdvRhlpMOx$eL8%Do6_es zhe47R%mK`KEEtT4?$^QyMg<272DJt{V~Y~e_au$p=z_TakUDN(He{D>$CNpqpQV|3 zMezCH4Rbtu{OHp=j~{p}fegpch?c`HJqk$jFq%3(>IUviY;jMZ-o}-~V}qSyle2#X z7qk%}S7&9uZW-9aFuPY^SH4Xn&paU-7@p!IW6opS_%xy6W2`w_Qr=V2O*h#H$z4TO z9f!+~%&PetnA#NoDX~tk?5<|&%SGw9A&n7Bm=Hrw$to)$1gaj{eMyY1Flr5ixQM=T zby2a)F5-+>t)L9;$4g!H8PO@mHuhd}e>w>k>c6y#ZMf_ib9aUojIpaT{m|wx;CRne zTk$%=pBWzl+JWlg9}n*$okP;k2LeS0x%5!$>?{?5xna*`e(tPIJlT=9ZSN zu<-&!M^n$a^!r0F526)08_LvWUEgT{esQVM$2zQiEWDF#&?2l?KSM|i(XPj$X7NbT z7=NZ2wJ~I4xq#b|`(5XL^hwJ@MUUK?GK*He4J!0+3uHKKu`t13BT|h)%$dpLzX>Xui(8Vh2#r+^D zz%F{h{pJDukKM^5GaVfZ?Cp~g4^vkLudcC_hRJdH9LH>l|F#|hWzRo{J{ws@pF-=< z0C^mXIF={4aUWIKI=|Isy?yqp1~Y>ww(M1iDw+`VPW6fQtE)?z-w%7j;wAEP$HwCK zaU*eaV^FL4v~yqi%12rIBCNz-2P=l9z|pQ`$X42J`sXe~eyGJl$9ning8SdQ!injT zKS**yWbn#hjyjH0S7g+v=xdvPh7r!lqQqjAoy&46j@AporXXFqcXha7(NX1n)U z3kw3s)8L6viyl1#(ljWDDJpe^6#+#K&(*rhl~(^1_)28IVFhWWhYT6qgk}E*tlV5i zeGk@spXTtIw|?c{f7{ZQ!6T5TmSk%8u8e_a@GbXy5MGjV@3o;<<-+a6dmxNt=Fl*$ z>yG5@?BjT#Jf74P{H^3dVgs^GlJ=nuQbD=C-R)eVkd;~|W@OX}8S8GO4LrFp-9LB@ zDYD#d!nY89!W-NS(8kT{n?sLg7%PCa73potmdZ_%#7+`nAPJbLvlYQu(dW^pmI^-< z$l9dVbi^0*XJIGAf!VWObXEJWG}!Sqi9-ANhw^a7WMhqit18G8B^hK&5CQO39UG8w z(-ljm%iCTu3l@71H8L#-j4g)(L+@?HE6U*mIJ_fZO$#*CZ-W~auCxaMn<&}o^rA4LErxeeEz|()n_#%KkO&hmWINmbE0y=uADC!H2H9IXt+Fyjf<|+2R%A>C`s`JNgfk z%vIB$kALfMOm8o@)g%UINi8I(5E_4*bM_d0o>aMb}4e0SZhpnmFZS zw(8>B=RHGS4q06I(M(j;0Q;Fu9nSB!K5%LK(}9wbQ>E%t()s8WaT;1h7XDW3AF5_+CP z=p&#tH0m7IKzG2cfmHdEmzexx+e*o%c%<}p9BF;cc^06vT;aNU((KN~hVFgW*iWgl zY4;^IOX5YwGG-+_NT#s6()lv&qkE8Z=?)dmQ$~ksyAOCl9D(m2)6HK?xsI)TCnzOT zQ`ZWWX?1kxs*gv}wtRT;JKTac&Rj-YNS|!xhn#P&)@lgT{LV1iUcF}gTE*U{qTlTi z!Aj((7oP9M$zHlK0~$?y?}qUX!E2+Mq{~D76tXW9mX=Q(IekOb-n;|h z^1lOh(&2tkWEnF>EFjg-`p@*vsv^&-m;M2=xl;CD2==x2mBW}^E>~3jF%Ee$eJVDY ztNOk6$~dFp$H?H(+S@NWt-r52T^AOr{9SJEqEA-pT6YhN0nvr>CH*X;F(J+2{#&7X zdfBz(gxm&?_@_Yq7yLgs+i>Tu5bpNW*1{kb%!`^vOWl8ftjEWY&$YJ*3&F|5%TfzX zTW%gX&P)HyD}m8;0Ftu9KExSiB;ao&;EZ%03^M(z)4in}vAzNWek=P4!V199#vbZ) z5n`XrvUrnw9@vK9E0OH;udd{@wlU0@l@(+g2K2Mhp-s8D*}_OGj=%wf9p8u1&1X`hkpA`U zU)OTunI_ZUFw_&Qd&5cyEvbbqjiGJMKIyM#@^hn#t`p*P{(2_=^(KK_fUIk;LSZkV z4*K@u@tJk){!vg>!P}8G*M(S{SR3k*n7*MxDN5(5vnFT+&)QQVYU#vGbDlletT{p_ zFtvigod_EMf!Sm#P1wd`%UZy7u`!{A6t;LlbG(K2as)*#<5sWFxk8Ha9nA{Qj&}m= z;x=ZsyoD{y3*+ve8gwRN4JS1xllL=4uT-zxNJk&N*jiJLyAE36!OcQH1v})C^r3HK zk-UHQ3myA4U%*}BYW+d>lK0o$4FX@LUW%u=iq+3tx_&W07O3ME5>*j3Nbpj~>%S8w zupmOHg1o>XIB1{WQ?uY4D)jZaNUJ7)?e_P&fjxkuZUOMqlg%PJ9g$2kIgAb8Yf~jn zUD=O(I};l3HB+$)dVGH3F;NdlIH`WHP)=91sRyE9^p=k2RvayGZB9#hF6}^*2dO-n zgEpyB9G7HweU7%xyju#Tvl?g;O1p~KS{+^vGvs`;rUzZ(f_d_YXfFj*N|%(pN*z-r zz~aC;7`r3W7yL3Y5o^?%331DV2)>DTuz0nDjk|EdZJCZ6@p6z#a>n^ zsvx6@lLcnBEUw*oFvW5Wc4$EO$P(g@~RN^ZMk*9upw)i zp`}(Jp=^H%$Oe`LykT+0&y^;GP8kEQ_IbNDFIR4Ke$Mg(5LF?F7r+rj+Hvz9SA zx&RIQSz4#U`3>}fD1jSTV)C_DS+8yp*WsqAGxv%hV|t-8Tlx<6lLt8(2SUY727{bU zhEdG#AIbpmd>PC@%7-=(pxu})+N5`<^l28u&_&bLhmD@q$rX%^nV#Ey>^Eua8}LToDmBmXsvuENtc+=%NrbF{u`@Jf7_dR~@}bH2H7&FpqBU4TD_v{#gMQ&2AA3JB^Jrd$r!QJW zk)=%4Q=S_$9UljnE61h4M`41snEqnq{Clh4g_b)?+(h4$-t?^ESb3E0N_W&@O4baW zml@lBxxbRmOQPq}{xsnHA~fk6a>b`(%{qUw|F#@Uv)=^~2)sg>vSv5!Bq z+bwdf(-P3Ho&tXsHg2UFjPZL}hxG|um%3);H+ybu>?gi2nD>6MZ8Z81$Y7|{I>L^z zrjvfeJB>v)QwzOWRSii~Y@6hF-89)_H#J6~uI2FpZSE zcElam$?rOu;b#VWrZ&v(`;ZP1git)M$?8qL@E;hYXRj;4#+2)pY9YQOA-*R0SI>7KCY(VI z+$EmMDz&SZVU`mDF7*lU?M8XO1^14LnwuD>FxG=HVRskU@VQ5_l}!oOSruD_f>Q6W za7d)3wuqN|XA*n2W)SXY;3*%Ks#y$Jsa?cFjQX1hYR0V*WY)R`|F(`^nuc@Dy=Z(FCMG{f>#=NlSWChV_|GaoIxyVcDF;hRsBC7s3^o+u;{e z@?_Zj6?GDKhB@(Rywo^LGgT07lD_1%vwD}b_jyLvGTT&MDgn<7(na`j9189(kX@)U zkfa{VB*7a+hfLVSot@pw68TW}Vc2n^su1q#+uGi-e|_M8T394LzPiE^!j3i9#|l&| zVEw?8aK4zPH}NtMCav*Di8%JEn@nGSCDjC(Tw~!g;GVM|?Jj+p*LHIb3XE zvU8`4K2^*vRU+|Ag>cOxz7ospQQhX2t0YN6^Nf1hNb!L$Vja4 zjx>Bok9oLPR>hkP#1DEEo?!W&jHX@w%3!jC<@(i(m`;FRjiWp-9Dc7if z*c&(&d&}m~!Q418?L#Vd0JmFtbG)oh+N%COX!A>!Kja}MX&y(ZI;u@BAD+FR>B!(w z-;q)+m?u71i&E>`O7w`Z`HsP@f2Fbt=c z#Ql%~I<8VX?yf>3`p37IA5XL?p@>Hq&JOi+W)7s7F}OU)=$=B&&*km-{+vk zm+Eucr9ITUbqFjb+i(dY+dNpJ{LUPVyF_cqIfRjw8t=6@SVfrR55zyKGdtX24fUOX zcH!7pEbY6hSozHeX8Adeo?7RBd&V*(?Oio?zbG=Q-kK8PM*N2bvzIfoL-*ED0Fg~~ zSFkN*K#HE}bhDvKAg>M{F*8aB`2W#J=11KD{Qq!Xl7WDqQXoB((fMk4*O|}3-CaDm z7$uovoBOKE{T1LOiYrH;1;XHC5RtQILGsM5x>Jx?huk@C&0}?B$NcZ$+~K2+^UG0e zpVX)nAP;>Y3fmJR3Syn`qq!o8st>g6*~k@?u((axWu24$+l@7z8U5|Xa$T;Zfo4o% z-B+&8LZRo72vX5&#f#283u@sAVVv-h(J_X~716r#>t3b2Bch(`2CW_(+yw;~Jpkl{ z(<`ATtrca}oGq9;gCg~@Y_o^!ikgGSm?uUo??D8Ld@0z>)po(zwZ<4hwiXPoCRwr{ zTa?e$1w|rpVl%^=N;xGwmXF|)Sw4)-t)_h}VvjfwsFhgWOKSZo8vX*B~4i1FfEE^9OlwNi6*cIJZ0q-3b<^Z zijPz1WgWu?F+1xD$AFEewOfLz_WZtp32v$iq2tei6sK$%U;;I(SL{sF@o1{59!BCM z9ejE-Okwr-*|yUMO~;~_Qpl$teu>ly{$6S#zmJzyxbLpi{kUhUjNxVe#>Req+A>n@ zFkG}*Rs|K~k8&66S8k=W(7Fdsf^zc_*^q!tUo6$)7_fIoein!eA=OsD$*a!}_IB0Q zpMaex<)`oEQxZGI&8By_BsM&0OWpe8JT5C$u;9SJoSJ59`3)Y+oz6v))M%VI01~OA z{5kjgYtCtbwb-Z1-I0;p20MB?Sr{>sn4D|t!6oA8NEY1d3(P-10i@c#nE=;C5vG z2Q&xh`!v?YcBYKEi>Z&Tuh}!UuAe5Hai577-2mW^JgXhF9y*{7y=-&4LP+RloxqBC z^2p_|B?V5dl~}#r0J1C}Kx0F0sbw{2M|iX_#+oMh^SY>isFPA8Y|JJs*rOsy2g4d( z@RyKhI_|AQYiMb_xn-i-nD{%~M3u>-;^`~XT9eh+fm^w+fGP8AXNK!(V;?kHqEQ_D z)YJ-Uca!1IW)~lv`jge>egqOCIZ6)Dm`_Gj06ec`!iE2!1nt(?aj@7^#pc-P|1&Y` z4kh>K+AsV8X6H%ZNbk0wM{+;P0>O*$v4|1Wk_>o?tiV3l@%7!kaoMKhM~SxGY>{_A z&|65jIa%L_cmdPqk2ZjAF?mf}fES8=zIVzPr=V8XW!6dj{@7(;v6Mmv-MpSheNeAY zkbyna>1f7|p<6DILz~Wg3j2D%8cPMp_#5;y=ST$CJI4$sfG+3XIbHsbp1af9AVvrt zSo&Hh?<>Da6x7@wv;{-o8uQYc#%(;W&RCQ>1ARH=;ca$T}3+0|&=$dA-`#|FoxjuPY1R?JCjVw_8DgXe!cP50jeQKGU_(#4|1I3!#ntshdWlb|E#mCNWKsKS8N!g>5>k4=|DagwQpC3DSeFvg{l13FTe4#6AgJ^ss&v^E;%xPVCP+rmiD3iYN0~>@#XT<-PCG zS{w*$n|s}kS(7Z&Q!0>s&HfVZ5$vgzo{s?c%Xn>?S+ko1fkOPnVZ`e%iAQN;j5S%9j7u#*5e~a( zfnFsmoL$zRLK(1;-2v14#T9F$)+Y6-tI)Sgz@BgPl$y@8TZ8C{>%7~8SQg#`1p1)g z6myn|I@}L->o%y3ka6ej`~4;Yl4K~9#)H)6d)h6i_>jEHw;rb_i2ausDRK8@Y)h9Y zEwZD`r$W=gmQtW3>*D?$$yJc9umsHyvGi3P@ymsEghu4jvS#M2#=C~JATxNi?^O} z6bZ0fu>m0rLSgWN3aC8*t&$qPf!fnO-MA?jU78)fxNdVBV>vyT0toTfZ@))sO@vTy zpOw=rPxfZKykAO(^TJZG-|cSTT@NcEAkYT|qjtzx{#LQ;0r(h>-2F*IVNqJ|_{P^K zv4Gce<*7kuSYtdN{6CkH{|IX0>r`-B!RjiYQh^nc{FYMhBH+sUObF1hJ@@s`0bi5T zpZ5oV&M(pX9&WjP@{;EFzC~>8=({92#Fi@jaurD-Da7QWSSLDwH;h^N2gnGxnz<{c zJMKZT=0OhuK0o4rtr@J z!0k8hJ17Idn4jM=P2S$I?8lS#POV~k;`pLW|62?XKEL0~#YJC3YyJ>)_Vkz{rlg0Q z7{URRRQKfT+}|9<4c;f^h;8muekc%o-Y0zt7Qncp;&LNHsI*bJ#5*(+NlV(y9l2aH zsgF4Xj&U2m5!U8t{IFnwh#gj+Iv>WcaMq$-0(8@Z9qk-%O3HSeMfZ8X`^G)`BwT8A zH|kYD7E?}+svm!C$cQx!-fYc^3+8!rT~90f=ivOQRMkPE!lWNcolA;i1>dkA)*OSF z38E1VuBcz!{56)N3=j9{KfkZWwdY;5;ilg|w42wQLr2P~`WmjY}ZvhECI`S&9v>xPXsOQ6VPfQ^sTewG5QpSyEBQ^|q z3Wp#Zov(tuOlqdw0blo@E3X=#sMFJG!|Ao3^5ar9WvyWpNzhH$nDtoC3@( zE9=K7tQ_9~HP;(+i#L*U)H0*wYPeZBBFP!MvI6UwaZ-R6`FhOP40n=|I~Gp9Gu1UZ zOas;NFZ^8D{YF9+nX-tE4ACWlY?<8q*-Ns_HzuO`GwyMO8sfW&l-1d@M_dtfFlP+7)t zkSMcw$p3_>cGcb;H}`hRD#F)HG0P# z&L~4F7_4=G;;DS~X^i7{hiWWI>R7Q9EUSa=kCo7L8-2NjP*02iwM}~)LqiUd6W$3} zCU|kDvp1l~{DPKn`jyPV0eYVhYeo=qb#!(ncB^|e9aiW__58}RmigB+V*?G9X0IOu zF$9r$WHGCVIG|{yh4lB|cm8xXW1QdRD&Mfs4kfzsx*ixvp+-> ztH)IrxSk+nFkN%@7*YF5VgB~zK~hk`9qXqr$-k1e*O^)qo+79guYE9cQ$df;a01WB z_l2LlvFVWE+#}^6k$-^PtuKv$zzb(-y`B*T^9j*RXtdh7 zKH_s@QU@zMVW?4Ac0Rp!4n#pfz&|93m$(Z>f8K_oQ=nZEXE1DbaLkp;-mN*bbmjNq zQXC>M)?R+DT6-PkRKa#yaVdsC@NL9k3nb>;wWnp2FVz~Z;z>yZdT-XOi*5~8@hGPjH0~)#?diR0E z_@Y$Ux8-dLbET4^0)dTMzBE&gH;N{6He@oFv%i1>p%;oC%8>-bIj{(z5M+biidaRW z8?|zk&~_b(5RBYtu8*H1-!n}$$blW;%1kCqCVV%T-HIyzbQ(zd6$5z8+uo=i03q*QH)VV}? zM3uXI5#2=nobkGGD;`qK^o!b#5>?$}k|;)(H>(i@4i?Rd7RlN+#g!TL7;WL~$}_ZZ z6n!RP8Ozq2o)rDOq@VBbHK_k6khh5mX1gJ);UG)T4e1nq#=Y;fL&{A91UMugX%^QH zg)3kCdo-n}Ww+EVuEoat#|t4PoZk7lT3S{mK@-%{>}^u9zo~vWqlX^br*3Lozb$;JEvhhd6m^73a@gr7I=un-$Y0bZS|}wjZ)T@?^LfY*16TY|+bmmvUd3Y0u8^ z=r}a+ZF@xYMfX{9mppJ>q??%E#oy5DU9)=Bu?F=mQ*}$dOq3Kh_xZh`m2c>e`)FAT z!S}0ni~|nC+jJaJ3%N8qtQ6U9>y~8mZntAD+S1)M>_%|~xXyNUtyx<|!Ir8Z2#1=s z5b^Z`OaSN7a>BPcfAIKx*QD@0m()j(j5a>nJzS-fVD0NYk9WDY#$>Mfqc2+0o-LJW zGyoXb!S`QlDXXv!B{`MyFvmC{nK5&0>vXMkWTyduEUG{#J_)$ zqhvH+$)wP2wi88zfRN%4;fY&rtlurLp%4^T_>`?!nR5E>4LXd6*>5xVmk(QJkO6l$xatHzG5>XIPx<|SOkWT6D z?izA{0ng*!>#S?7|BC9nuZqa)-hWSgJ&%8+;*bjR^ z+alOaH=zCrf7!g6@%RlJEZC#%y?ZM`t5Xd)8AVOdUbr zR8=$O`L;ygNWoqJu>ePWhXl(xC^E&yjmJ^A_Nbc!w|0{b<+Pp^mQEV^y)k=^3|}r& z13#Z&B6(&7)^e$^TFl-9`xYdSabv1#YrVv(1j-N|Ds&Fx*=2H_l->v>!k}gWB_4Wj z0{|oX4h0P@SE>BM5su4CD#$*#<>{EzD!`wL{TB{sW%&P2V~#K%HUJnD!0b4JT?Qyr zSpVb;pq8(+;@eGEE*tNXmJ|)d&V@Cm`?Y{D$OsP;{J-I$&U z1=kjkdhL-ta^k+mq+U>Jls!#?SG1#=K_nxiZ~JJS{OoIPX1IZ9qQ*b_)qlTF>&MeY zE;;Rk2MhO?@uaDlmR`dcu>o7PZx-{D+{+t*re9oZrSPIkUOfrRVSDb$F)P&iWv6u&xE4%g0liCm zJpks`PQ^KL%4!um?}t5D=c`E4<-t7pd%7hkxAnV*ZbK(xw@y|jcR`v?UZXZ9GQ!-S z{du&)8vT3n59m*1Xo{C~l;?zz(8S;Pl09eKzAkl!Y$nS{!b1VQER;BcxMIiy&u()g z%k_S+{>x|kkFU_pt;MT*Wix$j+G~wJ>(U0BJpUKV==eWaMgbm#7{Y#yHg8ob%H-j= zqrjclm0B7{Q}lqN=x;0g<;9d(#tl-NUhe(}?Ul)yyf~T%+PZkDQ4ZYxGNn6XxtFPF zMz-RuHagl#WSEhf!7tyGV0?Qb(+hh643iAtnk%j7i#EcIM-kTLkhHOZL(kU% z<`l_Q{QHxuV7Ong4KEU=-&8Yoe9+e**GQ`OnDnq8!~e65bhi(MIAEL}mV->U!3Yg^ zp^u$VhHK4wox9Y*%uVT?T&GUFIi%Hqi;vSFx83|RBS=pv7o|UP?CEDLd8IyGZ3X`# zV<}lypyY@*o|sU$9h2GiQ)$KPJAn)^oa=5hzKUv*>{>lWDxs8eY%7o!rU#=J*maAn zjTU|6^r*7kOdN5YSf?H^QWGz{4kubLz_}yRlcc4{5vEop?yBEeQ3t;DBOeN7rw^@;{)`dSlg?&zW+AK>--*@Srw9pR+aC0By-mLQ(&T+i^{OJ3U`zd|zJ@fW^OpGPgQrKY@vbeQE zs>+iGd3x&%Fg4EATdVrd`0wkGwd+S`X{U>f%F~jJyLFj7<0!|nT`QI7+|fe^CK;Q= z?n5GhLhbAMG`=1d-Dv`hC)c{rRTVKK!N>DOG+_#u5&0&XWg(vpi-4s zD-!+mRl=>j{6h)*9p8UqcKDBGh>~aRo+@6}?_C%^J-g&+l;}BOfbt{3#YZy}45o}; zW(n6bw_n&!w`tBYTRX1x|Mz9R!Sp|LB^O75HleFV0B7dIGB6ZfDJQsR2CAz5p-~xl zstc%&Uj2=|dsHofp*ZejjO)mi@yVr$fj;HAHnjyt{Ch*~f42MbCp_-Ms&hQ(( zYbb<{l$Al3=cVqYOo9OeSI2z;0ni{QB!!AqKyeVs{WfQfU!zqvR`?l_Voa?l>M_D}9E0P?u~ zQdF%ZZ+55lr)^q}Q&Y9{Yi_Tw-ZzIPB!N5BF&A5ncB~i)^+@~G$5}XYNbtIBi0Y$> z&mprJeUXt&l`V%WNxz5O`-=P}PR`l`sU{qc&uQ?d)!`vz!IpIkVTn;mbU53a3S3FN z-5kY#C4XHc)yclzq_ZcNY{!!4;l{A5c$Q;>@u?W8c`!W&Qzod(V~!e7pY<#n#REPvfHVzh2##NR3fIaq#88N|2$Sj(icWrb-4ZHtw`6oht$5u+!3 z`+C=e8G#d&douh1E-ENE@hY-_timu_hPXl$6(@f;ukRwyYf|vy9ZByH_vvrD(22a2FIT+IfRN@PZB1sf?JEdxy?S2o|$LcquQi z8`;luft1Kz~&EvrQKho&1RmDC9x; z{_0hv<%HwB@>Bs{xgm~O*Q>i?5K<|X9CPJ+0;tL8Zc;a<4qFU+XU^3T#=kFO`0>Y= zv-f-5FNzv9byWk7b*6g{Y)S$3T{kV_<3%jtv-+spH&5UpI%pP3+Mgi3EF3A8FWa!x zX&w%Z!F_)0nGjBjD>(~GI`eamZqym7 zaLqH^F=0x5TX##51jOnSN1e=xIE1DdbkNZHeqpXy)tk~mPE~PMP2&X#fXbvaV|gB; zfr6UJqrR?~rjcI8J;vI(N6hlFia3}dAjy#IhNtU`q681XkWlTPljm)o3{fcNDGkLA=Y`WdTiQU+swWczj_7Q*K znkjJ=AHb1m|9Q&Se@w-`Xz$rBIz18TYX*g{ALxmoALGu^cB=Kh{zjy}j&Ao}VsfPG zl$yB&OjPnKv&FeBe(WFDtz63YjGWqjwY3R05p>c}+oTQE6m}Kbg5|&5g3mN+U*z?# zyk8yW&P_nWNRkGP;F!w!TqYyTWt%4tJ#H7JOh(gA-(!6O0!YuRh1KL=m<5oo%h_0$gY!1yjOFo1g|F2 zHQ4g2e-xzl*D^_TkLgjk=%9&oD-8(+`Kgkm6z)rzO?$-h13~=y`_Z%3vBQ;RXZy`U z=Vi%2)!FtFHwaAZ7XJr=vGblWiGXz9S19Gja;(rC4XsD?V5Wdx%BERv{UW__hNSvi zY1%3|NWk4u$r?1cg&_&R>1F_waxS4C)K`tb$lby|vW!$CTe{)eK$)MlM}$7=yfs{p zf5~46kfS%ohTSETZJ8?jH!~G%G(Ma80AOh5Aklw{;QsIM-2ch<#98F_0gJ?pJzy^w z+ufJ1sy1nCy$i3Ay5r8Qw%6nBw~cbDWBwp)K)`x>{=-PSNA! zf!N-6k3dB=if(s@{zF35_Bw8HJxAiasX7CvNWe{%?pQv(gSx$2Tdr>aCqfU>U zehP{2e6pK{RnaivVJ{D#MAgl1&9v~Fsh7?_#P|ukY!W@>yioMII+nkny+)lVcG;Sv zs6_Oaj#vBam98NLm_-mz2}3=h84}DY9CXox|`}zj}bUc7j%k=b53$ zL$_00F-6`8P7$VU07B9YS4?I(0OZAGt-E#GIn7Z|Jb95dKC+tFC#@LYaKk10?SQB; z8Bnv`f?qcx{=9s~PLh7&xQw)o)50*4!SgE-DAELAzoThY2d2j3baF>ieWiM52+D{6jQN+Kquw+4Olqx9}FB}77dZsh8M;V+Bk?1dXAC(xY_u0QNM zI#U-hRrc;EYKHv+qP)Dzw8>>i^^UZQF=P8Q?8G9Y><3eZ1FTz~(n^mWvx)Sv&~BC4 z%rU2AOTQcS7@!e-I-F|jlmOfK{s?r+L$crZ2lQCableH0^M1wN&|b^{Z#u;(RkVBs z6=^x7E2+)RpXBFxM!pesb!mhAc}eEJ_o6j2Q~$`aLq$B$v*e*E$W5A44YWVjQZiAk z%AuXP6rld50-m;T6s{8Ok(e!6tWPBM$k$fXEuhLO%cj+_t)Y`$9L1{H3Mf$^_e^Y7 zj6q3uNadK4KZ#I$sm+uZWy)eZPvjy)LtH1PYU0z1x$+G@rw!Z}k|_fyL3l;OT`o^x z$65ni>uCobb?TV-8;rZ6hq1VJWHy+z{C!UL3x*vx{5B;ywTT5Z&*dj8h59zozO5+4 zHY*)!8Scy(S5J)_?$&Cm*u_%gC$Lwu&ny&5U(5XJrEy`!7=l_3Uo%Kj0QLje9sB3% z7DB?3x=4Q=Hoa86N#-ch`^}mr@@MM#n#*#CdtK)(pKB`Wv)UKhXUfw3}_2-sAy-c8iWhSkC_MKr!UoE)(Gsp!+fW`6xpr z9FSeNC%`%h4oSlC{j3l6%9>nuWAyU=k3nRZ+*MS)UybGJV>@7Ov&ID{Xsx_RRUHd` zwIZj%18z*d4<=pS3ibDxJX_Gm+W&^&;Cc%A15!_qeX2I)SuiA1UB&x)_YX*d$Y;={ zw;+bti}L|JNgw%Cr&dQ#TJGUhi3bT;8QMA$Xy{`DgoPFa2rM*jb6np1Co`L`QzfCw0u=N5I2M-B& zXZZxc6xd#0D*0>&H1*9lY^XN**A6cXxi9B|{B$5ZF+iwhyvuFb&gA)%wnABFTv5-> z%bAH;!xl{4!ysY)B-e>k9}+o5XTKw#HNalRi1FXR6OTt8e!F_|#k63j@>7ZIF8 zg@4ahPAy3_*SKeV1p;_Z=#M-dCeQc$he_Ai-&bB~efwt0hcwPwQZF#kwfzG$!$J@~@XH<`?p2KDr)({#MxhVY?-Q$joVcS2+i6#$+nZ{%?)7 z%N)lg^R3Gxm;D?=_l%H{u9Zbv=;P&VBS`4m+A%I}RQ4Ml&M>1-?@t@C5=h~kzFp4e_zp`A1kRTucj<@zs_)khtyNPMD_ff? ztEz0K7OvgibiT<`N=TwA`bECZ$npcx+UY2(6W=bXO^D2wIyAaA0oMWFCns zU3TR&<-|VtKy4MAB|U0Fb+X=_*78|gfwZUqISZG_w^c7}^IMB1V0Rg{9{kt~S4x>1 z$V!hmC-G}2 z!u8BckX4<5w6#&2&K^8f0eKrbgsoW=9y2mbEBJ0W68w7pO69vtRHNmBs#Y6@2GZEu z^c76suym-ah@?SoO0W!+&ieL=RF`Q~iA8DS=j@x;FBZk$j2OUXF9l8$UXZ+r4DwCF zDf3N@M6o&htUBW(1J#M05X5Afdtk?*9M>(0&92rVrFi<@AnFv8pwMG!OendB{*mI8 zfHSepXR1*$tx18e^fhLkAn&tki#UDOt!Abt()1w&^iii!B1lB%6qw|$wNnZUyaaJm zF(A`~kJb>pP#}G=(YeYrUtQ6kBrjp>VR#k4{yRK5-*izbBDKwR!Eio3HHlfu?os7x z;cpc*svzHHz%|*WPPqTs^aS40Rk{ZRe`l9xOwCTqGb9JLfWk63U9y)NP`I(5 zkJtou4U!UwwO$p%tHj5zeE)?lnNVsNWxte!MTguIjko3?g+ZlXm}#KPz0;x=0YI6u z+p86YhQghJgs|1pzxx=(Y@z@H5fR2XyIfbhr3c8SRT@@gy5ZD;zjErb zZM1d@wJZ}aXB5IwZiax-W<6~)kO~>yEh=Avctn5;A-gA{Vn#T9KlZ$X;pO)Uv$9pR zv4Gfy)zfJTG3McnYZ<~_zW#M!L$xy8aH?p(FKHBq8yI31@|$W8Bv+<3A*ml6oG*3* z>3g8hm&4(nrJQ8)Lu~qwnPPFhj*{3OvvN^z>xE{N@=m`sMU-v9P6C$`7Q=>!i&TJuZhj-hEzXjt;XqO19sw?YiJd1AF9Y%<@t1$!?wdpOfTaQXhtRw^9D zAvly-(N|(LKoXZlo#lCC<&wBPf$mLbDS(F4aZEFhoUM_o8x>r9e!fdY0ib5|$(y(j zzhj#xG${>L=idE+gjiZev=eQsNz5B!LA=Bm31vZ$suL3uTzC<2_<%uwsvEZ`Z$H; z%x&p{jKaNGs1wx70GG!@WN03;{3hTf=Y=DqemJ4ajT6kqv0OZR{&ldLj1eM1jgLQO z0!k40Odko1(+w(W#{`>!Uh~tyapw|4P9g#U-Z!jfzT7@Nn1BT#i&90RwTCWz*)pEP z)3LbCtxc)Zo>+~~4{z1?=62FF0(xrP!VO(u`~y+~2TADuFZbEM={RhWMqZtG_XM&d zE6Vpp@xv~gg|>T7^KUH(kLEFLmN`Tix3pf;lk z69Ux77GPTO)3NS83VehA&s?z~!}khhruS{NrZ+-+btM_iHB(%070*Ijuf~80VbTO? z-L=CN3!5dY;en?i4?cO*u&dWVvhIT^dG7HnfnRHPvCeHwm!+_PI;tVlDQYVLOWASQ z3QL!Wzw-vohXwDxrF4nW(@l5jUFH4c68e{j8^}?sZxFV!#vM z$-?Hx6Y2S@-;C@`cE78so3L=_6<&(TyY6OUo&ZL2hj{<@=>|>a&leCIF;3>x%4!c4 zCu`%#Slm-^4~@Q{-q>~|mq>Vm zTflabILSn&WwMvLc2@5l-TBPv%VFScJXn|`i+YAMx27J>vrn|GtLoL;Bs9z)_^$X% z(t379OKP`5JT&Tt=yGW8hTz%F64e)Pj%#;%&xKM7H3AA;G@J_1$duP_Kbm#Jc4x-e zY^8nzUd@l3KN^FSix^vQ^869Egj;m@lL|e~(H3Y40zo1>lKqpLON_A_NHRo&xx5!G zUxNedF#6U^G7_X3Am$9zftfCu8X6b^V1cSbVZzS8V1cK8XrJdqD0W1(y#P9sBi4q{ zjpsLwrT();CKvY7Q>kTdkpJKe1q;*FcLHkUD%a;amY|v)ekv3<|S+C&H=7 zAhXf^aED{|pnBjj%}aq>Dh@+?Osz;qT;)Vo@dRRAw7x^tvFcc1g|O8zk6SVFjOa?k zR}~z*8%f0YJ^(#N0P;VJA$nEXn&No?AD|~?fz&3p1n!(aFz03cHBq2Idr)O*g4m-NS%u&=kE{|m>$@w;RU@ zx4=AA`mGq?^tFXKT-~LuDW>FxLOKr2_?jYcXcWL8Zb1$p?juH(J`cowgzwUplyrc& z&%l9}+q?n`4G#Ep7;9e?CrDgny_v2P+?<&zxKzblgVvg1_EgrDlKO`TUW5`E29tT# z+dXee$JpO@85h4(s;6s#;y_m>Wn`WmqCOX<{-R(^K(fg->xry_{ z`7RiMLB7(KBJqfSvLE;mJ_>*40oiVeJ>yo!()x9m!Cy;egDz)c=V7WUp{{!ls>@-2b45C!k&a@DdQ^|IX zWrw+}qcc53oZfuhA1M`;SS-wom{!u9zOI$r>3W5#AX7d4_{z^{>c=hKHDHJsy16F3 zyn@LSyK-}U_B$*>d?_7f3}2= zjgQ_6l-@4Q=>u09o(|u`tdAa}-e$(i6;Y7qAQfkN(3b^t>30vri5@U~N_nT2=MyV% zFx(WABT^){_#!*1qy#7}kx%g}vLm_{(sgO>Jay!%6wn%UL1O{Yf_^`X*49gbcF3S! z05g_!z{!GUsg{`)YVIy*&=Id(A1tQkn-lnNGJ!%A#8|I9dDmdeP#t z0r@7KNjtu>cbQv5p7^P$Jk)PF_|DlV6<<&>Wc1D9Dt1T2h&$&a-)fI>3X=2WXK5S! zuCMu^luQ)P5p%>jAEbfSCs8~d7AorvR8fU)naY$JQAIXhhp1M`p3$n!JP(od_3@L} z(au!p>8DzkY9IMUn4xj6yKfq2bzvDl#NP4Lo1AUdWKN#QZmG=ZoDG1@^_0cU%^w7^ zoosLw?ebizbn5xxsV#bQ<4Y&;10Hv3uV>Zbc!laTLqAscck>z>#$-R_I#U-Tqqe2c)ojRqI1$9C(~@y6tCf z@~B%U-28yyL{+Rjs(<%Bi`UHT8}}8fzvBd~`WPtW`c?8P3{u+J5QAlwC(@v!+L1AFM(sy4~YkdrNuZThG*_ z4&29~mw8$zJRi@YILOwX8`BuUXbEAhhAC665W{QIMeCX*0YV3>U++SoRKm8y)En1zg4kuQuF>x<{`&d;u`>hS*_}fmR*Z{}~IQQpc0`8~Lq0W?#Q{&^m zNlqEX?YWwK&y+k-tMgfH;^I@`3Ow5K^wa0;)FUu^#e25!^h-!g%-wN1$jt^L>aQiH zwHx};OIY#+!YkP_7udH0(w3Db<{tE064!$>FS|S#jVk*b?xzQ7IJh|$i6-Vv^w0oj5{=;Q6z&R*&^SZ)e z+5Rk`+i2VDVpw?!`7+zK6(4R}g5)-QYy)GFZyxvLr64kyJKAD#{|2{M366=O_Wq7P z3|y52e&gsm3eoVJOu?S9b0;f|FYSP_DX_IfOkQ}M&d{$X>N&yvR&SvXW!GdOr?yq1 z9b_qw;V=%NwkI)oOI8OV^}Y1lIEmw zui-CB-Fu*Ox`+M>Rs^dAFCo)R~HrJ zD<>V!VMM>*i+E+)cyxc|45{L_w%{Zaa}UyA{gl9OK#PXpu5d;W^>my-#yWa@1vki^ z4!Ap0n>eLCbxz$9chCuaT9=sQ^NYlEMZHrBduJKDn$?rWS$&+PCKxsE7ol%q#^hhg z?6#8NW3;g?z5%e^j~&JENx=JIw6qVOLxs}Ld|}%ljGKVOzd}l_1`u6=PiV{X<{`yHWC6UTg6`h@ zMkEZxuZLFah|sS6MsVP>khrn^F45EoRKw~7=liIQ$X8)$YB|$Qgt>=#@5I}cI8tJ##e5szZ6K=FdFp0tTSyU$ zU6pOcwwm3IaCQi3G8Wn6tQ)I&3mm%B!SgInKF$Ay;hF zK~3%1lS1wUh5KsYRMFAyjzC_GcT(ns!}1>&;cDzodpYoAN)Mtx&||82q>qLY|AspT z;qRIAj^fT7IH2r~FXpsz{%KF@XwNT?|G4laF3|G!_dYwFiE{ZoV3_<1d@jiZuRe+K zuIlkDu}P&T2P+P`_A+a&vd1Je3_sr9@VJcfKNU%vDlp`B-9Y^t5c zYIys3>fl|Z=U#dwP6u3LpFfD!b>ZzlCqX z>lDXohCkjoZbt)VJt})&gQT@x0YAfsPryvBO|N6wNhH0tVk-LXIq|>3jQ_9BD1u%9 zwbN-4kx*-6;Qe^Dmh6J_%1Ynt=9vh>`Bj)k@0~r3+SaDT%+tmzNjjEQWC zMt|-a*FQ=u&`Wdby)Nf$*X_1EN_o(ry#LyLI_p%zmhKX-88AiH)-)TW6@*`?n)csM zBHjH0HmwJ4Rcyx&hWKNraVUPF!Zd&Y5ial~3vi+ZTmNl{Gu`({_DY%_zDK(rSY7Z` zlu?TrSDurTEc*lEJMz$>DNlD@b5ss^)a_l1j+JzCNM%1S%iw9s(~uG+@^)0{v%{+9 z>bQsc6R-?PT>6TA(*v1#%9^fE8n2ax3sjJl+0* zE=ufV+ElhQ(zLNtUP|oPike?b!J)XcaQB`ok@!5;hZTlNY^wG9Q7A9nEYOJF}3zF8&^I(SjGe^3}X2jbh@_CNOB92in zit|#F_<#NOZ6-$B_R1mvL&^OW;ZyemlCf(5({epZ*jZ4QmGY&q3;MSN87*JyPz{+C zJd^cbH?$ehk{+Coa4B9#ex2Yq^*RfQUrB-%8~I44e{5qna{~$2SiKI@r~c~i{eB=L zj83}ZB0Vx+Pg5G_cJJ93Mv@WnzUFM8plY0KC4vy>S0I>U*9am~DOEEr;=Tyz)lOt5 ze)p5!>&N*lgQX~Pv0`D?%m)M%pilgtA=F@b{>o9?I%DO|C=G$`5UXXP62k-w+#iN^ zhz}ruR`jwDCX38iRXHYswzJ%2aaBWSCF!dtt}?}J<&Js2h|{S{0G}iuH%ATHcQ0Ff>mR^PGABbqp}hy zuxJQwoP2vLR~|F4^|L)A2<@~OG5m64x(4vkLsw1l>?m~`3X#)2jrm`hf6n>N@q-z{ z$NFaOY=3;xVpyF2xo@oliJKs%`_Ds%mkq#ra<-n4u+2( zELKhoGqam3Avu{844DE+Y{WS^K{}sep2+Os0kXy=*fKo`6l@}j!uw3Cu7{M_YBHNQT-wB=|dS+0J2>z8Zd&&VSL-HEM ztqD(+gvVJ`&yJE5LRdWG!CkE1=O4U_63co!S_Azy=QGz$mEY`V*3}L%Yqu1D=mzP~0ACxTr1^n6i z@LZbKIGy@07FgZE4;N$Bzgd$EAhSBsx?5KdiClfm->)t5uEFEBfE4t5*Po?D)d}6q zxchy*n6%kV?5r5UClTRrb)Lx7&P<8sG3E)!LzAJQaiu7^kVWHA#oJu5ujyv^ztDQX z+bvJ`bVY&VYc$!M&SA~Pr`B0Xk#9DKnkCs*6h}M;K$=WnZAFo^0UrMmoUc30p3qx7JLrwEgcdNmPuvHn&_5lI z?_+C9Xenz$hNd-Zs=@&T?_>?@S`^cx#O$R(^g?O?WP_%2EVbb~CUrms;9PPX?f2NA z=(LG<1nsoUx+Zjz$tnxYs&E z>aOCrHD6ulok&DEMICmncw5=P$;g7Sk=WwQWA3p`jNr-v*vy z6ZvJlaAve&LWiKK3r6{^Y>a0b3p$j)JGpDif=nKh1?2TBD-UaqqYOTvF4 z{SfB2V!tFQ0ln!1&KlP8Z1sRm;HZ6$Pr^X$bE?rgRKIJ2UkFFZWKEgZ_PQK^u({Ja z5Yj>_9lvv~>fuTBa(ZJg%m|>*H&|?Y)Gj9dCB~1c<3UJ!)rn`P&JO1T_CD(iR2Gp$ zCAc9nZ8!<8`an6JUDin}^naO+m7uE>_dpZLm)8CJ$t{QZjAid{>$KP32_%tN_+{Ve zA}NRJ)?~c%Y;T5gQxmAj*UrirPZy7_LR0#~Y4xw=-3@QVP#OrH2rhrUg8dt=h?SM6bhPPB~6755YG3(-9TSfjdW6u#;A6w|v?UKarX-8LU z#y`@Vok`h0htBmI#z7AEjfIL%5C?%+QlZsu+&l*dq2NX{9=;=?Go5ZVGLIbbZ|;5F z_9`p)(IvJ^6wQqYo$R^&xt1TUP(Pe6l^;0gHD%e@rDLDq(oGAXg{=jE=_pP8d8(?p zFB>!3_p7j0d|t?HaUVMiuB}f5*e4=}yUF8Y1Rc2vXlEhHG|%=A+VG>9bDpoWQVqPI z(iA58LMNp0?Uds?Qg{XS1V~sJDBIdCWo7-@oG(zK&Dac7)b%i~>)24x>Tz z-kx+VexKMzScsC0momwCQG%@b&b^5=db6d_bwM8H*lK4Tv@SDHvpu%e5IsYtlbtO~MU-JF4i}pZNmMH_Uq9 zmpKIn&MR-o*Wc6aU6YGCU1XMXd4P+7&L1Kl@Y}!ExqX745=N-zlp$X_x9{nwn<3!4 zS0`Lx+-6Xc6P?@zwn$Cdy%8VX2Y0^dy>ZGA*HQ~pBd@pCiQ#^sQW>-A-jJfMx=KT2 z%su6op_H9&(Cq$vqE`jWc+fmd34@KYcC`IS>|3F=!@-=PjI}*p**9JpM|4hCIU+2@ zQTcya{k5j9S@2dX!?&?Fg(oi$Qe|{X_iS_~1m_H>4{kw z#ixX;k-PD0+RbsNmOf*K<_jf{4098=1Ew+)W9q384m=m#f{c$S=NU>W|CAy0IfX!p_H*xu35oL{;; zk27Zlhd5IV(TA8K#?Yu5IgsY0M*zQ`;<)a3>2Z<}ezZG!KS^*eql<{-h3!h%$_sJL z>gQh9mNG`Z=K1W(tQcbQBj*|T*b%SC4m@`BH+lf$WRa8{dj0T+W&G8Xx?q2?d`R4Y zQp;3zmefF#;)fQ!ul%h?ixV$agT(PKc0Orf2i5_Ax{G4)ewt-mojk3-ll8(R4NF@K`RGyCQsU}w()JzPhh+|Ck-yFtiV5Bu0(_Up2r6lWdX(@^qf<`q z4ASgormax%r1uJ*L}T`H27{qnvG?VErs%u`d-t$>s8YxrLxBwXJQMDZ5t3^&?X2IZhIKaL`MMqDI}s{GAiHs9Kh_`O^w76&u~> zR^myof`=vS4vEpWTG7SX)?k>BHxR$h)171kfQ{V~z5AO((YueaZz~n#X*pl*F=Rg? z&@9xW_!B7UsI0B1jFvQRu2vzoO;Tzv1hD*pxd22M9rn*a!+wi6imgC`=(xJ%ar!>= zLYUt$r;qHmR9;~f7?0zmp}bEDqTtS}{Hw>fD%rA@KERcDxUjl}ewN9Z?vn=Lq@ma9 zI5|xD-|;`IE}ET{M;x{O#c1maoRC}+a$aJFVSS|d#X{R1B*4*q&(z1+`UJL~syX$e zs%Er|Mc;wv=si`MVcB6NDb~!#2@j@2ke(;tlcoCw7z|!Qod1BZ6QBs4?X;n~Jo{xL zPn9x)w-pm*$x0Qmc~$jFiAJgr<)mC-a1-xp3?VPli%Mz%4q7X&a=xGV8f(~;y2_2; zRv}a0exa=Wy7fBAE>k4pcOrvs+Ob}wa1)k>!56g8^lpArSC@Ksa(^?zp|{8P654S4 zKOlNP=1Rj&+JxB`oUFg)$`xg zGb|QS?!A~qrF=1HSc!{n{03$&8b#ROldQdzI+3Nc?0lUQpLUyR=Dmz3kBd>d5p|kI z-SKN;@lPP4fas)D6DMIsoQ7e^*+95RFQV&UZBA2Ks!bFZTkX^Z$@(BTlchWUV@i?< zQui9sc`s{hJGWU+s&*R$S^t<_sLPO|T+aeyZt={|_ome6^Tv=Y}v{{m->Bl=BsQ>S|xpTg+HP!*I-C3S~32DXn_Wg zzEU8M%Gqa>ZoGedH`UbsUwuocWz`ai(O7e3y+_cN<<|+uKw2Eg5Hz?gJE3@~y zQN4>DFPIe?q}z&m5jrp@ufX&TqzWGAx-k8C&x(+kgVY&}R+kZIJ8}&7wgzOJG6q@^{_$Vjfx(2kC1Y+5Jt z1tBFHE*CvVayN>>=;~R^H#u#&*BZq+AhaMXknUIwm4u;cEwD;|JaQ=4P$C}vR#LPh z2Zqrgo9tOWoI7LdBl*^h=?+_XY89UJ-;xhndg~f5bn9>AdtLr21~FfPxq}8l>Aa)Ld*Z=J%yKMN291gNydAB01)5FDn=O zD?r9c0-Ucxq=(SD6=BTdg7(W1txw=GKTPc#TN-iV$IZ1k^H?rA~If<$$ki-31u9PiMGAHx``)=K( zUdu4EzQ=cFs-~6LUt_{4kk;OWvgV{DzG~S7K9{}lW@?|+|5xF6-i2Tl?Dp+U8;oUE z7#VVUooc|DbQj^xOsN>T-R{Ac&^~Ic=ozfg5ijf=d*<)wPYR~HS;(Rb*m`1fy24GU^Qbi$vu2i&%(8AH7k30c8gn?*5qyX2mqsOXx;Bii;0+A@H z^ujeC0&4aO%nKR`Igq`kVrlu0gm5js2QM+TM$qI06vO3XM86WF_Y=@luOuFaUhCqu z#`<%(zXveCYsN(O91?$BF89oFc-s$~L*IMTV*6%(0y@}?U?@)L-&_ACaO3%R-5m~8aJFoVBg#3UM7|0CeS zh;0ap?y%Kq?t(N7Fw1=(P(Ie0H!PbCKf5P|-YMNP0g>ra=rs^l2aXsQEXd^ho4>Y- zv{t~Iqj1HaerwGQfPp?jS6u&q_(yii6*^4Bs-|k5|EwRcp?S8aq1tGAEmBWU)E`S6 zCk*1RPEf=QdR1Z4SoO&g$CiV?Hr7}Fqn|RLR=mei%Q^6etyK7bU;+3S8-XRHN)Om% zpzV!ART}|0x1#;_!z_Wr{C~C1ck3eEF0Q-Mv|NtjH=aus&Yn5Q(82qu2_|eV(j#pP zzXoFpV@CF0CFl=W%CskcR8CsgW$r5?+zS$F6TBpe4f!r&B(HuD(NN z-G>DLDOa2%KwjaZJNfCa{s;7`B^o#m^g*vln?Fu=bw8s0EdXy_$x7G#b#iC)8X;x) z$&z^~NyTqz>F^M?;In+G3sCVSX(j)FT1AJEx_M=tQ?=;hN+T#$Pd7ADgz@h5z?PyC zJ4}3ZRfdHs<7ZAesJ!EzlmKv2?&ys|Pkea(fSye*K3&5@HU5sxY|SySy_`-8$P`O= zlq*M9mSJVW!+o#wapnON(2a+G5Io)WR?Xx$Pb!M2X!9j0cdl)Kle^>2x6iOYAT&{D z9HbWy-fm?(v#@Zg)?NPTN_iV+mkwW165*?CR-@!F3eH*cCOMJ~p^c;AQMU0|R(2O% zB?Ioh|G%U)^#2s9T3pG=qFra@MTSoCipQ)eX90>%b|w{8{I5f%|GvrMKf)dU@p+D3 zI55w7uC56PZ(I@g@o<?$I~PEtdk=zKIUJmf>Qbx5ewZQa0b}QTvC5PDhy?N1p&GC zb73WO%SfEJjkU>CYiW)Wqd(pF@VW`;>Dnuys~A$}!0Gao^eb?x-=BvxD(h*PPWPhP zsm0<`o~z|iGU8&p-RE+BQ#7=fCmS^N;4-^^K>rWKDC-(cKB!(NGB_=}lAk zz}jeH3?*D_OY3~8*O5L?CroQSo30ie$RBf9PtmQu1=!8#Aa=&0i#5jo4{z@o)#SUb z`v#FBRX}=GP(YgWUKHsfO7Eyh4Iy+w4Jbu=6A+Nzdkeiu3r%{H4go}Zf`Fmrzu!5} zTJx;3$DHfzvB&vD0xyG?B;$FW`@XO1cR7Muw+B5R8%r*{5dzqw@f5chi3M5)R&9)X zHa`vYh}{hMh)xV)wW!P(Iva?XUeB(nUL&AYmxn(g_P+O+*uzsbrXOXAzvc|c9Y%zi zFlKJcL6$8RYCz^+L4*W8$jbFNHdxuD7$xIA8%qoNM1!bvX*;Q^LSZ12WegufcPzAs(iyp7=alV@q}m-b37FR$*0G40JsKY7}$P_TMi)Zxuc|%GU)sc77p0y`1EO^r`7K5$7ew{A_5K(`d@rSyb!bJO5*)Z~dffkYV z70ZsomS&xgUagwM>Ux!!D66$?6Fl8b(5*_scu~=1vVUslm5-0Jc1$w<-jvpT(Vnwv zA}YzXj#b217x(u*`INqY%=lVV^P?1x~> zdr}v>`l@eX!xqhK>Lxl@&Oax7QOEh;r+EYV_(6Jz#bfku;~@M}EE_}z)v)$Sh`b`5 zbv`UxIb}J~#@1n^QNL;>Lxa^lYxcVZj0lAf6a3R1kBw4>QVM=OH%xsr_q^SP*ifoK znui9@;0y1&wi>+dY8!y}&@-}uD<`Z(r|%x|zX+tB-a&NYt%=Ak7I5^RoJjI2N~{W> zR(5^DQMb=qsn1@^yAl*fc=!d27fe%grik{%t1EF^3*~U#~BUvt>Uw=VXo4uneXag;aK*0kVNQEP`rHXaabqTv^t)tyu1~!L#%#nK@lW%n7D;7| zAx;~Sr1n(Skv#E_RU@C!Dy;)Oc@j{{e-+LD$LD!%Eg#0_z~w`E9~N&rJWMeH+WFBb z?_eO1#-qZDYB~pYbUe(+WD=MI0F!Y01#P<>r?u^iPkLU<(VNmp)c|{ZJ{+B5Ft8iA zq1SNa9DjjOPeyE6SuM&x+$V?=K5iUy!nAl{IU~@UrW1 zNok;3_Tsz-cSp5IQui^=(uu@h_wc^wa50S{XiBZ z>To5kHkK28Q#^Tqbq7@ZYnQy>-5Gt`idMymYY~GogWjQ;B(Klj-n*Q>In9v(&w6EH zn4_yM^^mTv4yqpz9qzW}tQ)(h`m~pY*6B%BWT}d_)QGM7M718JuDFA&rr>VY$9(V~ z1x~cbe5;6QcNH;{1ftc;{t$Z0ZuoNvnZB^q7rYi?n?W1yfiAnHY-W>de67mIOV8WF z2z)#;%(ZsL2>Ot)ZE2DU9jfF(_C9j&H4?-{l~98a)i$cGEmqdd1_Wu35ZQN48e5st zER7~)^lxpqk%oq}rnIcbeQc6>I39A=BECXU5XRH_{e{ugh*)%%UX{kv7H??~kN8Ql zHG?)%aF=~TI>5I%T3lu}cZ8Q~@$klL#pz7ef_s1(mfdHnQXNWn2hj^YU(wxr|L%<; zLnHwxT2uYq)3J$g&IEI-?zO*9m9P<>RrXA(g>G3-W~@!1{P}6Kc+c+9>AEJqlpl43 zQL4`>u*0g>UNkqg&N#KJ$H4>q2>9Ha>rv(w5JDgb_{0$Kq-YW=UPUTUnzc-mP?am*GbYzk>TBnb1wVI;6vScCP9Oy!N^K6Wx#`16e3{j$A3qWzHVI6>mVSWfm7 zwZU$Z&21?H*M1Kd*i~O3d)Sf|MltwWtz<9iD#0($tZ=llo8i{8{_ZI0+hHv3L;WD@ znAbR_{Xhxyc`QncS&^bELabaiW-O(jEE0qN^}=tK*gV>q!*D(d$Klv2`Hex&6%2iM ziAWEKS%`e@PI!^dv5EKbjZZkcWU*-^B{A6YQ9UN57DfDS+7klT2zW3&?h?OXb`X&J z!)0vzzAb3|4ax5=MhjTJ;Z1fS+FXGEbI&v-!^XzJohzO&R+%h5ZrO={Dha_x2qJSI z;9zC%&Hfu=ClANvjO)XV{swwR2;rX>t40f3S3=24UMropN1~Yx&~tnA&u~99x5kxo zOwlsj{-O)=8}b@AyS<{deUZkluuSGWCTmz7&M|`!inDwIu4L9t)xmIi>6-36dCs)R zUcXe=oO~jYxXjZ%rovvga~+=zNPd>)amiXrv{l!P8v^bqGT%q38vzuH1J9{e_w<%_ z(a5P6YhrX8$!>&1U)HG-YFNVFS|$Mlm~r5ie=-B+owXlbOIKElO@D#b*bK+9bjOa{ zIC9e!O7cCXs$vIkhmw7!!j;p>mA=X0@}FN&1je^=R9IjPEbgnVasLXN20FvGSN7xZc+$Z0rEtcNKJ(2X&1;#sqVHdz!(5enMm2#>%I-wK zsnTr(>W&`)Il9lGaXaWPqsDCyuDegk?idni5KUf_qZAKscy<2_N0~J|w|KUKXJA5n zh54W%EKT;h4tASGq_sNR=?g3P#T#Xd*RJp~I!8UqB z#0gyIfeX zy4IOG^fxPmIkWOvbk2(HR=!K-ue|*&Vj32Iclp5wNl^$=Rn+tq>{uPb*=HKM-cqZ5 z%j7{<r(NBvb>8#*v&1D^y0 zv(`0ix{ zw`pPKh<#3psN15N*kP0>H^$J1UMr*bd;er{qSvC^l`F`_wO3X%t5*bOyq|CY?Dii_ z5{q|k+a-LEF2H72?50@JcTzddx5i{Prq&xI9lF1$?Qf`z=Ur82;EHx1Q?bNmWAJ-@ zvpA%hZ78$JevRTvl#a&)tdJvE*SC3y5gq6_IxJ_0uV_7||E4vE*t7 z*#I=jATul3ab9nfZuVhMHC~|2MFf5V5YhG#lJxM4Fdc1&VwX3u6ae{?@&RHSb*ryEZ1ZJ}Xg~C}z+}(jkNhE3kyhe%Jp#^)cH6SJE=Qkd=g5-^W^;pVTfqHlK zK8A~_A>%1QNBflJVshf8H4D5w*yxc;LN7)|m%_J1DGlk>w zf8RPQSY73j2|(f6VrSINpMRL#ihmyctQ696m=ry`uNaMEX_3({8n6t1Ib$dQsG;4X z)VGqHe`LK*XcIteJ|>pKnA?^E>Q(kbRv=N^Oy@>YO9R7e0C?1%+{3$xDaaynJ3qyn zz#5VsTAhbtX69wi8yijOe*}&eslj?iudkl5`>S!R$MiB>v`ANsGy2O~QS9D=;W)0< zTW17q%*>c|4sVK3-Wz%fCg1kHySS7MG&o<&0#MaMj5}_F{Zezbpby-|d|gV?P#xyc zkj$z0`?tq;$s0qSFO-+Re7!-_Q-Ra#0I|Vct5fDULU6k|5()k>S@oXs{=4a6le(JH z!69z@Md>Pd-)l?k@xWzu7(`B^>{q&NxC2yRVd{~w^P?=c??Ho4AD1QU;8)>bw>p?# z%~W_C*Td~CmrMhSY#Kj~CtLrvaXO4~3$&GzdOg(d^HuUv?Sq0SwrammCb=_%D1yJD z3R2vW_AX*|#gg}~@VDE9pVq%-F8hIGduknJZc?MKNh`6b`jU(C2fS?M){mDgZ_=M@ zU)^3gV{}1KV&+t+O8B9AkaYm z40ZG{#ph$02Imv9+t2N8+ufe$L$NQEBW33ag&r5i1|Licx*xY2BX>hOl@T$87k`2LcK!kp*n7moRs=jd%(O8A>Gp>< z?^~nY#lIy=A7ZYMMEHaf8{zW$J3p(1u?r>2sO<1gP&>vjT`W`d6-Fhkxv8ajQFk)s zZJOEk$x!rG^mWUAzmvaiQ}zIk3&N=s$!OJ6Ce9pDz^HMg{@v?&Y}q&4r?_o9f1UZ$ zY<8^BMqn|R#hb}FA2llds4-1BIN@=-u8?xvgs_YNeX-zQK(> zPk!jbM0;yWdpo}jVbyuV*|F2_nV*C{8|55j-5x!(0@>L z;As$|Sqlgowz@;=ku;QOJ_uzD>fx2AZ9z(vck%$xUW~U+9l9%oqhsc@ZNH>o#*?l- zks0gRmxQ>&mW}DC;Ig9Z}Lz*^iAPm=$7Pu(Vo_MVI)L+%7*%V>BWiHqkAWHTWI3a z&ii1A+Uu8H)7O6GuyE}Of`+bh@y_J)P_11C;S4{ewx|yv(l&;j4i`EAg#oYc>JO0B znuek>aMXmYkv-e&hr&y#jR{)e7ZE2jU%w`O@X4X{ZO3#L7GdEkNrveDK1-h2qD0aF z64Jp!$WTXwsEr;pB_rannF7&Cj2T^Rs9J%+`?ABAYI1#h*uw!S5s)*lT5E(B(>CRqN@M02>%jP2%P3=VtqjMS2F$zXkcPbr< zGlVd{YM0SWnuxe^qAp<;_n*=)?ER^e#UV7N7$sN!^z%x07cPc@B$_V|Uik$jQ1+#R z4ir|(;5`QClm~Ix#G9yb+{~5cU!dx>ra>UpaOI-u^l+{{swa?a689ME3uoF(l}XxL z4DDZ-7ToAarz!5MlN0{*>WKmB$pFVzQnu#V8dlxo@9b0NE2F=&Pjk=V0s#B;^#;A}pxEq_Ld$4nIA}h#!4+|^Z z7A@nWl?vP#6}4J|J`I0~`ZWO+5*w?_6$g_G7Nh-Aky>II-Lw`JFS zndWt?=yBr9*Ns^P15|2)!bS>yxYQTZ!4_N_o~T<1^4 zA<9;(>x4;o&-h=UQpLXPKeKUw$#~wqV2`e~Guf`x;pAe@D88_d8p78JVCr3zI5}d8 zM|~cep~HY4AThEIa%2LiJ;kN-BdC1nQr*;zTrAsc?UcOGRg$y{?_=AWD_V5J(o(e_!8WvXo0j<7jpH3Zw9z8J`E!#~r>-YGz0xe%`Turr0v5N zqg2y!_C||(i@=MsTPiA3_LqJuq)NRU!)l~RidD1QEN;Bw;}JNPDLLu9{klw~w7GlMsKv7H!a`4p|Rb6(d0!@a~*hBc|gh-{CD+qn8_*jm>8=UdFBH;E#zs_?>yc z9ycHD|5T{k>eix(Be^@O>+XvAVsAi6y*Wd|qqKtLI=3s%7oeA-O$}bu{*v<$Hi*^> zYy_%QyfV$8Bb}E&GfYb23S=OKzs(U12pXnKswnlaG0=?ybVGI2>3*AlwOLczce-w8 zI>xs#CY!^x{2Fgc?n!9eD*th9K2i0yLj!Sq*JLkc$+cj4H&NiAI|^ctV&tcolV%0& z+{63z+34X0oc&iP$7F;2^ML9XOqQy-(9&;^pNJml4Js>JNcwi<$#^t7UFDfjDAQ>t zixss4Jw@Yj@t&Khwgc-_8j7o1l5v=B^MfkvL6Abw{}`mNSBJD5yk zdy%yy2PE=lXq}<~J~Z9+D-lZs2sEny0^NVTwYzqz;SUH7;PZch;>(v|kyEo%aGwi^ zT)tpIdhA{r1jP5wXj9*^*|oX zt05)@J=UuqW!r=`sc+lyU}~P<7B&$fDJ82!lGMAST*Z?gwXi_v{>b~Ma*Y(n#;8QZ z7_sW>>w8*w_%2PO)og&SPi$cPe-^y_KZFX}et%&gL=Sz`^K(FR_N;B6*XzRTGG=&$ zwUaby`*B&wvU$oGhY|Q6SHA?V#!&Yx4j2GeGxIe0q+EIq_p>53seYF85iP!=J>{DJ zx2ymC@40o+DQ=~}MsVMnx-ReUhg2J2D3S>~avXhCHiuIdLn|5+5zmSA6G##ZK8SvB zjR$}8xDnE8x$*)Mfx-BLGeQFNRHkn6%R|&jSFwe!XpXZo`DTZAMtD`{p@6kBTme7M zrK<3;_}93SdP{k6#eSUuEdS!-%xREyQafko;iN1Pp)o$pEvOG?d z*XqWxM)mqS)kMUMZqIZ%v^VqBXbBs~?pYmsH}~+u1Aw_Jxg(vIS1OKmvZ|8* zb76(3ao_H(ukRlu_k0YVW+l+cgF%%ifY$5VUyIHe?c1FLU>4cVHlNGY8D66;V{-K~04+Yq^(cUP>Gr2I=a*L@B< zd#-pHH^*h?I@M}szI+g1)+UzkF3cg@w;(XQ+yWe+F^Vea!Veuv$Svhsainnyxp9fUupDq(<{SDpoAGd1e5j6V6C`^ zy*_$81o=)LZxa$0t0oBjex>UTm8xIbL}`@f#9qX-(s%@GhJM}zK*{D3Fs|3`c@9RV zPo@MBBaDdT=g}q_&M~0p$o`YTrC`Jx>u5^Ne$4#eCJi(Pis9qvt7Fo*<*LBAe@Pxp>2ZMS1l(z;*CT%)(`!b$cx#0L!?Pb!(?>%IVsfiO zxLCDb5*4%aAKN4dl4tPRPd~K(4*{P4hEQvo>~gIl+j^*T1O>k&{ndqioC@^U zz?LYJz)3p?ySrJqbF2ErzVTX%bJ5Jvj}6Z_Yo)kJTo`MLC%XPimygova?|k98`a(VL-$P+Wev4K3OnhZMW}k?80TED^hKu$-DRY9(3E|FHlwR z2Kg-^3VRn5zKEkw)D|lTi}QNPc-wE2qSxQLJu5L_FaSYbtN1_~kH$Nqt%*zeO*rx* zdGG!EGW{RFy08<;5taGNPZUg6`)l3Q7Y)SKDxVWHT?v}3>US;Tfug z?4=xx^{gxNs2mu1_{FV1!`Y!53|4AOo^`4o^xywo`{#+?_L%IBJUslQ_Frab{VVs( zKYs2*4>W|gO7|4c32Feh`k&FasV;YnYMllcz)hfQ#KgVgLt&pz z=7!Z&#tkSp87TawIhFD!1>T#|lw0}?C_dF_mI7a&mnLSSeaKK&nWhErzd%BwX5SW5 zql*9uhIm$X;a-a9{gkQSuV)KCpv=79O{5w$CE-jyn0TLFJSkzW{#;BwdF%ZRu+|Oqh3xS7w)^3A@n{a{M0xgEZl z0cG@80mdRiYx;gmu*wFT>BA$)dxH~z+`}5A8}jiQWF4t>BdJl3whCfbZk?}2>>q!U zXyS9NY;CVU60Cd>PiXB{*v-l9%3T>iQ}*l9wkn~hve;ye%ZZilC&6bv{CL%Mmw?^* zR?BSvlu|!yuv=r&!~W)UT352tKNcbihKy322}*1b3=I%j*CV=ydjvQUG#e7o?noK# z&`vhEHLTdU9$>E0dq)MlKaPqh0!J|TxW17K?G= zu0f56hcX02s~WkiZM`biPo+cVl^!Uc-Tr!;@2l{A&_{9Dhlw__D%@?9(GKyFF-UGm{%o=Ug-{PLy-##012J-Cmx{lf?`0w{ zD!a@qiYV+ZmFl(VcVPP78(T%F^K`8yhf)|LKRQ>4fvz{q6~A#3EQ&Ko(NYWeNe%fN z%8mb%#cs7aS?@~!mOix{bG+-sO%pBfPyKV08~Z||;$qh#{9Pk%>LhUVyo=ZWn9u82 zC{VP?Th=}Lw-UPy>}cfmjJVDs^_m(Plxax~Qg%mxsk>DLgrE3I>Kg362@GXP9oY#Q z{NNOOmtKXg-ej)_p!gA{Tp}F&zuJ+Yb-HiM`kox@(57i8NcFK$WkqFfNBhEg#wV0P zV!+2c9YG@y7P3>;$04h<=u?d4fW*gwAy$l@N3|UzJ%KvMAn`?wf%=#)r6%Y^kqImN z4AXV^kS+t|$KGV3vT@%f;bKZ9f?$(JT9qhYl5s$+lMI~|j?PC7ocr#k>=(m=geT94 zRDB?YB$A6_(K=*=+j2C6($$W*(ZAu(r>|)Ljz5PsTxbFK^CllZOlDil(pS55aaNfD z-B_M8W?67ED%m#1F5>;22RHMeSOzaZIPGJT((-o0Dh4N>)W2Anl}T7^SKOH@u$9MU zpRYluPw~S%g2FW$MVq}Iaa#Q;P!ZeWXuv&Lb%@v(Pqjn5!$M#Zj?gl}M}=lX~M^?aX^*4^Jn>kp(G z-|A~x_txBLF-mIUY`TZHy?U=}M$TYUkqibk8(GCl>A075u0Cuw?{>s}^c5Wmo{k$> z=8MAyZ1Bb0f>;~ORpoSG=o)0k16*&bN_|sVVzIx&?O0vPl?bl+@0zSq-{+DX6 z?5oyZQ|px8&(afpyk29E391P6cTw@`BB`hRy$c4ZQDY$vuf%@uQFO1e)xJdMclK`S3zqWa@$S!Gf{}ySDKs za{4KTWU1E^g@C+V*xY!V37<2mf(PUC>XcJ#%KhnuM_N*#0WvhHHb$ZLoO!|Dti+rP zZj)|~G|^|t13YxmJCWZHWCiGcT+3l|3t-OUuiJs9^@9fBsL$78>4j)%(zm4=?2C^M z$t-SO{~ej6k1Ypqhu#(TIMS|JxrgtkqcTl|-b5dUBqwZ!#_qf_Xpx067ET;>ZM^Z< zp7vJ*7h87cV14Ic2S>*Oi}>EIE_bD4SO|ZVG%u??{KP8apJX;uakfSZO9zOE3Ia0< z_hnX)t*mt`H(5VYJj36qmNTmo&W+f4OOI=UjskgLy?u8uiW{F-siunN#I8oP+D7a- zXA)QCRnjR8>c5>#R0L;mT!fI_1-E0YV$S#koOe{vsbPY08s(3;_7UvueI<1H`02APAfB%7I>a`n09;s46dFn@Z#1XV<*=phm3^QmiUY*U#o4 z*4RvVG4_ukh9V<}6bwHA2k;$|q)t7tmN*agroRoM_YxlW1Uk zy6D4SE$L(HrG9=Hyarn_k%S!%XKi`D*C603rf{@fb{E_0pSGxtRx&Zcu*lGIdj z?_Xyv)f;EmLkba{^cZCNxwlumR`tr0`VI>2*j3}aliu))I-{1Yv!8XHx0iJ)u*kKS zZM~AgfZ@%AVoLJmJXvsf&Pin|#v15Y(uetLaEB|}jjH;rkROK~9w8ccKqJnhWbhXo z6!QCeIy1J|fb}j?n3>w-k@y-FxfH_zWJ?{7sx0E656hv->bkeIGu{pheRNYl#(C%4 zQ~hof#7bwLXyjWMm$j5aK|>yVO>L?nPy%bD+KP?J!+u0AY6Dh1#e-dbJBd|yQpS+1 zcP~cnP$Eh_xo$}@9xAbBcJ(>rPspiB)Nfp6@>xxs&d(H06*sFS^)J`V z7)m2xrHzV`e*$yfTa|z3bEMQJL?c?@`obOIq}&yq6ueq}4OkiXYBS{sG@2X=;E1MFqgJa8banFEHWh12te$#BNYz!Ge%Jh0+*&Y15w;rLN8d8hohU;Ya`BtA3e4SeI zX*?8I*?Jz<5Pm;USK**ai+JeU1s61918 z$5He_2zIi)Ckujz)<|?ZgXj+9f6Gc{T2* z;pdk!aD41$sAj^1hx>z3LP0nVbmdX{ADIKu0f?Qb`7=cXN9<1tjfk~SYo@KRZZ3}< zT0|Bvwzz~p1??|cJ7xP`!D8jS zw>xy`e|D>g&K;0;ift%GS%tMmUh_{Qw58W)8~7la0;V{=M9wIKq)`!V=B>MB2Lt%U z!$g|-&|KKGAvR$hO6ACQZ(2;ATMb;Yik!Q7lrE%jD@^si0m+BEZ#Cpj<%4)|V~gIO zoS+IE7AxRl{iddQPf{O#g0HVK%KL?B+_=Vvn#nt@Rv5>?e0^)wXFz(D*+A7^r!4wx z^uU(A0sCxmiW}A>bMdL3Ke~TXV=J>5s(I+oyaA_ikOV?tPo*n4o2D8@Mpksk%_4WT zxKi;9tWR9j`93Vgu~1NeL+1R+H+c6I91l_3s@~2>p z&?v(xtYR;Y9%!kRE4zc``+rtKS!<``HMyua{UlI#gM`PxO&Hsc<&ItVI+=llIJE;N z(>=nA=o0dl^c4d<#=m_61$}+>Iytd+x)3{cjCY8@W@?`)_>=8Nx8kLPAGniS-<56$ zZ1cMiDTY2L-=%Qu;YA%hPs65WD7-jZHF+V8i8=_z9Z29QH?CMXM$xnU%fBI91{>b5Q2 zNkF0b^U-dvZ+86mguc@!iYSTsD{l@tE8H#qBzE`h>={?=*w`ZyQ|1Np=TyOi!|E@e z5*@M08s#o>C7AZIoTL@$8~CV$+VDo+xQO_m+D*q*9B}d@`6kUty^BuaebcJacdayI zNCpnGv&?;K6|J2A$#L}GdQSg1p8nr|PHbT-nIO^{<;omxxn0+$vyLBVjZQBctjW|} zN&8_=Y(v};c@*b*O>FfYM_2M+$F2Xz&#P%LH?uK+;yl%w!jT$&HusnUzNZ_Vd&ght zkA0!%YE)|33L@H0KOe?`k1yD+!9eSm2Y&itt`)6+Q>b4xpZk0HbqtKZ)8HPbye=Yn z?gsG$_7|uO*l0e>Nd5bRUnSMxJ^1N~mCr@$<i@7<$}HNuxd~Ac2$~P?t)6r1@{Z#2oBi$-*hU_jPGlS=Cu!_61C{K z5?_Lc_l>@p?wpff{z^SLm@GcooVI8&^U>f~O2OTOCJhsDunrOva#gW-QNIqCNlLU> z886+iyq{}!7k$S2>~mp#h>zZh@9~c_VCK~R@$^+*OEoKO`~}*eDAm2<;fQjHBbCD> zf|%KQkRi+|;UuV7YUq>@nEHR4ev57erzeNi7ZucJ*z;E%q&Xk4&;+w^^1I=2{-Acj z%c1Cr+>&G5Je2LH*ReS#w^o~_6P5fS9wpI`mQO@5!xF~GDaFmmhy+s~Z?XkLJ>B$P zU>=SoKz`n7$bdZ7;J4=KH~0CNh^Lo`$99Uht8YYF?XNR|fKm% zxdz1Bs#8w-G5SY#QUWo}i+8vqXVF&Cr{i?}Zv1(j^__@C!3uFwucnr!Ws$atb0BMl zWBqqOV2U;xTO+upg>SNlT}noHDt@w*54+s@J{G@EG-Qz^Lzz5V_v9l-QWm%otrk~| zKs%*o@rtf=gR4gJ9+fk3nB-Gmyk71k#?yLx=atV6!w`tASj8Gv-)ES`IJMc)5$hAu z^kh+m4DUsv27hEE=pB*a&`yr{&$U~D4;(E8X8lbZ%6BjKh&{DRAxA>|b3BkYdQ64+ zHF7UnxN>iAawRFT^{e=d_*Thm%Ev9!JgNnK!Ffm?oAT+Ni2tze?N` zlkL}5T!T&F0ya><*z|`b;?=TdYN+dDVEZX16HWv%=1{)LYmXYpx>Y}2c@{^tr+8O^ zV__+cZS$bf7dd0-b@4V-!rPX({D{B__L5v{W2aZLb)LB|>*0+5IAX*(Q6kr?)B5qJ zBra!S;lw8ttU-+ZAYTF69ZR{aKYeWCQ`a;_VDEI15o?K3xa*s}rbo0k5mXEx>)l&q zgT{w~S4&`)0;;|J3Y=Jid zqk}&J03IXS$&=hjcNSjnukG`e0GaPLJ-V%08%hv|f_)p3bGI!2=Y39}9i&1QC2)kB zhVPQE__6}tV%m3;iW~G=<5b3b^mFIlE(j`Pa|F%Bl;pxRxFTWm#+8Vl@J=PKR$_t8 zLVnVd{Ih5QQ^_W=_f_Xc+UBV8lqoSNm4m5vN;b4&SAe|W&MnbhH@e4>_h_PmM1yxt z{rrUh6R%xd7dl7rI$L#(6tHQhZ606q0C&MX-@>n7aI_1UC-@8FbqnbkHcJ+kyy+XR z*4juJ#AjMnyJiqWm0{ik6xm!aVVh*OZ=?s%+54uKhG~iso}Z^HqsxnxE@lNExHCTI z(d>zprWWRTDQ!F?t!f`JxU>Pkq>&2%q#8g)zIA!5z_joCVDwRFk$r1Xj4bDB=nR*} zRx8PC*6-!~g^@=QfnG!e&$fg{!Z_()>p4CFk1n5{#IkjdFyEQ$n=XaqvsswV>o;i` z0`1bHN`ajEhOoIYhMdM=I;p&fTLt#{c={B8KMhoBpVw}E`u~ZGSN*l(S}WJ#kMC?H zuA#_uxu~HO8VPQmoR_Spihrqgn;qF1Q=M5=%@L~&j4CZKf|c)MD)#7p9ak?eJ-=n~ zy(BWv;B^R)V39ZPW%;vAk`yw(!RCBt$%zhyoAj=QYDQS4gLD{eQdzK z&J`aHu!sN{!J9t-MX=gN>+YF(mmeTj{_QY1?9ge_T6%$0yiPD=r*ZHN?UV4O%PrnZ z&+LmJqidX3KluKg5Jm5P`<0d~$Sbe@%_i+ZCk6UCka;rnOU9w3i25ITqldTB3f&W3 zhe!yip7I+|(Q`Y42Mea1^JCU@=G&h_eRIA%N;PV0R^P(W*=Oli;dMX$_HhCYp1Wp8 z@w96cjXViivNRnz2amWd_3059^>l)O9P#cN3WoQ5Hfm{Kt|0P-mD|f#fn>PvFY|h& zWiovdby9~%%dOzam&^!Y6k@j;N}G*hH6$lenmP09OFl$gnK*A72MG=_(cOOvL{)Bb z8GUtFV>&p_ZEBADhEvqKGx=VtH!mgpEhA+M%eH#=AW`MtlCx4bGT|>U3K5WUd!vL^ zhd-oWwqL}RaGVg!-Swd@1@Ht3Mc6p)nRbT}`8D~TW zfGvYa_>!?vn||!K91JBFLt_i!=Sx2hIGUz&O>BKEj7vyN+%rR5lM-myn7`U(eF z_gG3!@~5VD%y`(w65lK&XW*|fpn~L8Bb}TRk%_9^3Eynq0CQ3DH~R{FwNr8?8{?Gb z{ciKE$BTjAF=bz-2cu<=xju+cG7x1o1K|ecdxnOKDVd(u0o0=oo-|Lzv?nKn4fW1$ zN7fqS9%BTPHY}c4ft#Wr_)?L=AIAB^^B#aqzO1A1#6aW3SiNWHz%RfUirk^b;k>X( zWFXO^HoBhLB$h~58#14{3#apls#FnZkZ0~&B-0(P>Gmn#g+igC!ac#<9Cp#pOEX45 z_wJ5G+%@+a`qPDx;-b|;_F|{=?1_K1PP055|3tGl-RFx599xqvo?)bw}+9(SUk7Spu_tXX{#z-w~JiK(elM$LAdt@W;0 zE@@IX9H6A~)ic0Dt@?!GJ3smFyvk1*o46w-AK>IKI|*-uqA;we<~l?|Y+``H_yzn=URts|_cJ>J!9EaQ&LYQ8RdKWi@Ff z61e4KG=OFdWC;U(+?1EP3oJ4f6~~KAgPo=80m`rD#I>1w=bENf0s@K#-=!=RMmg#F z1hGc7@n|sgg?Lw+|0_(J;lr4RG5N`~lVOa;K)MzWe(yDD9?S?w7nlrW{l?K@+g{Z+ zWYEf*{oOej_;%6D89uVV}vb75z$#ZC|%vYFKK zfjY8>4|Yt!K7@~4(xNqU1C=_$R4IMWbixeh0v}GwIc(T-$%F^xdQ6{YWG<{wh1{`L z13Bx%TQ9h?+Kr$<<-Pe0V2eG%0uKpX;281J>ZfH-H}*gIKWKd~lg>j|?i+Xh_BUA= z)kYA~*F$O_$TLdqNxck@Y`5EpBj5M&TO+!2f<8giXA|YSv5Go z8RX>O1`u?8Iubv$1pB#djv08UXf(2UIdlF*@=XFZUd>IV4C7hP@)99`mCBd(Yvxa> zVxLfX4KUr(SS;TI!lQN{Od)=GYBcaT32@HXK4odrB8jjdx^IF>l#j@vOhL%YcGvlf zHZqO(WizY6Qr?Xn_rBUEsrTvjZ)%L4O!6YNd6p#{{ne-l<~HPUyvVFo8&j1g&`xmg z@w^k$JGuk1K{W#GR;+0HnS+?cW9`@C(qbd@Gw~3u#$d8CdHhYzoMZDxT^l+8iTc;H zzs1I`ht9#;!Qg56uj+Iw+2JdV6xB~0$irq5<4x(oVs|~R)H+3*Z z$Q8T3OV%y#R(qGT11U8IQx6RYig`cDIN zjHocFxaVrX`8frUh83cXpyp~ws|zZ2T#qRfm)dQlvuRl@A$hY}jJ4Ww1A3tWuGa^& zMz0%FP@p+)!YLf}dqkVT^4!UKsEgFNE=d-n7VmH^;q1La+J|_$r4V1k}aDp zBIqClXS1ViI?!eW^qw};5TgS~aM(Lrz@o0JQ_o`jhd*%KSEdAFBGJlr+(F(W!21R?>>u{K=unXrd{5%2>ct!Kz(9@XIoC5 zok|vc$hieENpoiwmJPQ2(82e-5yK++a`9(*fu-5K`_}c-T#YUl3=h*$N~)sB$r*Pf zWhRylH#L49g3Yk;@w`o+x?kAvm3ol&=$UEbZ>B6OsJ|U;#BSyrY*aqHhX&a7g^~ic zF8%_&zoP%FFngXYI(#Bw$7YKY{^~s@T}6+SfdG+efpSZ~$Wb~p_NH!F3r~ECq!MQL z9nvD5FXY28Cu$;etP7vymFhSD7eJ$Ja~Qvy`!#O-x9C-U3FM@6t*K}kx9NRNy4vXZ zH)JB*p|5pbT$WX0;hK%p1S&{<&rY)7AJjzl${+hyB=>9P)-kr#l~`B7yrx-!hTS>4 zSl;*gG-?tPPgUP0%iY!Cr2T;%KoMqdWUSRZN~_#A{Ssm8C(qPhRS+w|#i#J3><)Q! z{7Z7zE?R%J4Zv5pOSejh{#oo$vAS>c>P}bvPnybxcDI7W5zgHEs`U8WTr~s!1{lls zY;=R?jl^-kAsb;sV|_#XijU#0d)X1?V2b&+_4cz(Y3`<1r0n!KZidb~me{!N#67Cz z5w{dAnZ=ERuNo0@+pbrgv`Jj-Y16Dvt0r+A4(GUg(`OxOFXj&3cO~aGP3_b|n}#^} zB|aH(bKQq(H_Pwu82{@C^bRd(3vV1%M8 zL&!x{O$(t2L)8fa1C@{m=Pa18oRBQ_S#+ zHcP$WJvv#}yC*}NTy%0QbGUj`8!Kmj77P09yODrj)lKoiI8$ki+^62o6Ln4wOpwoh z!*w{h}l|5$b@W&s9P+2O+jAB>#Uv@V1y!o){Q?5YbeI5 zIjKkq)@cg8+vj6L`R7+H{&m6^Hbyszv2T`7}t-0$j(x=suu zf6=gt(a`Ka#7IKutV=mv0mZ8Y*YuYgw~Dv!M{TxK?a-E1lu2^@*-=N|;YPg=5aEpk zjM1syKxZ4UBDAP1`SW74%*Y-%@1J&YbB1&0k-}R)m8y-6`|)eYWZ%(z?vtar6asj$ zPVKO2B(ODqp7h5wVTBFU2aJu@O26^(xYCu1<1N@mH*VgQ@4oJ{M(ucp_~$GG3NO*_7-wyUJ4?@blIp9~}e8WRz`!G!-L$i%l?p{}!~dO4we z(D77PcR|rIzQkzwiPF!|bHOpMd zwps=5%#2^Sn3Cc=#%#Ve!mTYcxMAfh!IF9#G9=GS_b+dJb}s9wU-CTM-` z24#XVjFV)#;LfmaL)hqYwbWQ~S>pHB86)AkM$BRYEu}8PuxzxxiLkCYNn#)y>lEPz zA+cekmdoC6xQWnq6Fy@xq)u}>eaf!Z^$X20KH3wM4cqA!y#oppCJ@^Li^KbRqnFO^ zx2e%}1_N%dzF*Hu{fcm`Lz|-*2T%0~u(!1q12h5mb*q*)1$2c`tqmwXW4BXqKY`zAs zRYvhhaup-E)aK5)Ab5-TU!wMoI1+D%lb6z&cuDR~MVyqf@Jy&KhL7H^jd%I@&UV_> zbG3RYw?z$pAPxOsY1H$696wP4pcy(Vh9WICOzx|4`U&yf(*xUG^-#W*r}VvCx`6G?P4uU_?&W$>Vw z_Ev$#!XZJU_2uKg>b>+RPgGkmQoX)x8Dw9pFG&O82m%{=_I0i>Vn0clQq@93>ksAn zNM?^j=q%wR1y2k^Rj%V0zq-)K0g5p5B_b2H+;`Bc-&SbPd6`F&y4Tqn?URcAAsM|I z!+P~X!}Ij%GNQ5z$kzCUH4g zZn;CwMF%?z5Wf3wP(${*q(vfYQP~lOT6+Vce6^LXM?lrrr5#_zElEd%hE`=eQFP0@ zn$zKJrxb>1k2(qe-U!*+BeP zKWoLl7te1NsQOMaMCwJc#JGVVX-`J-Xt{r~CaH{VeyZes=rclo?HqMIZnB0f`=8Vk zwEsjv`G54YiM02tpY?;%k8E()R_?3h$vazF6&WgAzA+3+=!x;puhRTfug34eS@`mX zs3p7)a;ka3bc_BAvU@vp&{1IxH*PZ`&R8#r0`jbLl?S2%d-1NPmT$mC}WH~!&P13 z8)reby?5Q{Tm7*%iofL1c%7-zE%^%eJ^Dk~mgdfk!qeL0YmKJ8iiM1Ed96uTd?S;W zwbOOi-UnO*8tb^i2`h9(A4Hi+V-ztzmh1TWHjwL3z*BqOmvIm_W#HhKVNja|8O~vq zgpUy?$t0VPuyYyj95r2|sSkX~VQu;4;HEjH@DTty!Vkp{dWDOlNyXnrp~RN>fm#dm zEDUrm&ehk#2RDX$D;Mkm4xtuOAIehaOB+2&iIVFRj4F0YO*6l*fyB~d zcd+?GIm={ow1lo0=K)c>Z@nNBKx>Fzh1}4$hydg^B^gA?%+D6ZY(&5~s&m$5Nqt~p z`z~ycWA zL6qigXRoJrHdT->9l}Cy_Rz(EP`GRavcA{tnc}YIZYW;rW>%mG$^^ zUc=wDaX;~-cp!Hdj&SQK#RO1!@d>P;89Au(H`Cm41;^HkjN4C+n%ZiA#3B9M0c0r7 zaR4#@rn&16%st{3IMp*i-k~^Wf|8*0d{$uU1CZ%MMLjw7RcojWA%=390bLb@E^*uzhS6y)NBNspn_$XAZyZ)YxL&vpeBxxDdmd2l-c64 zv7$@4dYnmIp6BH5&&hg8H5N?m)0I0kz7w8V=^ z+-rCB9wpDGAQ0Ljc2eYbOpK{=?U@#)wepXa1v5yAT$539Q|jgYzDIhjm@$4eX%7cC z3OO1dW)a-cy_l?1BB13>HYX{z3})AkVwXGNezjZm zOP_@LLg+`EWMoL9jIeg!9v#NE+2=&bG%X;QK_Z0Z$LF>0q3l7jS{t#?xiEeOOl!Lq z1`A4vRN1J~seUdKxr^zw4zq2HkV*P{Y6rrIFn28nG87+=cc(BUT|$1<*$S`RN{rl6 zC0K`Enh4xk{BhXe*rG+N^~B%Dd{e9nPmLEE9Z+8B%Y;adAK5;WHJz~AQc!G8m`Ir9 zFT<;1_V=7?e`Bx)C+i+g;Y>)~B6qfa9OyQwcB6k)YxMTm`=Ug#z#64nop4E; zK)nUrc}F%xmvH+WWFBbBRJA+>R92o96q$|;(zNYsPLr|Oo96G8%_!XU=l-y|Ic_dZ zl_3>CFp!1-Ne6D|!?Lixni`ONdDaAP`2#ClwnuIuZ11YKQbFeN%dJVB%S_STo=zY9v;h2sm(Dqh`8*KP5FWB;GpCT^#8nr2K}FO=lrW2 zH-Cl?w7RvZuPf9RSTT{GK<=+9Dn-6QzH`M1QNTP6Hip>2R(btEnF4)EQ=>@kU@-}I4bU$bt z!a1b0p18j4PHM2wg%;<5!}i!;b{Cf|h8%t5nZJSzlEau9aEV6C{|0pcy=*1t_CYA7 zuQEtB&pH9QB31gt%ZABE&+R{r5$U?Fa3;~kbdaOHwT{`kuGalN5x9PQ!?^ssd#0BA zWuWf&Dg8m{3cGJ5Y|%ZqjZuHWa<;hCXg^ou1OHN_j9yE9<5^l_{BYDG(r3pXI~TrR zn2zX0MI)I#6_F@#JfIp#0oB*&IW2kb1>5G@%YkQt>)90Mb&B77f2Ig6?Q|^JD^J++ zRRUGTeuAf=ycRJ$Jugn(CDPE9#U#np^?xGf*Hkho!3y(&w_HBYZeO(rybbEx@{8K- zQ`=2tj^G!llr)ck8{LtINm_KfxrtYXx%5oVU%h`qo%$VbZ+Z2am({#R1$TCSS zDzBU1+CZ>u>uua-FA#_Ix)r8+GM=mQbVu4|L9SZ(aSlC<$pgpdT+J&Ch{hLlypjSX zyPhAzY6mg)hnT;oc#!nSej$5yk5}20|K%!UZt<-5BePOOZFk0lABkJ`E_`)&$*dnp z+cT*ZmvPuuiJmA*IUC&rc~tf-3E#c}3ZdAy(nH%Phs!)wKQaeG^Gs?)5V@Dw3dwsd!I$vCg@j6!- zoW_l(KaD2z_Rb|Xka(08I{hZ@z+4||Dg|a zjY~g0he7UwfO5W)-NDa;KmYzo1fIR$E-*R<*)$6I4Z;Lg35m{tOTb4Di6UQMP=FBG z$Sepu)=dntG)^<)=?>aeD7k_tSE!O%{jyH(C0Ut9MCH_$z7%@5##{K&B)T+doi#M) z!X#`zGhWJnavOhG*RE_k%*$%RJWMx@Unzp0MrqX_hdC^_ut_!1AB{3p!*#eY{H|RS(_Sc zcGZH#WA@}{58E8S+W7d*O2v+ru>`DnLpydo7;ZVux4~1eWVocCU;lM8onMAW!&Ep< zH<2y6b$kh=Q*0TxU#s72lc+81{$N1&@OXI(@7=pZ%UtFiNn0sw$yJa34vkb_wqUMC z)O{WmH&3%G9~*(P6MIRmocTS^kGVp~kK=FS9+qXWTM>3E4`FIyrbT%=pmBm|Pp`M( zD`9Qi;0=YJe`pdosMPGc;Gy7K4*b?-7rKS*Po!s$B;&7|O^dwwy|560poBbW>d`sm zgJp}GGN3T2v~Y86az5_Hm4^WKSnLbyJ9k|&=LtpVeMzN=<}TyM6D}q+Ci4f-k5!}g zHl=dbJiul~E7QC>=<)N%X%xpqik?ewi=J_8u!=^sI-IE8#=>(;F+M0Z$^F?H+ApaE z^dBc-cYG+_L0?MA$tgob*`M#(o-JcO-HG9$l1O9Y1{0&{6Dq61SWLOv?`@F|40 zEq-^Cd_f_bc*1f%dE?tfwQ6P$VS$po_ilmo{%Fjee%451MCpgsVJ7UQd6W6pirS*? z?eVRap-)%@lYMU^{3bSvA4H)Y0gONrNuC^pQ@8PqYpRzKFNz{#Rv7~;ndUiq^@hi_ zl4zfTg3S(q4Ssp*jfY@6QyNi>uuXENU&T+2!Tt%*9CgaT(9;`}a9V|=wQwVGK2nJJ zsMvHpt3WSdHGtW6e1vx@08$=cCVmdkSCE|R>Gyk~y3^hwMV&=@$53MyIfV`hJvF>E z5(inyxdcH;6u)~t}4 z!EdII>K{!Si4IET8NcFqPVl**V7rs-y0;)O2?eZ42mVopv)S+w7zfte z6M{=RgN@OjPWc8>i3B1tJlIk|7e?Z?Z`Y0kOAlnoydD4OINm%;y=AvW4JSVI)pZ9t zjsps*uY~f=;H;fj%WU6&@_5Ucag<{n!R%NQ2H#OfmdR=;Va5ieoIxU&X@DoyxpaXW zMPDvAmR-jij9x~Xo*dohwPU8kc=z7daAP`Ce+F?@FyCtiTOO0JOq>SSs@Id=L-Q^m zL}*q}jvAK%~Rn)c{;FF|L(PoD-}ToQlU~9)B`H5L+MrS)BNVUBG%c}i6=(v^W#|aHWpO`{lw_EDs;!C2AiA|7=3?CT6s|0%yvac|2(3o%a(wc&m za{{n`b`ReVEPX~d#Q*Zvs32M*r-=`t&2%9Ojz?Wr1BEMVnKfO=^xC@m?RGz~*(hB= z&E(Fbn6`aQP}v`4#);cZkfCGKZx)QLZ@%g)#Pr&wsL68sV)>x2=~N%*6G z>2VFk9uO$-r~gy{D-7aBir7E#HwbSY_9wV%lz%lDI5s1prTN(3p(M+^x^LUmu`;>u zwRMU+s%88+*Q)h&_0!_WuZENjs?BYo%Upn#?U-dM_vyvqEpBAQ zNiUQw5%`KzW}6v$SV?{Nh%F&T=Mi7`3jQArK!ukY{YBQ7qgFnW0?PMQ?~~|ympxI# zQtA{vFft-1*f~McWvy7<2t{0?+16Q^WpqABSi6I#8b!qG-K=yI-?PbJ7GJJhTcB%I4Pw+IkL3h?n3B+4MT^6&7zzVzaUeX_lv^J0 z1?5d-i<8II{RWvWuaVA&lcjBmaiS?j&LX`G!=U9%X`@U*w9KY!$B=@`E8koQf5p$5EGRf%Ua=Qcd}!&9#IoJUo6HO|}DuZ(5BwdoKj zUn`A!&uLX6yj0-WKxL@45-L+$X*SIR0S|7CGrGwPDLBS=4oLM{Psq~fM+eunDjH1+ zr7oBVXiR#aRI6@3Ic=H2Ku)1w3xAswtT!!#Wh&9dkt-By zHbdd{8g*WP4Z4V3pO;R<8(Dh5Gyp)xPpE$_%p>Aef^L?VdSXf`RfB&OW79i{GhPfJ zU;RWXZZs#iunR}pSgnb1G^T9m*!-MSrA>&{7 zUrBBjM!oodDpvgqr>TFY?E}3m9CwCiK0UA_=ymunK+It32tVW$K5wDk)-Cj_{wnL77k=xMjix+8dR4vZ_xvqUe6)$cik~G9^&O z^~9%~93&vDfGDM{ox7lV`#aIa*MJKfr>zo@mRA63ULR2K9H@hI@s$FFxGP}3fEPke zd0c_mORj$(_U{Yw?-BCv&%(bahkwtO|GqE$`$qXMS`wt&IO*+*DBDzc>$gqW8DWM~ zY&L1~4k)Af*}QX%QSgbh0O;`uFI2caN)0H7Aft_pfg&QidJn(_o02*AxpUP-+cH@| zF#@3U1%S5D1XylaC+^zUPHuSGuO)H;qNkK+IRMZ3KXDA-KTaprT_%OMrLTv#*Urm% zU4hJ}^7{DRrcPerqch;Jd?E9VA~Q+GvR&*&-Bh?M#bl5YeW0$m^| z#Y&Z#N=R*8>B32^;nH0SRqEko^>w^Tz4EVcNt1%|l_2hlNdOQ9Cs5}} zPah$usTlZD!yOP4k>w{R1*X~d6CMAXSFro%7uc#DJO74e$-g8bP7MUS50HQSUKv6n z#0ACxmO4_WWUow@L9JUc(cn#hzdKCVtB9pR2ngb1nv$j;+yH+}dh;{E%;EAS%`eGt zM)Yb!lF0t2yydGW411JMi#_c5>J)WN-%M9)n_@|=fZ+Z@049-bIxZkpRwY3z z=2L9U<|EdUjJv0@^ndYR^p}MY*=B1d6!L2)2t}lF*}jGz{#}Yx+@ftrGl$YL&UMlh zeUwK&Y`m92K!2vZZg`0f27?^!PG1g#973)cWLaY5_tEjSJw6UnO$FmIB^@zc}ar+SU25T*1FS#wf9PLzPYq zo*21iWk--QTf}CW6;5FGA~LNd4Vm?Y*`2cijU_Y0m)T06sJ(cPD)DOExo}ql;jbM^ zl55bn^|F|oI61}v2V>b!Eb|`^+*T?8(@A^zxxo6;>al?}WwlXHjUR)?3jz#~(iqMd zZrM03qFW6Xm|vIlbH!+JX~49;rmE5EnAh-;X;DB0h%Mev$`T{;FW!Snm|~<$JGDHR zo9uG(W-ykIo9}3ZN_b@W$MfvH*fi1@2aok8YtU)sU!1IV*#CRS92C_36#5Tc2gQu= z|8L4p&XgXT0u4c){(opY2f)r)9l*cWcD7&Ms{u;ixUw`?ZqUAfb#=T_aH-C8IS}fi zqI`7npcZF<`A$DQ zEI**s=P5BXX~{O~aqK7HldNgOg~s;LEUpTWWUXn1u9ATSxl)?pbSp-xgIa-Dy<3!i10?9V|ifVp2qW}XOGCu?DJLGWUv6#a9~Mbwx>E!RsF-!ozsi)3TXXV7}6{@ zC$2VYwPlE44S;1@tV@$uGnLR+&eM&_^2A@{gXX;}Qhxv&{CrJ4inG2E5o`nTD7U87 z30x04hHmJGOKKj_*BQ4Ylw*$J?Vc;An&arohK2JWS(#U(F)wgDTxS!IT zBw~onP^9Hv#t3z<^>mYd@tkGr8~R28XnUgIZgwK2tv~k%x7-ci!%g!|M{P-FqG$-r zU0bV1yfWBq!j78WyK9Lvn6>@UL&ntBQT-+uaSs;E=p^6)oHA&c(MasDoL99h6g)&y z=BVWKnghM`iAJycJB$DWX=WHltt%|NJB298`8`#ri|8R+{f~sq0llLxt|t%N-xWDX z8_RX}se&B!TZkcR(R7GQ(SRjAM3BUR0`~T;aK^!Xkk<$KaR#EZjDqG+b9EA={ba;D zWs9ucaWH)b=G-gNCsN+uS28bK51c!fNureyAI#Q_UOaz7i3Nof=&^f{U-y`!KNk=i zq!HV$lEy~R8Nlq)i)F%&5A9vO3j9fha+@pXR|CyLm0jP)21z{~^GX4oodm}oB(>Kb z?L=GKb85Oy~IP78Ek<-SdSAMv(?u#$-Hsn&U&Po>wnA$ zKGHTwRhf+I+xu8U^CpB%`GHeKzr@#vM=9s+INmZdF7|!`2*&;OUZ92Y_CSu?ZkzB- zFrVmUP{$dTuZeN}TTO(=x@cW{(479g}_(7 znlqZL69qK}Yr^UA3rE>oc3%hk-uGgN$$&Pm{&uDF17UUjKukeHW4n2iCHcL3xv_3kcoL#x5+A>xgvIab zKx$1QDq6&>98Kf*@!5~^yQ{&xsCklPyu^2zPsV1hJqGCKY>1-a2CsAlk0eZB^_S_i z*QU3xYrh$lL{UACtX`lV+>o+P3PF)Cjl&EKU{T3Ma?TajbLclGuUkwW+41VTBq~$0 zr2oM614)6dvWN|tN#sBQomg!~@Mo>4W_Fu#|D;?^jzb^1&}-Bk}qL($lIDjy)p5p1?1*gaP5i5B}WlPOtv zE0=;(nbXTSK(!H;Ggm=3GO6W z1e=f8Kprf)&8e!H>r5T)KP&VPUQ+7E8N9k)gqNp0za!zHnmVR<@xjyq)R$rUX4KV&udO`Pdt zBj72ZLEw{6hI~CS9$o;iXw5e;TvBrxmU+T{hVsE1wJ9A6+_gPxj8NFLtYSzAM{37YRW>sE8@$}DiT{PJD*r%LuLvleF`!#-8?`IUgkksaC0L{C#-EB?m3nL4n3 zKNQnmo03Na@kuO2a=~Z!A(Z?+O zvh1=f-&$5Y;UMbw0%2jxv#tM7rCU=dG=tf6qnK=(sgYV)OHy}{n`+zlGRNFs_gk6< zn%EHdg2~a+H+WQxCLopwc35MudPt?r8mVr|^h$oQ66#mafO$OgY9RH#yG6Z9-hRqe zuRWFS`~fXbWl#<*s+{3yt9trg@6&94E@Tg4Qmd$NzB1k!fuC3+d}x25*oFom^$R&lc5aleDVbi?%BB2^`&w zV%CKUn!oGx(=-~Rz}jX*i_gd+12^`p06}mWIJK~u^PibyOWlq;1_KiViLq#c{j8W4 z)_tCkvd(kqNFRZPc|&!=mPQRlI`K}@*QYW36z(Mao&+&sn_=+r08dS-HW|2dbHOWK zNxlzkiJq+4BjzLPHU$yqT-)#E=--iu(_W^4PM2g%rjZ|t(#21Vg1h35*z3UYw8^hG z?f74U@MI5YpA24`OVYYW9-4IPD+Cu>wv*XyB$#cI+vP}IrE!+I`b>HZ+JryP zO0*C{%)8w$HSkfg$%SF}g1*@%N0W5Oc5`1`cduiTzVULseE4sqVW3Un@3Kz32jU1}v@yM0Fk% zu&+>T@R+^7bw{!$C8h#)be*l{jk$7_^-4Sw>)#-<7W{QOE8E23XSeP^6vKPgYuFc6 zKXf9T9X7`)p~elL$39-2o~YQ50OKpWa8duoWE`on(!880n&==+halQUigrNdUM5yu zJM}!cUpMGh6?Ui-W3@3XjP>wnrpK0YvHEcq)lyn~giA%V{A^~A3|)&9IF{*f9!c#= zr~O!QT&Tz3hg8>>jiPZWd94~4adc8=gEYYpIAxfmxXWfu^LGO)+6&DrJ(h^g2e0h3 zoU;9o1~@sIGRYAVuOlpVP?+DVrs{1em&(f5TSGITHXpSZRgNBfMURac9#}Y$rMWyE zCq82JvL^u7eTS6^jCHC>_B6Y`%1DNeUwi4;uG!L|Nbm6GUZEzZ>t$HoZ;bP0(j7Ur zdCuCf9MgzIL3kZ7rl9&%2KBddNE0tJk9ERlqFEoRLgSX9)SWC3ugFG3B&y8@2hPj+ zf^UMVOTZel2R+q#iY3XMQE$xYWji@s6je7HX0^0wb}|C8K)Xf%J$&E~e;?Q1ZnKQE zoZ5k{6OcP20;GJI+&p*)f+ry(d;wcu)pX{KT}wsD4-*>5K>w=xEur#nP{F~_{y*(f z`Da}sKTLu$PkD_YzihOBgQg;TUA2agfI%wO=?v(nZA7KnJ;W&aZ))-yQV-6h0-Fn6 z=|0v` zxxNc!`#MZenFSzC+%6qR6F)gcj$~%qVTo8Ul+GV{+t`=>0!KlJ^p^HHmGs`2YogN? zPbiDNKJT zW|GMnHV$8j^0r+)AqI;t7}iba&oK4a*f_fu>i4qjyTvx5Y3Uxuy&G^ z^01^y_2e=t!NTX#CRM$zE8Zt#UxwGR@u36*BrwQ9(UegCUkZu*OTW)w`iF+*Tn`xN z2Ex|Wg;56%wN)X--<#h@lvyf`@#C64E0XwD;;*1{$#WGd>Rg{H9-9VJXrBlNVP&?pN)$oj74A zQBdgGuqyxF$#$HPqFyY4hP8V*&3DUFoCtC)&4_mlAtgVCN%WGVX2}0Qai9(DwV!Gt zp96_5Mgl;x#>$+QVa4Cp*+FkiWGpj)exy(#{N(rWli)f+D~=2t#zFS z-adS{<0c$I;?XBDmudnI?vIpZZ6YTBq&a*Vlbk85SHPB~^4%N6(se z@sZG&*q*HXl$E5GC~)7PhA>sB546h#-1I-&owPCS-f%>_vLB{Mjg}9|7Y*P{Q(|Ot ze8iuq66=5c5Pd8f?$sgQSACaMh{)(unOqlAT%sN5S;cfiGmP&aQgwITK=Hth(@PPa z2Xct$)g{QYDSPIpgW#WvuC|}RXf3~nzdTlYHN6oMYCtdIcX-f|@CE&fN0_hNt`#I0ls8a;|22r)6iW^btudz#J?HYkDFX|fc`c1dK z%Y6y1ck>*2dA3Di*lh6#v^WPep?u@}@T4xdE+V|+W^3v0IJejkJl6LxW36vkmu9Ku zTdXXaQ)!&sM<~DALyTXpOeA}rZq0d?bB)Hv`K2B!roqEz+t)7{)xQz&k_ViTX3Xby zEkBqfa>JoQQ&yIg>>d+bOV#LhWDTddVpgGp1|pjhMHblBfKSYZDyx{UtI8*k& z)3(W<+Cp0d4YM)6r+L%PT(j0@oSU)(vB2lD6;Xp9MMkI)=2wPSt_HF1hUBnoG0B3m zh>db;>hKvfhHq7FO>|IGXD8+vb9XfFZ@CF0W4zlTcEn>IV%znW|6y_}xrvM{$p65T zk!y2rDX;rej5>8Jy(fhvQ(xH|S<(fAZX0wtTXKi409<-&3QbRtC_5yL128Fa`mYap%NSV!w0LpaIb{N3Xe=DKRd zb|Z(pnEYRid)*bkqcHilA^2KG3$$OjHtj|?u1*lOMtT5uiqeGb!v4Nws5O5 z2&9A|^Uqq!7g|~oV@r!iV*;ZfaDShKO{+i;mA;SvOCCvZB2=@SWIRE9sF-zQraTYw z?fewWxq}4Z)aB8}KDuhcB0u56V`@UOm4ss!OR*Z_#;h(_CcZI9ZxMS;CG0k*VbD6$ zA|Tb0;ljg$^;8<~Si_TEfFR02y_|G@LD55K(G6CvYJ&^vu!a1?({ zYpHc7xZ_K1Ri5)n05DIfo z8=5fo?MkJxZ%+Hy*&7jISl&6iJFl08ROzfVleIDPPhVX9vIXLSTE?qAw2Lx7oXO03fSEWB(~kPQ zW_qe>RiCMx*EJgKv64!4MBvh(u|4`^?x)P`vh61cdD9Fa*AGmNjEo^fP-~(WHFZh& zAAHD5qv-CLFXx%TP7Lh|OMp7gNe8r`+*!i1p-rkWvG3;ko7j}r=4pO%8oDToga|T`Cs~9g zTaZRq9!NBH|EC5cI_+teq(RQ2CohEQ0$G__h(d!kA~|RP2msE-T!@UvhI*~4wWp;+ ztW*qZ?!Y*0-O==#f7Z|%epbwdCR#$arFN4{CYzJtlJTOg2vw3(^2cuxtLCs0d@<52 zO^u^^9gcd-od0<}#f(HyFEc|Rhxi2mpx_3Wy`~_W#fV^3N0S9Ay1k;rPg1denF$UF z&u#m8kqJV4L@CEvmbWPJ&fKf1en9_XXfhbTE{4vEwbHuMhcl!_3SKkY-(A&RVFU$p zpm9?<;~1X}H<=G4VY0^coC;^i^<#R~e}HsJ0WH#90R0A+a~`tpddYVPK&U+;QMr)h zT06J9W9Nw5bwA15*RZQlq{`ZF5aoW;ae|py`O2;ct#)dG!6I(P1D2;O%26}i<5iA< zbZCR)l;$wCN@rMmXJUg!cLAcYd$u8N0oWV0gjz(Q(Z?#+rRAazz1*o#a5PQ@)KC}b zT(9bj;r#A3LQchmB>T&${b5JE9UAj5%uWi*XjP({Gr$x9W_lg33>%#dEy9n!4|W`B zX!MNb=55SYj?SZ*)SB3vUGtz#4u1qjOWQrplpnW08(*s<<)s z+*FZ~V-AjeHd#Ol5Abw4M)k8U4ETpB2@NNYfv4kG8hX>F?L<7(<0LT$k-cXMj_zvW z3yDxK)m3C4VGiMq`F6}aZo;eeU<$_40GQeVxofR0p#tH4d%sUaWSO-p>g>qvH^}x| zUd$R^$w~No2N4;b_%rAKc*#NDi1$D%^EgU<2?8Iw(0>T3z*xTuu2(04bWz;J+5ixN zllywsR3oJvSJyx{tSAjKmua?CejtZ7XdHT5EwUGVqpm z9O1^JR=J^L`iKjL$1|XJ;J1d&vjuZGZBs;PH+F^W5s-GW@Q^OnybeFmVhwRpTpo#< z9ENXA-ICe!AJcYqcOq}5KC}lmE*cn&MY23S-Y1fiUjOf_r2ncFy+UVA1{pUFjGteW z!@of<*#D?Ra02?F-s;LN`aER!OBP0-$>~$XeN?vwWUuM8sbtIh1K??5`YdxO#ED9+ zp=2%#U7c)61u@7O#}xxi!VkL0ts zXJb2Pnv|S)CDeSW^}j;08`mpIPu+Qm+?C$2;gGy zkm-`42iNwZXr_?r<XCtRuakxkJtt`|RG zB1qO{^$fw>a^fS~bl;Q3Ghtv8$J_jU$%wd9hG0qcR$?A(Z&=qUi zm7X@vIXj(K!5watA_}O1m5Rb*!B8`D2ychJkVJap=0jFLRc(aF#&EhJB1s+o*s5xO znf~crgOTvZMCc3fRcZD+oZAY#wAjX!tu{`}xXyJG!nW!)o8KT(u=td!aQDlJcOwqV zcCRbmEob$SP`q}cqDY6WbcyJQkM~O8XW}pZfi9&8Y!QBq6Z?ksv>>p}dX<-*Y1+;*52jY*+%wQ2*1W0d2ir8rc>S7d1NHnG#Ac|y1xW|OpJLjSn+Gu z*6gwe&z44mEOk9Ww^j^4LAJS(0-I*^?aZ7OYz;wE+8%_ID^|?e6X^G`E!35q>N>F? zc&`VBx?2RX9yb;|L`TK1kNbJHm0A?+-M9yBx3(nntVW|qw~bCE?!Ae}!C;G0?sZYw zAg11Lzb~n?mPtHs3HdPbp~}qJYrB|al=2!4s4oC^KM|j>UH=VY02dgPo?z@kaenet z!a87fx#ixxlf+*>!i-x>pbJK7g2SVaG{E^m%CuT%Do>uqwiGWu?SH$7K3fkrd1Hc& zFwmZK3CW#yB_`?3Hrbm~ZOw}3=PoMCBV35FHH!_1YYol=YaZ+FL_Qnm%zKPg( zXZIy&Td0y&S9jl?RxQ=uwcMuaaZ8GCM#(tICtH3A3Z+g0oaK(#IJA<>c*IXa#kcLX zlOv8$rBCN7Rw^po-CtLnerb&fuX11=Zm!o$K3}tC?V)3OQ_r&hw1KPHgBCv|NbZ{b z8H0lC05&%LcFmEbSfv6GZ+X^pCF035lNZzbDAIJAC(jOpZ7)#tUe!xG%twRUfZq(E z+6LTwCRl-wbmH7^P-vwrpi&nX?P@pbU&h`=7ArT8R^Pr{^w2e>x(e_9AZsTMl#0iJ zl?GsWnNdUls0e_%)YXd71qG&w8;XkLyZmBnUxkzN)|U_bwes&|B zC+dxKIx|>fY^n4TJ!6?KK@8%bwk@4Cw2HCi)JS$DhdFor~v#|?Rc;Yeh@yz za%7=-BG(le=e`(K3qt zF1N;*;d>*rs(DVmk14=Q?`5?BWMXxc=~VPRsgJ@`-BD5sQ|cf&#Ij zmVwuubC(Hq8{2_mSITm6jxnJqE;sWESB-($Q!ZPwB8dQ7Q_Z8*&2=w(G!sO#5+c6H zMwlnx2;9mX1s&Qgi?nNi+Xikf#89`7`l&sU_<0W!h9ZXbcb^0&wz2#aG%%n8vVoWM z)G21l-gCyjfcNbmi#ZG&;+V>f3muriB>@XXDe8SYEb=b=uD$-Q6mkdO`UGH<>@F+0 z=Y(wC*QHaf*6>d-ZS)P4ovm+rXONEJN*j^0I;5gzJ9bY4sWDE>Z*&SpLb6O~71s)Y z7(jljqoVwY5TEo|bKQB29S&I|TEHr?Dq&k-X+_V0-op(BJec5=|bhYHcWBrSr>Pey}X%{G_q2B+cBX9b@TH*OC zsvfzfcG44L{ccwaK_CJ>oK2%=4TwOG8Bzqut(cm1{}6W#)ZhQbk2~KKhMI+;jQHdm zQFF7o{pmKC1ErSK=#>tes691JKMV=NUec}xkWjtq%YLOQpr+H>P+b$w_@Q^Cl-w!~ zH2f4~9wVKnBXc=w*3)7oV>KZN6m6g4WSc7EAb|F7DjzfBLcp5FJQQia943~K|>C3q5%MGSEa@B6Y#q}1nVP4#f zA-<_2>c8tAIM8lm2A`lvl_&9S0Rr}$0^|K!VwoY*=eE>#YO{mx=5qZsoDDeGw(?+r z?(K2c3Uk((ZBbcO{s?PH)E0R}-;@=y)DqYG^&Zx_rYVjo)?F(|NVssFk6i9ny}w}I za%$Ns^H=PH=v!s8$ah{}&iLFIMYujnWqSCV)04_F&dWQ9{{}_XTV9lwOLQ@kw!mqs z65h9`^8t=^tjF{@$;2o0T#uOFd3RWd^)ta2dW73J@6sd{i}d;hMdPSB8q?hdF$X=! zTI{eCv_8|5Nj=0^D5?YjQws5=$8S*PI3Y08D*#;=zD>>TWL74GQ=P_?{}*}h0n}8W zulojRDov2yn}E`k-a(KOkS@I`y%>7$prG^)N=JGZ2)!vCkq$u!EmY|VHAuj_Jm;M^ zXP2}0+4tRf@6El;Fc8QeLh$a9Hx|VM1}Qq zoDG2fsdA6--*7m=a@iY^YrY&N3HLNV@l~ITopIfO2?qoTR9-NHZoyCXu|}QHS%b}O z!IhNn^3k>@W+)n{$?!fRhb4L*{Dn*dtpz3ofl{E^p83Sb(I+FCQI5Z;qD#6bj)azc zdYd!@Jc`XEHYb$EiXWJL8-lAI(tO2dEAf zEaM9U5mIPkWzn^T0m+~gY6i2=Yubq=0M>u*y&AD16b1;)v2u%&f#hp-5B;u3vyJWW zr+>0reuHeF<=eZoHG9Ix&4B}4AN;C*jEO!X&Rz?Ze8fHK(S46Jt5P}z#*G5m){LvsKcxuP`O#{@0Gy9}J4#5i9vIyu&L+%v9KgG0F@pec zcc%pyY3Kn-Ugc+qQe~!ywa-pFHl)zznjT5tA@LN)*j5}u4g>MsC+0y{5;4%vs72#6 z%tFK>BX?)GT6rkZ!)Fnq_r&PAR$V2CaY6pG6eregQY%Dfzd_+`RwibSRFv2z1UQn$ zVDo2-72Vu zs<~P1XZgen`68}#D1R%wpU+;AS#Pi~C(7QFWifwvTn4<M{ACt)?tI zOehm5U}w3Sjgn(b_*WFm|645-{?XeF#Q;Vj+fhpy5n#!1Mo;vNUq(G@Y0LTa#QTFm z;)-P3_P5twv`qz0MGgjS=>_~LHg*JynjBMbHbtI65D<^IN;pS_xC$t}(x|QM-*o(y zm%)Q{Cgvq)zVo^|B~9}~GBM4vGM3K)lW0H9;JG=`vFx&@%1!O&<&T9389%2wtFI{` z*60{* z%XPt<7bVxfyfsHXLkdp}cBK?$z-F&Ufy}_JsxN;zZ)i;*b(%XjXP<(0iY#!yYmanb zFeUwVKyZTn)-)N+eENlk6a!fQ?I6ETUP8!EO#@#?Re(AUtKK>k>I&(NrY=;DNx3}$H{i4 z9`41;Tox1mHnwN5GB$VGI!%uYHY%xF36U}RWqOd5HlY26Fe%YP&2*)A#7twbCtZJ` zXTB;)Ddu+5(oLo1dhpTu*#3I7Eg;8EpVrA_5bViYpp1#jkX?A9*!}3vrOMFPA+d2(tb)qg{CL=n(`^ZOti2Ns~bIblg=B=T?p-U~SDN25k;<aY}I_odBXHurI z@iQ$g=G%=c%uE{0Sdtg-V4b9b)Hr1y1LyG>eIeufVC1#XgH-moQUZ&|wOFwM?#gqG zFL&!#65gk$8}nxCf~|_023y?gl*U;TK7?v6X)I=+gEAL#87b&fZ5nSjo0ms-UOk*m zEu?{rZho4_%>N{Zr3)vq*=Zf+RA}^)Jis7 z#UQ2AwG2*7y=^x8A!J7!GhSe?JCM^EqSjlVr0Va#)xIzwJ>AIO$W$Y64)!xiv*zgi5pBGNmRWF+XPM7 zq^8|ft7@}|ER4S4)irMUQxlG-cu8>qHQ~Ks=g{CC4MQ?DNuq+Ko*K}jeVCNy_XLlE zpO6#gh;Qc0EbC-=&*2Yg#B{dw^Q}37{Z{|S4*(3N5BLOb z-5)XxSlIC&v*iA!cmsL~paK0W&xKmZoslw(YBy33Ll`JtYP?IER)_o;I7%gpRdm}iQ_n?UJjK8x( zZk`y^M%I0DTDjkKXP1$}H}9DzjIHswz+PKN(v5Le9!u+PA|AemSgp&aP^ror=e(PZ z@$0UNw%j@w( zi328EuBe(}SJ`)#_v0JqwdEL{Dt#5!c*iOOWb#nT`yx8uk8o=-Z0A##r0EZI{a!as zhNTSu*{1MCtp(8?tRU0S?KfnaqIUB@;-V2nUQ!&q3nAHT<9`Z20&W|~AT99LZ%}3e zU=Yd$c52W)fT=@5gB~s?VgkleJ(cH;E$#6n2l~m9o-53n4f(bNvrXl^Vaf8yJ6{Cn z_b69LySRNdjggh+!=KRp1V@d_U?(2Z=O?U6pKFlCA1HWynIQ})^KM0uug_bIU18v( z2~MPbrBgXab?M?#oWK;p!xD+Nnrx#?$*cEPc)~PPzT(R?!hErS)mx+mkrdshy^|Qp ztSHvc&+Z;OcVstQ`hnJYEK&fKwpguVg?~+!ON9cC!K+NM8rIgkB!s9I&+*ALlU-bp z>A!Lk`J1Nvf6IMDy_9Y>Uqs=EdtyxG+;?yNSLcn3n_!jH)parUrpFaDS$B(~QVVal zg6EmVkM1vqIHQw<6j`97qTf2YxG_E~Fg4#dgzL8B!gHH0nJdXP-&{M?Y}-oXPNikm zwzZ-sp?fs!L@h^5Cnv{IDGn&(-e#wIawSyGo9md7K_ z?0h>kaQju~FROP)W@I;t?Pbqz&xD+Mr3F9yxPAZo$sL0j$A@6$VXn>~bKtc;SbR4y zv5vR)tq|PlgI)Z#sa;fWS&B(#5*VM&9a?PYAAVbU_b^U;BG7^%@quTxi(llEi&tG* zQ(cq8*QWuC*Rw>}jOLaa#oDmbAB{newt9ThAAEGJ?~xxSh}Tyc#&@a&eOvnY^uV-L z#><3%K!H?ToHw%zFlD6gjZ)}jX214MHNXYd$?stvKRyTtsGB12?818-s<{_ZyYuNob zmGb^2Y3G5`HWx~T)78&{*G&8@pf}sgH7YgaY{teQ#iwQvj3%Vb31$Spy zsEZ#IYT8Y27yp8L%#^>4fF=^5q&#T|-)DcQS4rX*T6RwwuV5s1+n2Nq7>E z_k$|&jgaroONxcJG3+v|4JS{oV$@dn9`uAA*G$K*b1r5AC#c&b*Xp^v0D2U#^jRqb zjpmt%FW}|Jv$&4)=$+S1Nt0;$4Qe(T(fl!)mGcPJePMzbmFDbanui%jbe}J``kW78 zxrd~MA=t-B9uh?2CzS_~#>#QkXK?LL!`&~EQ6cqUu_GPBFQC0@H zcuDCa8#@9%+@2t5>kE8a$CAM&*9--C@zD!3f!KVwr#ng)ZV+?tzxMkLLbli{>jKF3(ouX zrzc>RuRUBm{vao+egDBIzuB(oPY(DuuaMuZI+NZhC9lz_#CfFD3xF4jh9i9|6`mpY zX(7|g<32&?+lKnaQ+4&|JAW zx};~td=l!L9MC?hFPh8WP-YWP4*F=#9?M}QHvr~&Od@tXK7ZBM#+Yy=Be&3a@R?S~ zfVbR)l87CvhT~B!la`?;j>kd?`r&zOR3`l`9m^+G%VGoCmr#RALCQZ`??<) zBj5FL%ieX$oXLcxRW6LU6)!CxP-%}4uLw{*0wqEqh`OZk+v~z(7zUKxJ0ZH-_vvk0 z-9-+~{Od$YiqXgCVrGe{SfRG~G&puYV4Y2cB^Wt$|0hQYoV}nDz#Z~)B;?L_5;ym< zaCW!e#IO(RVJ$vCv5KoO<`dBN`ME36K7&jMG+EiJ(r71H&Bj>^aiJ;^`V^h5^#qi_W) z_WN(ZvVGF@7|EFq3!7~<8!Pf+M6w`xC69G^R9dV4(x6OZk8iEHCfc~|Cd+57k_6ir zZnQAu+)?S-I_rbKp~mN4cU<6>odU1rMsCY#(qrzU3yK_k>K%y!)(D0ne0Hvkh*Sl3 zjSY}MKY2>1xDeLQ&%W4w!OW5H7bwyA4#ijH%|{WrQ4%J`@NFEW7X<=gUq;ThVWpFS zW@WyPn&b|Q0v{V`PKji%jJbcXy+{VULBr1@$R5U}p5nh5a8h`Nu0(K;inGspb;>Hu zSkVEp6;%Z9cKFka#KJ@k5_uqWx`s&`8p3FXkwe+WL4=wFe9a-)s;S}K&g@{eHtd89 zKSe_S)pZ~hM%`l#FRd_8C}EIF|6;so*vZA4HSXScy=5340qziB|8+5M9Ps5_V=OKY zbVudA;}^R2R+pf8+1$V}`ixSNHL?BM>ZZP}*<{_=szLr*3qO{*W6uq%uTiwHYH*^FkliT?F52lOD_R zd+^=+;x5I)f=}era1!FTq|td}5Dq z1X98T%SU8cBdp8Y>{e`O4Ui>liUwk(%#`W7m%+I1X?0F zqZ{F7bWi7k=gLhFj|;BoQHKk~@GWalV1*`Uqhw!(EE)fU&#g$a?nvI(9ME`h{#?YD z)@ZBbp}=GV5CwxKOY6YHF5rDCqV&2Q5G~xXz_2j==oSUAox!u`32ol{E63Ldt>dpR z@`*=GGmlrE&2UaLo5Y|GHUfMNSHa9WdfU=eNIHw*SNkUn*ue_XW^2mGE>tCf?k4qx3qevx$+FM>N;A;C zxU5|PJobLXo>3S1I0A;My3ikf`I^vnOUG7$Im_?TT+Mj^p^fEZ^FS$f8}BQ}=Wim%Tw3N7H22Xq8D&9j2XouksEn zw8QldocwLz;zQN z%TT9b%&MJem72?PM2=Ss(`>nglG>jkKkFS2Yz&M&UF!GO$f>$+Hk&;i-(OM%a!!VU zxSf_aHl1@Hio4w}FVEMBzIc-D9Janfh9*!#Zq?aUXhN!$t2NJQVA_NtsMe9R zA=CDO7BNn#0RQT)Vk?gjKPyN8MED?g910(N1Wk0IQQsvtaNV#g+mWLz`%kvL|0uZs z-q-)#EPY&m7uH&XeZYiFLZ?F%yEhn$My1oDy~w^lP8s`hYU7nov3d`ul&3z{$G}bM zyg`xt7!GC?;F-kbr(wfNeaxLSV@4W0D z{ssJrOUi`5y8QV!NUMQq``rrv&9e~WceXFmJrGCt4R^JZGrfxi<{fX#9OZD#G-t=V z!+XBCVzKJ+c=xm%?S;?EFsM~HH2Vi##CCvrix>HECNI6YTWM534>jRf-yhm)k5S1b zFtkkfbGDA7pmmUcmlziQog8;~*A;Yns|%oNA;miINMF^t>umZ9!KWMAOgxXL3r6&{ zQ)}-7iq8nI)&4D#yFb&X;&lQ{xK=Yc_J>(PL%n4-%;8u#q{Z3er}mAu)X=2 zj38C>l6*Q0%6>@?AbIihBi5uz`WQpbi5p6GgOyf9_YJ_J8SRoj5Kfw}1OBV9Y8$66 z`U%X_jSv_61?SQY=i@H8V~SruUAyzDTQ=c(4K5%M$I9m%v0*GOHA!f9D%qX$*5BEy zr?xSi<77Y3P6mqu!2jK{8iO0srQnr|CUfDL(iV$$#$p=>-qwAeswWC8>tb-$lh_R# zz?nbbZ-3~d^kRD1FT zCmZC8ErbQe2Jl~BUjP5W6E<6z9$KX6R5?E2n;Ov zy)sC?^m)86J*A^NZOk0yM!v=6s>R~)&8E{7~HB)+!i7Zl=Ru8(2Ce5 z6{bE#f7^muhqmC-5{Eeh7MDg2FK7g5PSHa92TVRfZoqJrFy40fTJbf!Q;jZnBT-|Nsuk*7$B>9;65fm%38YH4FviOFmaG@YtKmzjorBxHIN85P63$}e5a#~|ArLF9i_HqL-01wsJYHhY2$rlvXbdK z%RS10fOUo-Zl=N9$MeXYKm!DW26q;n(+57z^hNec-umyA634`kvl?O_!v}~!UdE-7 zr@ZEe1f2$o)BH90;?Yi7hMT_Q^p%gu#qw$z;9GwKg|1_92`U2ym%-SQkkJN7k<<7u|7C>;B4 z9p5TRO$M}g5W|^MoRYNUb1Dv)Wc718vF)Jt2AT}#O*S#M8rYyJXlGAkpb7Qb%y)%)qxcn`HQaT8`g-mvYc zT_foWvK;S|Vfc(Z&+%|68DEnGVyAT4-@QzPzLnCdjQz|)O#}LJLk9kKcEiy+_X!=b zIwSQrCb1C@j6>lVgT*GkjeS~g=ge$JrC!LW?OHnTQu_gmhFX$ zA-AYbWFsnS4&vk~jzw)BlH??`hUf9Qt%&boWYhi)1mf_YlL!p-Olx~JFRAze-%4f3 z&cIrzM8*FCSD`@T3bB1K^Ra9*6e6m7Eb3gs2 znXVpOYMl0RYUlPmn4@v3jogJ=(UlXh)$=FYoBSUs*qL9kJ-RmMl(}?UPi^IP;m>iO5;_?k~x}N zCl?WXnh7~5YT9o3F|9`UF4oS|Y0NDtk`u%E>sH`gy`!;L%hjB5dWw&Yb8gmF=7TtQ zSG+A6cC2K%nu=)9w||ylJ6l!l)0@fkUMk_>fKGh|6Sr&thv>NQdy7IAi3P*5y}ne{ zqUD5eE?*b^Td@W4Tr&PsY4aK>^A?sge2ny zN=SpQyMIc^PL8YqpoBaW5t`~{S*KZ|J;}Cy6$Q*NZbI{f_cPOToMp~n#YIc=CZ#2p zB~ezU*;uWSU_dqchr3b_02mkJT$j z%GBZW@x)5(;p|C%*oU9T6%tisq@9_+>UeK$omxyu5h{~!&X`1JrI@7wRtM5kVTuz^ zwIISM-mcCyp^vw%yMv2Dq+8Pz2o?pD~Wa+AJvNvI4 zdK@jb5?@FxjxA1uPaCKfo_k?liOCY*aSOJPjmeY&zL!3aKJKJjtNJW3xK_e9o0t8_KiP9b9)s1#k8QT=I~`hW9II$eST9IE!ZZl zr^vqW!G~NBhzwlgbY<}ZMRkY~$Dj8s7rTLfoVk1-5*9rn=0r3|)d#xF)z{{DbYpy$BZ3pG-doxhiV&xDv zcx3$}S+j+bFcwGA@L^o^5yXt(Y29SUC1m}?<*+GhrirFkWtDK99T)WIO{07n z$Z_IdjV;Ij8wb_jR?k}aalzxI&t)6T)2`cI-V9S-biW3k$g`Ig_F2J4uWdh}Y4?Af zjbqq(J-u8$7fjs1ZyK)He1<~yO5C=50G3te!8-cY>d)%N7<*5ZwdUEa_<)~{xpxE`w_v44Fh6D{rY4o6r~1Nw z32fHR#dj^PG>TvgbA2Ih%R7g-8xyu^|9(k5VvuU zK}H{7y)SA|8E5->@p^3gLDclxM#@Zzd5mn23)Z5OfkfkA#OZvkGuXlS8Bc6qvdIYN zOZJpxJav=VV0vFo1LW4n{9S)3A7lMYa$^Pd`uq3HK_u*GE{xy&v)zf_z8)WutExeAW8fa=HrEc!^=Z&KGgP7VgP~V)_e8h+#xM zw_2|Z<)jo}a}?r0fw{-mkjzPovjm zKCYM5+9Bt{F|vpp1H&1pw3PAk?(yYC{T(yR+~R1q;JuD^*L>oj2&!cQceMyq@eq!g=|?u;{RR{6^t-=4FM2`9g8f#fgN)t>sAW%dkvC8VR)Evz+R16C7vVS&ch1)KarVOkaX&COe)4AP)Fd z!sk>vqY$l;A}?(X%;H*4I+8o=!~wb`Gdc`{o44;%I_yBEz;0}t;XyYbQm;BCXY`eU zI$i2&ZlZB~H<);$Q5LT>g1;(o35z9i2@<$NMcVgbKs1tQpnqFjY+HCj3qQU4Z6gHV z@CMfU`OP44{IRlI0JGZF%NInSD}&dYk$$pBwqyvp5}0YcE$mSfNn2$?tCoag6hu<0 zio9)?-dcZ@4K6l}`<7qh(Xonjn*5nh0=gLkjO{-c14RMP6N4lWC_mQ?q)_`A46a<3 zGM$U>bYJOo>en{{IDcT1cQbl3*LbCT?zQg^c#~5onwIA1;o8^KEj@2@snje@ zq-?BWNp#jrRZq{*;>hcl(=0B8M#GHRG?2ck3 ztMvz#kJOXo8=+-)@7-pL|G`We|A8FqE4qG3STlYZ%funAWU}gv4*p>-IqH$}UFYr1 zVcrC@Qsn8kEezGF1(7euvV9Yy|$xzjIij8Ob8z;l^eV(}Q=|NoBpZ>dYr2jLdNdH*r_!n=me`j3(4Payzpx+GL zEKfq0G>4WpgPLYJjxVgdm%glv(F~g21!}vu*m9cb-=x(vnwh-k)Msn z(Eu+LvM0NPg=e+p=mG6q+jaNR>kg-KP1kO3Y1MC2CLTYI3@sxS2Hctyw`qpHrQC4G z@c*JbtNsl-TX}vABoBtk*m~RyEQU}9n*$~5W(b0H?%+4bD`2%+H6Y?@%ApxRN93+s zsq+XhKFOJ^6(3Nd&O_$?ymMkcTzunNYh)>>y(uMjbzR!k33zG!FDU#0UXKAcpwoagQynp* z2AbI`Pgu>2e{}Hl=6k7mT6PtffoXalZZKNJ^ZW++ohK0O(Od>5G>n%3chlwjid*gn z_-8xu#V6rdv)ZP$=NIn}WPQqfCAPM7Pw*$t2>cKPKaCOjFPD68E8V_~V#mI@pCc}# zRxR3>Xv$Bfc>TtYZ{V95Bw^{? zB^qy4k^3=MODHX>Us$h1ovkj#`%u5)09a0Wt?w21iKHRG1~g>=Q-5&m*gHY-+If6f@bzw&oz97O_=0q2K=%$XvNeCT9`R=&%lFYO zpkUX1H<&4%KwbVNr3X8QO3e#GtVeh{S0NG2c;=x8w)(2vjugAEwkmYI?N^ARDkI7& zCNp@F4$SYO^lGDd7?Na0%isql?IJ;=1oVioFDrB}4+05S!zt3z{2yJ8(Hx#$%TMc0 zEh>lDBT~~B9wfMbWU+d4EM|H?a>2U=H_7dYk$R3-3S0o+&Gb!s`JpW}&AY=nUVHMh z%D1-qxCN(pTW{ZkrS^c4g4_m202b>IZyB&Fm3))m|MgQ$Ka&2w1 z#jkcw!m}Hb07_mcd4xLxK*_5;kpcms3vy&IzUDPafX2te690$Bhf}wc4*5gldz$#p z2B7i99e!)=2{%g92!RVHkfDmMOP@`VVEQ=T4hFChS2A==lG1Kt2Zp9Jh9(du!(dT` z^Y%@(E-#ZKd<4Mk(^ALZ(AXZsEOs8D6$JMq^sqxgpl{F#zB7U{7mS`8#dl=eh>Mlu z)Pv$yeAmU|?kRTtuVfOTciGt8n%4Y{|GtCn)<5AH{x=+U75Rh@PzjOd%i?o=#)41T zHC>Ylenvy>;bvcfIGCq6r#LTRXFr$?Ntk5}>zC1KDC zNGo>wdrR-A_eLT1GLKP=IcpHf-j#cvl>|;XV7h&Y_%!ZeC#f<5q2~Z5p((Cc96ym* z(GCNY0m%m_j~fKjFV$J6bwWr;ZJ_w{>yr60z-mEsJ|inSkBC-yvYyjoqYK{x0S2mV z{khX1MisW%Gl)zN_y>=gmwOV;ouA}SXk=Blf;j`_8ju3Mu8YE1Ax^uA^G|X&p0R`_ z9Px?omWga2@lYvy+ZPgE-BE#hYZnt8G==D-Pu)&VqZWNWq_4xR{4+wS)J9s$&Li zTB@=2`v+>xIh2(00@UJ?Dr|`!Q-BR(|4dTs@DyGd&~BupW6w^;mZ0?|(P{XGb-}^I zcU^A6^N7#{2oh+V+6V|@-Bq!it~zM`x&eL*?+@kE?_LL9lX_p91p=)%|uqP@z~6dGmh-)^r%*4bu?I zCrgwcO;h{~Aqg}Js5RTml;m{Ki=+(R^PYwJDCiD7;oT(S@zp)i>``tDeR8H3CTl z=JkGbT;Ke>7%@5VSkEf3{ChXmxvtXLI0LPeT#``PY-owHiCAZ@WTByETL_gJ><4U( zUPRmoizqL6kN|-unY{t2@zM{o6dvuNsb>N-su}XhcsjRt1t+3U)oRJ?y)#59FN|Ry zar+zk$tS^Hv`|gv?~Y%x%U^waGuP+`_|Iw_7Mj0m(!m|(z;1kbyj4_^Slsk^_HXx> zCK7KCF$j)wzJ)Sy8=|jKN)QvxrcNi3DRV40vZ1=xCQe_Rd(?mlw=mBbbnYL&=3AZb zS7Q@TZAF(0rhZg*#(r9|!rMD<%9~oJ=so#460Ft6w!X=eAO3~j+S+c$AbHgCH9zI{ zT5d+Uley8N@a^ID>B7rl-ScOl0lC5NlU?|DN&_nR<@T9Pc{MvpjuO%vqD=znq~iq6 z$_xD(B!Luc$jaIE5Q?lX%j{E9q5&ZYUYCJPC!nZ!mC>6tEaXag}C9$^{?J6HQ1 zweTQX^BLNUDjMnl$p7Cm-4nW+>5RzlXoI#ILN0oY5X9Fe%c@(g)vDzRTlQ zHrrDX3zGTPfh9*PBZ^Az%tyyqG&j^igSa)3)dLOW$Ax5bZtYlf_j)%Lu$Vz13)L&Q z=%#TaB(Rvb?(Ui{fSpN;EMHb7zpTxOGm2I+Y??4xX%?pHe-A9bBW%_mc0f(rP124r*_k2#eG%24Gqsd~A>wezEUS zpMQURLCH^Z()zk~6kdMv_mUeWwE%l7kWf7Y_k**iR$)r}kD1_GD4E8PD#tEHRB)c1 zU9H2Uf_U{X)N2~1JMT-@TIkKj?e2cWgm);VO^P$^zFMA=5 ztLxs;@yUdKXJ^OM%@kF$RyPn87x60G2In`ZDxj4{Kq6?yWqePL@lElrzC`C+g9W{V z# zu;c%Yiv#?MfB*Z<;QIiihX?6$9rn8WRQ}J*{4cqfASpmw{-5DbH3ol$hXVbxp5d>5 z|6fh;BVbm3_qofONn5-QO}L>qAki(^J7plCE&Kl^4XUyN*jjbIZIY-?1CCD13GbmL zaCGhgMs|E0?6X^~zd>k&T=JM4*=J2tHb3nqM;xXBJ-Fwvvv%~UB={>cy*1%~tN{W6 zjRQv#kc#2}+fI);c(T0N-Pv_0UPS*ALP!-GdWSu4-kiVrdNw0kX#QaCaJbxl%jC`C zk-c4{;Fw#J@H)-#2;;x?L(o7wsv}?&62-1|B4NDeF7>^zOfkS(XE`kAsn`hD1`{%U zS>b9_t%s4l9?BFP!neF1Tnv=-@4Xoh39;f>fsaQ~|Ac0hp{c_} zLx?BX^?h+$PW}df2_Y6}RF~|Py~mNEgDj2W{e*fD%Szn{p*^!nUQ&Da;v;F(2q~Zh znjN~$7W^12yfT*-s4%zhrLHIRL56zQ{P9fnMT{Rmv@!CV$kJ8+m3j{%_{ES7uEho@ z8;RBLBugpffh^R6h^~nM_PINFiMEQ(DBRQ#yAvy0cONc1K`!k+BY6=yu7>XzB**a+_fq80@E2-IaL=FZYcJyntNiVI3Dm<4}J=bm{30eYx})b9z-H<&*DZ zfqb(U{Dk&8ZaeL|OrdcK-Y%3JlsD?me!158RbB*=Dfa?ttVtd+tccXS?hg5xWFeWo zw&*xWoa~gY;{!gR8fiOBNL?cgh_gqKsk>`v>_``KGE5r_d*n~>oY8TMCK$}hLJtWe z(p?&==nSwo7djZ9ieFSe;;MaOLKK^;i=0a&4opbhz$7Sq? ztDT2VX7lZx=I3w-@YHb>rP9wpISOR7*L7sxp)WHngADnCf(DFxk$mkHD|`2e^gSLF zV~G4p3!-$bow)fH!%~hvj7ulL%F*?xQ(l8UH#tLa<&S~$FN_V_!^{Dg$-HAH1JkCM z-UEbMS(jSC9sM4q@mmk?+iEs#YJ~hc%cy_HePM7UGVR0-Z4Vgb|1$VP*DZMW`lz>L zRQY2ueA!XLuTb~-44+G&NtGPC?EJa5!aemuJX_ok+cXGO;+E>&%^SvtS&DhOu3yh2 z%bjnW+X-IDqWX<@xySnZl`6w`Y)(|iQBqZ}t?rIx8}$CUbmSu*7ot03gQBR3C{icN zo`MU{Wa#!;V=3U?jY%Z1-q=8L=cDzi(FtF3pM0M3q6@2WFlTLl$Wv$R=MGaz@sCQsN&lJ)zv{WmnksJwcS4JK2OY{_X+`R-bN7Yr_ZCB>-u!7;{mJ7oBeB^GX~why8aNpj6adDPJ7zx zV~yd~dQd_vgBJG?&(cS7SUHhv?-3@X#=dSxH3c()dhEs#i_Q)3`7{IQ^aegl0j`47 z8<1aZ`q)}H^L|=$@y>ah-dlZdQa^pvMyO$fAej+hQa1V+Woye`2MCEcs{c9B`XBgl z{(Fn0hlpBi049bw_ElDy5$1Zm9&MO>^j#BL`eA=x;q}68K38wlV6fwz8-}0T&ykI3 zv2$(iXm{((0TTl`42!-2PZf>n{r0dtyBFe`f7_0Aas&KzpHk+%=V{ zk>7;s&Y*rabQ}DIVR$3kO1K%Q?ccSDMhfH4e9F+CIm>u!(p6ls)H(V!mi~Znk!zft zi(+n4jxnSvkZa;h+WSgdBC^|#GQa<*il^}*b7PzPtqf07G8GU#X(k;)_8mZs!D&Wj zdpK(Cz8YX3UTCVx&Rr=A1BHHIwP2vk=q0g`Nz7AL;_n zX*t;MkUc|WxU$uaI|6Aqc}S`Vo(N;@rP(zv9{*aF(iL z%4Q{)lWa(tras!4)WZL;h@n7!hg~$upO~q$Zc)W~7L-XEefdsu#1>UwkeXfNPF|5H zRy>srI6LM%jdri!*m{7il{n={XsY#;=@|qwXS};03mN zyxj85GS(s@!!9ieXKzV9zP}KcjdN>e!h=4@yJ24#j5?*GOe#*%oN6>;Zc3~hX0(ue zx*Y+Zi*-u?`xVBktoxCg%gaA}NpMfVRT6opbON8MDo_M@Q;0lV|LUeF9T_Lnvo$-I zX=H6AO659l`AtBD{lqv^G=j%7fzmgj53rFp9=4ymK#O8agpS#`vOu8NH)G)K67KvO z&B3lGGSm>hZ@p?+hI3vF0&y_XXxUkzJsaIo_aU5uGY0PNhfrnT9lhtJ^cAL)^^gsHPS$zAvVB9yY z&gS_-J2`~K+^aNDYo`A&i~oJ#{feJ$#N|@@=f<&fq$)lm7gFfd!?*XtQgT(sxUi@9 z@?e1v|760Wxi=m6vY=nYNFWr9F(yg;2#eNtKG9&{n+}jBInX zB#mGfhVR~!Unvg?iqg zZ*FOFF9s_!h_S!iU{ck@L5r#*BO0d+)q;F{eD@wKon7~8y1DNbJoS-w#Rt74QQhIn zC-y=i?U20tp3z9v~W9BiJ^R#yMz1^tC@)epIVjwp&ivQE%1O6+m?*Cgq zrx^0>Mby5*SjpzCN#nr|x3QkDLUj@)Zv9jsMbBCMAsF-p0jYejQUrubZo1m@`bqMM zmi^0EzN`0rr0lUv;5$;4!-J#a%vK-TrUB>)9o;2j#mA<5|EMJ{YXG)NPoH2qR}kpZ zcznix*D>Ja3Z5f6GKe{gRqvKrv4+Qbbc@ru2KM&-Dq4VaCL8g%`1$!TK-E~&%{2_O zNhh%xJ1Y@l;lhm4T%GHsCFPjr*2_|Id1!+$wWKHo#NRP*B;e9iO0mrGT-NSpU{bcx z=kP>!YKnxPdT^P3o3r$J08A9a&d3MonE=}5qX}SE!SieTeJy)?`-JDU1cVO;X6rj{ z8+F%>JVuJte!orEwpO!*PVI^)Hro+d3+UAPwD6Vt091cy*=L9K;)&Slms%0>9I*ku zRO_pyB`??%*kNk6v&! zeOA4a<7xj;NApFGP(%9h!#0lEDvtU#%_!cgOKnYYlht5h34zot$j)QQd$ZsoTL(#x&p=Y&^Z2^@ZMK9#10kr4m<4O(E-_@NI! zVC7AUkU9+9rpBJj8~`eZH~lN8?7u;q#!SfKfA(1D!Mn*aCZLs_9E+Mh733y{4}$V3 z&AuDP{tx!vIxOn`&;K0+L8JtvQz<2-Ybcc%k(91cLUQPCLApUeNu?X<8oEQedkB#j znqiay&*#2(&vkb1-}k$F?_Oum{&W80^7_o>2WCF=e#P_oc&>AXaxi|RsI`89|9C1o z-MfBeYRwR0xU=II8?KQ-_9~yI{=#0f+2?9DOx zBuXxpzMh2bQnSFj8DIDNpQI0_l2iv4_ zGl1BZk#(A-VTR`*=j4`wQ2pfMi&gn)n>C^5GLJU><$gHJ9Vk-RuER7Lo}ze6v0)4` zNzlnT%6HDMexP@fB9fL-8nB;cz+91DPcAq(}D zJ}ZZHGp-oM!nrI;v?4-{4(;>u1R4XYa9as5sIF^mYR*D@?iPldndUJXgivoXXKUI{ zf*-7B6QL#WqPiudDzX@!w)Eu#+ZtrO@=3u!SP*PB_JSi(14D&v>T(hN#F|UxYGpP*x|^SiSg;4K!>3sgOz9P?O6lS{Qh$&YuYuW7+f6Z z%W{b!9#+^(^XQ$NX=#dOWuA-B?2T_Py1Tri6NkNW`f*;;54b$c>v4#oP8o{6-i+3{ zE)055gHFJUgxRNXdAhPquzc0?)F$_O*L`?^yV7_$W&=8t!Sm#JF^z$39^G1l6e+J? zlPN#8J0EL!N%|6X^^uYUUc}Xv(m$dqsE3j`%(Yx+Q0}H&Ovd2z#Tj;`q(<;tBasI3 zU`g{W-V3~X#e5&#FkhDIn9X)7&m`ok2jIB@!eu5fZa?gKrUp|+bSuVZL{_vpoWB43^BK-Irpcw^}FHhP5feXy*H|T8t z)XQHNLn4mq_uk`cqp+oIJ$&c2!9)+WX`mG;$IZ28t&V+!X`D}@Q5p(Dp5B}^kk+mf z^<@Gz;)x7~!~ekvM+n&KI{3N{6;Nvk*ou1HZVDdIVn%<1ju=5#D<`rvS&VgU&=`y5<8f4FeIK0E0xD#7=(11DSh)STNT{%P#T!bNn>Wmf1?ayK%~H zIJ#~ioxp;l&4V+keNq~?W+Ju@ zsHKd`|7Nx$l8LZ|DM5B54qHPJ5B0y^VYuhp?s~zTR$Rebx4A}x&a#GM`E8W)u;};@ zhyWkkXzSv)rrJ#0vO#)@&=K(gN14#pROm7Ia_!!EHkGx(@}k9dU1L>CxMAL~hYi~q zAyjmktv%c&Hd)OG?c=-3+&=63V59Umh-k$|g$~-!0M}I?)iU#h;0Y^N@N(WTwu8mt>lXhXcN5lb)fx*JDM<^1^^+mB@0V1>}`AxV7*$nya&V4F=IMS20 z^qEBZm@#{O|61M+CZi5>=%HLMUD*?)f;X@^b`QS>M+Xm;aJ=gEYydvH-6X)g9bk%! z>;rzq{oSZcf)~xRWfwj%(z7a>V~#-wec<`-$2wTe>p==!F9O9#f>P5cjzaA7j{76s z+Q}CP=5*n+KuB?-O2YRi#`ln*;y(Tq@T^CVPErrkJy$hCD-Y7$HkRt@bp0qD&**|7 zfi6OB^N{$q9UT6SMPd?)J&HlksV=hp2KVNIn6l*`Cd{=F>!CMy5?`A+SJjps)10l?2DqUgB*lNvA(a>Qi|0j9aGZrt!(` zWsOcL%y=Hl@XO@qF(56Y8pf@pL9zS5@cMbc#xC&CS)h)72K@$^`^5p$o`M^PPwgIv z28T8ub-ZA71>HA-&fg$9@B_q8UYTlF^C|P{Q2n%5ID!vrf6xs66e)e}=QLQUbDh)M ziIyq>#)bj?N7)uA@o(niNK#hy+UNGm z9`;08!HgF%`M!cPK)0@{cF%L})$BQgfYna*lx2OSU>pA_&9+9f9sQG0aZtuMP8Iz!&YJE^)eCCvI zk{`U|RJUYVO8en!z20&S)d3J{{o#jT@d|Dxi^L5{t3$Iao~58c^5YkoOR92o>9YkB zzK{7r;cR6F^EPuXwz_S8Bh9tPWmCe#6*yVYyGxE=UKQNG3)*$flm^lT%g%w279d^l zK9JGU3#1EDC_n(x>!5h4b}B**$%mFd4?ymalwQX&)qhnNRr~4{1_DXjor84pL4UUh zr}#^Z#~)c??|*|%mBDmCs-hv^^}P=u&wrm^W>oo?gfp%GDhC>ipseqdU01`N#c_YR zUe_7}h?M-vwe=~TIFxxu@H4w>1_azAuwMLsLQnr>a{t@q4xmQ* z@3K&Fxi3Cj0xOe3iV}To@zOzMy zH+492eQ%5+u?a9R%-g&K0OZ~^TVj=WbCvh24DvPsv701x@>``&tPdhms`4H3O^f4{ z;jm*8KP#roauiZw*rk&yg32b!&r(}?+(Jme_%fjdh)IYIl40bkoB>l5+E7g`3yhD9vlXI|6{K8*!Y&Y8&C&ibL_oatQ@gGn z|^~+Gm8lFXx3JrRkKx+0C!qsVTt#;2*&3 zG2r-vb+s$|S=;ZyADP&OF*4`Q-}bUJHGMYQw7wMRuz=@N~WGNjk&N7H4mAz&9{jTJ#l3 z@se>ZIC#SCC7^(0x{kM|f_-uO71ubqR&pcZ_qgwb268&n~LD(?t&6JH2oZj&nBfEBkP>q}T0G45LNT=E+XdU}ZY z5L+>d=)r`cqgbv$k+Y_LmK3UUD8b?`)H(r>c$E%ro*JAU28g`Qh{s|9-Ex_1Wm(4y zLDV#)>qhg})yLGvh*i-=TWuoA=*?1+Z@sQ$RM-|vY$Ytn;1|wSr7dFffKA#%qti?x zvI-PmP>(!+)UAzEfo#@v#+YxklKU%GX~NPG>lnbd$2{B9#8K~KguGcNWL}}CplL` z=w;rJT52K$?>n<;Qvl8?1Iao^aQRX%zttk74IZ@jYx=OcQNzipibvP%@a^lu0(U@l z^h=-`%(fvV+S|rdKU*uF<|nb$7^$Q1bcrE8;|Y#)VNoR=+O~AeZ`04A1J!&Pb$G5^ ze!KEP+pNbkKZggYLvKS?D8jpg$`lDRTua(Pc6_dC3*R@5CNCBqw!-T(b7<=(u5h!= z(kxb^Y7g)v(y@0clBK=nw3I=ZGd)h8>=#;Le{_)!;j<9ybqj9*hA9wlZ!?w`9@0v%vSy!11nGxLTrF{_b zpIA~>*cCHi#e&@|<_TBBrcZ7RuSd8y?)1ofNZ(@J#KvY&6W?sLof{U-qM3%vZ_2!% z^WF*fRkR4$psrJHxZ*iunJ<1O!F~JP>F6M($bmMfqt{L5KHSW!2>lzRecXfHpQ}3g zxj`_Xi@}E0Md)+;kp;K}xlqdo%?1GXYql8;Cj~KXn@w;QTSv=To`Xe{Tiopj>Oa01 z?Sx5o62xX?m|Mo86-#ZU*(WfHx#*y=UXl9v37q-UJ>{LMQASa5%)Ry~`o z#z=m#Zlk}>%blvV)vB*k!%bPl=RR;~ayJ|1KkP}g!d`ro1Fypvmf9}%qg1|O81Xzj zVkLd%yVsTjKOcsqUorrG0-)&$%m~EV)6&iGECmjc_s}k7;^fUEwEWvFjEYEk8Qdcj z5p7QW8|2@qm08lk%l~!V**5HE;txy0CLCzYf{=g~F;*R~eJ&dvw$T>yWna`?P~SFH zU60@RnR@k9UfOKWdy)uq2C+An&&=Am#<@CpYLD)rlN3*0wv#-ZR1AVceB3WXPZh3= zw%eT?Q7u@qOv9%6gIhbXAF%eD3!~1FGCemm<0~9#n>_@Mvq?`Wa&A%gL>nFASSa;j zVIHsEIx&um!El&uFmQ5xw5tS<@$hQv$W<#mi_eQK@j36cM6)xI09l=F*66)oX>g!sC*y$dD*iBZFX#G#DS3d z1zAi_u*cVNnBp3Xe60hDb^|EECcmC@ANt<847RgqFj5rCdC;#ZXD^ZAG#MzmgC0f{ z2~))y!mNefe_X0>wqv>yd%-l!wG+_VcL2MrgjBML0IrW$Z+jO2`FX&n=m4tuOUtwG z%>j3IFC}J!*Ht{!r-daAhmD2VvW940IvPQQ?og}I(7+1Wyy#ScU;xX%`vv@03DN&I z(Yh;DMNiul4tgJ)Rb4)LwLL1iBNY&KTBY7R;_%SIjdDYjxOLdVJ-Y)J(~l7T->pRd zZ~zeCbpsvEfat56`Tn(=-iB1o(^l|UP3ggy*a~1c5EJ_sk1P2%mK$FT1b8C9=l%xu z0R>h_7(%IWTDS$qZjwXvS|n4D@AAC|7ImdYBO%$HQMnEPjAHsDi~IO{t1GIRu|3a5 z_fe=q1!5=< zQha)cIld!QQ!B{Wq;t~&XVLRhZgcE+V@aJ5aBWKnMMEWHo+ic;cMN8()=AS8k-dHBgbU{WFzlUwK28^A` z@Qixex8bVh-``dP_{Tdxi2>_qm$cQNGPEKG-tvR;tPPZBIdY0FKe>x@Yu!8xkM-^k zFyH#MVb7#}W0d;%c|87=*4Hu*K}K10fCq#ZSQ(SbLyh^xr+Y2f z&Ns|2{{H79#hG)H%3l_I#6Lt$xMuvjtxO~dywvxoWRvfFB7CiRN7}5C>G5rfhAk#; znbtp$Ahty18T^1ypBspex(x{RZxzu492G2et3~6Kr~u-g zQ2m2z|HcG#=)4jKJ=|@oLeTZ=dmIcwW0Ce;V4-2jPE(Orlb(s^tNvF#r+(ZjSD6qK z_Hj7gx~J>PGbP5y$M8AlK8`c<>I~IMbCFy1PaC_&CD#@+aUnhU^~N{?L0?0Ol;0 zA~Oa)kc!Je508et`dSY%GT&US^|eK)(Fb%*MfI-YrFI9L-lo+`uqBegTv{t*0q3Tp zr8L}Cc(1P4b8aIw7*1N!TL5sku80o4M!kz;*N1+W0LYr zZtW*?E7y%@MrAFK`DY3xg25>)#g)p(x^Zy6O{;AOg8h5Yj|%3|xUtV^`d)|;;`(e- z)LG>1&Wd{I>gZ6WT#Bl+ZCJh0@=BkXC#>2m{!GlZAro9z{>tb$s}(_zDX^w>e6`H2 zzW3<+%4Qlr-HzqAcs%S#=zUM+9+4ZKrW3Vy!aO2Nnk{w8hQmCXxIVU-?|(Wp3oy_; zdvbWEpWbEBc(0z)J0>J#W{VUGO{M%Q8F*%AJXYtOnVYoRUvB)F*rAhFq%wcWWNbsl zxK2v*mxBb9&v|Jn@K%}Q7Y>_10iQ&Oa3*k!E*L0bZ|7DJ(A9V>bB*5yj9xm8m@t3v9&0e#?MW>?j ztCxjdu|o#_TBJW-jxK!E^D~jdZBP5LE@_G>R|Y|+x~g!6vW{CjtTpsbDW;H1;a<;Y zh?~+}U##qoOI=F7Qb^&N0lt~X6NgXvkDRqc@IDHj{<^5xR|lTC!u@9HI4`&DJ&E>A z)FXJkgXECxsc#3&=rLZR;F6H1VUGkfvX;x(Qm*;RB;BQDD1F%K5@s56`W44_NRg!u zUdX0W-s}X(!;brDcfe{#4=Jy?ar>E<_UW)ZuVQc*Ip2| zbR48M4YwnH2hIruAwyy1&pRbk3l=SwD>AUO6?z^N6OH(=UffB4j|k+|jmGZVQIsY! z_K|1AdyH}tUC6tcploU1T&qltLWWw3iR3GLj_Bu*aQ6mt^}RMDp3;Qq4%;x1XE#2Rn7{AO7~( zOqc68`vzhe4CHnLvg#Z%AYAv~3$WF({u)5%+y^5!oDP`kCQ3Hg%6;bm}8rg%(UqnRT z{dw~I%9yi@OY#o9)bmu98_?NtkBmULM|uF^-5)1yk}S}5i2qd83tqI6znCl6oHLr@cH=U4df4k_pY*U12N&xd zO(%==_o@H{_QdBM2uxXVVT6CT`TenB$I2(3U@r!L(*l7q2sa&v6p&T{%-1c@m1;mL zS3o$jPAqkYf1i?*J)ymky@9{X#u4|W00nFI$2W4oR*bQ#Fkqk;k^XVx>YH2ckQ=pz z8tDGrq?9pgB|VTFPt1}xU}>d#exegmZY-4<`h|2_gD_F=B`rZ?60^N0E5Soz-P<3` z1rj=OkUIViIo1XjB?kLx7P0wuv8;NPJd@_SpumnNEMlyd%d>o#2fzUNCmgs318H%} zHp|6wV(ASpfy2`c`j~hjna6z!_ly3-@hwVoP2Lzkldqq9$n>aj;=3NZJ9ukp`H7as z(3a{}Jn=Yn$oK?UBhOY;&Dzq5+hZv7m8F*hPkw*O9mdz8+PeH(@8CpD1EX^HvFiS# zW<$exNzThwxO|IW4JIK3By8eBNJH;_1>cin4%P&uf*vIpj)|=m_IF->Im2g9A|HeI zP1m{#9t&0r?^csj;n7eVH&QVXf>m&M*y>wyp+oKNtIGH=il0Z1Qj8n-FX_bkX$DXd zT%q;)?<rOmF<*ZBlH5d}CceOXVxQ=|gikFNmt%_Qbivs2wxiZ=bGxWXp$>-E@f z#N44P(zFYjayVtsel}GxCV@CK+xar`s%P1CB{=8~gSMF(TgTL5+Rv36*hfvoL48V9 zPMb$Sx+NE)666`seW_uxWY9WWNWFnV>11h}<_Rh`BBLBR9K#=G;XVNYGj-j3Y6XH6 z&VdWWovFxZio^q8tDx~8Pt*VcA$E){4;9KhMGt!9&~j=9UWXAHW(3Nz{^@qU&fU0LwW}o(Rc%Px)}5{+ zo4beNJ$^=~FfaZ<$Eneb`+a61N+uE@QUFfoip9kTfRp(=Kge`7q=Gf`#lA z#6G(P;`p=~HMVk{-R&(c4M-Zu_YB+TPf>=O6&?;^eIglKe;P!tGdINpJY*sOJc~o} z>fG}Lc5SH_g3HaJ=iJd)ecEAwZRkBz7tj_R^<-aessf5-peOrGtb22>$X?nHYW&At zis=W`ZvAnW9u|ebU2-^SF0UtoK)@?Wl>P`>Bnv<&7X1dD{QB2dO0nDofPuC%gb`>v z`+opGcp)HO(?ZHH1Ct=X*Y&vqm6y*`Z_o3+s-?dL>4S{@3yZV@O!6D_)ZveOAYHuQ zAaWpr08nh)cqaV@-IW3gw79u{xh~|H!`UwFQH0QbaJTYxA={zuRSPfXN57b4Q0OKo zP>BQO5qaL8t~OgPTNoRzc@_x2xOaUsW<+sK`sY%-Dj z0_8%Z{=D6)TA09#L{r-XxLqN;0Ho7RVe^AY^2$F7Bj*dLQ=|7>mWdRSa#5-pm!1LZ zNSNt+b)l%Y_>U4{o$*x)edDq?jx(dag3YrSnPaA|`ZT}Q^nA`0lkR{rsDO~jqf~NC zC(V@CTPy_9=imVVJ!{P zd~eMOhy==31b5NmMaA_ApXjuH*ENO!F@Uq3t7KS@NE8K&)S2T2f_cDBh#m{@^SwA+T z7hFdNDh~Q*VAcm$(s937c(@%M9<_*S*YfBnqg~gYPNHfk7`l-LU zXJMZZ?Ic(3N5!24CsiMr7Xw(Y!3~z;+gPX@7H47$@9L{B6U5*buF& zGT}xs9T}ryf5^-F{B>l(6AJYs$!f1Ye*NDd#`A^kS=UFdkb0XeRwBewV`}L_`{?Or zQ#99lR@R$e>Uadjan$IVewn*<7AMcFJq#vo8YJvpf4sdJ3;*J_`HmfJz}jtTttrPk z_vXZ5BhMQe&Fjlg5)A{hpu`@g7|u?vX{6{g@oblXv_a~5DTC6l`<~bWZX86bGZUF7 zc@N{g$+XwWq(=sLs^89G3>IoKfR+h&kYsa`>i@_@PV z&=Q0=jB`&`raLRNwoSl#_Sa}gfv56Ie99Ux4+pl?#9+4!^`SV{&FF_Ew?1ETmyS9a zP}ZRL#<~o?7yDSi{5J5H{IheGhN&Z`Uvqx>RPV6E+mo%{8sa2PH{;LxW06?>U$3_N@N?eX7%aHl=H2@$q3@sCf!Y zpd4i|8DsnDc<-LToywPm(kA(ClvynQl~y8hO6#=!dYfxs-{uPY#AY3B=4lE)GI4pAGQl%!lcN9#ctMhU>8O$RbRkeba&xLE&fv=D0i%f?ef1$7S zQU{!=Y-nR@|1XLa! z223at(C%rx>DO^6=)uGWi$6a~=ECzzdS%rix!<^@wXssMeTHMauKli6inm6#O1r>f z>wE^^0swmGyZbtokTlfuuIw1=Ev(7Eo6-Hh(_rH8*3b=nGL~8^BM0LV>P?fhsJ zZF4=}M3 z|7Cj*JB9?_?PavsP$10$X&~F|MW*DlI^`IhLoTZ=r~7iTCf0XY6=_M*AjHI^a%SV$ z`Z{U$xn(QQ{5JUSizG;l+2-Z!3^_ViIwB&6AFd0O1cvvVJy6=BJXhg#ZT8dE_bixY zdqz!~Xj&T{@B7gitM6%wGq%zY{@s%B^nWh3`A-|NkUv|+E*`%^-&ML3#(djY`T9I$ zv0)1%fp{|fCX<3)9tS%(g)pE?uFP7d<%BZCMF?_P$H1U6!sdQ+*EYF;95A09BKV^+`1TmBeh>6qd=%V<-YujAa?)lZPcu{&!KQ!XJp5JVY zvx`dTOw^EcwIieR{1_jCrni=>Pq*ySCuIA0_R1`$j3f~@}WLt$A_Qz+8T9?rXQGaEbOpi(U6 zLLkZPv?ux)kEWld4-roY#RWDm{hRGqL_kSeurC2DsE!>l(f+^&^XqiLFZ-HuD534F zG}|QAtI51GyDV!&th#oJ8mDe}b22Lh8~&>-IXqw~S^5uqREnr_bgt4wMdIY?hCbgX zoSf0oRl}Az?nH1m;fqn00TwShmvpV^VF4W&hp%=11tN z`WIEX)wiQsaeO$!Ovmr_R$Vrfk;*;B(cT}1QSK%~s0mJ4w9FIBn2+@In9@K3gTiQ6 z9+4YEe22D)V)4AXb>R=@M9+#vE59yknpn4YuA;UW!rc zmY|H|2mv05dfYwa?BTh>6|ItcP^2hVApP09feOp@)!d370oZV+LMv~vmYG9)KAvHt zRApP0RPq||VdRqHa4qpxB&Y88eSyb03AsIlY;`rl`niwM-Xwf-mMzg*wW&McU zjd`J(q6M|WZ`>)G7Lm68dY=4DFI(t2E#0Rpecykea_k0Z|E86b!Bo}w6Ng*1t$JEzmP|s< zMXd3abSxl9pVHWUtQl~4bmU>lsN?4hN-+-Xxj;39YURhe>wvzS$LNUTZi9)9<8wYh zv%((P5Ft?bIUH=H_*v-ld8`yo?kIC%uu;co(s2}2oz_Eaa<)o;c4$B zAw)+#Yitdjy_t`a96XoY%Dg+!q|cm+7fKh#!dyz6D?Ee_em;Kg5bjT21v~*^cp;kI z>M>h$Avw=(Z~2=@4^;Ci3&lLFJQCR|g|TijGw#S!^fCc+NxR2TZ0kgHpuPE(^T2~D z1#Eaf+po<_8_X8HX`rM5S9p8-$!Hj2t(G^=Y@__G?HKy3y3z0s^7EsBSk0vYi~UZ? zUxYd&81^-CK)jIvSXhPup?%LdUdgQAYD2!hjmV%~MLEO!v4eeT79Mg;h*Z0afUrHC3v6*6(ul62%Mq580(~= zE#8dweMc?QEqw!IMGcAC`W*8DSNAjOCkFfFW@G;2hjDNTtu4&+tiyZjSI{06Em2ts zZ#OWD7)-kzlSjS2LxZvH_b2s?>r2DyP~p%XKZnt7%1yq3H?~|^Oh-65M+uGIC~0$k zb{!^9Bw-)|`8_CFTi8u;Hr~_KL8Vj?!lwpUo-^i0fHbY=P~Mpt9^SB()|Im0$HMTS z=Wq6?$%wqG%QwWzE6j-Q$8kr{%a31hhN|A_SlOTa+&tAr zhk=~rr$m_6r@5f@Ji`|aAL(i8F)GdHIF{(UJA&{WfcQ6=rA0+lKS~W%9)_w|0W1es zPra7>+%4UuPIMO^t!gD~DkKP2MF#0gIr_YX(+1o^dpj(wTwG4^v?Ct)5$?y7;t+VC zYN!?ovmAf+k1dJyQ}=qu2AAxoA>)GUVB)UZ6O{)cvw01YHY=|L{Hf5D!6c3WN9H``@Ndv_HW1@q7ZSQ$e_dMqZPoJs zt#1BjtB9xj{P2k6X~XbkiA$d3#Q+f98Poge|M?2i2ru-&t^J+>6gs@gU_W)k?t$99 zT}vr#zev>Ql)G7W#M>q1HiAy2@Bq!A$nlPUej%)N(`yath|Nlk*lYfFL zw|0VE+HaG_tA@i2r=P0jM)5FOzo@QN zD6wyv3~dO0gFefN0Pk!8op!)>E-kRPQ7N>UQ!_2+DJZ9y9@UTEJ0mGlKEMmQ^+>6A{@Nd-$sYve|)cHh_ zFnQ*ch{q~@udWW#%LXAmw`z2s1(jiAC0nor;e!tUjG6L--h=^WpS2jExc@Vp>@Sn@ ze@&zM?_6dB4NLWC$f9$fK4NQY3RZ8gy?S00dCDr9^gJYpv%)=R=k6oy{7OzV($5W{ zhF0+Pddq7b^|bYg&6A#WuK8so#5p9@2T#wPa9so+hcHgNB4C3!7#LtGzPmt@n$>$b zl;wvq)kgPKCrcY<5sS3V4&Ve~(MN(#p;1it>;UU*ZyM^83ztY0;u|LsuU=Hw!CBj9 zO?gS@kXNq3VpksrSar*NrKS#R<3MOzmFb744tD3mwqBddbmkFcrG!Cpop|w1&@5>N zAXmhoJru(wozE=-l!NonZ*4y$0l(*$RX8no;m66q02__U$-Tdb#!B|mLMoEq@N8q+ zwBepOA;A7LL|Yq_^463>TaH@^L?-*0KpW_WVy9jmND$RyAJ4F8B^p7yJadFv=Go&F0sXDb^AgV`wV)vP8r5KcypZ+OH*p3h{PaZqtQ@{hhMlyQQLq5Z6^Bl5 z|Kt*De~w90fKbIIN3W{@*^0l;Qt9>>aB2x8AcoJ43#sFc(53`CxVfz_W#jvD&z@V= ze)AsPS8s>cYB-xgo^<#kxua5+4GV|xFDmBxn)5G1{H1$0n9vGfNZD?+r@5ZP#5t;Q z>2b4Vr#Q&BbU#axey&bKmQmEnuNb3vq8P$k+m64#${3|M9kM-|Teg^A7RnZr{5i%$ z@QELqBanYz!`G#ueNx!aww;9EaE$UPSWw~tbC~l>U3!;~(<1^haPiGJ>k38HW8zQN z8w)^Wl~<{a?st8*dX=Xq1);+uS6w(@<~~JdEM})(kW)LUYkDOSIv0^rlk>wMwm8qz z$sWm8H}!z*WfV8TeT!GjuPL^+UTe$HdYTfEZ|Kx)_^EZ|_R;cI+Twj=t_60Gqn^5! z!}mW9HN&>mw6|O1x#h{*zt|OYuIG zSV-vDuRjJW*->#>}U6u%ro~s4W-Pv6ML@R*2Xg1`F z4HfRj=_pZklV67*zv*Xy#q9xO?9k2fm6{MXgf2+$D>YB?eVIvzOm9+Z8a9s_ulhx8 zC$w-lcJzxg)*;W;@gjE@{fiM&TnK>4!+ebTQZ#0XXsq?q?3*P;4Q%rFK8%kE>SYwj zm%!|d&yIx(mB!I}CiO_O&$-f-vje*I7b;|va~d)7-Ajo_TuOnN>1nbeq(lO&VNZE2&;HkUbc0N4Hh>GJwNw*k^h3!bpW zz4zrS+Fz`4vb13OhyZc-#8c?95~eT{W)(DL67;pPW$V?~ose{2>q2Tf&_b@`dqxn9{q6G5@WPg){4VsC>yL}c!}l^bjtHymHS zt8|0Z#+Yut%;@*KuW=AwbOz-8@-E1<(DaGFNdN--BF|Nz^1zfM;PdhdUG{wg19_O6 z;No!MDsF;DbA`u!&h9rq4aGQ6i+EZHh3H-Y?;s+~3Yh7O)&kt`r>>drSLeW+JszgRU`X?x$S3?zqXPD}HA~sB2y#o_)fDXqF9i|HBGX1&8iEm)al9AlFve3T;lBIV zZZ9Vbg5_bD3%)bkN5Yl}iqTzSy|2S$hQj`H8+CH8+wM8uH1NF{S zj>AG+xfs-xru~WcQwmjrw)#tU_e&v8FrF5Yk0I zMk#eJi>R$3>fm%~;pcGS$d~s~%rPFxPIbWy^USfgol1*6J^K8?#}&E94JvQkhyc=u zu#`QKF!GD7yn_A%4+}MZ0Hoy-(}a{|JTY?UIilx#XD6gwIF&z5r7`n*>c#UbW1M%R z7u1pi3tcA}7ZeM+$-aKSL1|7vXP=e+8&vlQ`xWrs-gBq`zkwmv$k8T8-E+Dp!y;*C z#@!Mt+^?zd-bfl@XTpyq<^s5@y2&AeWllxIg7hFMNF_Hq;x~wG3Bhy?fQ`?SZ2_ht zd$-XP$5dUE7@wUb2!iv2h6#r>-tP{M_dP(C+H^99dgtj&m2d1BWY6!M-B@K59gGU4 zGYXh{a$(q$eUBH;#}ks3iy$H2o2y<_1fTwp@M@`#eHK3v#-=K#=|$7|YPB%6yY1n4 ze8c;?_Bz9dfoUeSFRKD^Z}mIMc(*nM2p$)KA)nFvMk!rq3MXlvT69XiqgiR@qI(=$ zO=NBO^dUd+hO^E=Kp43)&H)kxD7+TqeP`wOuTg3Nx2G4 z731~+fdCOU6P%AddA&W#;7aoTEFc$lf6RhCm?$K6wAShdASD2v+a-Gd@`9<32@{`9 z95uqPwM}dWO-6T|C*t%39NUV-d^t6Ih zj`i`I%S}QLFI8Fr+2w-zKh#NA%6i8~hZpnqZkaM@VFu}u+KET&+{X{N5B#B7_nA}d z`pGH`^J|-ePG{*##dES2UG*QPa1bW45Qb`sF$djwm|tOY)a5Ae1}NltEygcsjPpM% zJrcPTAWyUm#Kh_$R~{aG2)WCuAxqnAUwZpu2KgEC8eZd9y)**yd!LyI92BB=UwoDN zq)Nk}`xI`wVeZ}9<7A_wE{_NZPuWGmIDa}k^iffgB$_o8 z=*_inQ2Oou>+6DXf~&xnN+rG*GM--^^f5Vn)MtUd_zUJ(mVqaKgev9hivG9zsHvR6 z`&DA*3Dkc|Ht)$%@OU}I2w!t`HfK(uRh2#F@?3aO5w;-LX7}!+52c(-FFUK*UCt_; zlPF~2Exu|%PJN0VOujI5@kyx=K21|g^j79DYe^N7l>%@qKFlny8@z&hmUWYas4WiL zr?)pT`U?tmttYt^zHPR@*{;K!gRe2fgGP6Y1v*Cpd3U_e4_#(dA=+w5_wU6$Eqx(Vm!`6na z$?cLifILw5z4Z*DE1OkJxcTDcsk3B_~<`0L% zHSkb?MDA==-*;GK&l5;8+O)df>ra7|wwHTT8!h2S`qu7LTISxglIY&fdhJxMkgVo) z?k&?FknrOJkglOvM)AFw1CYZYM9}4ag+CfnpX@S{t}&o>=J_1VS7^UzHd*E1i}=4u zeI)zf84#bHhAsv+{~V!xarq33H3PaRV$Qzzl28}m!v$e{cWPiyJ9wb9 z9nbY$C`rzLIkxWKy0QP3>l!bk_vGQP-ypqaT9V)k<5%4%T{43eo2p^jH{*fdAL)gC zl3T_%*^|X>Tnq9C6khM4>uNzr(wS+H!P1Xb7PolDN7g(`uKW3}oS zGC4U=t`W09-BC6cQmDXGOR$Xg60STbtt5ur7fq{NBrti=Kw9&0G2mlhz9%(3d90UZ zx0H+4RKQ!Lp~CUB2XG>i@2JbSVnA_kYH2PPDlTmg!FNVvf7)^QA?Hv|b*HrGSXvX`c#O5vXOM~1a9is18 zv{mlVX0cA}3a&Ermr}H-!u1#Aq4a}(Gxo$)O1%xL6a^%B(|zmBEfL~z%;vOZ8ffNN zs{UOL=HWvk`$1{DI#Xat5q?Jhy5VLi~UoHr!MrwBa{`*ZyFCa2#1;M^{Xb1&K=m& zIm8;3a8)h0DB$CzO{2S=iaY`6+_dXGxf599L>I@g4aasv`qT$r>a&}2z@eRXBGVIa z-v+4MZkV8+w%ti9)@P6szsLn)i%=;oW~)n)Z7lbk2(7QLpMQLnk#>BJuzCqCc6 zZt@_CCLna{1Tq4~08bIcvkf}sBk9pi7}AoEGGol~anQ_J>kjLgFX3eeJbJ zz<%lqu@;{1u0ngNqC>=ftMMCLXZ~yq9zCLXMZjQ-R_-uhZ&?4on0w2pw%&i+HxvrR z3oWii3N6;6#i0~0(iYcJT!LG0cPUUpfnqIE+zArgp}2=)!3q>e&|vx9^}qLwbI0Cy z?{oGUcU*Y^j4;ATNLJQ*p81{gGg)Z%u=l5r;4BuE4c@g5LJc#+kb8l` zhCQf{i$#gDPo+bdTDG*HfOtv>0i`TOe>Y2DhWMt)tp4Kw(z~Q0IBDtV2D&BhFAr^2 z|6&sA+vB~wl{$}9k1P-v5C_gHaeF%vK~GS{MQ&2C+_$3i_wG9EklZSepg)Y|xG+|0 zhj#PrW=U^Y8k3|3pijw9_8`sOxgiOV>pRxpw8b? z>PyEy0_yl9v@AAm7i+B7d8-TZs|@Hy-#?>;D5 zOF5?YiAXPi9StZo=ZBhBjw@uVyN6M{cn}+y&L;vBXS@Vp3qgb4DcT4Qy=I(WrI>Bh zV9-)86(Poy#62O3-FCNBk}T?Cf7Qi!`dQJRy!%iXtQUB>|){ zRa(J>{ra+bw2b&{K{IwF_(AWFHB))wu?vg3XFq&&@ghcsh;h2DCz{5i?Jdw^{i|GP zrV1L_MSUY+xH-S$TNq->k#M5+r!s2kG=C+;os1hdC$H|Xe^ym zU-IF3e50KDFeg|0rcLzh_5g4hKHR4-40_x)Bb?l5Pv2kK+S+lWHh97MNk9J5NlX>q zv?_BX=s3(WFdvV~zkPMXTo~XgocCAuZ?`qSy3>tp&JK8KJDB-y%?IXiJ|=OgjLP!W z?-BfcrJq4vyr30r3Cn6i^Zx_N#v|b;|E1(_HA$fU|7)4&;eQx)*G;jIC~6a`X?{3D z;ZL=~yoq_(nR&~njq~r?vHulCqYoZx0oZyLmBs*UOAPQ-{KpQf|B@r;za%2*%Wkew zEn?Vvd{&>#eQL=XnHW8#T+%I++|r>Xk=yU>e7o?>2hG3mp?+Q|D=R78y7(sKG}r7rZ6GZu(ii}Yg12PH`R z`#Zv2ejFh162|!+8P=wfH|3hHaJUByI@PBR`ZN7)d%?;s2DhBP z&!U^+NO=8V9;IfrZ0OuJm(-;MbEU^44Yy}0S@<%ZZ|WJ>m020jmXpGoJHxVyTY!<0LGwZ*&rP`& z_1=ji^$U~V!m;*s8=anUnvZFVBi8*WCW%0RAt8bb8GJ`IaobcGj49i{CI0T4r*z|U z%NYcG3?kCTmM(%ZP(|Ajvicn6D;tap7>X;z%+2tipZKCn0NH;FEbzNjywA8!CCIn zhRcNunj|QFXC}Fx7vl#lLXQpvF~VogmxTXVhpqm~7I|p!H}3T@Pk6dvrjOE~WT;tq z+@JI0xK`1e@=;r|OeB-q>I#CVT|sk(qq(us`ex)r;60frWLACIE(^)J`FqCh}GRb&vA|)i#Yg?$UC_fq+=sD4erujJ&@( zp19n>y(*>nX(q3uoA?P>XITg^r}o@a(tP>NYPKf84mAV+U}AW1;V0aWXEe5&$*U&- z-_XiZ25q@_kpV=I!e6;gf=Wy??&+)1BrQkM`%L^jYw9z+XEz)a2*m5pufs9#be<;< z5%r);5``xSdmS%^j$K@wF9V^ynlQ)s_{1N4wKO$D!yIJFQVTh^#^@7A7MuW$3g1r$ zAEp}_#Q8R$V&skx+b6k9L+gyCh{g5wFo-bDp^hFNmS<)Y9l%T<$+9Rc;u} z>`JdUM4am?@y&D3Om;n((A@V}7d>`Su$jlO7#QETF#pha57DMb^d_N5?tNu~3wr_y zPCv;1j%vFD)H3U-6`KVM=U5OSe`pUyn23#f1+%G3R}?EL5VwXH)P?l2OR*TuwqnZj z6{~;S*BBqr)F9r1kG&Yw2|4TQKU?zf=3A&ta9EKrrpPg!Q*8#smwyFeB0Ss=KuJP0KsI7vfvXEeh4Ye#&1NFq8W=u2;vIk)b=v z`Lj0?Ee{uJUpq6)){RlKlay(ig7!xTJjOuY4SK;b0!N->%*dlbjg~onO9XoZ%u4?Y z6gO;(8&{ko7bNYcpMCpuUP}FEz*|hLHL~q%LK9C31hDIl-T#^TjN^IcfYx)m8ZoNB zAQ0|6PZl~TvdW>h9^>B+Ly!(Bz9F;)d*CZr)pNwcQ^{Vr;^PdOTnQu`!R0p?fI+|B zf*0-Ku?)L1t9NtC>%Ak|D``l~eOZB(i)vNWZeI?&@xmijeB;~$@uc_mg z(|8KZp(bL2$>FL3G5nrDShv0QtBJ^6<4@`-p_DG)?r8fp*vBgfxAHr4UF?(@7uq{x zz4IX~8eBMC;iN|)T6Gf2fwdfH;|u#%%7C?Xvc%)&+F>q5=GX#NCPW7xuw%20K(p-i z(`?y34G^?r~)d^wfv>2=0S@>K1uwodO@6-~Ze z)83cxTZK5+tAjnL1KMJ|kLBE&1G>V<@a6Gb$+aJ}C`yV5i?@snC zUVp)&o50L8D6-3{t zo-a2Yev%4GQ*WJVV4*NR@EdDxomwXq`6X~#NSPQZ02N9X9H>qI4GK(fRn=9xhNnq> zv8usDdtL;>^D?&gV9Tgu3QeJ;M>IVBf3o+sZaW1u;6IcxmT25ftvLRG4;9P(MMe(% zsqN>#lsH}<%6)&(aT-czS`nm&aK9R^{(+?rAVx)p!sDy!{`XFrLl>AiH#kX@v7cq- zt)TOV(S#Iu+_@3Yq1I&2f27?0FZ}Mm)V+Ey?sUu+`LE1?k-t*od{xDvwVi;Mx-miO z$k;;JDEInDly`Xe0#ztdmM({26H(MZU*c7yx*;!2?-e)fwma@TM9RqXd7_3To5hpa zO^&%=(LI+MeH4r2d!#)(Rpq$_5Ed~a+#2vED{hY+9?vUHcS0rzvaTU74#9`5q$)(% z#&|9}#!~Z`Dg*i~tg*%WrhvmaA{T$Xg##xGbVkIJjIQn@73zVxEjB`{%lE5ka)s~M z#;R;7b{Q5)?wjnUD!ICS(|>|y7m8JS=+ z_iy0&b(%<-;kUJ+Qyqgi&{X5qU~3P~@r)9Y2G@R@Uk~uo>C(SY;)=c9trn>-@vYXH zg@5>IXXIyMVq(8ve1sIne_+}|kuf2Vz?9Eun|r?9LD|@jNh2L7sPsMPqi3$B`a9$) z&40vW`HAxN&s6^DY03g)j`gE_a<}jBA>V96jMs(>_5m$O2~q{?$MV#osxP=MBJ>4=9UWZlwIM_$PIX6!vD6ox&xYw_20|o= zc*Zy}gWm~73@Sa7Db{}5XC)xKX7wZ>IX_-Lr2kO!x%R}>Aj}&!+OPWa))F;Wl+$?L zKtEqpG)y@mau=1y(R2}`??0HEQ>d-)hN(PWK9_E|j+ zf*PX9zVpY=3n_-_hK8h))=@zAl5ae-^i~YOb6d^?d_W33RIJ!{wD7Q~SgD+OfDp6E z5=B(BiQa<@5F$gQli~&dK_%u;q;2KX_=DZBW3^UM*o*PUFC-Os5*~fA{@8VX4hrKL zk$Dkd&^#@|$2Qp8h=_@o~k;$SbNsha3ZIK2T`O3f=C zj=q@5gcD+0M2O^bo)EOwfWSRpebd&d#zUXKS?Nt{qAax&Pgh2mf~;SYk948@=c?}q zyhr&Yo*R0ukvOtAx5qtlMc+Z~YH6+CyZ<53GZO;r*NtU9t>E_Ui#)GaHmL(jSroar zb;AA~ZKi{_59Z1H)$bhDF_3CAj2U&dVbo${>-VFN?l{&aO#M1gTmlZ9)n)-aQw<9= z!`m6S$fmTyfP}_&`z)fn4W6`Re?pr3;a(hxf3|x}EG|RRt9)|X?{M6K3^P_#Vs4&S zxnt`W@5^9{AD<;nR0=$INdj`=efRP*j&Agee9e(EDM<2%GI32tRj{ zgX%xzH2;5~0l*J>jVdl=Xj3QDRf^|byjJui?Y>bz$U}G#a=AYEwoMNG!-y`?>w~sOt%1DY~~e`Ypz^M80HY zU)vrLREu9w`Wx}Dq(IECX)TF#6P{R(Q};3|HPpQXa`ot5di@LGYv%$->eBl&vDNfg z;jb&BC)g8hrRgBuF3|HxUG1_m{3%e_gCSv@)78uZjOcDZmP$hsDVA_+7z8VYxPX}( znf_`+KGvqX!M5I7lPgJHBo!Amqd6-&IMCl6Rz(|8B9&1^9Og^&@0JyOATg8KP+OAm z@t27|UcJk@B$ZU0J{vxII^(&_BVNVeIpK0|V46h_@U;*afybi@R~&$_nuKWtBEqqe zzYwOBuAJ{KNU$l#B8D*-W%)aS+Q-NUkBRkBm^tJK|lOj z$U2{F?{#rr*)YI1*iAn)(X3%jW9Kc5_$1dQ^_c}XJlVQ_=5IwlltEI`-`Cm)`X-K3 z(Z>?{3AB}}%GIu&+;ffhZXm_Z?>&bP+c_1O9mg48CpxbLsOX#RjV;i=CN{eEqE|7ssD{lvFr1bv2bF$knoSW}LkI z37x!*c^nU(x$PML?yDQXuqKq>BYQxwe;*XEjl?i@T1`+o(opn=vgk9JGDunAw-*d@3MH#x*dAABF}e;i<2*BJc6j z9xA3Uy6Y)8aZhp}P$wk?qfG$ayAHz;Y^;SPRu-LNIF6G)U=h({?TumD6OUdgH0l~e zS~S)a0{VY8djFQIX3_=7bva0AWW=T7n+jnm4#w(-T^CPgh9f&Zn%h13lAu4CQ1GQ3 zqyuzy(vQ@j<|9kY)%{EUv|3kg!H1=&Qwo#MKMLC64&Qo}0Y<|Bb&SAIOx@0eW;$Qv z({`vm^wp>IN_G-4Q4qs8zT2$cAfR){Ff+{F(v|*^VVW1db2Oe`PkUQmKT}1yv9$9( zJKI7MFbW&2gJi-yU|i2U}SK3E)&`lI=V5cu6|&+y0f)B7;{)am?`#* zMXMFL&rAA>Rg+>eh80ls6gfRX6yBSf# zTqHN~;c60SMi|tX6$x~_2(O#E?e)88Rd}wHjZidp9}n^2EyzeVI*?%vP(kU{qmuQS zroG~cM=P~7{f+rV3CP`TQbd(L4 z9x@7MBspVx4woQH#xK53px4V|&fg^Ugbs099IacOpXZZv88URQQNkGlH>EXcd4o5o zB+L;#z7`iX^{6C*{ljQ4^gkabwGgKL_Y4&qydHq>@mgT0&e)x;5~)_c%@h8d+(sS~`fdQz`(L{bs93T~T@|%#rMpqfblR z^~Vv4BJat2fH^ruN?*n_Eabg2r*hD%H7V-*IhFrhiOS#^Ya6rtC9)%`t)?ChMHr8& zL$;dI#zMCfUdE!7wmAYy@Dh?Bg)?UWzu*vPRn?o%v(K!uJz+DrT{31UbRy48!Z$bp z*6HRbN@7`3`EuWYH~eKl{i9Uj))&5diSa=8BfCaO%=Zv%|ylVUeqqVpD4 zpUv}bphY5AkZXpLNThuhQi<8bfc%N;XkwX1idi4RD}LYpXJbyi10h8ue}bB|!SJvg zX2|3;0r?|Od4^wv+l%h(K-}wrJcX$g(ewbhc9oK{{3JlYCPv*)KdQfx#CY`4>pbwf zdwO)BSD*B&7~?_2fnzF{+JHPanHKCnmfyZt|)cJ)w^AIVRQKTmR4>w6>nqSn*$Ob#$yy?SQk zsH*Jzy^nX$5dmHyOOGb^akrJPsX+~(Tp?6{M!cu;^f{gL_t5O11Bf?ci1IISeKUwM zNlCNFL@eBJgD05RUN4Ak`Uls?849PPA8%1oP#NRRZ|PPO)>S8QpmnJafMBV0<9&do z(89cytqn4-{|96rSboUj>AY6IeY0Gfw0Nus2b?FwG&g$Y7){v?3hda4Rt7*x$derV z-8_*wu+nySn(TLImwyjlzOiRjp1cY!$jNzHICnUaIFq{CHLgc_69E&k!(yM@*OAZV)0EI0k|Y9s&V# z4z9kni-UhaMep?G-8NOu@|gFpm1C+J4rSG%HNv$UTXSwdE2vDVFfBEG6Z?Yy@^Zi< z#nh~{cya%Na&f;&Z(;uZ<}X-FtaTzvo=y+Ir<2bTolGS80g6_ouV$da$C>KljMwb~ zv|bdypZ;0SYkgCz+}QS#po;t6Kqs0;uLjd*Utnx(AREP=g&4u^P5qiOHQ}hFj3R9y zPkrGobeeoj0+A^x8?#4RS~%1;WUR8zy~&=#7s_bm9x}^V8BVOf#>r&l{|xisxRH@T?RqMY^?O>w#>-+YfJ0C-&y(bpgZUh`iIu z0#L!V_xVoS^V9aAiFfUoij+P2k_+puDFxv*Tf<9%+#u7+uC4GqhlGxYU$!T}kNj5G zqKY9VPb5+=pmp(nE7dtwz*FgTM$1N>8;gq=crNjt6X$XLy`X%dz!T>FT|V8L{id6c zi+7Y!GV??3;>0O=ek32uuTv-mxAkeJS~|x) znU9bOR-Y|DlLqVeGw)jCed@PQrWb;JR{d_jaO%xHhJ0bzsoy&BZd}a)UoNfydz*;e z!_R?jSc<$lNc=8DCg?8ns%bZ2^VOKaoJi$suu`B&XF*RlZHHj}P9n+ojhTe8sxJX>Se# z0+BZZofP(1rZ&~;bM>FXQN|ElS{vh-9aU514=m|GS!t-ywm$3?AHM2B zw#x+4s5j3dXG>&bhD$h`| z#4$@R6wca&0@XL?L%YqZ22^~++{-nIeNCc=6WTm`Jb|J>`1|A!nkHDndoPyEw#2lsry}wmW#%41Du?WJsD(Uj*%@%rMB6k8tXt zT&Kd3PDe#LGP;hBW22TSW`|{a^v<OM3N2m7Z4){NV9@+!?BL znn<`TiJLN6WRWtXKHG_iu}YWffEzdM#(*Y6HCGmBJqU;G2=jT7PDXH6UgpPTFw!v{ zW0M~=a9IcK{H{@(TOv^}6kbp1%2 zc9&~DM8*}Z5gqL%X}*M=7)W-?!pq_X))0=_X)d(=U+xRBS}&hQ9s#w_b9a_n7@zh2 z#@P;XbNgxE0rjtZm6g0ALcY!^+ggHR@2A1QeUm!tfI>Fs*-$r8tDA9 zZA8DDHDj#vS6ru$r9>~vUc8+2`_Q08>>K<#b9?fPk4GcDi|oSNt;c};eZFn!?H}A& zOrl@zJv1?F&Z(8QiyNy(ySHqtMeM(_Vx6{wr{ zGR!0T3?JjI6UvP%hx4oc6|Yu7!y@1co6@F$Hh!v-+_mcYs2#37$hx1I~n`gpkOU|OU#R!Uc@7T8%a$Tm)11}MYVp?_6f2U{O z*2C%>lOv*5ITLCAlz|@OWNylm82ZBA1PZ)^?sL<@j$j!ULwEtb>0Y~ETu1!FO)%O0 zxsi09Jxn@x>^4W$1~&pTn}|&;1(0#d>=#Ny)vZmTybI$Vn^Kx>6> zU{txHql#pT^AIopVD;u}C_1xjDEzFwp;$I)$7MQ&XL_JgTGlg>yuv?znn^QFDMgD0 z!bfHw9Gz3>XwUf?Nb)@7n7z<<3Ie_9ABpnl?7PAz{{dAL{kI4Q-7!GI48G$uI&1%* zNed?SO=4mfJ`19HGiFQ2HzIv0JgWHU=*2#3A2KqDqz0TV7Low{jpS%%P%COJMx;a5Tr8Ha+n*a+-mkmLJ|AJB{;Ciz+?^BV3)RJtuU)}u6z=dCF+!VY4RF1L9hNO zu_CD;J-UFP5(Lq?j!M}KPL!c+L0uHNlqzhD@+_BU{@phGq|~evC(Pf1_nG4rfvkWG zHm77?Pa+u+Tz?F%!j1_UYi+63LEcx1DJ{zKkR+6D+5DI~3lw_OaKoQiVz_s)G?8Y1 zuP^f)kxx{)afrX5kgcCO#ja4gCVkuUsz>1KP>d#l`$I~A{=St3TKEro3;uh*0br*8 zx6le8jr(uq*nHdp^OKjDN7&x?!F#PIfcq%`II7rLLS_GoaAC8ndCKzd{V$Bf{&ioX zI$kavn2k5hJ-XKdpW1%>4!%coDjQi=b(r{?>O{=u8F@}}OIRMi+nV^nt!z3FyiCUc zJ2kfL-Mgqpm%CTL^>zQ9Co4pvjQjsUOcVVt|14`QE^sU|P=^Eyq`NTVJOPYNPjq$V zI5WQj3a2_H2=6oZJti03aFs??8&#Vu{fEoTuW_Kr_kX65Z0Bl)AHPk+Z%f%!T_&jpZmA}v^K#9_6oQ9p^=#UdLa5l;O>|LSXrtG ze6vmRlqVZ+Bv+K4q&@bJLMNmq$mw?-G<@@^SVQ2gCWp)LO4vyK1FGEs_LovHfouSr zeK~h$jD5HboF1giAPgO|d5b|xCjk?DwXjhvX?A_v)?V%`4t^2Zu?z9BSq%FG1{1xU z-mBvoWVMj8R;XHOdE&!w5&%ChJTsrcgJ7x>$T8t<|S|sGN4B%$ktfu}NpIx`FIQ()qKuDpuJlv#Uz%oJ%D8 zg?IP3nX?r^{#tQx<9y5qHBMA3nN9o3t?WWnyCvhdQvgd9oq_;j2Wz#XE>SYlUSp=8pL zmS{e(%)FJXRp32@oT%Df*m^1J-wBYVY@$!c1N61&9}?^{yF-`5#!OE_n>d=2r!C5e ziV}iPow&TNw1?xaJR?}#03qO&kKf>rE@h#|EWf3>=XRkXse1z6>^l({$tDv@$c1p^ zo-g|HsKOQkq&Hong#@*V_b3O!;Ih{RM#{c@ZHr+ZQtr3E7U^ES8n?rp^E!0sm77Vt zs1y%d{*}bkO*af-WaHMwOEnBx*Z&p(08=eDfz}p3H+Y!(sQ*DMFI&{A%H`gOrNQ>6 zUWQm_dDhcQxr#~w<5u))=XwsohXhV+A_pR=<-s_$|0jzW%bRK*IvVNEECs0kYUN0o zm%&ya_bvNcyPF8GepimbfyLYp@*zcQbrT~!HZIVdA#>qZHhhE5cgx<8uS;!G;FUaw zL!RwBf?mIYA1MC$qhn-cPF@7dTVfAKAOvy;|GwgFfm~(qm-tqtJgzeF!CV>RKnI44 z7s*R6uW3*fs$6Q(e3_|{BmRP=9L#C2j{~)Mx!o^k<546|a3oOa_@(;ZYZ((8 z%w?SA^I}+Rd>pSD;#|fWFR~qW&lfpsy=D zV|*wNu+50?a)3XXYR3HQ-V(s(o}t!m~ zYR<&OJVfr3`!#WbsYp?i@$Jy^S zWvMQgfZfOlMj1JuT?_~idQ$#K21jogTJb3RW2zD^FU8j${>24OrOgZ%GYzi}F|_n# z4eG8W{HUT3FpRi9scZWTsVRId3RSHkpNN@Ux5Z1z<;ld5S~~KsJ+g+ba;3V^IJ{8# zm7kID_=(oftL$VCvtU#iTP_WT21$I7+62}2D+&h?D7L4mtOmLGmO8k1`O-L`b6H{s zndhj>B;H$rO;Vc~v+(zIS^J~!)D;`Df5m15Xwjz@fM+KYB0706um+(_FC*>&Ov@Y#Db ztAP>gQKp52R`zm(HLg5U-2eS*{_n5!Rl$R~k89D@QO=$knvUiEkX&)817$*9rzA$I zPY(!ql5z;E!s0-qsEbJ30$-cn*9~MBqTa)Hx%T&SsJyppKpdA{OpZI|_kA5kdr22=E^-K1&u;N@=;-P1Aa|kAlfIdU8rwi3!p%y)a!ai+(VL^j`%AUkp zSJi~^h_iO+Cb}|Urr3ADXO`btpn+$ZPvG6$(Jz|!bx>vKz8)d3<<}w0nq77_I2n7y zzQtpUm4LJ0;P10nNxUWRs|c0yPWkv7_596)6-%cbvqU=T@A586(q;O6nEnPC;__#9 zi4!CRb~HF%!!AU!OoDCqX>JNBuJm49RS6RQR#IlPltGp{Uf+$S?;F_D>$FzoTb^cd zrhhV+d38Uh8SgZ74ZmC?vV>e zHU+3wFJk;PQjf52!SCO5Zf~*L63JG}&(1g6j|=MTy&gBRyQ<#C+A2DHh)91fd}Yli zoFQ`C(0ab#nlyK24A$K5+1G>el+y()+Qa~!Op+4T+d@}Gn*;1a*u2-5*?&L{=f=d1 zFzV$BTMBDj>TXHZ{Xl=Bnc6vIcCYt!{}44w)dA*AY^79$OOY!S*V~8=Tto1g>!9wo zqp~4viY}ff>^T#c0ey^vX`$nOr*T<4kFzgaHdmZ_$5SQ(TNIwHqw>QSKFjZh@eBrWIl_$cVx7U9Usb8XMY4vs zAFP^I4hK9+OIgNEh%`wtLsuVMit3kI6xzSvZw*Zzw}a}&TE1PU_FjK9P7pwkHmCJ9 z1LASBUQD)cW}MaNmRxviTOI8&zh9=B8Z@ozv*V#a)&A_2T>6MlS&i+>%j($Ycgzz< zGI`NF!wVP9OFYIao&1R%%jIWajytCh7{#Ca)N7A!*)NC}U|;uNAO@ejV@ zvB`5%e_T5LsFS_D^TqeL=cE7Y%hmsLnJaE8hE>ap0V;Bega6!I18~-v;H^?jG`6eN z7Mt8zRTlEfQ~|jba&{M_L4VqJ9bgn;RS~D|q=Xk%`BaqW9+|vT+!|Ux?o5_&PZklx zHhcKjDYj?$2}jF-YnhAvTHb5nae-f(S2UYbI?(RG{m-*wb)~ZPRB`8j{X% z4kWaaZiN8v@@b!hS+$E-q2ZfTld*@NEObo|TV4Xow!?wIa={7YU}&|7F{$WUA5_CX zn9<#Mz=wZ>^?gX{iwXsPsu*WK{mgx%`xjq2AKA=HdFQgtlSk|(oyxNTJ9 zE93fR8#K+_p2nn{jNgWSE+hU(Dd-lu+MG-tprVh~?>iV>c`w;XZ0Fq?xRMg79jVHf zrHmL6?Egw)mFr7eE<>}-b%?VWJ;x5CaoxKP@G};b>UGjn%5VvQWKL*?!7oi`Dg%st z(3@{j4KMMH!LP_`DX=M0uMHX929P7#Nn6Z2A=3CjW-Jd0Fj5WSTE!S06}-{H-eSI^ z$LkSL{g3LF;WYvulIH3yHdPyBiT4-&0Z}}mH@3SZcJY8b2F!3HwQ{LFAYPpeZMpIJ zA+ESJ6fD-WniAu;U@+~%nmb|_k)1wxgxNN}DRh4z{nAVeecVqU9E-h=Svm9Iorn5R z&#yTy#}vDHkR1bR(!=+zT5osh`aynt$$iNLYrd(Qa*gQw30Vycu66?1libIC03I5%$TYzI3=Rs$_; z#?k>ixI|yVL*sgu^rRORU0^+NS`vBj>L=lYK`&>qgaHz>mB5Ij&Jjq;#ykRKUYS9X zuZcU&ashP(zKb~^u79mT@^Cq4eqa$e2B zA>+Nlik_`L;aX%i)U{IEnC`|;(1 z2(sf9O3+tUZ(0BtUTRVKMt7wR@ilUbXqYJ*L+GN@c|z{N4X+FsEm^nDs^T~M#Ez>2 z#9=dD@uv9Q=Y*flhaH)E=7W!q85{LG zQ+1C(QMfhYr9j@(V=?KT$b&^9(AgTXZ?rmEFVeB%kC?gRjI$5q0|d-`Sn;ky50sp# zvgKW=Z2iX8o2X1s^%Y1z9XpzL^7>yJB^UE+T|Nij&FT=beh0xZ+q<&nvxtt#j zcvM$k@>%n~NiezG#XNdnL3Xdekk3`Sql!G zOM;B)VrqdoY5jot#r<|*_dqVkIA34s`~CPLc;-Ck9$LR&%*Z;jtHtgI>oC_BD@nEV zEg>`vSL#!#GFo(54ik^2Tplpm63t@%f^aub=CFJ|y<9&;^Xb@KWn6sFN#FY4maa9s z;B@55+WiBHBb1cCE3}v~S~5s}F~Ar7Rlh^fa$Fin3K>-=3Ht|w9Ax-roHu40mW}PX z^kJ?&uYVlb){YZM^F+6IV4~L-J%MwSZ=U&dqqHD$A3>`8HmOUliHE1cx*)^N7BLQg zwFPk8{sGb1ryU?z1_Nc4RDjQ~o+D4Whf`?!5qs1#{>LCc?4i)n%C$ZOjdYZK6u>4C zlmj72L^8xP_~R#rU-cicIsGKq>4|cd#Fb+X1qPsG8NfIFTlQcep&Yxg*x4X6u?@RU z#G2hLE?+hecpS#feUJNhsJ{Q}Z9sd{`qyjT-Fa~z7ywQYn(F>L^eZVY6b&Z z`+EDd>^~9C{vQ>{wmpwA+3qdKAavPd`_+%lKPO*(}d`NXF`TI;B|a2GYCyqz0o%I z{2!3sbi$Lv+;`jw@TmgI=PxXJx7;PQ2~6{S{nY^z^hL&nVl{v`YjCle!*O?^0Ul+;`G$6~ zMH5G(bZ8FFD@xPx&!w_@tUgq~fDik2XJ`kDDJGS|(Qm|3-N0y_?YCqNt=_ITjmNsz z13xbi&3P~m#?k;amJxlf!Mu8)RvY=TDeirLfueS}Yt3|ug@*ZtwlPjGV-2;Dz?Y$HC(ot|SSd?(30&|f z7Jl~gCs)|Fu9P4ez)BO^jl<>=(_ZO<5{V-!`Q)#F_bC8l0TfV8V6|3)(IbCtW&oZY zyOaz8YP+(pCScP3^RK3O9%_aT_Xh-Y;?iyjNsYS_VsQWiO%7PGJ|mc6jb5V?}zrBxwvocIhY3u*_UriLY4iwM$$z zT-Bmj5g!)FlJ4f7u$1CXII`=ks;vqHAw^&ikNfF`fT6M91x!T8nWg_AHZ(zgD|xK8Js)ddXox3OTJ5>ft!e52PFEkmB0U_b|A1Nx zx3E+!vA2pv7)y;C??%rilu*nMTHaF?c^*awai3SOv&Vj}pZhOj=#;~@-Mc1|dk*w#Z zp)X6XcMz!-kz!#NmRY;Y@U4;V_OIv+j$JnnMwFjt6^#NAYM4#yxh{!q$f0B*#eDbo z_s6kx6VA{Z6V~7evU}Fpjlki7IlFtr+4jlCU^!9k8GZ51!go^3b}UPsOQ{+RDYCgb z8aoyP-q1}3w>4p$Rg6Ga2R%vyK8Z0`4ePcU%-T0xL8;>8#D2op#F4W33G{MDhutbx z7dKY=`i&gFH>>Md=UB(t;eKKc!a2oF&{xH;F8=NC!G6+#+I{~aXc|$t4;as050NP& zd7|fU)i(hOK^8Q7omBQUbU`KcRkd@YebGDKiUI^J^o8r|I?AyDV_IP5th^~eyo^a* zQ;d6~C}C6TSo(T7?g~t78}drrbToW`HaDOC&%s1KCV3}7NQNWu`J1^n1HCugBVvKg z8e0#1&brfB#4a@*n$nPYbbHKP)1DK|dwy&PMNbd3Ym26nI~1BeTRtbmf0ifJ#M$Q0J@|cHxe) zQPTNbwVt3%Ku6bom?Fm_6`*kA+=#kCUdHkI)aKfqwJBXGUo(|||D`V-FVel6mL#c9 zh2~HR0P@eZn-kcT))Udq<6!%a-`>^5aFO)`CiaaxSR{Ybnh{^Qu}NF;T0;iGFLyy# zpgDBweBW^g%+*6f;UK1Mb^yyjnB|n4#_-uF{ywJqRmN;cAV}F8{AvgO_QI`1EeB{o z7?U;TDw!A`x?1J@_Ds7-&v`^htEx#$L-J|~0`(-9#8Q*T19Od*Z9|Co;P1sNz{(EO z=R$TvE`nptZ6?yO(J;K=3E|UV^zUG`Bau7&or`mUvqU{p9&L&%zUO^)6|vt!hJG`8 zgfBlWp1zfJu`OI#G8yJX1J07tl7YQ=62{Gtmm#1(pz6;>@g-_%jWgbHjd@FM3r+C$ z>pTKwCba}8+g_Wz`_kSJa`~`Y!2e)!6jR6{gWrC`bsGSIVl`i0!nX#VuO&KxwSXPb zsS8Cry%m};E^$NjC4#(IwG0|W2{+Exojtz+!q^R-sjKrUDG>9vombl^SI8i%zCxt| zFt+`(aKUVweo+o#U4wbAjOf4Gd^Mpqi0H|vkFfU42ABUmEN(q}aWVVH|G8_Ikg_l zUJyI_@ND;v=(q8Rv{;N_?}Ds%lh^<7{VHAYHG2RTceC{<70cl3YU^xk0cEBe2;pzt zH{oUuA^W0KN$1Fg=I$?-EO%eISjZ@Gd)-KQGm|k$t5_~srO(h_SkpIW+QoSp;TqwTXn4~t3jdGgVC0N7Pj|tl_>X+d)wOo=hW1cck zQSr$1@if*`NEBcC)h+WbI8dzF_GbaLiE+EOhq4THOfhlJ!|s(Rp%qKF5pY%IX|8`SRQ!G>T(}5b=>JBp6horNC zKI8CfigVyhyywPc8IZY;nn-|BAi?zWcLo1|^x4Czeu#H@J*iC@8Ycy~dJq-$%d zc7C3EAJz&fenZ|a8(L_jfFce}%iK;vbFa!#4%bfCUl5-3iQifyScRKPB^qkOC+l$k zH}cLZD6V~5_f7EN5L^>12^QQvc+dp*5VV6^43+|0J?sP*SjjlV_ zxu;H@yY625?EQ2f?n76>tgdRR=sCxKjPZTHFKP0UbPUqgzi?%6*&N}^QOsFUql)r9 zWjt%RxgkPSc4UL(f+h?o{NmhFq>U4ybSMk_nq_t-6m>omuSO;)A))bVO78NHuf;u4 zv-92>LI*?nXia+@VOgsJB>8n;sD)Hyf;2-)sj(Pw^Wos6282S~9lv;?uiQ)nHs5H) zr^Z|V2=+chu~2sZ4=%B(>{2TP)Dg5t&20#lh!4Mqy($lyPR#31-WwLlI<`Bg^RjNQ zpfCyz(P*I50RImJ=9S}BM-5c6zQ$sfBLFhIGePGeIU?_z(mf>JPn7`JQ5B4*`LmWy zd9|i}&uUe7U!AwlVY80lQ@;dV2La6@FM@GXAa7$i0QaZWw@eP}x8V~%lTR%4HY{#O z0|TNo?1wk-wpbUM{t1~dP}m1Jiaro@0b`w~ZSecp%s$6moVZX2e=I8vk9u5OV(cfk zrV(otjgt+kRCv4&Szp$w3(N_i%o1-7f5Lu~jrxuc;wtWyC?8e`sxG)yz18TfopHx8 ztZ2gvK79TG-<%_-pms8+YOoQcUSZqhJ@DDR#LnZu)zY0>j!zwcM-#o!GBlyV`d*12yO#^|=(j=Rcu4pZ0I2K5l+d+?mnGOY)2|O*(1P{^cTLO8cG=#r}WBq5sq2 z|6lU%|CGG+1Y9%c0yC>`9O(~{${?}7=y0la|Dx3SA7>lS|1$shI{{AEyoDDDTWK>< zi~Fe|Tf+lLa90w$6!aGo=bwWSJjrdNcHl3ZulfU2LLCi+M*QU?{`!&s=yx{qKJUsa z7rld<_i`*%rELnB88}Q><@$R`@4~rjMuY}T6esH(SNQlm2x|FH{nEIaIu=3;=7J ze&gKo=a?rv@0`;lU=ghI2WTd)A7tt4GsorT81lf{G2Pb%HZ-SdcpWb1`OX^6MWBM$ zx=B8}h-sglH20MfdJ&xZl1VuEG+zrBsG$~IMEC_Qi>C9|29wa}3Or#g(sidsd9 zYV&4T7=gTEhK}IsJI#3xzAo$~NWFG_eWOZ!)dXSvOlor-yPbvS+BN%%8*0*Yd)M2r zqWeqsA{7a}pG;{PkwR0ym?^PtvH)0f=+a)P6+G+(~-ARe(Nc&>&1H%LKVQRsx z?SN6wK`qt)s^^4UR7ayjYQ@{^cFs={#ud&k###fhqd22}JG>9y=D6ky`_(lXv5N{3{eMc5Q(cVcffvdN51IK4PbxE~?=^K8V_;{{e zc=nDBj!;aEnUX0t)koBMcpNhaH=+)qbt_>C0ZABlyzv;X>K1z^>Hwge&r+!=+cF#mB2=k~NdYUZX-% z$#$-kL=6jvsRaNl#D#Q(ty3J{)bzc$Tu3Wn z^t(E%he{~M2w@E%tjQHyJ4-4KwzS&jrj+?t)C@qCA(5XSm1>lrxp0q}W^FVkTvb>2 zl^_QPZgj6wkDD)+51?PV39=Y1BQ@zKT~h*uH(MR?kt%QCoH=Fdi-YBdHz~cHo4dQN z?mk{SWzeAPpp+%~sT63S*pVh+3+_T$gy3j=UhaE)I1F2r?(P-iP7qM)Og1bPbK|iP zv#P!mm~@Num+;ld`Z%_G6V{hsx$3k&?Fq2;2-y53t*CxSbBs-AUB(1%fyzsfpL{u@`=5=oVw9wmugVYT_I@uHgo?u>fp(Y%H&z{?@gE zfO7w!-n>|0zf%Z(v>e}_@nxdJ`p%>gE>K^6&YIa6%-PMsio^^1~^a>Y;uH13M2- zV3T2P;DWyPB{iG9a?j?-1J)@AC!n=JJ)hDir-9*LBm%0biH54!(~mDIO=P(CPShk zax{%r<-<9+2+50f}x)(15kNvZ9v=rNoGubZX(fjoG6JeR9({l00?1p|D<^| zbN>PQaOhHU9}YQ~{{; zRy9ROy;Z&hetyM=-t!@nSxz^ddq?heJ$+0j%R{ANDAuXw0Tcipnic$x82 zkeOqqt2*IsB5(6eYm<5CyL~XznZphL@5A?++9x$nTQY6Pf5so;dd<%aOpf8hQrD>i zN0WwzC~4wf_WQdFDQ9O}RW7)mM}OK=RwGg6pW5$3Nc zDn>PTj0-08_j|z)V|b-U1wUVtz0R$nX8JfOC>w?wf%gf2S9(s<6gXI?7J%nPCh|zz zbG7fQr@YKxXgb>r3h5J)#BL=1NHr6DVabqVFtXs5a6;_BLdLBcYN#xLV-#%aRY?!GTK2E8I*Qk4(hUVlUZ?nVj&0 z|4q^MqyP5)e~D{EkmgVOBeSbFA^3rA?imlnN3(0z(*0|6o;kY62h|H|8;*tPO&j+hQs(~)>l()V=lYE*P+)zBfj+Z8>In4mrURvqp( z?C$whPuaRD)7E1}?nP1&ejS5xB~Bt1YCOKz=p7e)0uV%JxO<(s=QzG=)>Q#lSzVvz zE}5QTUGyLZoU@&CRY5MD=!+=|D#hCKdc+oERG7`M5vo3`s42 zZb3~3ha&vqn({VkVsgnEG1hzL4XV?x-m_3M@Q$KRP&o|A=?D)>x7;q&0bxnG3Drk$ z?ci%iA}ke7rRjnO;up}4k&;zni|{SLCb%W`c)Rlzglt_AiMc6`(+$<0>-$aIE2{9g zqrd-W_%4g5#i*zmvAPiIqy|PrpCbmur}u#*%Lx7uWJ%UlqC`7ZJj)>G%)a&>ety5V zo{Ycg=^%Wh)6RpJFql9s=O@Ht=k1^8`aZ7vypULd!PH0??Z$yLKn-bJuyizBI_gzr zDvLHMo}1<6f4;ON@{9X5ghDKK&lc6;6&p~oai)Atn(Qljc~

    eGEtSGqE?A%#M?= z7>H)wglL?eqpF)M@SR{xi{=;{aBL>O3lMWzY}c4kqnhyLu}vYa%QHN_{9fxX6IT|G zExQ)_Tllu9U85)jZ6Z7l7uHbcC8+FfJo3J%R~(Ra%BcfTxAbgEgfCnG$8)Wk*ov?Vn!{8vLYBrR+HYE&R9 z=r`>;Ou>pesVfRES2-GE++Zp3bTOF6b_cVr^jWrlPbP}bW`9%IwF_RodkRybfBeUv z=xggySJtbh5{U}Q+!2pa&ef3?RGjlz)Ra>&y(-coU*A`>9&Qdk_%*78JE?YI-x9CR zU;H1B4$AodcytV5SjTbfen)P>7ndc_i<>IMM}nofGrmY?yI(JP-)I4*=iw6Hr!yz+ zFG5!JjZ9@dc4B;wJOwtDwr?(u3_G#l_*pQ~imb)6Mn6OCmN>H%jk#**t}9ioSx`Jk zLumu$Eb}LVpvM@;aGr|`P%0YN1>eUUQu)PyfkW51HHtw63x`sysftAH^3WP4G*y|ST#NvEwR%{Icdlm zQ3w{e-b^IupnMb8*{04w=9OuYs4&8@BgO%5q38Lv#V&c z&6V{%6wa^MBKy#FmHfC~$uwaHE#M zm0D$&`$1sF9-rgMQ!bYg>5-BV5F_pxF>%3f&|^S~)33g%;Bnm`PGuD-zf!z&_{p4M z5Z&x);v@C5<{j;Pd2wy+X}4QrM&HT)^D;Us^{92OeukwOuPTNqWg=T z=^rAdb=d$u&N@68Lh=^Dy6C@t#l?0{KX%@Hmdbd$Y)G-58&Rx=2FX`2MuCWf-*r_tv^b`9eKvK2xG= zt742zf5!ccJfn)?!<5@JT>3q>%ZD^|exlFguLu}ew@mCe<TB06Tp0l{fbH@ixV$RP3b>t6_+@#nGSOPB6ZpiN2e)y?eg3NUL z0Vn@6?e$UO>!2i5?xd)XPMD9rNf0M_qDv%C8<1Xbp9G-mU} zPqD9ZlCOp=va{hRCv)Tbxoiz{cNUwb_{-fQM*A`g6RC(gSrKyM4B-uw?5z~!LN>UQ zxdTDo6XW-2r@f2h&~p@)wXHd#l5HeJ`>Cgffwi8inoSg7;f>leD#oz(JeCJ&K`4AsWs8q0?)qbD7w3mO27Z+BmaZy)hB??&I z0Pm1G=|y!4W~8ql3gX>5zPqZ+sZUIZbvajbe+aZRDUr!3Sga#RCOf31j8(X%pp$L| zoCLvCNgpJt%5BC)cl7)j%M+Tw{aJ1Ovy?^1fHO3Z=Z<-6^ytK!7PG&T>N|YC(HUpO zpzpWls+?1#{7G^Nbs$43gA=&D8dC)jaEA5{>PWosu}V;yGS6LCPL}I6RuY&?bHW-* zutR@)r*C!Jrs590Yt5z-|Cvcz)wotvE|%e7#VxX>6m+3wriJc zb*CBm=mhj1)u7ryPqQqlAiy0jK?G?)&abOYeodneVBih2uk9NlK=+O(f*eTE!GPX+ z*-uctwX-ADys;_jYo@#qRy6i|zd($3Mwmm*hDr_XLE^sTlXk}%JJsE51CL^N(dDto z>?ZhRsgTjPVVcq#-HJO61YUZWKmAeWK*>kW6NcuO^V^9moH8$oQGQsNVGmM{fn06~ z`VF&2PsmiQd(xo-K{YB*MAS<7S9q}3UULR3&Na*H;c~4FGZwSPcCrn_GTQwZ_X))O z$!;+Q1ewwIpdNde=1cXSxB6Gr)_Rlt>JhDKDVqdjN419!r0}~*YClT$yV{bSG>UC~ zS@Mj5IzrUTVKG8B@KsJ-bI3+X%;}d%_TQ2g7pAOSO}h+TiTMP^)tG&qqI34`(ym1i z^&Z_^#%iKcChhg4M{0SpX-%XQgf5QmPLJL{*@29@F9b5lB;l#6z}`D`M|Q{Uq#p21)$pOz zVD@paI7V3aAK`5@Jk~vqBjfaiKbR)fKX`lPG0={S>I6hQFxVv{;|CQGo}rGu!kk6Z zcp}!V(T6WaIM1u6{s2|DeK7IdLh2U#+L5zd zz!nyHuM&{TC%nPDJ6^6{9X=mdrRdV-EN$25rhlDy>dt?x^6gO3Irm={%orRy|5Oz4 zLdfU^;|{9$g+T-8?^eBkDOmqtsBD8w@3|3-Du@=h>k$o)ka#mPMl-n`w`No-`_&`R zBPpqSXtVv|Ez+<8`oa&>SmhE#Uw?=|^ZdB633-R6@k$DaU&k6kv%ef+%OVLWh}NkB zl!}sFt_kGnu-fRw$QS+rtgT{H7d;ZZwM)DEWWR4O5{^5h>8&KY_9C6L5zU^m+0L0% zIw_m>CJ$F5qrI-nEBZe3U>tbkfML; zAp>q4>T9^+r|2VhHPdGgH0Sh>Evt=I;#aFr9s;6z09P-bueuitzp<>+bUqr!E!pib z)7#%o^_5_1l*!aYVlNu=wgemqsx18Sr)LFCYkc|Vl<2x|Pg7Ha(Dn5&bhI8PVlf2T%{@UGGXQgaS(Pn@9hu`CGVuaERAx8JbKObmXBh=?u z()?a}>Qy@Kx=c{l_AHMNCMz*0ytut%%;N>WL7AQcRttak?f56x|0Q0^pF4|Y;LwnR zBzg1ap`rU~T@W}lTzGs;-MU@Y6<*2>sq$LrS;sk8C;Wxw8&I`+I99d3YBXl;)I9y# z4Vh;3I-XC91SDS+j*C6+YQz#(zP^0-3<-wi;VzjRkwH67zfxgw$%U+TCg$zRWW}HKY=pUg=T#=k>-7!^z{k372A&=NBg`+ zjy-V%{r$!X!$oP;^tJ_M zScnNR-9fI}**N>_7JMS%*3$4j&NBR+u&z8Mnm?(ih3#rw%O2o}phZxtOg9EZ1z@?Y zKKsx#wWDevG@i~7^;%|?C#lZDhJkcsE7%#x2;d^J`(`6SKYQuZkaW^;tM9O*7sxqE zMfTK1Y8;dD*_TQFQG3%Kr~@F6rE5xWayAL#McFCL_vJqLA+|7)%MYLb3|qAx?8KX~ zXrZHNfg82{UfgL-lcrtatyR$asd)W~n{qoSSExn>DZ7z}F9!#|o&l86`DueX^^LQR z`PmI+;=OVtpu$kGym{CjF zd#V7POnJ&k&!+g9CSyT}=uXEJ72>D}Urhxfirl-<56bKB!@2O4n7GGz!bY(Qot*Rt z)r397wkI*`G)?)D>V`;nuIL7vU%=Y|6AC}hLPwZceQVoy8wVy%fq{)5C^|xD#2DDy zx|27%C5~VATf@t|m7$Bv!>-(*WTGeaP9nf=*()E};}P&N4|k2=kze6)7d-L44zCT^ zP_hQ3w0WksAusZ-z^?sygiL?ZkuP(2Z)s1T&a?{(MoP@=ebT2AWZSkd?-m+A&JOTs zU-A{MXzqoytFRP0J~z->?IA5a+{Jw zdSB0)AyzxE)F51QACDw?0=4{`Nla~+xak8i!Q*}CMAB8j3H5VFv7FnxE^gG5)u3!^ z${!QOt`B^O;)kRPxi3hI-gG*~1uB9@B}dwy9#h?9Cj~{RNUcGSsbIDFUp15na-f{@ z!f|AfK_y0qsQo%r4xjl1?Y%fBV<)*%cEXo-PK2PKapB=H@ATmQg*(o(DASL?t$O9h zcKmtprsk#57R}br^@Z#AfdY5@TWxrzz23l<%F($&1fK*a|B0BLspTxu5e|1CV=hxjM8^&dSwfd^vVgOYU! z;F<&0=U<5bY_&)Lv>H3y@jmEd*Do0jlr64niaTsz@LeYPYt;+bYIpMd0ZK~vk#|J} zOkPwMQs@4DZ2mX7M`)b&)I*<*gZWf#KLBqSd^Al zTGWpllCXx6tn0v2_2SJpISca0fK8uG6;ph_6N%^ks})ag>-zeWsXb^cKe#6?qs1D2 za?&yJF8{A#129McD*Qj=b_n>&73w1+WPsdyJ|2COo=N3$Cy%6f0t*x4&4dsr08-@k zDF90nx~q(m?A%9L)kk_U>#JEl*Devl#n=R`;A3lm7c24*XVJ&LL@?1a!oymlF-z;6 z>!^?A)3oO=aWNR&&DX!$pyn<-(A$w9J1_d^$PwBL5hb&Q(HzP`m9T81$e?#-Mzpc0 z7GiKV&cThywIBgOF6{>Rdt7CxCA4x#agn1<_wfxbuDU4)F~MVAfxUYT(A#FM0y&4Cp^wPn%I+AQ+7~kA8T4jtss6c;wkSc04&2mS*&jEN ztk^;gCJ3^q%>&I@Coe*Rg?8Hj+GvR?!X%GlK5eE#_G$R$+Ad4Dj~8fsiRk;=V1gE4 zRp*c0P>(1Xpst~|sRhi~6R{1nDHqD=2r`QPhTry^!fEiU0bXjGP82u^sUF%u5Ae45QSTui^ zG%0KfVa;6LSl|&#>JA^&Xl&~=eGHkSwYTG zzLvl|UJL#;0=EA6s0?<`_2%Up$M;-lFz#AC(LgC-rdRfZblErJ=E$~wD#tK4tc$AA!w4dzH|+j>$p--aN+wSJS}nPq+-f!>_;>1hC@ zgf0AO%TcUnja0Q^x4+Pgug-6>t1D<)PMP7eVTrslXk%la-4e84T?63VGe#fUJgP1$ z(YKrDS+es;G%-*X7{*0J$kS~g)Mt)QejVX}*(kJdRBX~H-b;tQ)_7EU1wwf{C53K{ z3{j{pZvHR`m zEW4RNZ6|UX%9%E^AKquIxUn)7wO!U$6tU@fUa@v(7K(J_NFFTkfn39stKj70>&w<=EaBQ9Uu_+R!6Lhi<37t_H;RZDId?29;xs^ypB|moar3C zPpC4q`vY{?J|Q~1dfU}5u;;n9N3jp)>gzsCfoO%QNncN**dEk`@4wwy70lKODbQFTKn&8l2-&s+JsfXWLAzqQ63*aV7Q)5B9>6l1t~G(j|4 za-FvXAGRfJaB`$p`KI2*U=%kvh8Gz%fI?aFY!a-*&X*AV{6L@A#R`Y&-{o`v&tH|td5Y1Ldyt5QF6%QD^B}vqH zzolN#?opy1`lI$f=c?tiEXrq;X956D`Xy*koD^8e{!MN9m-Fd#**4aJ$_6aT%}W7L z$*MI_+FBj&QY8uh04rJ=PBpRvr%7X*AE;!HC@zhCo2+-0Fp?oy`Rt-w?@*{2J*Ce zgEd(ornyjoT`M1mX*R1s?M5TU;-7#TO~6J=-R`w)t*1-eqX!OoFPiEsARu17Px1# zgZ8g4yQxI9*3DH;O0#P<#9u#F!F?}NtR_vDZ839{2PX$=k|Ru%p721^sMpeK*UQ$W zybdp!hbD~4{q6c!K%U%_i`fChVpapF7Ecq#Iat)miDep8)aInn13h?too1fX?@Op2OxzlUO3>ZIZvQP|FYZWBZ$nzsDWS6 zQ>lm^WYPpJc09~}SY}ih(&}YS55#6={N4|8t-BLKNaRoJ9pw@8gVzZ}j=|55^Mk#} zV=GpkuSip3g6O<&&g|$=8?*ZTvE~}Crw3+w5UX-{(Xy#YETOMK_Pq|1-}hZl=Icnd z$YMFOPBO`3*!l8Ns5;gX*gZbbxOSaA->Y~|LkFIImc$ap6g9Wy1cZLeqE3daXkZf6 z_=<-ZB03AJ*GvVM9NR@%BZ}+w;2vVdKZ8|QofHSweXpvxA|$9g;pyQFQ;m2Is+Jsz z@$7#&CQ+LC#lgcNs!$(3GVp9b=&5mORz~fQ zljOb!e(z||i*&+4XSBre7$&V33iA6S@>qN~&rwI!d&U%(1I+WN?IOBO)B&?%ZCs`3 zU3IQ5)VXVP`F!il0$K4L!5KzZyK$o13s(eM%S9El__7w68cHddZEMPdq?sz0LIC(4 z-+U!mcl5sZgx=x-fJCMo(*=Cqx%T(0e&CJkyetE(aa1}XHHY4EI~^A*2RBbT8B)Kz zem;-*u2rsry8D6V5$Jb5?jIoHJCTQFBtJo5SEE=0=g9QL$-4Dw9>ajAmrumn`Hcg> zPJg)&Cq^DnaX1B*pg_5s*wg-W?Qe6^f98+Bh}tG}T!q$D2gp*h{W9|_Oa6QIKoN#Z zA?P`~Fq4l}AT4YHP4B>;YPKOq#_Q5!&P1P|I;-8W)fQuZ90Wo~kpeUtq~iysxRWg-_-8LWFyCYfA2$FF{MfTt-*B&Y4q2GEyzx@3{0k7%eqhSxMtSKcuoG6?HcUE{ zgR5@O6yV3Cv!m~w=tBtpJSD*e3DBPVScRAN-Q2!ZG4TKz_mB%WFcrlu?|*uzCNxBO zk_p;h<*!BHv#Ja@;^lD6tGs;_m@Z?v#E?QAuhGJmh%xE4Iw<#Vj(q;#G%e_!AMFTh zlG?ZxeUJTqKDl;{zn(CK-BrH(hs}%>`v0Z=1&Ad7=lNd)Q~U3V*gv|i?QSo#pg3LQ z&LLyUGBQrylB-(WBpFvtW+N2URtLP$2D(wL8F+?}B9CZJk7^v2IuUS5~6;C#X@Kd${l z%u?@>c)yopf-gFPZNUHWtgm=EGNiYP{4}mT(Qp*UIMt15g`}MU#NC6h$HnkL1HC3X zGgf_bm~RX`ATpn1WnbtcF4{{Cz0p}&`;hW6>hs%B?QYOT=0yPIk>CR-u#humDGMm) zYsF}{XH0f_nt8~a$uIX^sxXlStp?NAPW@R&gaJG~rc%tW91_uO5FQy-+3Se+%e#SB z(fnLH)qpluBz#8=!>ihV8HQiW+N(|j(bb-}=j7x+Q9N`u9i)EB!yUEk>w7}Id2ASx zOYj7xX3NI3yAyx%*KoUS>5^^m&Q|*z=bj){anCmo#R3UKN0%Li)giebV`g`B2pYuQ zn@)IDb;YK=?rRaD*@CP-=em`mV86JARyMH@z!>XsB3luHK1|HAauM>@;MO6CJkCwn zI^AkGK2I>SGE8wC5w?8cjwv)?3#3r!#&C4LNT5KbYfp2T_`UeGWPc1xCT*sBuNW-e z9}^of{JzM#%M70!))m=qQDoOem=7Ne3V=PWb0j;&|7{9|LqDdt1ZU9@J3Y$&bzKW> z_GPpPs8K;+h+cC7W0NX#vsM9T`5b8axHBrDc zgCf0~*tWH)(Y?InD#n;Heat*e6ok5?y_M@aTwT%ANj1w?<*JFONpM%?Q8jP4Y_MvO z#Vby&BVi02S0QR+*N@x)+@EnZ$oUIhq@*K92!DE1qgRS7(ZG%g^fGTG%a&$W3}C5@ zW^ZNgb&@01kGmQ$`+yNubK`YDJ>BqKnWGBxLf*%{j~lT=jN^=ZJ0SN8f6BUA1lOS9 zs!DHj;u_LWUAlCPxjk5s`dzXl>yCM1pA3%^gkwU}*gp%#;i`yit3)QKx6J_UfJMly z3?)FzrtT(+mwcX(j+SWX*(q*=I`9QCU$(hK-$w-S;1-Q01Q#V?v@@CwFhY-aLynh& zj|Cm}mjegbR_{<;T{iyYSowIgod9LiIqBK^s~#$e}Nt;2-nEu6L3zQp56s8&HsLQ z8Ke46n|D7`ZJ!f{`<+cJIp{f{>?tx9ot2O@%mIKcYCup0yRAP?Lvw9B)6CgMQ%jmu z@h6o|Pka}U$z6f)F#j5`9D)i)nZKU@_$+5@B2{Qa75g$?uJ`G0G;N<6G>F{;HUB)H zs;F+}{3?gV_t}%=LzkFIzIYruCDvRZ!U*JK@~C~*5@8u_bO)XiQzyC*v=x}waUOQQ zZQ7suZr*$b7kM#3S$(l7QCxIDhq_QBCQsQA){w+$p(UtTE%4DOQIOlLFt_OKuP$_m zu3}g8tulN*%EAZ8w~PT}eM#cM60+0)+TDFs)h$!JC1hJqYg$OzDOvLr#nPuo8r!7i zxjdC9ben-<$bxb2wiWD{+@m8S2HufJ}J#nMa1kPOaWk`*$?KAc;6Y)I) zZ2ef~Cjjq2+$9BJOa-uLx;_~c<$R`n&nEM``#}hy9Pl2p^$x!MK%rldrvA-9xmoaw zAU?6wu_h4RcCwn3o7#oQ>PcS{M1m_JKFVnTdwqg+)F;g{_Ty)o?NZh+xvS1nG@da} zfq2kOQ*1EDCQv$n1E77;x}H%Tj#NG7b%E6P1Y1g)WG5a~hdav5&C@?Xn;iYWd^iJO zFIxkNi%6b0%hX<;d_z6Uj-Ey4z*Npi1A18R^Ees;mLwP&=BzK4!uP+df7b==beab` ziT7KB{izV~>6@wwT5ybl0y%KSFimq)YXi@>Xl;!+K2=IeW^ELmEw=1)8`Ph~r}u8C zB{(hso5LXQJ>#gTKOjQpJxCCY{dF?m!M63L9=d)f^1VL6eejN1=i7%DObSe{>^Y+L z!*{&8B(Oz#yo3n+qP=%K_g%MdN2ohjcdys{$1^U3muG0S?Wud|c;tW7+k@nwunQ4LGS_a!22THie?j&Obk4)KU2fvGA>}&*igOhFI7}0pd!MQ zbsblfh+6#L|FVDKXVkxv-@g9^4OfafaEEGJ?JGMo7&jQ(7=zx@+Vb%8TO(Fe(vRc@ zJC>+VpOwzfl^E{&aCOmP>!e0V-Hk55&q8p(b67uCzIw>b2PLgrS#2kr;I;}f+!mPd`l?>s)@HEbNlFacv(w7Tg;z#4p=xihXI! z3(E_2tF16tf|T^8^BNnP{0N6&CoAE|cL&$XE4}h2RG=JWpv-$LCR=R?nI4pnQAZ{@ z=NtD5=D2Q-6176LsVAcb;otC!1pP7&!D&eC&a>`3)P}Z<*O_tyK$e|)%iUL-H)mLk zU1Q%PTBEN59^0&Or=_ZW1=9p$`YUI&2)aMA``GxvPC;MM0d z8@mxOds(gc3Daq-7OJaFe@=~WClg6W2dF;|%Edw-a+6ZqM5!JEJ-L>MTWO#8_JYU(lVYA|E7PI}46 znN7knXyh` zdC-tbF%F*Ue2g?1Uxw+1qyPHt{UZ~{SnFxIP|Gb-IK@aMCH=Ff^v`Lk)%hSLu*=;p z1di@p?%^5-n+804jh=znN{Q)H(|yZw!;8ytAJ1}~2Wn)DIXsyj@8I_XZGvIb`Ek|h zme*z+dlIQENn^%OkBtqG;0Ky`DZX}nG!tIL*N|d;2Z)$(>DP(?w+L*S(pbi3TmsHD zGb@u341YLgN9X60<7(FMHN!H-xE!;{QZGfy$8C|6;uwlF=W<>Vp}*Fnp|7F#pC*Bk zfo$|Ua%}6XbMR{Pfnv?-3zw3VcC2b`3+C{mHYIyHrh%{TgsEeb z10N-d48-#I>l0w)Z26GG=aUK!pj>@frs&f2xhi%Qc-!ekb+w|WGy?DHtkQyQtWNo zL>>KJJL$e(F8UaBVM<_nm{4;N!!@{0a9G#iHDczVeel zUsszsb#%1U14v-D#Yypxo(1cr?1T8jY&YmJ^;c!xtznV`-L9yWNh)MnkJ}weo_-1& zVhEHb{a&`XeCTbz8g~5N(6BTYyHK2xg4E1EABZJ^x!GGjkjv38HtatTr#>wB$a{#C zHe5)|H3#k3k4qS()WSWtd>~v01|rt?6~};i+2%gaVSk}ubI-_SRo%GQJ^HoWj#d6NF zVLDUx9z~5J{KEpdB=rLmPAR;p3Hpw#@?C7-)W{y%CG}FPx6eBXE@S`@w*oSQi&ynW z+f5x@354s@_EE!ZVzR?HLUyu!J9F4n2Iy!IeEy6QjBwK3B>WQb@})I{!>OV9-;ZMr z1Xn3nD%*-^J`k?_dV;beNz8RgO-+z6sV6hAs+KX@#3RkyOJzhSPJ(vhE~Z=PKgFU# zA99mAkVAIV#uh_qulcTt$*@!=5L04BCpTh)$CqQ1n&8Rm*TPar)OLziOR(e%{|`jv z13ZfF)j8cbGtJQ6O>?Y(uD}V+el6veJEgn4vGwy7TBuAxYQBl&9;aJ z{USJaM3#3o0Ys`sF68+w`62){`K8{~HFl18XhwQkpCY2?s@*T~%>AGCe~qln>OCv& z=uX7El`*Ou$>$zljg55GYBZ zxab4=253clRYs0d_&XL&^gpKJj4Q``wBVpP$@e;i(k@H?1^V`{n58S&`X!!D-?0@K zgGDYEmiU(KJ3p=&DmA;JnWjp!?wSeF9;Ww6k|=DPp&e1(4b|aL;6_mkOr9#f)AF&5 zP8eu++~SNvpm*X!q8*8jZqy2J;j;Ywp*j*$^)J{RUTdAQNT)L5qX>`7a{~%rZ4PVg zZ&Q53m$WA5IBXOko(a)VxnaXN4BM;yvbnc}he$rhMn zQRl)VL{p`xhmYCD@1zBz?}TBrEYkr*w&$x2(|9U<3s>-N(gsseHZQvO!GqheAaxfo zDvT@sjGut^kdC+=p&b#k6{AKKYS5TdZg}#{IDsVeeNo(yG;IPON_~Z|3(~#FuDR8r zV3VHZYTUXxSF+ZqX_zSb+fZysFag76n=!fG)SdR1m@$^qg|{=DsPg()Zst>|5f>Qk zY_P5bpfM+%^M9%bG^?zeC)Pg^4h4cxK0m<|!fJi_p5<^LfK(jovZ@PJsSK>)t1vId zE0#(3y-XFa|7(IfG5dVn6KPack{8Q+JObW#?IF2=bYou+t_+Mb4Q$gqt~_etiOYSp zU+zhz9u+puedN3pJrRMPW7kx^wmL*}G9h?HoHh6{P;tTm@EQ>$Ft;FOav3J2BXnnz zMMZ@&Uf4KVIupucGQM~!j7HxAIRO+?_w;?5{*&~CMc)z9xj4FY;QhEzWydrX>vLTv zK-#hM2;-eEa*r~f!23(5<*bC@V40mK`Ft0V+XO+kqgZWsQD+$c;dpevc5#jZ^*0JH zKU9#5oVQT`anbw(xsCTg$Rh$mNTgqidgI4WhBcp!Y6pB1R6Q_!QDaWF*Z=_O3LI-yoLboV{sxlE=L7BL8l*nR)sA5x^QrZ$<;Dxfw zh2s491S8$?&!2-UnU|{pI?a;}J~_(gqMtcVW_ha`2>2qH@QF}ZYf4Z7yP6HEO!UPO zt$JUlV69;e{+ZgOoBk}1vBEP`6Oq&j6s7QIJd)9-Jw*uS=Drx=j~wmf&ajvYEPKXy z+siE{2g{5Pym?IM-6-nP!w%fhpfejLkP-vRFiQ&oPC(Dh!|Ifw0pf3>pLW zVpZE-$n(}0t8I*@d$b4>bql(>v`&;6vA6l7`AFB^bdMUxs{5}z3(3#CxEWtZxIL5E zXxj86FadfVn=^c>}yqAz97azC{>he(vBBIX}8zOQAo$1Tm zX^fj2cr4SM12EAto-^w*+zq0}Hx3?UF2woLZ<^w*gQ1;y0Y%`}N)eTVM7?XP;T?k7 zWaA}YLP0W`pdXY~1fe8@RbW%1MwJZ0)qoy3i45b;_h!xUe6PnPPE`a%EaO0)qm{N* zU;Y4nXqNKDc1;j8Nc> z4qc}+uC;5?Dm{fO!RrhLT9uH=zT(?uJ$|27u6G2ssMXn;zY@Sqk`QqP)9w@D&;~Mu zU#*xDMs_j?m0gfXg}PKC2VXo4)SlwkUpx3!W%(>ZFA6ts04w^GLF%Gln3eJ+B{m23E3~>g z(LnouB%1o0YyX-ARnvqE4(>Byn-@bd-wGf@CX-JW)VKM>$koMBIdbYt`kv$3LrZn- zN+|$Oj1i8nXT}eA#MUai`u25U&-&uqPZOqWCyT^&jCLp8sR^2z+De&RNUgEnhduK&%?M{#Lc>LQCqe3~;HSJipmQ_OXk9G}OO3av zGWfv|e@GUUU8|vp`&AH=f|gr~uB5__3}DCjl-Utq1MHZNzH@tk9i#Qr2?basg2r5O znUKXBXLz;-oW)gOdaJs5^IN&tJj>5_`&Wh5k3(ySS#eSJ78lSx$@?V9e7WcNZ*#zk z@q-3aC6Hg-RxZ1af?7awGTGKWiE7Ar zONx6e&N+*`b9OESlnFWm3RC_AMZn}3FxDV9y>saJU+leSP?Z0>=Gh2{%7wWpTJ>-i@r5=@Q4d+-#pR!&FWXDi`VjT@tFEP zPWg9p?SA>Yn8r0IfhL;7#5plfqi{IA-1OjMkf^F$NPS^|8c-ZYDl z-0M>N(<|6M+RGUkGvc=qU$xMb6ieYMGL%DZ@wHXR1e1u=$R@y;KnUup(UfQp3)Y#} z(S&#HUfyda?MyQ0mSh(u2>$i^D8$J#KvvyIPO-eQ7PcuXK8dKPLTEktsOy6py`H%A zUVOV!s8?PRqD{$8W7|UnZkA3qbAb~D4s?BOBZYwTmjX1zI9Fu$8y8w zI~FV@0Vl?t?=8i%8dQbq)4ML`fM;oYxE!F_$n9e5$7%En$H$o(#d~r zB|2}Ab%^E?Y`pS$y!Qo_Tq4;!9v;Y!j*ZD*-jSh8f_l3M^lis*wfhQZ8T7r8)rrqY zkVaNR|E7H1Nf~efGz+wrPon9S;khODgHzzt`T=liCT9yTWd3@0ApaR>n~e_Bx1vo8 zX=s)RuDpipHZ5)_olCDR)@wA541zB8va}tyTdk1?#9hrEk~ciloK^M7wjWq0(%s|L z7+z>AXuTtGH@YE0{{dmSP0AafIOqAz;WW&pPfj*ZL~YB{a}BFY&EX% zF}1|h`W5=XjVA@fGKn?)T<+cMO118l74%e*^~m017$ms;L{ypv=ip)2XVG}Q=pY%U zAVIPqF!SqI{D0v@RhXjPK)TVJ?b^ zeW&$fZ8@gV>l6j>EjiIUgJ57pX|lu~ccSjGo#tHK&w5h2-%G!TpMOkSQrK176_t&9 z)?s11I`|qGB_25NQ<<#rj{)mX+?%yi8=@2#%>2qvpJQi6r6Ov``xr94jZ1HgR*Crv zF_BL!wG?Q(sXAhfc8Y#F^1++56Z-N*NcT|&$#nYzG+D~3Inkc+TxI)E;R5vJ%`rl= zCG{S*lo0#~r}M}{AKjtU0I*xMLuHiLhFA=Bc6YhMSCMW`(Fu3=hr5i}dWl9bLlujT z*|i-}<@NE@7Lx@HbsaBSl&YFOmY0H??yZJR$L9PXXxeR#Nltt%eqQ2@pP9kwkvO(W zNzf!`cz1O<$^swFR*87?38^6s58gM*kh8FM@1}pjo_m#l^R=c`D;^WI<{Sp_if?CY zOr1t}!g&-^ZL`PJ;;Xj%Zm$J*@{@YC^P!f$AfdO{p3Ok>5)c5EfWh7rV@{5$lH!O> z%^^+W3&U_$F8ZXbYKNX_Dh$uPU2sa;U~piPu>YQY(O@Tk2F#A6?Jl#lvpO(U9R6*i z4Yk#NIoOKZHx#IOYE>@E$*~eVC{D6i z7+5^Yf(*AaWB!0{D9sI#v~k#bfr7LC<8-^!0ePxZffGa2&K`w?eyPJRmm zngz*_MIS%BSzAa zDl;nVmCV$9K7V6z28@oh+kq73FP}~Y-oq>%JVhBCRWV)CB0;Qh3q{D-_}t_aWy+O zkeNyEHUn1M&X@J{MKNVv@@{OR@cvK!mX>uQP`UwT1GT3%H#KG1m-R0yEjc0^W@b%oVW0j-2QaUJe znot9g^=br>XUr+Blc(s%tr|&qvdJLfg#_7Kis`84dD=%_aE~Z>Ns<{?)_>obijgKMgpnzjp?XMO>1R|Kak|oSDQ~9 zf&xQ3438ch&B1tbeHJq;fkWSrPL7D@IJ?A?8_inrYvBORsEz~`C!bk3dCg3nxj_*QJjYj0}sFXWd<51Dtra`O8fL{4xpO&yQ<3t+-zWafp0N zk-ikh`E}e$R%sQOmfC^Q)PpH^tF^dze>jit=MHjnr|lmMr@BCa}1- z+q2GGsPpu@Xt9adr}UF~PpYr%wo=&2wde9D#K08xFlIT8QsqJ=Z{XLU9B`amiYid4 zf%q`qN*(-uXeG@63e>M&!T1xe^2oEG`ud}+UA4|@B6pa)8*d*04et%^fmc2 z^ij_hA<(XhAyA+7uyz+qgRU)Kr_QT#ilx7aE)k?njf+1P={%T%fJr@I^xJ9N9lcV( zu*Dgx49`o?wu4}`RsVis>ib>yzp+-IRqjTD&;T`%z@vi*YlIf8r3*RNlvvnb{z)BB zoxjk0TO&Kgmv_@C5aoRdK@?ZQ^w97SkEly0PfM!pWXWplk;bNu$OqIMlu2*!Y$yUh zzQ8wk>1U1+JC%m-(a#Hmsys_aI2^5G!=!hk6*frci>A6;Z-U{NDw{ z;fa%^&bpT;%JEsn{OLzJ;w&|Wp@AaKlcE;{@jg*n=z(5V31EIdyGO?BQ{Ipmsb7h5 zDPdo)!@WTRhVI+C&v24C8OOzc`1_#%+9CYo@57iJ#NQj{v0Sl#U!2$cn%8COdwG4{ zL_`ZPHTfRX1~5^3*-;@d8U$oavIc>B|JLlBZhdU=YtW$m^*By9&AD2^oPVh+1623hr z^SYsNDz83y>13QtaMsozo8up1B4I|QzJj_lc5T;HyrWt8y0mTV842Fb!!*)TfQ3*>^+45!Jh4} zU|$5Bp8Nkr+5eAZ@NziC4m+X>(fa5*{Y7>9fq@~uWV!CX&1E9zyU(8{LKS;h3yk;# zD`;?%a)ApxLO!7W7rXTg)GMm&$yI~%*qln|GBp$m|BxYk*W8@mpxU$Tp?9;Up^8uL z>^((BDyn-e;Z*)#stEfvXkCd_M$|~kx6kWX4M-u+H5P1=#f5-CvHLj4WJ&w=m?|}H zb4GPty)}+cK?-93lw1Cz*Z-VQ*aJ@5e@-!gPPBvD$=asm?-=>K>@&!=Yw{61IIO_- zCKB@3WZ0^|OmYRvJ6_c~Om42v+wrb*Cg=DeD5$m(eZQ6Yb%<;6f#a}xJdf0nS*(`K z6)7Nr$%BDhZ0Z3S`iQzws;RybyP~#Wqa`y{C#$4PqhGl;eBa(H4mbyu`tWuI-p%fX zQ5K-HGHoGt$TC@7IM-?f*N812weEjuM4nMtIyhvi4mg<%Q8>eSJ_L*hqTsvNr!lcE0v9PJt zSt=~08&j{2&MOYuPw$DF0C)q?^ieLyxcAFEg%Q*X(Dzs_(6=*A@ieyAT=`2}arqhL z_MdaHP+*H+A72Gq?CAqJtpjRR$yfSq4b?SKY_@$PWi$>6pzk6en|S$rpooBVL($-y z2=*JZh7PPI#OF~DqA(L}jTEf)gKX?HH=cX{EIgsPY}X@6@Xy(B?IlkoIUfa{o$dh? z_?pZDxTAIDvt#u=m@Epc%YG^c6j&@+8f#<=A`$w@l3g6DpW|H>*Mq-qJ{RkMN zK&AZwK@iv3SE{{p%^~n3TI?X#eD#g4TLv51okKoc#i>?r)H%Y19BBQfS+wK^lsb4^ z#U0?-&wf(d)J({I`Hk-p=*8b-W)Ikq!k4fTb5MWD6^yQ|-}Nh>VRA}{?m|+e_^+|a znj?;?7y7Db3K=i9MP(WsRg+1vgSXuptZ%w!Z^pFSNJlj8v3N*v7N1qVo9b+6=+UWx zYU?$j&aU&56L#n&ZyS9E54lpQCV5e$s>3-iGNd{o&D5+H8J=S>lBl*#De3c7h`bmsQPe6~Fg5WQ1 z?`Pplc3G0t*<-zJ6<&s1TF60>j<0U<8xW z?VB(XAQmheqFIky)^kV{n9*I*1FIGjLgA-UeL1EU*eN@4mR*`Szvp9%w|CiP0&bk{ z-#roq{C+Z%Yt7A;tjuelnaJPUq&h960o$?mG3WM&f@jbg@V z=fZ^m#fJRc_YWw0oD}GdssK%+!1s@F`vci##Mz_R$?DVjEFWo3!s`BFIP!*1Ug^bc z@Cqd3`RA;)^QB*Z1*j_W4^RiwOXLyUGlE}ZCy#&D@FH@I4fJGF-!n44B?NnBc=o;1 z4mu5>Rz$UD7h&}8X;M-QK{lRGadJi5{Hj#vC$v%=+8dy)S7338^_MKM*fp^W_ZM+< zAqW@iOAyn@xRiX+F4=RJB|1r$!Gp8S{bU*Y94$s~q69?jc2}#X=FeE_nfCvD%a|KO z!}@clHQL6l?;X$uGJ?=O@XNO}S)OmYYghlpHYQw3%9e|TGV*^E^zxnqKp8r$9uR0x zif!=O+1^s5uuiO35!HVCZZ^iZqmP}yUu@Qs8ES~+nEKjGNo@3{b$aOs4SwqVTgn8< z)_a$FGW1>G&{_I(ruMj8j&BWL1ffM5S7A64!F(ixie0#s${=?(dN8FwWhthc6ui21 zKAK#FBp%fzh99&*{0BHed9R1Ib54TCghebqtiUOK1`KCxgL`lAfuHN$&}+dIV0?P< zDDmz4bc$P|#4M+mZc8mDr3ko3%r&T)t9@9*@>7^>#^)b^yU zAKZ?D?=VFVi(1#U#lFGaF4uNUF-Nn70d)%Jm7npH$2izK>3ktSY-Ay3!J9I}#*S6= zKJK{F3VN$&@v#_;e4DOaaDqdYUwvA4Y;#G_jU|1$EM%L3WsQ-xY1NV(;vM+Fg%&f2 zP%h?fF)`XXf6I8UHX@atdyskEsx0w62t>5);X>!*ZkCxd;d#xe^F`&kS4#CrX8>)) zfhqrRr179WE&wOP2f4D}!OE6w^R2xuN8GtxfP@@8`AM$|C{_R0(M4XIk0K8f2WZcE zM!;8?gr)bqNiPBtRjQs1TqIwqk9BLEb#@NX&g=wo{iyeAIuNUXJa2D)k2Hc-<|VDk zp@#E;6pd4HiN=Z@6Vg(1NLprWy3--2v5O!TjU7jPPBpF+-rCO}_~b;8ly}pJQ$xh- zscg;Z_3F3_q>dZIQ;$>%5OcEP@v&YI;UI_0oOB+hr&}gudEUc?(q7U~v>L`U`J3LG zw**m<5U`h*bL*dNmZZPHxPQk3cu)S1=)SU~f2RBW$139e{~FHw-ztrK{}I71*E4nL z>F};VuQRJ(^6&xpJ?Uj!x8wiPG{22NZdd`v<%(1~#qx_sPF|>p8sRrv-uK^~Ynv1M zUyz90)dqS?ksXtAmMdjOfsk+)XK_OMLJke}T)S~CuI3MJb6aD=4DbIHr1zh=;cNH( z2GXEfg-Z5PhL51HakU0he_>eekH2*VGp*HWQ(zT>&8D;?{bdVD>Gk53+0XS7H6I7l z@RRoIJjldkB9vY=1whmmwM1?1JNEc-Itf)vvGBr`n7@d6qMP zTiS(S7Z^n2kg1=AQ-wdl-+<1uUql;4OGvyYQ_Ug3MB8zTvo>aH-ubOcz9`2Ttk}G{(p5w-}Jdz&Y*Q*H%Zxb0jwOfZgqx zFdj+u!`qKOd9Ng=2Nc1&1Fmyz-5t)e>6U+WZS_uE0@V5j<-yC(E307yw43tuC^Eon zODNB@OP@t21Hi5;T{M?Mil1`&R&1py{EXG9`*yuh>}1En1_&u&@<1LlM+=??)K>Tr zmN%eyNr`KAPM&32eu9_*Wg@9^`KcizR_(57;X`SE&$R$u=22L8Ap0a-PQY3W&0w4M z5*Ao3qGC_0SA3aNMW*q}gC`#YvvVl+X<$`S!8q8hLPf_O;4bbm=}FANMJ^RSs%T9w zbhxv(GT`(>IJ;8#abe7X1ksy(te9_w#JKDy+Z_Aj|PT@4cB1dOqIcFnlQE6 z#%ez(xa)$ABcec6aE@|tm^S>CTq{mINd7Fu4gIJ;%`WIPj(PXDU}zRi!qTKhZ>(?N z{ME9+^Wty!sofJOs;xv4$RO0cRhZ!3b_w*GN`IkpBgCRVxV5HEeMNwC(AKKDVRV?= zDS;EOLD+A_$^XfOxG8*Ieon1^N~mxqMSY4>LT8~xi>v`drrTyNjMt>b1t+IE=CI zPR-@=e23MQbDA?cN@o+=EwNOR^<}M+Z?atifZoSpIl%MhhLW&1XlTgD%~MU@B&y5w zd?)fG6%`}YBeNVd{zZ`dBdk(;6ABhp4-qiElHH$U(n_7`_b+pjH;R{OkQ(RMV#py3 zq&M418;J4OF7@YwFl&>-YzLh0(N)lp7l*!~@|9L0qR4Tk_wtc5^vm(tBpwev@yXVU z+D|a*5}Ahfs|WeK<&J*0`gv{IswoD)9?a;kIU)BFx;kpu^X^TiojZQdZhSsHeZP6G zwMdZBLz?BpShvVNOiaERZ?7qg*a(X-jH$fa(jM*+wqIbf8Y}xOit3#WyBP(ECAo#q zh0b-(sp-Nue_8y4@sNtb=7?ll%6akbFZwFlN4+84>z@&~eMddp1u+Ey>=E!&IGLk7 z(bSYi=Bs8H_oj_PVN|bNeha-}u3r*PoPv zI-@I@8v$(sOp1LCS%U$0W(U(d21Z2*lbcd@#-DhiR~wp9!zzrX%~g+g$HV>23+)@x z4wZIovv0yj$AIdnsg6O4`5qnImbUZB^S5tno~m(rycJ|*#yieu`x_0G-uF8h z4)rcJb@(k2ogVeGHlXm(sbryP`ePi4;$818hH>#>pyd80K`2K*1Ty9Fiz1dhc*f|Xj0>h^_=Na(O7^}TH zj!}ghSVch2w+}A{>eT|aL5$p?GT5dgGu44RPRshJfUzPc&oXAdFI(9?6Q)sL;9VcT zHY)|U_Ky*c(UTADn0ExV0?dFrl}Q3|=Sz*{mx+w+6XoXDUu}nre=-BK;VriO-*bFx zeozgd?x*B$g+c&c{>I05&zo)gVnXNAsBDd&R`>Th^E$i?&Y}-iU|=T|)6j%G!$i2) z+We+Vsl3@`z2$>n-oUYNDa6@JFlfV3)vrQBIR<%9eg-4Ox=wn;0b|4#mIw?LGegX; zQ5MQlb8EjU{P0V+_oC{o(wucD<%R+zd3fWJMDygC09m&tkAUb>KbGJ9`O}IA$!gP? z`OZX5(i`7sU~iUTJ?b!r>&PvDU`i=e8vq}6;T^qtb0icf(&8fPp5d;*(im~Wvu6M! zy}4()=QTTP!H3#+HGTHmy|%l5`+lVT_xGyHyaZfO>_hFy8*FY9Y1hI1J64M0VtXC<7CtF`%S@+NWzw(eXQ6dJ_bV$o)!iz2}3`CD2jl}NtZ(2y=ioC zq5V0@VeEW2>(+3z^`KyRf;DGR$~Z$A+wGt$t>AtO@mUXz?~rQ~%X-0dD*i@GyT*@P zI;&bF(X`>uQI?E*3ap2T=STZx-~(7K%)$4;IlSiZ*ncrEaP-%~VuoFYwYXy~_Hk(! z+@9$T{7phZLoS5&m&SdZ87_rRVZ7*-=#{h||K1|?pSXkl7hBZ-WS8}C_q7sY+K{a} zJ!LkTo2+KzD(+|hqD7nHNtvjMv_pJ&*TRX|>l7-{?y|`V1xgdME?)@gOvVHNM&$pb zK^+Q!5tSaWP$=S2>drmPMyu~ub;?7D_1gmS&uW^#WGOYp-F{%he_LxKSy{2kMn9_b z-+$15wwM3Q>wg9jG@b#mVw!sn@`}?>1)(2zO&;-({ph4n8+#PQhx;!*)nhoNzk!TP z4>`HdS7NoLBb|i1;+4dgcX1#8TnSE{eGvATC^~i~7tKB(6C38v-4XV+`WpmFc46A= z7h*@qqI0tXDwoH8S-syAj^|iMUwWhX&s#`NMa6!L4 zev9|B^L7oEa}`E0fO7Az#RSbFwJFWEPwdZ8cwV1!8ybD`i0=IA`!%?-Ca_7CM+0i- zLl;HnW4McbYAt_Y`P>V}f&5>(S$c{gsbqDoD8FaXUi+7g9C)8XxHhv(fZb9b;AvW9 z&%=IZ+X01lAnUUIi8svdy2Sq%bZz`Z^5BNF#eK}tCESFk%=3yDu|wBvdy1&pk+FF* zEbHyilh@VeUnOY+m1Y2JuMPDWaRK)8ph?fOiFyI0XB`u_BkgL!)dUJ9E#6$#sQd&@ zq3qloqQL*;v1UNu*TGqvW!E&7FuP$m!s;QT_1GnzqogpyWdJ@1_+~zy{9E4${I_oeNOV}MW~g`H ziM>f!&m#S5ds1F|5>mr&s3OnaWJ#QuXq5TBnUk&!|4OdZ7)YEKE2#auh@A?CQvCy( zpD};tcSM7IhS5MWLkUcQF7uV;!GnM|6Y37BPk1wKKXK+eUO&Fm2a*9Y|JcA$0}}1z zwKb?|#7GvKxt4MExT(*f{10pEjARig3u#LfrZY|DZ6t}hD&%}yBYag;Yj&%ljYv3^gTcG84oDti z#~TsQ)>r3Ms3iR<{!#7Wr9Ro)94fcyj5*TM?cd9hDFZixt*Gn6v=fX)0QIx#bW(58 zqy&{WF@&Jnn$k-3wdL&R7+dJ$>>d|F#F7#}y|9DCr|&ey`fHvcI0gjqig*?QUzjHF?90 z>t+_33@%@8sVv+Zyb(B5d1t6mwj&c*YY+7_<@5XgR>>(%xRc`To$)7k@F)lGHI-Qs z?E+kvT%hoBhSkjKot$19dLl1P+h5a^`_DMpF@G7*5>a6I-57OqZ^5y#3Qz)x+ZSzV z#sDF_TMFWkSI5<7o=~n%eCgCIN+Z>HFu+<0&MjshQy|^7@ROtnY!CB>JunR760_ z%|kF11m*~kVD6S3)m_SZ!^$pIejRSj*`MZ+h5qhGTIfko2H;s|f9C>gRya2Te zyx=bJZb#DVDD=2SYQq9xw-7ZE<_vyZdl@laqRzE;oo#IqVc~}^UAkc1$*4F*5^^~$O;%zN}7#zZodv=v(Sy`#WOVf z?c5ZfAjS6LRD4^W=XE*O78RQu*9;guwv03NY@A(y;}+lQJlcQ! znkVeVtdm_DO09(})-Q8XLXm~mK_p0OVbs}6BJ5@c$^Y!J1hCwPWqFi@z0qrk|HU$?+&(StOPEwhCN*a}6Tv5PKkas%>Ecy!qrH-rw22yZO!GJ?)fBHIBC zy&hrn*JMwp_Vu$di~FKQ#^CCvdJet7ilv-q|EvTq7pqi2U<$VI*nhdf`S@aux#6Ty zdO!?kG;qS8nINo{bH%=>y1Ev%0grTA|JD%u)0dcx#{uS%heu%)k29uCAf638yIcDw ze?anqz&)J`|f0!Q6PSGDml7RN|0C~mJdKa~qFUE_DT z;+U-O4<8o2Vx@1H(_cN%eN2sn50DxJT2OWy3i3wSu*1tw}6-@h#I@$PMq z^wQM;h(wBVP?8C1H$J{s@AX6VKm2COLxm~LEdzH*YSkEi?%Tg*iIqI8Y(p=tgVfTV za=kiaRtIGkRN3R2t~P$~V0G_KbBXZRFPMikA2vd1uSdu7ZfVyIehA`t_2ga<2zN38 zKqLVz-M$KH`PRLrh_zZK1q{J85=k^>YrgP1jrQFH;*YkGm9^ik4I3VX8|DH{UKsHZ6&DX>%HDUAc@p zWecJ+qDhjt>}Y(}wA)%SL#W0V^)=1O{XZN-H$6il72D^Z_=YiP4t&V4K`XF9k(%18 zTTxCG<$>foUYf|W)`o=HJ*|nXh5d9}0|&0e?+VmbRsFuB?}TCv*y*rZlm6r|t}wN@ z=ZPjA4NvsUaUS;3IIHR{eoJC?eblFp##Nt|dyI@?X93*Wo4Za0h4rtU7!k+Q8H9Ag zWINWpoVeWTjD42q_D`ifNLJo{dHQ zHjzKCZ=u{ft%#y+#AitJ)QhQ#$t53d+zr=394Vpyxd5iZ(qoK~+El)x z#_C9B-s#3}3kjp%A^DdCZ!_bLSJvOn<(m0i^#dIjxnj4hxN|MvkjMn zqPNMOf9vA7FTIw*G>RS%elyX^i>iI{l7JAu_GY#k@z9_|m)7PTXi2WF0VKcs=y z8yJLH&E%GqV4SUCO6RM>jm)7le=N&gIQMje3g}t6YV=K5j*xT~mLCyF?JFQB7mxO&eHDVCIKZ*o*^)XPTiY*0lAfkKeSomn&Amm%SW+CJd;U!B`AiD_mPWg-UB!;PZoM* zk}B#9&TnwgEUvvlV#?WC-Ng(bSiw8Jj{lcGgc_*h$@B3Z=jP0e2FXXe^cOI@q=_uC zv}1=CA}Y3Hb7reC9(DgGC)TX8;4k#FXZaj|8dz5WApkH3lYULz-%i#Z_r;l~c``$C zky71xj-=whkD;5FWV2M9X{{%SU!x9gI-TdTn(DlMZtGG<;B z&haK>U9N8M=||_wG~tRbjBjjrAYhBhoY}g8nkHXsUGykRH3i!PYtIB}dX6Cd38UNi zTEdEqc!2r04>eZy_|%*3-B)F@TANMT)OcF5^i)-uTUwl-3|%)GG6#+7pfUfIIQ~B| zV@GP@R|b7}9w0w{FjxKL2p^ty?_{0mS>Z|dp%kMW$>w_j9SZ`KWPjkpu$5rPxf{0T z8S5%?F+-Q)2;S-V@dsa$T#dwhIt{=8gSt)8-vR$3yza4(;s;NzX#uU=$CJB4PAS9W)o`Hi(VUWpke3T!jV z{>#X%S*+!il99c|U6e=TyT>tAS;X20Au)7!y{jBmokhLS>lyX$0$b1oFU$c-uL=ql zBGjtxStJGtcxa{y!Q=1o>O5x8>|Ti{a2wpm*%mWQh1>`v}7S3a?s_=m9DMABPq?Cy2jzjg=27V z!wI3A`}7?N!*euY``{+_La9Wn!Zh{{gpc?HPEfT8m-%;p6ujUQ@j<=^reVA{y6A}1n5)`-C< zAn(TDPEa{n@#NqWM(48!a$kNyx&6O^_~o7KMt&-cGv<(0Mjpd4X4z+2@?3vFD*$ew zwsNk^f(O{K%bq1)T~V7(v^GV9?Pdk!#~;1cJ0ea@x~$HA0W`LAzBVm0C?hrM>Z?&B z4PH9GTWH z4d6pECaTXZ^fHOhhLqbUtK1y+dmD!(Rj10mt9M}PD`{?Szj`)s z&S7O3b>Sqb-rBGve<*5?Tlimwd~x5SwR^NNYT^qO+Beje%sW|u%cq)>`p3{UL~h{I zpKcj8CqR1wK<8(p;`SPvv#~twl7NSHc{?q+Y|C`0=^1vX%8qexD+s6ZCi35yC=V+u zY_IbQEPh@WZcZHtw}S2K6Thbk46B^FtiH|&{`tWGFgrX1qMs!Hfb`js+Bf9jE20B` z+SP1~vPX#%tl_N9ZX|W|JjUwifLc&rrSm6)Ws1}p?`$*CzXyr^{RqWE{41@L#HqbO z4-9PZG6-HKfj?{(0mC8x$eQ?T>*9;%ryMUuwSMM#^uVho*dWHz3JPFk$I`5VrWgHdFCm z-SShg^%6|jO=q*+O)nei93+N7X&P7AoJR*ga~Z!hv#bbd$($8pUK&BY zpM(m(!6ls9&sWF?)~PzD@9bfR`iKB>8tE!e7a=uf<=6{8N2I;+qGYJj_8NNg#;xFi z@{m)<3M@^`{%ftAa)s%($-7^__!H_9_$hkWBu6<}9tx_h{{eA0JfX_?Y*lYnM5veW zk#<3ByV9ViPco`_!Ne!{Nw)fxSty^w^BqxH>$^tqMJt@Og$fNMjAD5Qn5N3k+g?UA zdW#H+XxH;I(-K8*d?4WNc($-x?>jBlGUw^ievZ|OD>2y8+pnCtFsNBJT_|!d_}U~Z zL~GgO?m`|RMtBJ0hve7>HWTagM` zPH;^M9)4O{kbEGcQFW__@5g)hXb;*lG62gdKAR9v+HhVycH(lw`v%8rZNfd{V&|pY zV>OAioq#EW1<%5Dy>9(NM8dqKN?QGaUy)s98dIH)@+#RkQHN(oq!N) z)OszhlYGc>%Sw7XtCEa(z@;s)h)Xl(l^|o+X2`j*wQX6O!VA`nxL+x8(I>M2VT#EL zTa7!A;x90=Si5>6^>%|c9MRLT?_FjBjyT+yIj9@;Q%+H9ZC3Sjb>__Eo)792y#Q@7 zRE7nY=8YE4En3XB&$Tb9KChz9V}nYxHRbu4Tv=Q}s;707X@}iH{%`A?uEdkf9J&Ee z#w~js`^q(?p&L*7SQ&Aqs3!nMBI^J;_rn!s}pP+ zLSHjfn}UKmUwufBt_(Ud5C(v;le(bIO-4Ik$+ho#4+$8yxZ6}jmLgUiRXv*br%W8r zw7TS2jUMaz0WbL4UP6?o+zldETa}PU6x$sRX_j|Vsv=z;2!iYKZYx+ivVKr!99(N~ z1o)OrX9cmjz7;-}SMsxyv+98GZa*M+q0{|ZMJ2#b|5^q9FrlGiDem$z4fbZvP1mf! z&u?OGc&_ctgf)h?w|dZF~ln}a&qqkKm<$cny2Df@SS{=tIf1VRa4m-<8B^jtdS4#EkA;C z9ajnz_HX};j#d3PWdhS`ie#P_v{XaIE%4`M8BwiWJ(I$Y#_DC3i^>>Ock3s*O_;W5 z1k^)0?O&BW(~U`JxBwd9Gj*B2jSlaZ!^nY1$8mQT?(%cV^L)YzyZ#M=!zzw=y_3Py zipYM~B{Rf2jih&GBaN-+3@<{OzA$!a57WK@iadZ5K?6#jI#>q~@9QhL(Y#@`qa9^>28e zGK`V4wmEoYn(a1{oEu!#b>1=Uhki8}M+wT??w#0>r4CA$Br*2Y%`SZ6f2`KT)6NPw zwr*4^XmpGj{_AG)@6$0@{(vIuuJSe@@AxgenhJY_8{bzEdov%{HChc2xAw8Imx`81 zn{WR{SmXkUh|0dNg@8mv1@31xRouqLYCs|)t?etKctudq!finWdCh!Oq&xC{@h$fLuYeyCL!Sxny8i2s=s$G(f9m!Bif7#`%^}CbaIR@S>So7X zubWZs-d$pLuxhIkZXVjwaN|>^q7vS}WI$*=8spPg^>;yC^*Q8VLZGY7XcLJdCp4;R ztYeRV4&3?SbJ0wgTebsWUr|~)`iPZ=oBKa)o)aVG)NRsca1<$TOx79MeVeD9sUB$?OHm z%uRc|AV~6;1sr(?X!n~{_TSH@{crsNr2z$tbr#T3sN0(XdML17dCDpAGE6-$^*ltl z_jQhHEWL>0{aw62?H zo57K|%drwFy?0_=?*Y*I0sTS{HbjUTzixx==+*B6X*A(J@Lswb#_NK-X_uAegg*a! zbVySllb6n+=nkLZCaWf*B6}|9F=0YFk0^U!+!creL*Tvb8><~Oa#)fhp}5v$Hm@Gybilg#j<(3b*Oprj z&krn`iY&HosI`eODyG>FkCD@e9n`+piNO;0^2w_YAzhc@<4$Qij+uw)F1`Upi&~8N z)t?GK+P&Y8VLPgv12nc*& zh05~k71yzEdfTJ(pABo$h|B)l3NocgYyeAIXv?a(Ai)E!lsFGFo+AOP=#4g<%YMRb zx48nZq+U$6*$)pP#(DF-cU6sPeDrDu?W3IQvT@n(g1{SJ7zWxrS3b8@tfYH+)wDNw z$<}O0nxLYf4~)5%8XWB5(*tOJQHSRG#?V%gLbFA#YWchX67NkyTl}C0kED4SS>C^O zl_A;iX9uQPB-&iKELZ~wl#B|lOxjBY^MIU(2hzHC9?OcH7W*p$-sD|xg9`*Kl4nX> z!^3{9DRx*LN2Oo?QzD5dPN72fa5OebkMBg2beUYNnJ30fXjpmEWn#;=i3PUB-%*L-zar>*V5(agZY~~mDU-{sF zr;hchWV?6)_@8*{0L6hamImRHCD&MBu_pc zN6p(^2-&>@t>YPEt>+?yM&EfGXja&dM1Ri!F3Z|(Cav0H$Co}|{dSHxBSoi7G`=}4 zCdPm38e9S0mYsnSVS>Au$ePL>?SR;HaqQ8<2Q^=w5*>UizSL5`eCsnd(P#TmKh6Kg z=QN$h76Fr%gNgPQ>_~ws#njfvb!48)TazL4Gjo>Aqqf%3`leb`QKaXETDPfU{(_hr z4-T|oLhoIIE5U4ur2{=5@5j@j_5QK|7*C0>XyHL@u?A&Ux_4>GV_}&!+UzpO4dFBv zY6~An@=z{3sb*HRmEd~V_c?jt2UQWn?$`QF^jz=;`tkok+*?PrwYBS`X=zJ~l;Um$ zibD&v2&uPN`-d2YFU? zhb7wxb3d9lBGyz|t}fH_DLXtbjJzgKeLZ=0Mdh;1OS~=RDkEi$Vr1cuUMI}`w200e z?t%qEGSv#+jerZb^jZZ_4uX06b>t2y<-_Z`dNDFY{KKYN8K)x@Wt2BwOP9?m_9cR? zf=087cV*D*ttZv6*}5y97jZq+dpGh+zgMRry#A`^zPiOs{oIBfvd?Q^#)pqm)}nna zBDGP3k(<$Iy)nbh!^_=YY+BuNoLR&(>^ug3)0t~^7$a_X_}P|T{jX*j4vC*f zA%B3-%hBI=AS?IzIM1+c8C|kGKVaLP;w$xVLlvvX1;pz2J$|l+UOY6R(r3|>^8W5y zC-BvJ#=Juv%>Gi?`Qj4)SQrSzlkbvzaTHtVasMnV zVsqR>fiMpcpNY_rIx1E3nTNg-UWuHjB4s80Ia%>OPeP2>_h5cZ_Y6;#M#tcXpJ171 zT8vz(^`XgZqtZaZaH`6r2d+urv<&K{V2z4sZJl0aG*>tFAP${QyU6O(RMlBO6t8{; znbY1i1@{9txS<@F;_*!TTMTL6Rv`BgygZjKuk4}`Vx|!*7|Bl8p`giPIXt1=&I%aT zZW|!zo{ekiPgJ;9giCjbZ8uLvT@x1ckg!pIw#lJO&YrLnQDlRABX6qVhh?dp_G&B@ z38`og110e5?t0`+;=1DwT8TSD;3&SLwS15R>mS4mR|Mt(@$xK9cOaZZG$VlsAhVnBEV_1m91e?fZ|s# zO^u;K1W|^K1(*4207K9A?W;!#rls{1_!am|lMybeY}A_lj^TNp`)aOJ0(APlbJ<>+ zC!1+h!r0oruAIVVrA7QT0EvI_MW z#}8d4%3x3RMAZxadhQl&r5mtN_>%tucCPxlJK($h6WhA+#uUP2_52UsOJ4}S1=!)^ zcDIt$Oh9YlP_j8YWPDVgqE$7FIYx27Aw~<7ftRIrH!rR$5ZmdoV!^z3sC>eK>5*|a z_@yK43vLN-zYitWBB@f0EH}7sD<#2m9$_^#YkTg+Zobn4ZshZo6_Yk@BTlTghmelX zFE$jeRNy1M^%OD4Uoo5LIk)y!%Xu+@89t6A=dR#*HM^$Anc&qJJtK&DLH#%v&(J5q z63(9Vcy_OM`Ho`gSasNR@F4^5)Q)32!Rag}RB}({6pWJF0wS>-E4bIKBWXh$v7MEa zy1;TYV5g_GEh*4A&dqB);N?Ub+;9%0Z}a11^uV)TgCrw~s%& zZ8%8R6+34JzRWKspMedh#fkU!3(`RUX(XCu z{17fhQ2q&R7_)W`MlVVYI~x~mw^L|*+>RXkm9~LqS|uPf`N5n9sohEOJR&jD16|Ja zaT%@8ZpVHZ;_t*r9XZD3{ss?}T?S2&MnPwunXKUv#}rcTPL<4D%>M91rj$LO#xmFu zGgQ{H5xke~S!3gbVpEqBvuP2HuMkn4Fx;CkK_aISI@7B2^14~iT#FsVQ{U$ttk&q& zrJA@S=ie&Zb32*T)lGWPW6$sCY2{>bR+Wd}<&2KwzH|BD;nLdG+6H2uT7UBWFaH)1tb-X^s4-0PBiYnH7S}n5pCoBCd8ZJB*@nxTk_r?K|=*qi$WSkbu=*fxAu!xKCCKY;Q9l9taZ*1-21 zK718DV2vmV7&_OD9^itCu7W{Xs&`g%1vXkqQE{Pr z;(FyfhM^72D^{VnJ_SM2#~kG*mE<_MbRQmPa#jKeeBRRG6&`f0bn!;k#lrb_h5dtN zcGrTktO~Eu-7>fuL>2EnG1ab?W5#-a@*-ywlz6hRysk+NqR|ZnUx;BM>7$@WPn|FV zCh}dJLk|`WLj>YT?b9TFAT%rWm7nJEY;?vKb&CAl{e{y6aLnX?ES^#T0ni3*7m-rS zw!l9KroZF_x&VZFEa7g^Eio#*xBm2bm*i|K1)BUjH15Js5`ZLgdNE2v ze}KC5o-yG@lu24EK?R`woX5g0`drq&89Sda;I{r3H&JFpu_FXBF95SeA#}BkaL+R{ z)c12j0wR0gm`;CWU@A#n{9Qigdh4#ZEzh7QeyOFrRNf;$7M>l=FTng?qn)J8iEblp zX5pRgNd9qJzsVNj-mHgXFZ}`}X{|G#s+8{A3vN>!4+g3NWU7YpaPyM!oHAA8bEx%J zCVY01R{Q94n!fdbQsAf%+WITl9$_SKVr@dERqk!p5l9!l!Ct1hauJ+i)Z_f*4^V46 z?=Jy^2`IuzlF5$W+vfUtYqm9Mem0WMR+i`OCkE9=?Z(*!7B^BT?4V}G!XfM%W{977 z+2D{hpZNoYSArQJWx5vqsk*4uHtg-`H2A>o3Yho6AD|r`fAM6KRc~|OyyJ_SE^yLK z)}P3(DR>5lube?jTAIMe@+x)$%er}aa;l=pqm*(@mAbN7H5ffUDPW=qW|5$nN0E8e$VCC&vdZsp3S#jgB zv>W55IthdTec6}kX1Jyqd=?SL7HBH@u9N`LLd6?Az;a3xp!t$*i| zO5cuW+~4grO-|ZcVZpZ3G(CurEfJi&@=!kAGS?!AOrkC7^Q%*Q{eK@rDd)DVdXkIA zh1QlWQJ1}bZ+*~*^MznJzTs*@iu7%wx5>*{3(4_flTI*I-BPJ?C}ZR?IgV=pzy8U^ z;g1vVlyThv=z3ip>|WTOTfn9@3j%U#Y)K!ZiGoJo2$f}uoF0Nl3#c7Uij!s>4kFIL)WY5o20$zTaUi8j`m&@&{WCci@5qe8$ z)c82&X{QNxrJM(vz7m^`?@azV^422vZt^rLW-Y}`bvI#8DtJJn)k-CK!wmT6Ck(OjV~2A*6dC7f5y{*HupHt}08Wzs#vWA=05KV+`*k@Ot)8P=aB7K#)n zYJJlDYp+>`S#H}{(StjGOspxRsS?Sjs#c(0gMySAF=ek!db%rfm1t(HK2&1wN4sS8f$e3_{c0MjWjs*w8jV5H@8IK4 zYd;e-T7xNj0y+ScL}ywrb1P8v=vkBnw*%Y;X^`*39OUIg8*A_-(h6n|*c`+Kw`~D6 z16Bh?kq($)M`WaO15c@~sp}!_J-9{&x zK5sd>#~?x&izqY%0D=8x_=pR7BhSIZx6Ijfl4OLB}J z_{&O`d*~g3*2v#TfBF9aB%8hXV`k}B}OX* zIqWL4aA|0(*?U)eZ_}$Zl@rN%`N*hpH+=zX5H3DVZQfth3a-dcaX(`WS+){pa zN7aG2%C~P-#irY4PeMLu9)YtdFPYjZI&(I|UYsAMYF$t2PG1;&__| z#J24ZNf2#XGo=inQ~e9LIy#6*0fk99koxl%#&YQ{FZG~LJnZkj4^epX^f8rf&gGH3 zrV-W-XNno2tp@Aw{Z_`E=iAgc+>O6qBxC1%?yUz2)7UID2JrS`?v0&pl{&x~X=~qo zXnX&8QyiwKs>lpeGoA=gf|GJeYq}z4Ql{06%1*Zf8-aK$o0aD~x`pfwMtjQkg=JG$ z+!fPWITr!<)V*0{pM1O?(BE*I&z#^V-f>k?@hAqn;9IJPzj3VnZ7BBTle}w)8UOn| zSCQd6;@O5L3OBOLyJSWI#J#C0RJ!`NiPsVZYy`scw#RuQXJxp6Atu9x(uvIY*+!kw z=NY;cWyJ!|_t(RVY;(OC8Rv!K_Z79>ToN~+1Kh;Ei)ug2COr81tH19E51mM@r$n^) z)b5X(P3J`^BPRBnALXkR8+~@C)?{g^wJ@~*{5!?RcrygAyUwltF|^6F>X8f)h3BQL z+rs%ar`?D=me!RD; zT`XZkA0Ce6P@WG^;+!$;Xn$L^nVB`4x-~sNem=;)!qK7geJqJ<_QFs%ac9x!%x|72 zeAo7CHC3B^+1ZfX~VW|tB|*t^pX7D_DYLTCz+Iab&9=T zSllqo_@^U-rt6-XtSNsi+ue#@Cz>7Q)c}GHLC-9~n#zO?zorpma zpy%X(g|tr5)2UiOL+tN@(r)FNDBI&cOUGp$=W%)%`6NEfz=BAS+!Ku-y}3a*ai^@v z`|E6P>m8I%MF`K~>8%Bai0`7D6C-uM3UUa1KIOc899rFgXz^ZTlbv%Hb9;{ucZ}wn0tLer&15R zuT@d9<1+!l`lDyE(L-o_i=ndjwm*J&U^9j)3_t3Tb4gn-#fV+JKa{DRVjLG&Kw34$ zboYbHm_1(`r1Farou`EMEVEkp zp{_1gP*)HM-P?CrK>#^VhrSBFjUzG&xA5NanuCUb{Pvqi=U&tN673_2q^0%cONf`X zvBxlsiAy*n?)rwnVG6TMM6FbM5~SoMh-;2VrP)9o`EOpp$=O~@QDtIX} zp_6296k|u|()W0!GfLon|7n+>L!dkcz*%NWH50X${=1w%HCG-9CNEMKSqn1|#>?M( z-yDpNL`g-}w%xx7X%>S#OSZJAjDUM3Jz_nf6PR%zSyxy*5woOVd@c3-?3Q(ZaYh%4 z1f-0Zv16@$Gwb=a%O<(o^oH3c;Su2;`ynYisY^ylfGUSDyXA=dAnFv3^sFiZ<~Z+d zh@S@-4RM`XIr-^nCOG5elh8WG7skYZ>J`{D#kzpGN5xUk?o@flnKndqd!c0UV`>p) zV26Uco3$>%O;{4?py@`vPk>~MNcaf zu~)}h>d)p~cdS)|lpCvnzZV^`}o)FVj-zuD1s z-4y`H%O5`)p=+m0F0_||0A>gLK&Au&GkgpkJ7Ab`^4MT^nrjUVsPA-s?pOVlEkN(sm z0J6rl6mKC+d!5-8BOB=N_DKz9e{)zW`b!Y!e^LPd>Db+WL0&8QS3XPsU$fejF-qBC zZF{)Iz>fSH2Z%YJXai_%egLg48xvRgcUl|Uza?e=$41}3TKxaVcUh8rk@^Sd>3a5U zXl?eb@a+Gh)c9X0-FN<JPYZlVo;Asjg9*hYuR8tr*CVW~@t_KW^NJ|sMDCQuf z$U=e}dk77lw1O$S%v&6f`&-60S-t&!$!?+pBGHijUw>R1oo5I0cTM4w^If?(4%k2YTj+6vbUtB(G)1 z;JEfiez$!6I}4LjM&92((wciv=)RI8`JiymysLmP*y+9!u4vLs>;>LGKqQH=+UR zr69i2hr$f>MWm}IL#u71{`#n%n4F7M4B@Si%r!wW0s1YuU-Om_V(>T9hNchep=Y<< zE*XaP$m=7(zguaG_ycruhz`)g5MH$XlJX^ObNzDSb)d6(a0b-q`f&#U);KJ8DErn@ zvxv5jc&ZD-UnQst??0fS@t_3@sPJ^^)!Lir_Do&PGe3P`1si1R1;4F|)2)9^ zGhOT$Y2EY@hH#660M9#B7NwerK772Riwr4(L?20d*4;sNe$RN~?6c8q zxoj*&-0Zdl;p}QVsv#TM50yd7{o>BYt=4->eqV8T@RVX?^%dQGv2B`ev4^!=@pg(( zs-W72;ed-HOdLPni}9UO#`lb9ce-R>{V{!{h=vJ;d2;A$x|RAk&_$eKw(*qsii z9Q<3U7va3&-O7bo1%2j^iYyeQ9R1!S(z2q9E4?2b#pN1F=$=&usLWdAa95>^Nk_b> ztn=>KO9SoHmNBV}E_=IYN)Gq1TI{9#DpeZvVGhB{@*U@{RpFSH6O}FhV)+>M6P3=k zKl+aCa-mh9+S!XH+AVR{)TQ{wplV!Fw0CJ>_vh0}dx|{zt>c$5MFSi@E{>p>Z_l(c z-jS`>w{PDVp!k0*5KZKb-^f%TXBHYX)|T_F=YQ3|@+9zV2YBaWNL(>}h{JLut}UTu zBwIX(T;y}oS4)8Q7AGaAs=020E2p_Ci!;jLmmFKq~)V+k-(b;6p-zl>9Em5fAZzGrP zY6IHKdo+HLYptyIhU;~O%W(u3jyv3ZyC(HnWRuV8hzp8h$rA72f=t3M-4cGFa~`9s z){a^~a=9`$(j{%P^tE`{EBv$%4zgyVX=uM}JQ69#l|f-gF3Mbld^Iuc45;w-rRN#Z zkDN4Djxe1bR2f}Q60M>R6+9N+HSmRY(aK1ph7)a8A&$5v-2S9a#b!QLJ&{h)yVSyr zGFHcTA4}W^`N?(9)Y#5M0|@(R=`E|wn7e0YZ46Jxk3!eubR+lhpS{J99INZJ&BBYA zAwiis?yxm!p2RPOoqJ zk3gR0sJAF>Nx`g9C;t~>W$W{$4n#&!miLOytqLrU`lF8v0nGv?vLt_ptg$uOrWkyD zIi(k0&_x8{Y!y=PcYejh5c_b*@ZVe5{{LaAF#fOJiX~4wJd{0c%$*sNo}xJ$C3=q- zq5K!X2l;oMbpDO)382sHUTj3EecXs!OFk1R%bX6iP3u`l^7$2ef3;|N6hojx0qhx2 z6@6vi9LpFZZ;!lIo3kx zrlu@uVQ;Ad`oHh3S0+h<; zOm{~Dcnb&AF~b!@Y_*9dJn*qAuW_yV?_O!;*;ZrR`?m#6azXM$gGD;H5Ik4!d#G$v zPHc^70l${_n{SW03oUOsk$>_0$W&G2xQGd3Xn_fyCpmjwq035y4DrlTYV8)I72zZx`gA#>)%ayP`RK|g2C zf4;_G{B-Gq;dhotLb)^y!DWuD@F4yvgSUf4tuxHp@(e_;R2R&%SpEn%Q<`MBIqNz z1SH;fsHnY)oLsR@xx+U*s827DSaP@7UAVW!?m|y_bhRm6?`RH%FL}%&^Wbnm8GW{UYuvAI=#uk&e*O~dcO$fQ1%#=-tniol`g^XW<)EU_ z$oQF%tUx~#kDT0V5t=7ckDb~Yia)k>eG7({c3|p19Q^ih@@14#F^^PXX2Lif!NMUSPOXC!*Q?sd%>=M?d zY+F9P0TySWZd7;5e6}z(YEm({&HFE}#U6JBe~>(jv?^=NwlL%@lesiO*xLLy&*@hz zXM7ckAgBkv!rrV|M`YSp^s_2rFU_@zLE9|d97{~GU1CQBHepNkt+>F)#g^QZVKi-w zVuGW5VAh;zDPVr+_lH0;-?3J#87)^k#e9UJ;Qm+WRQNP;3I|C>8Vt;BIEsJ}Um~`? z>`t9OH;%xsMZINmBffN`wvYYp@+)?wphD!Rr^ds4Ip!&~vOwb$yqL9FK{Z*Dl0`@&9vnibgn{tM!#roCfr!1`W13BsMaoGueGSFZ=VvI>v*7C+~J;07* z`?(`VJjIU`<&Dg~Hz}QiJx2kcZtN1ay-h}@}7P8sAb@ZH!0{Cqg|=hf~AR^-tF;%H$}W*p*VG0wv~_HayB z)Tl{3UC!sl+DY0}=>1{7q&#+m*?GOQhB|6)4@Pz+zT`C1oi^pBD_h^m=A*f;>e&IB zDk1rpV62NsSo3D5SG>3HNLS;DL0_S*7dhc=W7YSutu+qVSNyf!ZJi zz2*S1M>o$PJJUKAD=QYNIF`;etX9S7Mx$-s4|A^FZ+(s7zZPXGN|# z!52w8{ai>Zf&<4LVSK%SFhX&IO9Y+&N6R2{G!|a5_+3*1{Ea-b{>XE+E6K&EDW5ygbp)mx#n}Co<{77h#T<5D}Ld#lW6?NZ5-nBhhBnzXTFmb3+Hc4o-v$8v%d zxxD<}yOQL!({E*z8t!vid-WVt~{p7jw;s=Y}gr_a8nd~KlY2u(f|SJ5Z+W3 z=YSV;6(yOxLLEUo`>;VDx*GrxZ3>0E*`FQenW7`Fc-s##1DY8YNr_(PhUc|i%UU$eyw_JXW>KlUA(NJUk!!pC#Q-7}u$1+E|$ZRs0~{f{k2$ys+l>g#kI4?ovP zGQwCx#BLfhCXbA3Vgx8<+ctE^+rI8N%$OOPam84B-0$z%5PJTThVwB{lCE_EeQs39 z46RdIR-uH(b}3}3&W7AA8Iu0W&mL+Xykd$w`w&PXF2Ode=akHRbB4GK?$C%)oJZUz zcOF@ad;VlH zc$#3ul7vTFAY(&Y(p1W+8BJn?op;zU6rM-HHk{sUy%<0neG63zHXbcMoh?ieJ|MPD zA-We|zs?dJ@A)$ti_ z$fjQnci2f#llxMLuZxoYo(gy(o*Lyny9Zln2@biQ%^LS{0?sgG*ed19wSE8W*q59}4FJaDwT zpNjCD5*%^ybHRrHN@{5(d>snX&&bvgpV+LjycCI|&9hUA|#$Wl?tgMI= zemtl!ocrN(R}K79t7DauS(Q@XkFeW^J1*RxOrU~LWhJ>1hE|c2jaIW7PmZ|z^=9@V zB~tayp@~pu0i0k3Ur&j!JICa03>eRjRL|<2!m|AQ+GV!bZ zZZ~mJ^jJXvlV6((@H|fwhtpccAv7k`bZi97Tv0I-Ew!cw*uvD+lzlknMq{va;0&~; z)4$?xwj4m$+eto+Gzlrs4lu`>o1L3|Q}wevQs4D~s!KG@S)S>nBV2;1GcBNYOn-j& z$J^LuMG|Q#K9$y(xKxQ6-OABou%@rr!Yx<%LME5tkB$niqQ05B%qs(v7T$t#jJ&po ziLmKy^dd5#hVoc^{p1Daz)kDV{bBpK$q31lVo)Dvh`M6?+7;}+8xTGcFQ(8-LrO&7wfu%Fo5ON1I_U%cO64_yg`5n~Ypnj>>+aOlLm%M5|_~o+np2 z0q#32n^xV0Hl5&j`;rz?XeKTim8aL0F3w-3`Th?`^3Kx!dv2!{Xp}V~)cb3&%DDLh zD>kd!^;JjENpMq~@%yH$^$Zs50haeJ+Jzjh>uELOsc556iq`wK1XbiiLTc>23sSa8 zRu~XLN2MgCM6kc~2cY(aU1nrJ1khz3-xOz z+~hAi=f@@Coe$BmAE8rM%5Z>uc8`|_Pg)4cL+s-gXg zFU4TVKZBbnd`#^=C9Y%RCxiUkSL--Zqy34OM<{3I2_jCTAg?X%$&KBupb#FO)}(a% z_zN(I?zwOSR;AETln|PACb0W90&-itwkicV$sU-G74k6{_Ssgv=82cQ=WUhvK@zaj zDbSn_SdPQDZ6zCT?OdK0oK=H!x4`c8Y_wBB6y8x? zt%uR&^aY>3ypuI;7+8!l>M>>ixNxrc>qh7{!4>j3fw|Rkgv)lI&ssfieanc}*QdAZ z%gvj`nwGkp>Ba|f%a;r_i7I2ID=?!FH9mf-+uTL53I9Rq&2{svv(w?2ui&(2DQS)g zg~*xTJT6Z6%(b$bA2%HC8oTx^2FLWWeosF{J3YkTd7YaxaT63yZ3_gPV-}B8qLui8TF!)~a zR4rvc-WAP?DcbS1@)EidE16L}xL)CKZ4N$p_rZB)GYc+bbYZ?!=GKL6Gl9d(q{Xjj%tGL6l}*)0>xUr+{!-36y7Sz*M88`n=A@M=ka?gbVQH7K=J9JW zJs@e$1;~cMb3eog0Xs!mkSjgzazpT|dZUs1`WJjM?p)>F3g`JzOHYCTD*CO(wRQhsIMZCd@b5y;JCuvVE~a_~N#Y#yo=Jn_U9EBuJ$36M}Fm$wv$Kf8a_QkP7ZLq5b809)U1 zwj3bp^^KX!63jL%lc*)9hiemvD>A)a`U52Rv{Q_FKg5ebCX@*U#F%G?xJy0U&Yrr!xw)n7MB8Az)_nXv3z{Tx>&!(R zFkvi-6MaK$fYNr~8)_C{FL$(`T%Oz*lMzw3f{(ewj=!mcl zM*vQCXAuf8q!C)R*MEnSOT2$2tr+pSTHL3{voXG%2JeGUuYmATT>@kox8gDQ3Q|h- zc4}-kUEzerp_(1^G0|1W>X`h3)qAKVqlHsQ#S zB3R!TOM#TNo~LnPyYF@*!u@mCc%spPiU&~wWb=8eLVTPK4L6VnocaeiVOZx5MoBsh zt9AHJX5Os(UI=pfqeSno1fp_@{h@&0#WPjUqSMtj? z1(tGb%(oFTV9N%KqN*~c$U=(xlfyvRPT8W7d&`h{OddtQ-U7L z@cPv(0$+eQ|B&ETC5sy{c?J5-mhwQ($C;ov;t$XRv)dS%3KbakS@9EA5Ts|sB~gyzi{m9>tBlyHDYK79KyjT`cIW&x=fz~dX46y+azsS(z>44Mn z=suacws7ndaz~fr)c0#18>yHl^jGQPz-~C07t<4W@d~=*fO5j%wOv!if#tspARS>d zdu14f5#+B8S9E$&MaVui^q8$XT^V-X*-F%6LUg>bsDO`mWwORmt@KSI~ME22#KR^>RD~mUjQ1nY^ z2i6}TEgL}UU=5Uysl+bLBx9fJSw)B0fU`w6!_VJ|gX3xsAuL}Zt!Td%vrB^dX@M9( z%B`-Vr8MbbFJi-IFjCkKBZ>ZaU8fNw81Ex8EdR2VxVouQl5w)2%8%`^fVi`;2(J(R zTt8&hEdh2%qG!%;_i9J zLg+gIs;Dn;`bVS4cALp1oL$uObMsNPPZ z-%CHc9zSpf(VXb~b-Mk1*eBH%(co9V>yyhxPkn4da z*Q~+semc!^)S1KFCQU8WfFsU=J(n&qQZyc>sv?x1d8UaN%Cp#2Z9E#T$hWIYA@_|* zE>FEpXK5*?Q#jHI{tgqep%C9Jz*d`RHYpk?S}+*MN6J_Vz=PC2y0lu~DR}p2=3_gp z@8n+wE=9*Em|EV??d5AzNyX^^yAW_c)&>5I3cA$-ifS zT+w)85&W$X<^5&0B$ml44rc(C>V0R6Hy;6>vTLzYAt!hzslsz|;SUh+mux?$V$&Om zs5ecB7JGP8l**Sbr!P+p^!PTfLmnvG&?IERk@iSq!`4KJq))jXw{uaQZ&s5%B*KLm zuJwfeu_nCPlv5F&fT#OO%(wjI!@_mq{+wURd>E}z(^7-omxkQPb|MG59vd(cb^ zYL<65zIgGtP3XQ+3gr??ecKc0W#2rqTv84YeYghT%=HuQ7kLbiM~l`Yggf17&bIab zIwt-;F3U)LIe0h%_Va7oM$9v{I=n&v8u~!5`<;xn)Pz+-Q6XHT;yMl4~cI4Yd zixPX}>LD+R-sRa>G1(fw^K&24ztA5y-SYCCT)eu~Jy4F#;J~VSwd8ykm1ge^dd}Fa zw=za>k5;|{Bc?IyFA_SnAk6Krvhw*|G(=&w4d=TA2=}8>fwA~Dd;~0JGINSao#TL4 z!r7I?(Mb)Cm!`$gtaMMI8^s)`eT7CQZV^y=17@ zjYMBXGcmepHY)Byu~`EmncB3*Ltb862Uy|+;k_O;V3X+(6~v@OTr`y%~l{ZywM z7*k#ibMxkfD3eFT^{+iHpvIO+POCgC(=_2+p*bJhO549-FD$6#wYDA0VHs$1=0C@# zOLqI$=Ud1)LS1YIaMu_@%|4FHeIuh%^%^Zp8$164^l>}aO&$eBXBFUQ-!Lsatv%tt zzHZ{>VQy#LfXun~PDBm^UySKU4^_xU;fg&a^1hpsC4{r@QLF$nImbg0&%VtI>4~;~ zDCA>Wxhf6n*_?+q(Ct4!MiJVbnu#fNg-mwSN_r-HH^5ZYUQj3Hey{J~(Mb5W(OIq7%}!I+td&FcwB>@>t|Z3vM?qcABy6Xo z|GbEU_qSA}Ad>ILriQP~t}dx=ZSXauoU}4)6Cp|m)APVZ+?`CW%PrrXLS_}eM^goa zbKBT+VsZX#4+cm&SfC}mto*FWNj>tvjl#d~Q`~g4IRvf;SgLD;cjXCmDi&tAi8Ooz zrTE>t&5#3y@`9hdjDLtK9r?Fy6Jnk8rrf(LA4ouJpE!MRaAOUjOSNC#*9DBxKIdP1 zoU&8RLY$R*X2fDiK7L#rtNm;F{T~&h^J4uSp;@ zB}|}{Qk-cuhK{^?qT;1+^hm+%j7;+@K*&|i6ZkHOJzpa`*$Vlc?1jn8tA&acYO>nV zS`)Rm;6FfKEDHrx9gH%aeB~>HzlR@yMnt~*#&tT?c2Mz>ddHh~HYzKY^W01ZX-0oU zFVRJ}1N>%%pF`S5BcW1t?nRsFU9H@;ZE=LAGqhTNDiz2hVt-^|raSE;M2HK{b}sbU!R#v)~z`$lb0 zplxF%_wGBN{>O|vbJL9fcuQOx-KjT{jc&elZJV$2fOXq$8~;!1wyt5XPE;p`Z!)ca z19_q=&ArDcY1wlx(6Ej?ug;6cCg-$_;J@$G{(5KZ8+DF`9bJ;sy?liNoG!DHP{Q&e z+Mi&qUcQQe8{UAn_L#Uunq#t!HT|a>qiQ6{CumnwbrJmny3CBoz;wnta-_@94=ab-Zm5}-= z0VttSU*WbdAnf`=kSwsj6YigkVWOi*acqwj+l)*%9Fr?p<$ge20xsv`;{KLV`+bNq zm94n`luOcbL_+2DKi*&#%}*xV!?ULWIu*`3&cD10w2}=@Q+3`SZdcj71^H{EC_#7K zAPEbsd2~Fcs$oyfLY&Kql{$#cLUj2_dkk zLl)!)V;?=_FBtr>m$J85U~Yc)wU50(+1d*rgzBYhH^&1YgbJrp{K;#EU{k9xO*@_~ z)DBy*0J+E9@gjl029)fEIlIf3`!LkK%#}}kHo)*&5dCV7VtT~7ljl@ZkHI>8-!#sn z46N$~Gc?)pd~VX~ghqrKebUqSf}G$a#;4cMkBjRKcwRwb5PPyd0T62l{{F^D?VLPO zY2w~Q<$sYuTZBx1oz6qi8IjWlwV~)y@uw_;C*>D&QDYC*#h+6SKV)K{%h8Va%|$(q zY!sdxt|-K3i;fz1&61Pw+H~60%V`K&nQ`Rah&1vv8Q>7MW||=E;q3B%1Rz2LCjKTD z$$9g(Q^dANhem&NntRID)UQ5)IxCOxnB3UzO&YgYc(;m6k^ap~lweqHw?m!$3Q425 zi|Sy?FT*dS&4`&3AKA)MY%$|s*kf0!4f(tHqT5sjA=j_gS;!EN*ki)fXBB^-1^O-$ zyBpVsTfCP40NvU4^g<@5VfpwKy&Xjw^5cCK{ADl~MDcwUi&A_#6Wsa`6&STjfV)FZ zwupNpnqbE4fD|3EmsoRn2m?Lr`c$sZj$y_Yr`pF5kpak3mkGamhG3D$Hg8Zus9<$B zJ?zy1NVDNg>lMMczsX7rmd1E#ATzj8`-L4buC0Iy9hmC(+upU|kgEL6_jFa?Ja? zU8>Ei$Jz3}7gkKuG_y-4FWJSU`_Ec$af$Z=`+9~0;G@@~rD_!FJzk0NPeB!f{F&`| z8?C-g<9h<6+&*A?_X<>?va5B3@k>6)LPo4cUAeqWtxZmabrU>H_Tp^0M^dFO)n&Qw zbY61)3A4)(&1l0LtdE~jA+(8+AV_aS;8Sl?+)T3`L$y!<<9u|&is{L6a|1sPw6RWb zc{Up4xi5V0BeLnz0v%7A2I#os`g}2axx|QHG$Z&+0HH1cq2nm*cME4>t zsgl{{cfzl2XQrM8px5W!8C%oOOXNmdMe81IL&>{GFMvE$hnlulGr#&6^@d#K#snbBGk(}#h zdjz5Mr*B%B0VIZW8qh`P{i4T(oHm)=1;=lRJ}b!CF4?#=^vsV=eX^m)-OT@j(xI|X z{P~soeW?iOlT!c-1tZKYfyT75BJb1jchvbWikSN^t`qPJc>*3%itA(wb?d1e)u^K0 zUb&x7*KDhs38Z8ai}f+}lvN9?t-4vZVw)!U>qovg>g{p;WcG3^HPVbGp3(I|fQVG4 z*z#+vWwYQ2VJz7i0NQx;iYBFam6!HHj6nx5Uuh zCEd+X(ldZCz<_sq&OP^jKc0KP-|tz!bIDIw7Lnil%6nx}o7i_2W4`DBlV{|fJww-+WRZmZ*hMUcFEyUtUT4XJE zN4YsHIh4=jzO4x!loM|^DT(qEvUp^~px;cLZ~P@A;e9#^=dL3AOl8+BOw&vtPKL2V z57Le#*I62~jtO5l%VPJ<-o#_A_YtF+;eWWb>f(jTPvk0VzOt9Bip=p?e7_HD>hHX-g;C>VcO^5+KpSn61}U~S5AGr1Cuwg z@*7fw`~FW5(M2$2C1p5f))ytaTt#Y~I{li7!X$0ALmVY?p+|c6-)^u+zO%FH^cSp} z_9bkkpFu_4e-n3joYPz{tE4yan&?q%Z2bxszHjsnNf`X?{exq`#mso1_4nzDZV`;~ zjB3q(ka>E4YA4Oxht@M!nZX;LZfSN#@-L^vvta!=KZdf5$6f45LPeA3nJ4Ga9-=)YzP= zcVso;z?h_GSlxg4fN)rqFE&Ma>hrf4>a~qMr3AQ}16G>}9{?hQyQ4g`l zm{>gbL2>x-uqT?L*l&^(63E#Yt9wn(@3sI72)-1J*5CWe|J~o58{1H?TN%T2V{WCd zYQ}W;?vI-##K#(fM5;w)(cdXzG9>Co9~BqxE%=pJ8BX_*KJ2Su{G5`)xb z|MCxQaJTJ56D0#YB_rNnAonTVXB(3ehNv0v4&&+|6$OY=jio@u6^10QyPGW;^7VGy@ z4|9s;rpO)g!{`b^TeP=V-&IqVT`xcRY>GyJdEM;v=~Iv#V9XQgi=FFP ztXp25dfulQNGasTF0fTrZuWW#%Q#1DJ&@wiFmjauWtD57TIgA@o;Z_{v-l_pwzsHP z*JK^*Y%oO5h!+3ON2f?{smsge?sWA>`V3OtC0ENgvGQxy=&?Z1kipPZw_7;iQDW!a zY;CSAqt|R#l%wHwPld!!LhU|!swy!`aKcvxMm&>xtv|^*Y=_&m>j7vM70wDNy8lFX z+B5}J;{Z9)KGd^ST+Yy+G^7-6PuY*4?lZ6?is>iS4fG&W)3&E-T)dwKHfQC_JvrrP zQM^`P?czouVC%=+hRULTUo0X)RhQYJr$SqSJIvzBt_f;b88(o6XLb%RMO$=q5KOJH zFPZ9~Gj7ZcL6{UBn(bH=tZJp!P5mM7uEm_rEHne$Z3{GnzA30pI z66$mOxqVB7tk{Slv93)WiGnwjyQal2GAsLo6m*`>$!iJU)ivD^LfK8AC}4J~BtLT0 zs-vwbp7_{B*t8QG42RkE&h__8gaNJ#RIia%*?Y;xTxn|8n)|SXTBW&^smFd9?!D%n zo3vr^Ug!lce>8LFM4>;gnFM-5YEyUX84mT|Z`*7R5heTc&Z3WjM78r=fR?pULHJ11 z`BUciHk74Tuk!7EPhOC@aNNIiUb4y*c>wxR6iPk}GpF?Gc!{+jc$b;cYLebx^Xhj$ z&g?tYO9a~oODXK^H;Gt6{fFsvg8|Px!(Ij(kMWB?MrUY1Y&3hxtDMBwGvk%+TI^Gix&^M;3n=QZ;My6^b07IbLkRH z%R8o&L%Lffr`Ubf-M)D0bY2)UG*3=YxG|7y3hdj)hq7RvCdU?Ua#9m~XCLiu((~nB zTlRSIEm^G!L0jNZ5V&n`r~b@zWDe)P-19tVOqWwNpg|jfdS(u*I8Z&j?`VEIFts*q zdO{($YqktEpx^R0kLB{~vGA;0Z%u}YTU~3~>L0~G9y99j*pM9pGjvi^Lydp>|ic|pbkp2TVF=d9kbo;)eq63T9qm2SP9?LuAd$zMtfV*SJS-sUYP45>U zHxd2$xkdBg`o!uG3VIOeEhGHSC#eUm_+!Z(5ctOkjN6WDZs@hZ#)ag{h=*0iJRnhH zN7V_zb*;eL2h2$3aT(8^S$xz8X&hmQw`_}d*=#XMf{+BFa>SFR!UL;yFKMFi`j|v zg^_nU`s zfsE*+HR+;?!A8pYw9w?#>DpUX)pILivtnZTy9CL9AJHYH82Ikm$W&xk_G3mQKZ7~N z(&vuh^G(wPOAHHlA#s+&|0QAcZ`;p6>lu)Nh}T#B^|B* zs`!Fb65xan-L}`YT|N3296%p{e4v2LkpeaC7viA5izy%(Meb|1PKZfx@a5MU%!BO@ zHhchWvdPiLaKav~gj$UE8}Byp?6LuLfe9)i335(30Xf?MOJU9VI{gC4-~%mtxQyg4 z5R>{j9W`XEYrPHia1>w?1MrF`8(>2QHWFBEe7sx)FWLV~Ua{?C?`7 zLO%X!MX5y%*K+3x&s|L62fsk0vce2LF)$eb^#6|(t5cBKo_PAnZZMMk=cG>KF1 zV@ZWSbPP}v1vqo5Sfk4yNC23uFJWB}h{3`$u#M8-&S6hSvlrozH>;RF4rWy>@^@S_mG93w;`CHLlKw_utT30HEnnH z`c%iP3{03Xw*l~F>ByD>b1aW1wHlVqUq>dvFRYL5j0*|=1;PMAq!UZQj-*V+2{&9B zrCE;L>6-dPfXV#!WX5Va7T#YHnb`j5JV3@f*w}5I)nN4_*OD#eY;?2qmpz2>aG1=* z5v*3=5hKgT$A|2XSV*c=@b*AwkiU*#&L21YEE5#bea>03>;EkAc&(DE9LW{7 zl(li2DeN`ZMDI@To1daZKKqe_7U2N;hR#3bDbu|Gj&K$FX+*PS=k6Yrq&w^^xA(4p z&kN^XHl9Bi&$CzgEv|+np{GA~{a6=+?3Y(}yG;ZbVyUX@S-CC6crxbbMMKB_cvL@* zkJ(+Xg&mKN-aw%tN&|c+7%$YPlElyqSK>Ad{5*8)YR6m;p963ozg{C<*{y}_2MPYFsAD~AdU|nieij}4%LtNcfJ9#gN9AF?%{_$l0oXV$E zCd9V150PKK+T7B&&VXk)(HAe1)b2YnHnxzV>2*tGOvIKYiLFtmzinZVMuz0riExzp z7x}yqNW7nUHKY-+XlTIEIq&8e5F*LN_|6L!!1ND>thv)qvPT)de)~jbL)?--rvPHl zk*Y_fqU*GCPb{PP_IPWx$pd2h^MlxH-0oXS10zZG#=2R0yAQ|gUryP}666?ZT~jVS zFgxMS*6387PPX4riipSi$+d#X%!-pQ2du2m`-w(c+gT?16-T_5M52WTj!F{$;(SzI z93WwWbPyl;0*c}gUTzVUK3|u_ zmy^n@gYKIp=6dvN=$aFfusGj4+aRmq3~LNR26gEn!orW66+H?lD0>C#qG#^ug)VOk z^^L4M^QgM?Wn;1DdYULBiz<-4fHJ?8dh#{1{-;-yUkn;d4Y(gLJ&~0|cj4v56h1sF zUZrB=FJtX6!L?_YGM(nX1{3WfWWBtoAF5bv= z-m6*_z%r~%(*(abeNGR^j=Nb&+0L8sr<_~*L5n+HllG}#4hhzRPJMk% zm-_bM(dGRmF5Su}4LeW}(2fpw!~ZDQSXK~(a`0F;Vt9$5=FyK*dR1GW?8SiVNGaE; z&~HE$iZ3((gSPT4nON7hV!};A`AUYa?Y865EggK>^sU*~$ioh?j@-02?!LG5na%OM z=&a4;f%mM$_%OzLeU8We9L-plqM{)y=A4T@Wfiwlh>QV(uXrRx5jqW3`usD4f1^HF zyVB(GiwrsJkSC$!gb&gq>GFVlq%#V?$Dam~7b#$j-T-d{{$|kJeeo*Z{MtY?a#;;R+5hc*(?aoh-QaF;RKeIoY0zvwlC$%ZwT6+2 z?bY;3Q@PPs#*4apl>tTRj84o$l$(|91B@psm>@CLH9-`+DpIqN4)quUe;-9fbdz;0 ze`o@9RZW-<7bQ$un#d0$XJyt8G4?XC5dwksUl(DRF~zuVx3yU`_ju4mUWRzT*x-%~ za6iH<^E6nOk4CY6(f_f4-y>ONQ@hv+#(qA;kX6-L+5atsJ;*ud(6M) zn(d0Tj;}6$m(U=8sv)5wg*olw!y~7FUTRiu|qNW0+htm4np=YRTb-_ ze1y7D%9Kc3tb#hNf`lRn?PPY!o4Bs}N2>1vv>rN@TNia?ibpN`OwGsx508q0AvpZh z2_C=4N_Rj7rr0MyJ_}~}@ts(uSHS+W_F4>=d}O(>khtB{;d=DSz4bs+p&Ydj5#yRT zpr`1=D6L{U#6B4pGTP?`t4rzW!xigqe!0Ub;GZXl?4aHy7(e#H>-k1#Ou!i=G_^IS zVBckzQg$|WHuXHt^<+hu@ac0awB?WNH9KOWt^*b7rOSfPCu&-rUtKFt9y&N4pHmgR ziG1|A+&!sJLr_rS4DD1B$Q`@zuh`oNA#j0xAiUn+HMu`v#~I>k!*{)(@qFOmi!~?5 z=bBl91S$(>DWK31FD1+zs1JCDL}Ig>_ujH091GTz{UskjGj`6|5Bsagz!1iJcrP37 zh=*#cNil8+_uh5=1$tm2H!a4PYLuUuG_;-}XT-mz{Z{vgeerH$40~)xmCHrLrh2(2 zw$|-J)08g_Y`+bN6|G+}x78^LIp(CChj4tAJ`vVZQ|R9iDo^XArLKXuhNrqk3~^X^ zZD*R{d>TB^+jQpQ(f!m8as}eE2$TREFJ?oy^-*o>>_iWKBtHQdN5}bjZ2to~K8!cX z*Y)h_4&-|-uh+M$OYPvk_om#0S71z^w8CL}R8KaeDa_wjGC!%!IbGTjFPTH3tA$F2UC(=el~U5U8< z!Xx6imzEwl50l8dBi|FsJd>yaWeSi-0xKGbm%%D_ZN?8Q^p{oaRqfctw$E8UR zyX6VrVLxn&Ruq-Bw4=l2S9HUb^_AQ(Qj!^i3R|RJCM=2QQ{62C_*?hit)S`<{aDH3Pgnc7P_0jEf-uG`h`hSw_{~WMuG|tQW(MmOm zq7tqQ>b)I#_Qt?1LCGSQEiS(O;8Mb2BfXH?v47wCAEJ-{9f}*U+Wl+tnT`I3hvT0% zSjGRGkjCGrKs`8`n|frz;BFRsId}c}*~t#gX=Yp3rEa0oTfsP<#t0{>FypPNCe<}` ze{*>1f3E%&a2a?y9VSfB4FlIphxM7T#E0vz{W3}`YFw#u0+M!HWFfrQ%#>xKx1 zAAVrI&HBL}s>6QqzKX5(Am=6{$?2iUR#y5$tbdSw%Kn}Hzmj1^PYmFk3Bazuu^-=l z@CHf#Aw?5|)V;+5lBgjdiQ)?e;)L?zaL^XyKj)}2lfx`zaa*NiwHqh$~hU%0<>dN-R zWo!mF8aZhOhO)PwS+KW>Uu``^9P(2t>=vTRS8EJ@H2N&_QH4smldCz6@TP*=fC!SV z9k7BH_5_kT%vcCQ^Uss3t{qeC(y|*sCuw1u7KO&g~7FkHp)#o%^1 zz@xtBKA{=adUQ6fRc982K<;~JXb zMNqSOuII#}Mk5^c-O0w>`u4rTEUccoilQF&OkvI1_V|HR1j zA@F<3uwP6cvt@P}w*+;B+fs%^r=RN2eN*dJqW>YqAcRGOFj|gUZn=qS*-97?FYC7B zTlez%i z5HqKEOurrn9o8bqz-#!$O`x0P4c(jnP6zs55^erc3Zv2T(&@1?!K(=8+aExg-QptD zE0b7_qz)DG1B94_ae~bQwhZ2`d5yMsmuXC&WeDom2&DFASrzKX-w)j*NxYB6uw^Q5 z3%XqGp=FtZss?9@)**0j3O=|l(_X7Yv)hhf=t41g+b2L@!mFRHZEOkmm3iZLb5eLh zy8VfgpTk$$tOQz$6lq#App}H9#I0r-qhu?}X-eFt0*AuAtX8nupnQZ*=dw=c3XK63 zh2x9I1oYg`1DWI{om7NgkGb`@B{d2p1LZPX(o}~8%8(hc>(6UiN%pQQYnGKq*64%2 z;(2{#C@tiAo@%$wOrt}|X-wk5vZ`vC5%LWJy{l0*`0N-DnWkMi_H+5cinz$hq%P}YfH4p4NWaEUB?YmbxOy}sV87fBb-A9vK9z%(k zJJ+eO^D78M+pg_iw*H++H}e_Pk50KQ^)aPjBUDlE-VbThch=~ENIKtHXJoNB)6w>I z$IL|$X_T7_TYPmO`RTOLrS;6K5Ml8f)~S*V#Cw0H2)Q6hG^Y2-`#fPrx-aP;9@-xg zxHP#ByZ8E)L;_uo)n6dZ#a|%iLNp0l0Q3}sKsbF9M9T&F`~vlr0RHgpv{>DyB9Kn2 zBFLf087{i=HQ(c_t0CnuljcymL(vHG+R~G+=EnpLiC)-Eu`DZD`E$FgHn*cywKdnT zzPm`q5%z5l&Mh;T`VPP2=>yE3!ojmsi zikx7RD=l{KGOdZTMViN*tpDl)$#&^}KF+3|ugLr$8!cY(>XQ_$B#hld3H}RoDCyu< zw$x)|drL%sozklN)!eh2%%u}OsKE?V7cWOOYQY8)}mmI?j+CV9g8djKK6iTA4dL6!R>z>nX{^GVnL^V}n`h1l2;MOGZ zqHV^bmwUwNyZ2~ld|#IcU1+nQiyxR~Pfy;?0jK(D(#F6?nFM2OQ=D`>k?G?D8is50 zYlCZ+u4^5~(OSO@vBTChL=)|NA=C(SR%6yxT*EcyP~=to5c;KQJaGXU5 zi2=N4_5+c+ki6#E?dbzC>5^SR-i00;mie-3#*ajU{R*iR_vnXj?j7!`LpnKuOk?Z< zrh1JifSjuj>!*li3Yz^Jr{i~L&Xfde^ z5&tYF55ggEL4sAZfGIh)no}%q5G(kWz|Z713`nk5qeOw^+WHSn2(TYHx4|R!fH+ee z`RNvAvxvC0;!qtbh=) zLn(dGX2$mNfM^{E^ygHg`*+_%j5hdNZ2B+r_WvIBZ*Q=Jr_ak^=`p_VkH2sEI7rN} zDx_*)SIGrRn}I0WDcVWC0MEMh{{X95EAEX*UK8)P`iFxAJ|xSu8_0)kDJXU=4}05( z6>&}63cd$^!^D>^(U+qp>!?ySG{cH6)k`u?$piip$ZjtTa31!jg!DH61>`qn20$G| zkQi-VA!E<$pAUrzxRMakDPvbEQO5Z;5+(H+;_xt-q9-c&GC(wv7 z*`M08@{umZ2ghIU%?E0CVG-$6QTM2y}?y_go0wsZKhB&KdlZKC(8QsG6WBLVy!cj8*P zjCMv$NV6(gV9haM7VW;tqI=`3*Y_0l*en(aD+%Rve3BN2L+UI)lJBZ}hINX3uM0u> z!A8lJcEROK$hUj#GP!+>?uhQn{>_Zd>IUKU(P~J zGUToMRDzg-F_+@W6(PoZqDlHp17p=}*-WRy;q~(O4mlPYLM5fO|s;AxxYS@Sj6Y>$L^j*YI z$Hfj(9g}oWRr$ctmPd1JChcY#hif;kRaPk2zozrvVQ?-TloDciich~mZO+uZBmZr- z#$ee*7wN_k3^T#EtBbc)iC^Ur8F?4YkRp@A^qO2~K~5rVg#cb&+qi}xi~4C`cVlUK z!hTq4Zhgo${msPGOz(4C)M0R7lN6aRQ8Az(#^0Ky4Zm3pLphLU5PJwr8r|1c(m2qH&GB*uU5DO$2~$Dq z@h0)w2|J_k6)f3n{i*j81z}52SnJ}Svt}^=C?BQx1nOJ++5Qh{WC9C!@`FF zeGj|y02BOq&ls%4~h7`7~j^*>JrdTePa)0$U8&PSReWmp$=XqAJRVt*U`ZUyHLg zO%fI^IS(uPNUe$oNR4X+Wa37}!=)kn8U5oq|KYf53Znk^ImZ|v9#wMY==yBz6%hmp zfT=BWFi{;Zn8G-*k6*LsvgX0Oyn`7Vt-AbNNHjd!j;KptANfts&O0Vjvd%nIE0f&S zOX9m(JgbGJddez>Gow4T-}pq!gN9}Kj#QfS25{+3T$!7$rK10|6@xTmlZyLGwHR*v z=VfuhQnZ#ZsvC9w8)Y-(_4*A9e`5ki7JEprc;Si@5os(p>xCkNn2S+ZXGFwM%%Liv z!8GX$t{YrDXZ7*)AGkPe8hAT$%iToxZllG)2#M7(oBZ0y*i#iqbVm*utA_GBM;R&y z-ac^#ynmFft&OS2P?9?UeDTMEW6I9qseg}c>}8ruo%(IAha=^ zQXLX_UuW_PFo5r?ub&&(NJ5*XJ&HRvd1)$@s%>kfx_k@hEqqczYyOXrzH`1xNcpdx z{<~*unBU@-SXjlRvo*G)sXR<;?~`L;Vda5$CBbm!ZU{cod8a$Iwjm!Gie3BOwzOIq z1C9|3lhwM^(`(h>5{NXs=Edh()3K(F2;Bz8p& zx__$KA%}#CF~e9_NdZsHzS&0Ep4&9yF`Jo2L%i6I?KQEG+$RxLh2%hgrUp&t+{ea^ z?meYnZvoySKsDWU98ZYspLKD>p!NIa$11oMn&kqM4D!c_zfhYx+qHi}_JA#q>*lMF zWgOu%XS^RNcjB9^!l54P_%yq(_w>O*KU*Hmb~Q$x8alSr#vRq1CvQ99O;+}v90+bu zRrZsNnJk(hdAlS?CYqUX(`#ogYQ24TU8x(O%ob6!6Ox`1w+4}zYw35;7XAZ!&F_5( zZE(*70IiDu2Cb?B@XZK>7)C zfoB;JzPCTH6)Fs|{hazj+q#*iw=v8ngYri{Tsrn8z0+KNd?-1Ag#O`N%oQ! zG!?mS!d-n;ge#muq=3K{!w?_r#wCgdE z?JAwGsza`4=W3TAgG!Yhzk>i(Uqc<*#o_5a*4pQni?i=WUzW0$iLtMN!#%uzfj&sC z3q)l;nPPW1z{`wqs?;q15skMt4t{pwo_?YX^7aVxf9A>Bow{#*#reVh2ug7AhAMe@ zEi3Lb2zAF_!&^Hh(${Id0#hE{GflU45_S3^?qmcN7+Z{OO333BxYBF~6GmvYR=~fb z6iclch7s&BaRs~DN0H%*I4?>!t3d9t#OU>Ytab3#c}Z)0YKbD6`lc||$&HEm%55sM zD!wM4jo}e*z$9nLHjChA5r51Fodt<+$s%~c0udgL^x@2}ZCEfH&}z2=4QpNEqPdNL zDr1Y4b`=~z(yc3v+mzdhgtSCu^d(e%=%P8=(tRiuMV)?24XZ!Cw_v^U6d8<2Q<(e$ zHNcMWVWr4i4xMMTE+7;f8V}}vebfqly`EXZ87Zc?rob^ABN^&yv(<(pKj71L5Fj8@ z%aDHMk_ihCjk%8;)IkbmKABlc+oz(4x_nA}^A&gK=|T<#M^yVprcklBV7DJp6 zJu6me@r@WX1YK3JG+SKwl9|nWs?#^o288=GW&Zxo<`0Fkwou4JzBUq+NG$@VF{oX-R0{|?TK!ZR$Z8_ zKRm~`lvI^1(eWNoPyMNS&sQEE6UkXn1>bJ6^YOs*c#h5xD^e8-sydOd?7t|77|!^r z!c=MPj7i}6Wj5<)6YQo*uyY?ys50*`c|}6xV3Q^XHZ{<1ZzvgkU^jEi1~FpsgesngUZ6ycX=%rx^%eFz~qu z-?r0M8{lcQcPNX0fNCe08oT=oglptEDuXae3V{{D5SV}p(lKVWO+gJNMNY>vk@6o? zJn1Et&eG&!I%Mlk2(sR*ib&?k+ed&di=Is;ee=W`^tQXUzT4>=i$^+bB$lw46*GUs zS0%4?{BV8w_XoZ*MRr&IUu@i5T)>4(Pr4VZpXUpna?zQSK1B!Ev;55MoR>hxbuPST za;*};hk91w`RNrR3-#Ea&qs9EkzM~(W!mBKiT1i$VZ1QIz`~v`tq}dWm=481eK8Yu z4t6lf7+T^Om3qBgcuU6N_lu;C_sZ`+5<68MbYt1OwPSsuSZ}35qTdph>A*+;xP2za zcyk-+-ou+L`Ki`X>^BL=tTkJ$_Eux~FF^-pi0C$lb(4?!{&`LqnUCOkX#=!*U~rXi z#6rqfzo-ToPPYLXal5bMVh#nnSvX?6FnkKz^O-200{tQO?oH-2-p=wxO+3ZRXkveo z?PPTz0c6edeFc|=4yTrV^?bXd^+_W=tbwTUWvJ*!w97@?qSpb>BfT{G zIB2hJ{n51Kx<7DVg69>;c;F}R&6jfsJ7o5zWN5XCs`Z$BM7&zhgtV1D0up@7h`Dxegy7**rg51F&uj+x$!AYM7VgGwtPgC#H5o>fP zEdlb2P0B1Rv1LOJ8`~!aUz*yP+V!nA4px2nr*;YcIs|@~GH*}nmk5QZ(s$5SaC-9 zJvEb9T@{!Hy99c4_msv004DJZb0 zDO(Q;Bab??~H{{@np;PiAdXgeJ-SR z4~G)+(JaJP$U7JXuQFck8cJ>42D!Ucc7nYu& zwe)~_)&RTjzd+Sc$d%x~z-aqa0RLQ9v?HJ`Z(cGd>z8M|2sr&^aljU|k_0>G0iA+( z(sXKe5y-L)pJN;w$^?DPPcD#>j)Eb73+us!#TUih1B_u?%$xRdc-ulh@a%lr)xVRy zKhW6ea$g}&@Jg#mvtfuAg{%n5Mcx%{{RKiVp*~G5`6Im+F0;}{$gTpacm?|+cVQLb zjx|HrfzIP{(($TK``r^+`>i${F+F=UVqgxnfe13#6TmyI-+@p3Sbu^xfXAp!E7X>Bd7_r z_4zGm%GV%&xvL1M`A(=*IIh1BkzX1$Psj7t!T0e);CFo6y?u<3Xv?$pw(Oa%p^Q$U z2?(^;*3li3;ed@oGd!L8vGSa5c_x`>Yb2wu{3GLlm#%b1M|*o7opnhbN5k+b=;zIX z)pFBW`RPXYj6W0dh3k#T2?bTRAgRDPE)~{k#L|m-HT>@(I7{xB0ph^2OT&3fSb>zg z1>Rqxib{yJU)B)#Cl#vYy0Y%rDRO6#q~w3Htk4Egy1&u)8=Tj7R)AJ<*zXwh4{Ds+ z|1_Pdh=9P9ig$0BW47wTNcHoDFsB!{5*zWC`elyHp^tq&MW*f*vo=|CvcH8khdw(j zkc-yMlNxx;)uD|QbdT|&^&NfIRJiysLVxLpe&odW!XHQM!_~qz91i`%MDbqE11C*u z=xi=%o&N-#j`~j)fYu=LuRMYOFk=28AYt*?(v1`*h}SY?>7Lb&iRxSD|KAj_{tpzY z{quI*H0*m&C4n#b=*hQxOP*qCSh;^k zra?BZhSdGr!h9Do>DXhS;Y+U>MQq9v*bdkik!;Wr`O_~UnXmCX7m_0#TyL~}nChEm zcP54pyBv&?#ps$9;$HV7(&P zS%UfO^bT>@IUm}=l+K6*6Ee91sj(vNp@motD+il%-Bfgv&cuoKG|UdmrdYh?2v=o1 z6!q50LmnH>mZQw`k-Qxj=iYC>xL9)JctOM@d<>*Y88qSu(RoE71ii#RvvCh0eG)Wd z{8UXe25MYJ3pP7isoY@J11O=_+gHW0{*GM2$B`}ul(j{cxj!zv%fASPcg~R3ry7!C z17dY+cT~}{F=oTFaO^0((OxG4}h`b(pV<>^$5TNY>VbxD{k){3H}C256hzv+-X~xY6PHK8JrbS|}^8&R!uD zSXv~a4xyvtbAI|`XvrPJz0?T56N1OT==1Tc;(@25XLfzkgxqc4N2w$MmNiG|^oM{2 z#(^Dvmv=^65EC-RoQqrEMx(Y4Ov{5o)YTkveyZ^%di9kKd;WL83USew0p9zu@JZB6 zi(R2=VcG{$`w=s}h+3~fvFJH%P%IiOKs;Ef4Sp0?*0Xl_jJ*_WRweS@+Yee9&KEGK zS-F@h=FCC6%V6?r+XN?lOJ%~hXisVpi5Zr6UBN-QK(O}CmX=jD9IL|_ znfx7$lvy}1z6l}#v@^`fXmx1<>6(^nHW1~4YmSwEYvB38jUN5{!n!L%HKiw^sbh?f z=X<&fb-q(roibYPNf-z7p`96-d*<{|(uLS-pLXl#m1j6^7V{kk?ND?^mpaWoxZGRa zNVO4++tZOG;9Gqt%{I<hO=NW`e66J87qlJ#zjb~SoC*>|NA=^Djl zhgi@ZFui({@;LtS39A=8`O;&}fj%y7B0x}#3PA)E`rKo>^tZ|m_VR{-2NHsHx490j zGG4e>m=+57YDXGlz{{K5a_kn4=lNM3TJ0sxGnJbX043%3bcum$7o{FEe4J#+t}euW zs&U&ogmAYm)DEi|s&G4bUENBOh8G=~yCs8p>Dl{i70|cXZ1Oey1*(_7jfaBV{qYfP zq0N@)0IJb!R*fKF;xSWc>G?oE?rRA&NV}6r>!;t&z{a=ZITMB)L=+oL=scgPt(ecFf9~xW1ceEqA z+sNE9Jm*(E{T5$F54c9D&{Z!RCL}Z&)KDmBnXkoniIDVe1*n*`Oo=h zq5CEMrb!2+3Xh|`a+8dL@Aj*KDA3t>{G=ozzcv@Ssgirrb^FuRA{<))Dz4njFprOa04sc#-W^Drja1L?~TqxRD+25Qg= zzLI4nwd8@S>X0MmPc{y5Uz5xO?hAq7n08&Qk33UqOsG)w2(0KwG4iP^i`wzGkLY7% zndj9=G;%|SOrK(Ws>g#?UA0!jAVCuR2#yjbLft)Y*@H&6_b&?$#=P*ze4_P6c;uxN z>7;P)wOQkbYAAeYWmX%09yBA!BK(s{48N#Ce!`8F-CQN;7wBbU=+U6tGWA%!rPG0| zr&Z7`&-@P)d=zuRDw4PNyt39GI4e z3H-G%vQh%pJj(PhcQ!aaBJQ^0d4_`t_ODdF;J{`0d){kHNL4>$b9|w3#3Y@_fQKeL zBFAl9uwG`T7S;y{ornG$Ky7#Ki5LzS=PT)4>PseoUOC#JQ^;!N23+c6u76zJkL#bbUkLB@DsG?Qepb^n*viTF_UBxSA18@Xx+0}gPr{+_VwIZ?(%ubJ!(de>hJG5UVf-K@rJ~b^gUz~ePeg%TTw>J#R4WJG$un(9?PHwh7MU@80ALEy6ni^vF|e97E9~YKT_K{G<>RD?BRH0lFxLEy9w6bb7G5%3z`dC1EGS zj2T}p9Ok#p-=Yw8?k)=rF-Rp}Y2e0;zIdjoRZstPV3qQ79y!0~KRnBg_Ba+1^!%GE zbp^Rok9M;Bx3qH&>s)BaIEwowdoh+~md@%lGVG>;cYDs9wdUu~ zZgAl4Y%}CisOy(ud2OzAC*fxI@{>8?5`LNx|J>OB2u}fTRIEQySI&*efHx^VWRC1L zAcb&FGX1a7ED&0?U6Qc@O2GU8hjh1h<#(6y39za)Sp9A@G6QLmz!}=KwhoG6!36ch z1Rn2~e9LTNb~}14|9N#!@G?zc2fVT)G}_9lUCmGT(0akL`@=}6FZlo(CEm-YdCC$cOjxO+jiHoG#2!3GWge~8;hCz^G2UeRW)_l~ih zl_=D_m5VCvHXpJCx5TXqZ>Clk?Wd|F7L=VA70u1-whAm68ZtVipLKDgr#4}X8$SPJ z&>!Eu!SbUcF|3fG4D>D)(s2y38T>lbCE%p+DX;St1!`_n2QSZpz2TkI+C%PM?jCz& zUwbPBBEC~hIY)oBMsjcSoz|3<5MHhTk1$0?8M|aRr9ciuJSnTh=ECEf>38tmK}AN$ z@l#>CDAnS>LsgS^hk8vty}dGhZU7myYMwzrS7b8XePjPM?Z55i~i%61zy z=spUzQr1kBgJq!w=dKmhJV)wP+&k1YdBLchLUfvaS+UpayJAtPM+qxl39=FWqo2(v z5;(;UsB0yTebvw$)}v?_wP*Q-N|O&$7rIk}tE=zN@I4JEdLs2eVGVF#(1u?Gi3zSR zW1ha4;EV8d1&U$@5!%C*gClopWj=L)a9rDaS=IUd1eBlg^G(?=D!Z-JnYnRQU4@Ns zAE){!7wg)dN4Vvg=&u?GDmvursohX05~GbGb=GI!H!~M4+0xREm9c@XFvJS)(^Fe; zC@u(5bKF(kz`uhu?^XYbOqPSTS!fh71OWksl-r}QHQU<^m@@wB_yuu6{Yj3^M!BTB zb&b(7Jgg*drS31GVGxQCgimMV`E&x4d^I@Rvza$%VHYNg&Cr`WKu;qmJS6yfDs>AA z4s62%ma7YmDKYUKwx01cO#-uOn z$0Fw^R`r>xwM*rfG!fkGv4ATe$gG8>8hSCcF*%(2*kH&#o`Jjoi%`BEtR)&sUGDy= z*C{R>G&tdlwH`&+rPGL+oU^#FtMjdfj9a!r-@@XlhlIzcnz(qEV+~u0`|$08^)ycW zJrP;xN}MI7tOHnxbBl$eXQBHDgOg&!Zy$SuIsE77gI!}fpgU*AE}*QWJyUj;dpoYf zRgqc|DteD$TWcqix1*F@$p{Hu&)~VxN8pA9fzL|FjbShv0fWMwlQBoLd@0R!>9sK| zx3yyoq)SKAgbd)!wy3HnMaXAb-H++iFqdR+@l*W!>#18RPf<+-k0-Fpcb)U@?RB8e zU!QXIQY4G&M&cFdgCI*+GBrf-qJ}f6j_hLAcWqOWc z76Y~JV}N7g0Far1FozBvV*`OFLOK=CO^_LW5!aH3wC0e))ouI5j5eS24GCoFccpd- zim93w7nSBo^tpYPvS}2n*oW$uc?#gf(8+{XhrLcCwm)aen1a`4r<-4$4~QqmEO34= zKW6pLw>UZd-KuaIRrxuDVn&2Ezz7QGJiAnDCfydbLU&DTmn+NimB;IbRfq4nIeJ%2 z1c={xp=fTsrRBLq0*I1G@7*gk67BQ`R?biBi+&OU;{sKF(e5^%>b1HeYzmbh{M6a zre58|$g$l?mzB0MRSfp&SHO_vk};%!2g{z(T}5=Fuv({?aLS$%nR(+TQvPar0Gja^56qG2f`aIbmMHftgVL^J} z)d!I2$M`ZNW)&t%0sTBqroj>7x*+kMTDfo%IYodeFd$rRZj7{CyYb)GZkXXyaNL>J zCu;(vmxgK-G-07#O+W^tw;umOI0MHHC6SIT0QA0W>BRVCpBDx?acPUHb z_pv2W7uMmy6u&_4HfWpAh|zvD(tgah7BSR!j4}sXzM*yRcyQO)JKcl1LEJ8VOo9x_ z+I4lkuP+#8U*^UnOqgv3Y%CYHikfS2CxN=8t!#+8P-q6>EbK{E=t7@vi;)C&3#DFI zqG-|6^7v>E5(fGU;?aL*4uagl>z}D$)W*-$N}N*-b|YoDc^;)$P|#pblm!Wkj<^Iy zhd0;G_GAjcXR5#Iqh3_Q7j^f^N(wqWa+J7E3f!KMOsJ2*axG|Kjre59+N&Y`I$)y> zsi~Q6mgY0Dc1;4aU@JIF#p{6L;_p4cRKd>!xoheqLplvMAoseHvk1;wFpbFj2_0mf zCz-KzJw#Wc&UxZ8oq&kz(@%rNM2M;9Axk*al`n9nC-N7nlA5Jp`H#3z!AD#$rVdg@EuQ>kBC$WGG@$ zU=U)az$`|}Xk}@SCqjwC+4ZLvCe^~Zv^3U*%(CM<1z<0h2cpK?!UF^f|ADaDzvGUT z>-@nk`@6bqf2+~3EvzG`cRMm* zt+@pWZcE-T1niC1|5l~scl8ffa?`LGfVs_-0hMzqpuhBw?&9B0{rl&Z|1KqG`A|tOB?R*oPwvdIit>sejWq(RN1udWRcJ;CmW)d3bI}d zmUlk@c8XS@=?Z+?Z@}oM0IS{a(eLpf90dJsz{dBt=lt{YKU32zNALBgo#v;Dpu>Zv zv6}EIPe5w?7X?4(44#^5#%wXOCt>(zZvaf7uK{A$y|=Wp^oa754as|p^TvAuGtM@% zNrD=m{ihv=8P(#~LM)v!;Vu|0VH=|vkwFw4o08zWR;$j7{yTkZ|uEgP+Z-*uH6I(5!@XTAP_Xc z9fA{ryC-PS4&Jy0cL)$XXz+$0jk{}bcXt|XG~dkn_O3ch-nG}e<;OmCeslrNq^g-c zdW=Wz`+E94l0yl{(IpM-8QxT-eZe*P(974Yb2d!;F`c>+9+|Ng^<>AnE-)|Icn6kj zIyeFB zTMZ5ka16V$b~41>PT(mw9)Q(0hrYz4#)&sWF~Rowtb~?&`|;Q?xM~T1=LBLuF!llIWwGKGg`X09mPmBV!ujF{(a}Ew7Z4CJ4$tF!2-0(%FXg$Pc zg62xL-z5Am?^NdKt<{RY!95cNQ%4=$`F`!m!2xtNLAr%@MLmQUl<|%0rxF^VB7SsH z0NCd)?{O7>0A($J_LTv2h$>yf?wbz`fG~dH()D+MyxxF!e5#wWyjXs`)Jce~tt$$} zNcc|CHozJ-aLSyn)G8XT>Syn|6ME4HSfi~=y%?4nBjY^tEOhwW;ADf&i|4i|+uvEs zMSJ7Ty4dGI9pNz=4dUM*{^Ic5qtIIdix2t2xnO+K^c1JpFb-naqYYUQ^(&0ZK;kYT zrV)m3SPZ1*;kPcOfY1t1_9X^7Mo=Ow4bAn%4_r8gLi>s5eHgzF$gXes?rF!h17iVh zwcuS9-w;&yxEMToH(2pJ7nOu59$&e6`ex`ijrfocF9+S#@sT#`6yjEg2_Qu>lMUwI zwYHPZVoBZg8OfkkZ!h2F3ig_SSP8Uzhy=@N&q}=@9xf|}VU)a+j#Pk)uI)|UUdD%$ zy!%PNF>u_&t}9*I+W~26&&4aKCGa8XelyyjzUoc!v55h076V@CaddYNE&{DFLtf(dZ?^niYm^?A>>s?St50WO`5;bP z@peTS8$H2H5QN6ZiM07N7t!8LNppNY9OlR((tgby;(GUuC1ATTd2@vz;rWw%HRT!@ zpCBfh3BO^u2LTk}0}&A&t4ib0Z?=&Tgtbi+e|J=mu8S8K|AGMop*WG}J8l}kJDT0@ zIg7tLnrVrIqs8S-kI~R`{QVwXhi1F4W?)Uv2yGnJ=j!Uvwd-)68b}3Kl)6Z0rGkn1 z3>rv5%Us?MtuF655!$XL#8n+5DpyrjTQb>(+%jrXZNlt4P%^c(kMtClWMEV)9r^&_ z=Lki)iqlHDJ-LEPF}esbL3oXoeojQDp5Nm&tSNu}`=m9)C%Z5!t6W5)L}Q(;2ALHi z$Kg!f$VyXbyx8%m!uzAaoQ`LnX|?}wyz!~2^+ANaml-dwa&ITd-c8znWxNS%`yL-I znU!imIO(DkTWlQjTuJ6bOs$p)wwsUShp0yg|4u`+bMQmNK;bSU=_rOY#H3zpniDU& zSj)bsh8y$E+QWJl)vhLjQMQfp2@km}t;NnL_QlP6hMadkQtDrEqTgKONG1M$otJQ} z9Rs=%HmK=vBG|?o|A#OV{gYzZ7L+@rZ&>t*?C-Zqz>t_m-S$z0;k(L|OS;@36>YCY z4GC+BVr+?rJh)pTFF*pm6!Zt6(;c0MX{#&*eu&tvbzKYiWi1a?-N*8Ik3PhCxTc}Y z+7X)eg1nXM>M&NT19GK(Cu{DL2HWqbT7*5+evh~!vSvJZrW#y$f;n{~kk#Re2^3q^ z4RRss_$Zjzub=v;yMXw80Vc#Kgh?!{of2o1Q_kT_c~vCF(&Bqzvs(qlH651==emH@ z>coqm3pxY2w1JTJ?1J4V>5mF_a~BIfn0uO=x_DZlZmfK;L8)NulDzNEe_#zJc}LO_8N zq`9l-R(qv{ee$A<3Nwr-a&_Ya5w2%$YDY=0RI4Vs8njQ-&tAx^wy2p3!auZYr8`Ur zfS0~fWGB3IVo+am;$>{92ILiQzF|7Fd67(VMG@>8u)^~)Z1M~icC2#VeAk`7+9dPb zls=^eG4UA*Z?`<1O5UQ9L<9jFdJMujnKk7u>cVh;WR=G2^@Yee3s zDvt=?K|*)qb7W&m1^RfVF#!w|IRxsif6bRx2>}jz$quh zFr2rPWMi5XD_iWnuBrKiz_?GCEfHbqpu2NCg?k9C$YrjX- z{-P8t1e)*0i=A{O>PC-Ppf-l_$&2bSWZQi*MQZ=u%F{KS&5*pkrOH*EJq?Y^$&eK! z`WQRnSndyQqVwPV!?)5ALPaxHKv(Fq3NQ!q2qD)nmvO+hKMcf%_E*B1DVv8~9Q7_F zL$Bu=L6D^|PAD!UdyOsF@s3IQ*yg-6%GfNS43hBDG{QJWJ83xrNjagfoCbkMz?$;G zX&DfOH{(8o=j8&)GqDu6Fka33NtWlRka$z#;NGu2l%?I_q32X29wMWHs-A?|%(Dqe z#qEz_Q7WUQ$+H{A^mI?z&}+BQACd6xT1)~R4iT#r3i0;9S=}%UY1c;gkYw3X{kr-d zh1GdX_xHxRAV@|?<$}2QR1<~eYY0_)I*zuWw7DhJm{DjgCnY{R&cw3*t+~s<{2FD| z!tBRcaJUyQq)P;UN68ZVqq7FK#qnbIW_z`%D{YMN2N<1?{xto1m%I7~f-O@p0dH}S zl75`c@#gDcaVgkII;kXyocB>NxA6vYJUzuJ(EV&*xrkr2dCMYS3RKNCB41<8Ri<=R zC9Pqkg-fG1x`l_Q;)qhJOp+=jI>GPT#b7r=RZRi5QN(PK#AL0EL26rT6 zH|!<~IZzorBLPy0kTuqDwX}mx4h}x+^1%RZr&Xt=pQZM z#w)BLm~qArqqj6!Owpf2!>;*Xqk>vdBBl7YxTeI9o4Rk9-j*MyPaa0uF3JaR-*Z#ce~28+NHa8gJoOQ4QF#E#&Vb z-8OxAsc^P+%u3*K?Owzaob{?JVHlY1djh!MbY<=7wBYMeU-rXek%-BLUYd?L9zF7~ zKQ0!=9V^+Vp0s9DRjaOez~Co_ll7&mMt^R))Gp)e&6LBM2UZUtnyZq#syacMP8CaE3PnP8K+l6xr_V6UA<5VVWlQ);UFr6O?G7Qr) zkgNfrD%K6tHouzpV-Jzy(hO@S3ylp@c$Vrb#mXLTv@Wq@%%66t>E}BJoYhl@XME3( zbI(g6vvh?`JKTNWkr$?7N2GoTts%b2OIl`oqJ^Moa~7r?&8a8i5laT+DSf9-6x@?8uoN6>{QxtiPc^DOe5&VAk96X#kkxcxDm<}?6Z)ge zhZ&-jOvkG4j-3)iOLLC9+nb3XMrSkpjhp3Q&QA@1#QJ?hDGx6G8cAEa)oeZxP5NKN z`R#7KJ!U5L+?ocP!fpKCIBh)k2zk;+a6w6C(b~GaMlb|vmWC%}lvwESMBM_zDiCZs zFNRiEhvi`vOdM1RByautVlnz_C>zMlx%Lg%j&q|z0merqU2W72y{f;ue~&3yZkY3g zwSW9oq-g6UfmLTZ<2B2N6(2BL>FPh#-G9O zkZ+#fZ(Ek>2Su7IV{V8(nq%c#2OW&@LA8!AKNp|rE1$DfEiLV08H2y4r0htd9Hd_Na$L*Dr$d_2+cUoGZsZ@bGA29^yAW?uQA4(DR}IccQdGbIm5Q zApceip>6dIFal4{e#w|^Qoo!@SnKP+Nw}N)G^3=Mo-}L;^o|310p7scs^UE$XTITw z{oa398-srDzieR*EbbpJGAd}Tt9fF;&!`~JLf56c9`(V5K7vL)p1}#{)GIQS5ZM=d z40+P&Q};MG9~%(u4|*H8H9z(AtebQ7L8j!UoV;h>G6=q26+uWtHQU}S?(M%`IEp$5 ze`0M9>Sc78+URxzrm4yUbzNyh900EwzHh5HL`X7wuLuJxqkgdVe)N9ezV8Ia7PsNr zWV>%H0JBS7qp1IhmH-iWH_3X>P#pso=-_dy&dr8hM21n#WL8(zg_kY~K5BU(LFcP_ zY5!=*qKRwte8KP{HWUjT5_ONWH*p;8Qg?&y;=Xoc{2+zghy88p`IX&bqh`lF-q@{p z{&rnN`@F6tzhw6;i)~40aac@HcmfK(T|2tNF2lbR7rC1IR}LB-t%g}p;Wdc66j|yB zcz@h3ze^^w(#`KXIBf}kU?QePAmTzDzF8NAL0E=3T9c`I+?`agb3sIE|ElXluuN=W zI>I6D{brmY+E=2wE8qNS2Dr*InA>&ud;4=r=!Q$(iDMSa%~}Od{E$xDe6%+Bk=U+E!99okvuWhbqs{K)&d@<}jQ%W16Bf}Fe+(pAw z^T}@9sTfL%P*sF`#Zg4&n1^Jh3lv$UI6vj8?6LnL)qzcH&xht`DFl{ou7fKEKo7W{ zZkJSE*4&u96rQ$KUSSmMAYN>}U{CkQ=%xW-d;AIEETBw4(ksq@(;@s~Vg077k2K~{ zS2QkAT~P9G25HHB?H{6My`;AX1P5&4l?CS+nDaU_g0h}uoh~2EXr(WLO|`Rd-{Wm0 z(TGlO+1mO0i;}5ncn4K!aUCGPo7f*!s~%@Dst+**@#h2+KLo|3;-uo~{M96dzcolB z{jFB^zk#W(A^CYXVSlJ?S)4&~U(?Y&YFX^w{J2Vep*&x#Nov3I*=hOZE7gr5fh{5L zpp$Y@)W54n{~h&O2~GX?t@~FWdeFA0#FfN=54Lo$F_wco@yo0P-U-@>ATv!34b>jT zH|xLQ;eX{W2ijK*Sw2tx=&|9&?A^-@PrUO5d!LC<0e}714GGY0Q9>gebt9<5hDV|< za^6BGE&PYh01~ce-V4+*+i8ekzC9t z><=hkJ#=W|j(P3hkB;suir?@IPKjZx3eRy_Wzwa1q7h5d!$?;pzkPzMfJqnlA^jof zI$iD^B4q7Ow}aFy*V-IvCn>U0p&`&ru( zi!zA^DGiN?xq7gGL#l%)c~m%8n!?5Knpcw5_m6~^*UoX~TlzWZQI4@X9P*pIw6$uO z&xb&~Xf#{}%TR*dbaVtp=5EtVeY*L%nYkSc8xFAu^cabK&j1bsvpt~RbB;^fCX6bm5FuURy<_9uTpT+~k& z(cZsh&YzE#nQMg1s~IP+a|yYxY=*$+RsojSSH~HTqy43FPnbLpq%;4NdxZ?FHvA4g zMKA@i9qLwxD|}66Ytt3M%KdV0bADfxquXIT%bqpeWk1|(f%OyQe)di9jpuSyIM7@= zD)pdRJ<#dbxF5RV>Wa(bgl90$VI|OFmPB&&@O3dDe?wT6-U3#g5W!|7t?i6Cjk2ni z*f}ZgQE5uC*O*3np2x2+WsK*zqJT6wxQTbli&zgax!T_T)8gV<{k&J{#VK9Q=iUlS z+m^6(q#tu3XvD;&^=(VIzd$S0Q#Ovn2(bomp6gBX=s62hFD%M3;cCgX%g(o()>qHF zeF0Cs>MriEGOUFCnnJrWP2sgEU48M!!q#+?HrWpsbaaQ9Jy8m@APeu2z|MB2urChI=e5#)#o_>6qrisYS9?_#fU1cloT z6U4155+IMtNNE6L8&eCvH(Rq=om>SOF)X*I!~GgO%MrdsK2@TGhVS8USC;F6kter` zR2z5DPaW7vjCo3)xEHlhbQ*0JYIFAs6zM2}d6((vPDNnFVc^}J7T-24ZG$9!YoD+v z&Svm<>8BBN_x{ls8!KS2P>4N}oX|?(Nj7Pc6Tp6GqF|ipBYa)`x{EOY2p70YyxW^+ z(x1T%a>0U{>5e?t$ew-{&mW1(dL0W^;K4vE5aMs>R0#Q|-$@7!kc1=vNk|A(9m)uV zXgq*viwX$QutaX2oa=zLJmgoxgjCyvpN%X>_3G=8bfjS2R>pkNLvG<~U29TQu^Oy` zVh^r*`xsEcPM_==&|2ve$``sYhp!4m3J|D$Jsl&Ts+#(Y&=i|AM)Ww!MR4DXV0=st zxPV!?9l!F^tcl>3_+f0pFgElF69U*)exgYppKK1dayJQ$kmnFmQr5@bqtJYe9R2ut zjcPyeRE_GwZk+TKOrkrxy@Ya4ynx75FDdnqwl1IYd9SrN)9}$c$YBTuf|;`PPs} zqx_rjjyIsb9u!5h+oTfw6&Bn-$fozRwfh^MHa4`>|8OBsJ&oJbN9&lgI1(m<{Fodf zF|W;#t&e2L5*wzeWPOlN9t(hjrQ4Y-_5p#A!sH3b`&xh5O2C#Q1|=(I0ETS-rL0Ja zw8Dma%QOP)#8h*);{Gym8esfgTnR8|LcKR_4KgN;HAX99xS*SB4?x788wS>$Pi8tD zuMW$|rKrgq=2najW*5rHs?&Dm_!-k9i#VEBeQH93+g$o`8In)$Qa*$?0tuyuyr(y6 zS6U8dS%X3!ejs!0P#dJDm3%4}!qg2^Y2z(_OarYb`qcxn{?CIK5ytX2f-Hf`W!!5C zR~1pBo(#xUP#nZwwjA_U8S&w}u7Rwv3-yEvtWKe9AX*CEB;d1~6S<7D*jU#v)$L|; zKHTLUFf}=;$)OF@fGs`qR(X@M{XKttUTpc`Lix}QXaMxyTEdYbK^L}gPMGpjPnA(Q zcX_dC@++dpPM90NK#s?K?nN(;^hF{ys0eQrMm?~+X@g{<-o@!OSBt6XBQbX3+e)h~ z)WlPK;^=e`sca5#DvEl#d+CK=o_@PK(rQ(pt=ly!K)fj(>L@v+Wn*H?r-6pBs)J8P z6zM|ZZFs%xjk7bGZHDJwqMKV)(qxbB>mSGZO6CbBWb0vl6e`4PsVyCEw311R z4I`kgjXa!)+G9YvfKMa>WTV;dg$rkEYa}y--!*sea)xl3!4)!TrA}q7U?*}uuU5HR z=BdK3QymOdG<_gcov=le2@eP3d^L8Mo-3pIhRiO5faDG5Cv&53Fg+WlOfB2PUwOURoumoM9vz z%1QPQ(Zu(3L@*kje%njOAM=n~En)4~pK_}I2tBlQA_n4933MFf2RQiHAj`JAkCw zh_`)XQmF0X(Mnu{J~zl6L#3u}y}@uyjOX&&4XXLFI1QsZ2e+}y=)4hSJZNje-^r)S zAYg?_54kmnpzbOK*IAgp@T{CZn_^P@){X$=@Tqg(#^~Ft`_i6vLR%=E4s$8&~zeKpRl~IWL2+r5r0I z=|w9PE-J@P6O9|)LCeZcS%zh<1l05oXV$xrpWS>*jlb5^U&+9E1MMyDM$J9XN!G}G zk;cBzLBqP6-}>``0~u4%OWq#7?zf=f@#ggcg!_F*>5nj-2K~(Ab=?as2a9! zp6urTg>hYc=PvzYs>SrvOU!aU( zT<;ZWpzsL47Fj_a71=uN$UrFXirjkr8(;PRUG+zIV(XS@MS!XQ>72ZxGMu(9A<3QV z3&3FTBKbmbTyOLBrMd5NOtlY^{i_;AA;wx=wlk`bx*V39{3;=!sDffx&siq>Tu(-H zyOpj&r5n{plpXs3U|;{$dk}>XJ&U@!h11{TyF~$K@4umU|KBzLwnqOq+@E#Udy0=d z2^Bhva;uO3f6Fr*^V?rc&ksF*k1*Hw{QDXP8qFr+Mw3F3!S$E-G-$jPO$8Zp>65>z#Jgqw*O1eZ;bEOuUBi z-)JEJ&*^HvzyBYh`ibqmZU~7V*A1TMzhsG@^#+lhQhlEIgA&mHNU6u)d)*kmbQhQn zFl3z408Y|&^Y$+g&aJW)RzH|4J}~q7`M{y=GxK2s<0@afvGpN#t!T``|AWl`;BUVN zHv3>5&q?Sq74A-*hk?_=SKKzeySA+mwXO6SpFnIy)K1VUQ)&6CUr} zUCmj?DO_8lC4svfbCQ-dA5iK2Uq&7<0KJxN2{=7aRv2r(VvPEmmG*DP!{1z010~(z zZw{@}f;P3n<)ltlexr6K9rqx*=-sY{mE^F&@N1+UgJ$66X~eBy7%EIyAHi-->TfuE zd1ek9-h7uuuh*i8Z1NuXPE1qtq7mRn{M~xyE#c@Us!OXFHeSxamBW?is^>|0UlaS1 zln;$ZJUbixjJKy}6VMd5DgVZ=h5*n}Kws>AqE7WUg5%x%-{kkt*_yz-2fU69AUyzX z(t4rD%HRA?{~nzD&tC|&D77REbO0}7;bf+(at0lcx-zeWfX}wv8OZBFJtG5h=-B#% zlQ-2)UHov66U&Rf;dc!%3pUd#n-e*fA_isjQOf8hS&-v->162dk*ojOqg1oAdE4v7 zabQjc5KhV`D%PalP56Bz|7--&zr>yeLFlUUMflJPp|23-t2|?eY@Bo3tC6!T!Xnrf zL)vfZQxt26hzRGa-!MemJi|nmS>j%(MA|u1({yzqyyk!nOTCc#vD_0R^q3mXggE_O?0lfv_(D>U!~_n}hi$!t4mk(cOwsYt0k5@wGT=&Mo+3=j{Lh6>K zF)<&|Nr4kxQ$^m=cwgR+T@2Eg%QsnzZ4C^2c!t?7-kaHTFZ=w{NuGc9{r+dy|Hplv z|LSy82-<-=uujtO+Pq%E?luln3Q|mAiC^hMKYr~eFMOq~C5Bxp%6N$B(A_+R1iz8F zpF?o1_8W@yoa{$z9;8&P<8aTLcpkCYx|{Rzc7j~#JHX__MIKZL_vJexjnK6YVYaG@ z%9=W>*bVH@k*YLBM5wua-0D9FO$9@*#bw7193vP35BBPbb@7TEgl1mXVFKqrf0j8T6QqOC0duyw{~NaEeoy{veH0c@ptdwsuGWa4eO7YblL8PB-3Z2%@K{$bng5882z zJ!NSs3rW*@k4zsmh8{-Q-99oLxF;;EujYEB*|YF9N7z|-^NB}ox5pOr_+EqIzuf@C z6c1mz78(wy;@Mnm*9jU_zKoisNf_jgOqF#a;iK6=my!ViG5f8;mEbeFF*x{xyJ@~2 z?2;N*A83(5p)kGX+|tY2f)dT}o4fO`ofH4H>pDld&c+2Lp&yUHER916$I<$_c5KFHPtBV+^EMn~8S$iv!zrYeE?|0Z~(%NRGe2{Ij*olOKT}`&l`viSLbK ziByNqKAjdFAIzUrkgs7R7OA@=%KT=H({1iYnqR!X??bqJL&P_zJ5Tc8b$zo@NXy{2 zFox0bw4;AWSE99;NaA5TjFK<5nr8K4urLQ-Xv9Hx(>#V*`}dm+^Ss857Sg>o_l;9c z%==21kBY5d+|X5rt=KTzCL1zx#meW{A4J4R?0z%J;Bwd{+RVIY#N4|Bhg6lggBAB@ z^lwvvJY%1sW*E#N;&^b+coeCR3hzI=J-@|@E9%k;O}uWTGu;EaKe4kSSKR4!l-$YDU>*++$`f#-_GX>W%>Qq!@C?8 z()iEA*@(#g4noDcm#dADB-wG1##kWHBn&@olVyKczS#*vh=#2fm_WdZ=*GixYor5n zF?cSK-pt-9=Hx?DIU|g=T0h@K*xWLPyU1h_WXPtKM+}LIlYvC%-_fO5Zi@N<{TR)z zW6LRJi@Flng;~{$)#!&7@4q6|U#fml8mxTHAXYQIWuZiVJlQssP!HWZ6wYQDE~^d5 z%3xlSo*F5kJ8{uh;lF0cs*SS#TQ}LC`FX}+QK!_`lk1{*|3dGr+@gvU$beR_|CbA{B_g%ofF2LyCk4+R4eZK4q~|tYS;ESUIAD(|AJF8VAx%)&kpDm$(8KzN3exGH!j4jIe&* z^~QdcVpPJXMfp&S@p5vyev3pq`%CNR*nitN>uGMPizwkT2zWl^a&Mfm3q z-p`P7qxqBi;BvHV5I9ug#d7GXDeE%i@4HSg4iz;l`~oQ|^!K8gS-KG>6)3=noP)sx z&UE7@oq|@epMGRwX2-SAP{;gC9?J@h8wn+#mp^?|c^ghK+ZA)FNPp3k-q4V)C08?5 zI~!JQ+en}kV1N?-$w~-1V~w-_b_wFt))%cC$e|k^sLir2)vNwYTUlyjkIbWh!ts1) zNt`H%zG0FjTVgEjUESx``T8}?gZAG(4f?rkZJMgL_Mv)gk+C@6H=Q}J?A6LGjOysj z>YvS7utw;@&GR5=U52w%W@B9LU-KH`d1egrMkq5W7iP%3lo^y`vjahO=x8!Gbyt0x zv-+&pLv3x#Go7!UM@z*HZTJtAeiUNDznm(x2Rwn2&`~J4LqBtMz^MZFs{ryztSJ*G z8O#-r20uY)nygx;wBDz6E~b^>6fq!D9=}#0qQ6qSvB}BDSU)7>UZUa!lNU~$lZpss z$f_C6{j>4mzhBR1h~u4!IBEmVMDGI;>rrmUxy=q%kAchX>FR@{O>-JsEI`Q>-n?#n zOn(;$0D#7vHvV|?_@B_q>CcgVW?Hu$P@xi_azGW=O zzFO1b76cyf!uxM0P5$2Y0P-g%a4OrM-nZ+(?o(}<3QILx0WLS<=)PSUKqA!*)%|n0 zB-M;I4+xx1 zbs7Z)Dc=524&6PIHrKv?Hu`O<5<^j@!Bu5WsVZSqBiLs*_L+)WwA3dBb__^f)mns( z#bUb}{GA1-v30cp#n`k$Pm4((hhYBeaDL*Rh07nB#5{yBovcT;f(msg@&?Olb{v>4b?S2pFJzldLO%O z7Tr^?AS2%J9u!r-aaMw+H^y~?=aOyE?(zhdaIbxpmDn_0Ar+q{o36scE%tv@{aZ-mgx15YRy{iQB01pXm$)&p{9E14kXO}%RsrJ<11w)?|?@4rB%J4!m0O^!vin<=(S+?qxw!t-hMX-Q?gBrVJ8*Q>Wq zP^&tx=9LAScDtPjheFS67B5eI~7H*J{qqk$ZtjHaE zas(6aI*Am1kEKWMJ8XIGS^0k6dOylX+sQ-1ST|X4f_1b`es?l#@Vn_$=902Zcxh;l zI1{>`Kvw1V`nvv%Ns^@6DDzYbZ=66G~s-1fxd|MgYfxt?;tJq{ea<vb@UmT;0mY^Af%+-V~qd%S1yT3>I+y8U2}btdX-x5evoMjE5qWpG?- zHKXDKE7GBWwG6B|MAl@lHS_t^q}2_*vqbvtqJ|`6`!hKM28^FJt^0}@VRrF+QgdD@ zUPQv}K_4_CS>tU@k9H^aXJacf($=#^4T7(mLK&dgcM|`2KMVa2c^-dPdMwqv7x8-e z3*>M^BYAz*Yz>$xDP}IPJQu+>%{+fRboqaPeN14YrBGlyu+NIZ{@I|@MY`r5g>BRO zsrnzBRKP$+rsFYSc**b$hUL<=UCnQBbYR8_tAY2l6@b7Si|7RX=>nsD9TCxjdiHWOBcOhPv^@pLl+kY&yMwZ(ga+y1F+vRtzQDy17P$+w%RJDB{0XC;6jj z`4lUZ|I8&(W&0_Ad*1Bxc`G~)U$U;Ab=}toT{E;OQE^7m{Y=Jh)fTk#r3-qay2e^o zHiC;Py)LflHWvff$RNE=!E}T?Q-ogR!4XplKN=hin?#kLN{I(wV&i`wWHJW#M)8Ey zLohzGTN0@PYMD9I+;Eh+LA&n0SKnlcog-qTtIA%@FdNIV9_B924t7@btay~rC?vk5 zjg7vte|^FMSiA7ZEeGaYWU_VNjpYV9H;k9!9x0oi+>Qr#lUqbPO9gz*r+v^7tK}OP zplgr@ou)78w%?Xkw_2gyoJ(X7l#LgxwaYH{EULnxXq)r6W#&HLjOid${`_6qRHLnh*LKpVk0WNB9EW1 zbK1BSnbSD^m^?FwLS%U(*q#wjlj`x~rIAdag08I&vw9618>fv9uv@H7siGQJjmMDf zUmDY!xX9Fq*>^fuBeCP*NE_j~trC~Mk9`_Es%5GYMV4>sM1z72p^lvJYt!d<9IKL+ zTfjZgd9+?5Hb{p1pq&XnfQnQq+E3eDy?qh55V@J+G-X2D}<`s%7ZWGi_~6wM z(#nrye|r36x21>`VoFm5AubjvOGpvf?cS@!w6A!}mLl8jZapQ-Mqiqemuwb+udMgI z;UAsWfApM3`HiT~l7ZK#Frok3aghqJq2%4dRCI+a#45{6YI*&E2>I00l{CidBmHB~ zps;hPnh{&hoD_NBY>4l{u7!D^m+1$)3LY4$Fl}dqyXg$C86sP{kWG>)!o=}j5?h7P zh~-P`5wE3HwDh5;iwet??7Ujqv70fF69fPUN$J`)Umqju2?)Mme?y{C?^7*(+{EFV zq54?ithV81XUt(45k$JPwm<{cO zRn<9&uf zKc->9a(5-?KBo&+7+5-qeHbp94xfxTQH}?Czn)W z8cB~Vpp^-_H_;%hUo+f~&~T?hNHxfNZUCKRnIC1RA3X9!m~2V9K6$ogi@~86O+~0t zw=@PX%1>Rqa}1_kmGba2l3?WK#FXpmH*WzQdCcIsp!z+m_j~8qlvRQ-nIcBFPbD() zOPra`r@ptWp#;8X&wNY1RtfM`$)0V0*KF|Piq#s&+bDAE-G1D<1|=BW&A>XFtFI3$ zdU{|emAt9Q?U1|3$;4zFs~O*WC`wW?jJ3^8iV942km%p!i`AxeppAtUy&1Z%Rh$2;bZEGhsJ> zW*6N(maE%voRH>__fdna^f_##l)APWr>ys*?-Sx^d%msA%Tm*zjOS4%O?O1+yY8w( zuZD5>cQ3!>j_vcjWJ|GeV@Tx*271tI9>AOUehTPb+gdI~Ja-@D=p;2QzE{Z1-@D+h zw#FnI{J7sUw?u;i-_fC@J+3r(j`&O;rZ!PIQ{q@DyAI5^tYGTfe1-X#<|X&C_fERN z*gYmhVa7vuIZc5C3O%AY;*y_Ad?8Me()ohe|Kw;%sQRFmZaOCVaj{jc7~YuF+%2=4 zwn}zr#|O`?LE<)Uxym$&quKt$@#{b!>Oj9!`p-ojwb0;1j_wuL{o)r17}DyFV=N{C zJqh$l)to(Ov>gGP)Ho*{-Hb@@k%-!t&iaK^4Ha0^YH<^48VNtOXWOmJ(SZhY7`d{9FVJB2DMSNU03fx>KPssGPvp@5FjrRBCd4b$ ztq^$Xi=IhTu0L`p;`{U}qiq5NOkV%HeD|;DXrdJA_{x83WCS@htl4Qb19_0Dmuc5Q zR{)AW!WGe9X>zFom*{lEBc&{S4FoW7qDy|~C~u;E7mE@tSF9=Xv`-16c0V^?ZWy@P z_OyZ`|9l_p-|HOz(N~UJ@xs<`+ob7GNNi(uh84?v5jN@!jaUZ*E&4LKN$By@ZCfxa zb7iCasN8{$tP_idByCP;c)+TP>&x1eU?%^Y07eDTQUIvP7R=o6X2lcLG3oQQS9VEB z+LI>zE3@6=~=L%=laGnqY;BKF)UN^KZsN$$yut&?4lpdzV^Xhkrs3; zwG(E6&@HH0y(i=)srrgFkcaAaKZ-w*OXsb6`rWV7Xnjj6JXO6q%vqmXzv^`UFu(BH z^PoE0oM0gwKCk$FW3tEowN-b&cw#^v04wzEsBYwdGUves?^St|%a)AO(X(#J>j4?w(53jE2ulp1Bf~*wPy( zai5}uFF}e*jbnVsqSCWN4}~%IdRf0szGBW+oV5N0f-%Q*zoJ?Q`RVg1GrX+kX==Sf z#|g()@=~ZHa95~0#jY@;98Je^lR1sZj`;azAKOIW2S4OJ{p!azL=`AZ3o#lqEVq)^ zp*j=6X6Y=_lY(c9+dIz-RDd21SLwy{bSHd11Rb8hQ)Ba1XPXtrj(^^ylU+}c>oG~C z*6LPPI%_(|x>;DzF*$0U3fE@E@@Ug&M#PW!n40pTP%e*71$q_!Q9SaGo_C1)*BtR(1*KZq)y9}s;WT#54S&dIQBWx|eEHcbZ5?9)EQQk@${X^ko zF4qI7I1fC@n@Vzan(Eztfm($*!cHz#zSvbBK`fZSedo=^`anGAV~VgmbPs#Xa6%yTNcA(ZAj*t!Ae#-wJ&ZQHBV6QHmfXP#uWKZ0 zwR?oTR2{KMgj-^BbV12uV2PaUAliTylx&d9&?7z+z`GOuMtGfWBqPBnf96JJb{Hmi zapEbwRcKnrkX6as_FE9}ZJc#^Y@Zd(y3}6{i^z*VRv1^3Rbyb5AgIa1;QtM+qhALd@GmI6r2TDO(d!YB4AenzHD zWIwYHC>EE(P7J({H=d@*uK$coa!%m_>(qLF1awIZlG<@7BO zg$_TG%(P?beY%WIpJET_pVK%0L9yU}IY0Dw0JGu6c$d+WyZ4OaE~R=gPaoE#$bn1B_!qB&E22 z@Y)Fr`wgDGk3w|^d(;sc&s!`d+V{McD;`+i7vAJUFb|Jk-B`nZS~7On#D2_4mw{n^ z4q|TqnJ**?Rk_O*Wb$@h!@{s6)vv20?JyS~H$8n2AIw_=dhn)KW^*06EoQvL-ryyy zHb|#6uc?QZ_ozJhf{-T#=Z?dD*e$Y5M03)kjdplpOCtkzOja4h(U^ofox* zbj_0e4D=gEL%%>wXN2IhJFHdPA1(yROkoVNI~%RYFT1Nx>C}&xI^1oT+H_EJrvh(f zq-SgnjK9t>1e#A5atrXH@PL)|DT0EaHeR^%+g;CRtGd#7W7&7*^xhV05e^C8;H0h{ zmtcsrmoMH5j#XmV&y!mm&kd72tLE?ewj9Y$Y=Sed`7Kjrr&}7_S)V~8sDYKBR9u^t z7h6%W5$>w0e`a_rb5CxeS*H==beByuv(eC)4!041NZ3nbA|2CB;gvI`u0{D+-e^gZ z(CeAflyl?<-RPFEuiXoApxDP0Z(4h}n)Ny3zr_kNd(6W*z9hT2moyn3I~^6YYjqP= z!R2)LKRKQJ++}eT;*7|8DddfQc$x*eo;YtJdE@7IeY3dE*4M{ zoyXI-t{b*i#L_c=J7N3v@Lp zce2Q>35MVLL_c?Q)ngki$foGz4Ati?xm{RTSPaeg^-3F#E@i4mq`PvYR2j^8INF2T z(nuLKjazOUG>^-&J1&lPD?Ch;s=-G)r@3PZLO`1EM;s;JP;BT=kXH#c&3Q8$wQ*_d z7(pnU=P^*P>uw#)L4>ZMTNEXkA{c%hvlQh48;j>mHsB75XI0ABZxR*V z$(kQfoDm5Dku4{P)L(vLIAlI5iw3L`d8S-4Sc_dO?s-+&EQXDY=bM)uj5)g1AmP!z z2rQt%6IwJZ{OIB3H9JK-T8d*RQ91P$>X=# z7^C9uZz4;XwfU@EakbwXa`5%j@mG5?;(h=Xu;OlH@Q|#3<4T-`$?DHZdfM=nu5&4a zg#lw#R!lkKU_i?IOKf7`T;hRxsvuK$)U?q#(SYBr&=fytj`rY%!+yQiO*csr`u^(? zPQd&nT9IX933mAtlzb#qjx$8!T6t?yygs{dX;5rX;U&>9Pu*NvIM@0B;$~H(-ShP& zZ1f}R>xjdrRpedREs?n#fdJ@a`pbLgg)-u%2{|;pfE*3ogIw*MCb*kK><@ACM1S=Pz7QNMf?$eC_!Cst9lntQ7zMZROii%umn^apF}K(F#YR_ls9YDsj=ua-g)>~T*|(9OVQ!&{>}D-bHrUYw#Pu+j^@y(jSE(NrujxRWK*yEeW#_icE59(Zr3#* z?Opk1Q}V|SZB%MP`iLI|*$!5H2jGDsanrB{Lwt{W8qiu@XIr0#xzFXpJ-$M`lbG3G zc~h=LI>|5!*~0oh<1MDH?BgmOoxiIZHM0C_!7U=k*3TIAj4DIsQQ9&KMreyVS^(&D zcWn*-F<|{fh+x0ktNO6JdOw3_KE%HIrldN;P_68uk<~+{{k4bJ=yTI+ja=uNvO`M# zWZ5AyO=eS@_!@44?dwc&X50>j1Q!EXsrGKnTx3*HYf&$qzJ5yjc3`nv`*Gz_e+L_5W2A(2t z&kUV=bB$P(T>v63FUp+b-zKr5PbGbd9Jx(5!_Q2wvPj;@Qk-*gOtYXA1XBKVeuHEB ztEc`S$zAB8SjiME&7t21@54K{{A>vm8HL* zq0Rks{Da_Fw9eT0P}z1=HN<2E*v7x8C>dha%rIuCY_(!EW2Wf=t>hNBT(1Xr5T9@= zMV=*iNQC)_z;SOi+W{%8ImE~MzUUnHx3Z(1A}Hnw6B_Zm8k47wht>=d4c}-gp3hyK z%5*vgT{zMgJ~SoRE4BU0k-r$8eljySJ5c&@1-!Pcp|p2V%8 zd}TAHFH+3I+|f)u3RN_w&ZxJ67hA5AvU)~+cEHABmHg3tT4sSHqYae!fdiNXI|Bk< z*F_b1HLJlz6~(K2FSxplSN48Pd@`Al%SCYGi;v=qx6KZXh&I}IOj5)%?n{AC;(M0~ zYQ*kpgzCO@l2=kX)MyK1AQt%BwiKq22!sye9#a*2ze}#lsp4a6;?6}gZ@P<598PQW;V?a zzTZf$``cU2+U2j?oixu`$9sBLG}8q_UI0oQGKZ5fII?aE!9RA}mCm3n7 zKen9p&D_%BjMW9(E#k?Om0;6hl?EYdr>D|R*mS>oPel0jzKG1X@Xf}?$r8~I+pyj` zg=C>0Tz5$zVs6W(F@(Q8S^o7o`~}nH|9_Kp|AtCyvSs}Qef5z%){pS%bi_|A+GsvX zluBIqAeI z|Nb|Z&9am#{V`G8*OgF3c~yD^TH+bjoFkEVvJTU@tZ$T-pAR0hP`;@n!cJsJ$i2Ms zPo;@8#$$TmTP{t4J;}aBGWP(?im*ki(K>l$$B4Dyqq!0;L89BnoVYrAG9QnzU#P+> zSy3;&VaD2mSNxdrj$^%AG~=;hNLdNLK)6de_u`T};4WTrZT#jUIoXk~R$W1;H&PQN z*LJn3M4X?GEN`E)6`+`1M%lNM9XUC*cMgUBsQKiR(y*zY;;w(4VqRn6FQOCd?R}R8#6|?nn;A6>$h!{|) zhlDrX!N3W!`+B$vF)TCOzXcStv%0XElZ$ziJa=1wHHEi)MKh{`NIJjtWA5=)O3=4b zIR6QH?7v>V>7GE{F`_HUctOJWx+8Z{#;7O^Q;zWnM7d!UM-RMqLb+=2#x*2Im8xnWONu>B;g*b`ygj%USdy#20WRi2eJt{Ia&HY!G zdJH^{k)~Uvj~7TlUJj9&^Rx4~XCiMJY~Q!tAAdBEKLr`PGiJ3E%(n&Q;-x2v{5_$c?x*C-=w z^qw!D6Z$bs7y};&AD{(#599lYXPi(_byP^1<#mv~9pOl(5r`llU*7MgWjVmSXFN{fL>~vPqTZ4Nee3mBX0b9#5K zKfEppmaHdRMJ^$b_y7w}X|cZ}h~;UUQVbF6xrOG^%Wq%9 z*cj^mJs76WFr;Dq)FZoVk)pXS@nGxaIQsECcWkP64No_XLIo4|Fzqw`A|qE2+KYb( zZg*8*Cr2_ij5HJ62#r?DLCcADCw2TX-}Zy*Ebq2crmT0?k!xkocbuTiGzQvC{rJ;* z&EIGW(f*67sos#xKgR>ss`2e+ifD1(nuPP!v&8u4!x=#Ngt}HfoMy!JI}(6j3;tgs z1JHEq`f}%zs_JgYQ1^VRa+2U-+BuT8uk)V@`N#hXb`Mz}BK4NEtC^rVI@yk?VKhx( zfmqIN3a|aP6z&M}GR};MkpXDA9h_(0VB9xKcIZ&;{|?C3u%v$&^8!(Z~C6Z zY|7zBJ{HFgZoS+B4J3TmG<2Aff*r8t1w|U7A-7bHeLom-m;jyPKk2RWsg$j##IsCNb9N7Jbbwems8#9A3i!faXZ+es(K$mQ&b7n26}bL6@3e! zS0BF3Hsb?&b^oNzhd{3mxG+*u{_54)*xCSB1x6aOk(x|~Jz$sTf4%4_a&hVR7Hh5% zO>hVx1j$eQ?~%{`#**-BSs~Dr1iB8y`_)E@%dk}{4)uQD74~qBMi#)<(k$&ILA}Km zItnoHXTGBC6ag6H`}*XD67EO0aUM5K&B@ocja6y;uS~GSep>+cTbI~>I<6_Qnn_`m zLE~Ecsp+6-hY?^+o7yBjmp$dLVo{^g-FjObTTRlbb}10*V^+B99%|`mydVi_X0Gzw&&EL2x zM%a#&fy1lvwln+=+4doFgvk)9+5-l`o*`jV&5y{DtHjV_w3ef7aoX|ChDOLZU44|U zqVVR#gWz2{Qnd+|juqc7ew z#u?}(N(i`>`nubgxg1$%68Uv|)p55lR(^cQAc%cj#d_LeZW3XdQ8Qn;p0LHa3nT`< z*w}H*DUxd^lrtQx-3Lh4o#hS6hhpB(j+S z%W3n;T>i%!F#J}3XvfN)h zy7nbF#`sUrnQsu_wX6HR zdfmop)}C_Rh}@*ld3NoYt}z3$nikq0OGmNWhThi_I`y}Q$~^m2_Fist@X~Z8H@h{# zKd1)()4l(1ICGF?u2Q;E@Zu}!6JVFQTJD>93z`YaF=5NIJ&3|JqLCTb2)c{Xg74Mc zanGN?NAauN=Nh@ZntAyuY{u#oi=co&dv97c2>6L&GR%xdQvj~`V)D9!jz%Y$#UZH}h8bf$u!&wxbr(Hp*1!hQWGIFGnj zN0-GKrAwPqH^TOxpt4p`#~ri^QJ3$vI=imnyLbB&xw3#iH017R&qZLSEDBCJhC8U7 z>{(*Uu$%Pb1;}E-Mx=h%+WfaDXux)~29)L|;IXsLur=LufgJmIYN%=KmO>!Gd?1-5 zMh_hkT7xYjTC4UuugHSxnaB$pO8+OKl#lGf@1s7mFn_4--2aq%w@4L2iPxN({*P14pa zEsN9FeObF?Jt;Z{7KP1RD4Eqy(5ODKp97IAW?@K!SYn8S^rVZLbw5<3cyg#twdwdK zL?zarls}5VrXWrK-uB_1s=H4|7r~3i4xZjGo0-xdIqheC{ia5sZvQ#29mM7p_JDq2PmPPus9vpl@7&P&`$i7Fd*Ms_k}=t1 z%!NeANG$K0cZpnBm)cY4iIHujr`%4dQ*Wdu&Z($P^so=guIEF$M=8)24= zkL{@Jek4~_XRqo`O~x3`Hr0&UhaSh^sA3D@VQ>6xLWhouO?1g`{td zmgfw-LpU%vC}}71n;LaH=jrh;cZpiRQFQ881E!_0Dlv8%eznbF6`!i8xkgTox#&}= z#lC%4nI;c={iE$9}(p$xsY@DD``Y0#K z946Cn0+ij~#EgEUAN{?47A@f){U!g=qod&W-~NvV9`*my`~TU$jrtqb9jy1XBiCP* zeVvzHnX0!f8$*DWKHo6?GB^3p8WUhE`p>k^f6rM1E#c_!ms^g$@h`U=F++dAEk}B; zzZ-DNaXUboKjmKsTs?k*x|2F34u67de!!I3_5ehFZ4SA#59vE>-1tjHC@jA|IkZ*S zoIv~1%o6dQ>u0b}qpwb{PcL-fvZ}*Ppg*mQ;VD4?t#df*jO1CTfC$m;BH;49EAQaMfISYN<<=`pm zJ*BxaXsF#7c4A2UgY8Q{Q7x z(X@hp-nS}K1q6k%6+;|qAGF{t=5q+k^m_KwL+xg=V{miT@@G*wuh4v5;hqz=eyB9@ zj2|4+86qaKnpJ(QKhYh+7wLLt#c_5m%UD-^nm6}ol%Bj-5!Q%BLCJX0UF7mRyX;2BEOqrafOa5 z0u#X3VX`e))6Hbo@zykVb#=EFeP1Y~pIt6Wb1ZyK$tfXlc$>(?ydgl9?54!-^MU48XTSEEEqpc1O&DI8sD85_w zc9=;bl?_hTXw=sa`lLMV?0q{qcBrysQKJqOXaO3~2-|GcOzx(s_+6ON){itE`7&IJ zZMp75`N^$t_o#{yxhDF(y#_-YfwM@mB!C7m){%mrQJi1#RFBE+Tf212N^FR^28QgO zWJzXF^Alj#KWs^{2o-OK6V_hBejx2rt4zyj7ia5-2F|E$`owSkrC9L)zxowjQf=7`JxlG6;n+<=CGZ5l2YWMWq?0cC>j^JL^0LjWd*Blw+qO zw*_gxoplS)?^;IJef@=XwA$}bc#}Vcoc#&19G*E7QBL)s_zBW^0}PKe=i5-4)T;va?H2nr8v+G8db6~; zz8Ke5%C0A?5q{#~{yc6H7*-mXO+wu1&HK$|d#~)~C#aa=RL`GyjmxVqpV3=t#&=st zp{i10AUjXH>C?VM$PH=!wljE!F-i4>?NHA9pr`CP5m7I|$Ojf_26d^1i8u^R-(6C} zHB~FyQo?0NAJTFMd5~$v#s-^V)uW}vBZ)aE5si@4*U{BFk>ilq_qWS&RV;Sd4wS77 zqA3z91V_`G99XY{B`?eZXXs-I%{5i(m8O-=X&T{Wlr_~VT%4-+->mEi6cX8U29$%S_Sq;WcXGpkfyINLj3a)Iyp;DZYu6ML)Ix^$1M zmcH;3mYY$3y#K z`nI$t6|;7C>r=Yfcq!fZ_>2v_GcxfDx|F0~uO*|=Gxoa&L7|Ln!GyE}i}gUDkiYH0 z*g0R=lI}YJs8uX=htaz&sW_$i7)rTEIDoKUR+WbG8jA#!BMNN;4zZAwm8Pp`K1f5_D{k?>Df0+Iyae z6Ik^AgHoj74PR9C42e?uST;E0Z1^q}{kMp76#kbQWcAdyG z{YG9t#nhE;;TjXwqHxuW#Dw!Si`<0t_=D!%n(sHXx(NuItnl_^pE#N8)Hw^JNNwZ& zjhe&z9u{o^uOQwWyYbLQtNhpmX}WYx$^H`r z_i8$}-`%?>?i2Kf({Kk5che*NISi>ZD@fU8vimbF>!b*3cX2} z=E;Drjn-~NGKH=tkgd4_d``OeCCpik zfbb-PRL3rfJ8OFoz-DfF963M=Al1`HzWLNXhkS4gBueIJiVsz>)~EOF8Enuo-cEbO znYY$~8|Q_I`-Y63Yd1{-P(n%8d@tS9qOm%#qaCbkNQWqhTvgnH9-zoH@yBaG&a=v2 z5Z;LkQgY`rEg93v_te+TmpP0S5&1P0_+9^LZVm(eMQL_7liXwO39wM=fyKcO!|#6fACl=%Tm4GlQwY;q*=4 z`2M;X2qZO{N9fWSLcN=f$iD@wH?XBH;1|=dYFLHEsb6Pf?@v%nVmid`!0&)3;qi zn(41it8}$qU>3QoqmGAWSQ)Enpg9t^3<#hFe@qLg*_wn}R*y~gyMhnrul6e5lqZj+ zF%!D>X~@fKtgGzag)AQCLKHdQr~^McPq()k`q4!w6Eldqb~c#XH*f$&tl9Ozpi;tY z`0}ARB_OgYzhzBq!U8B^`Jud2O{_Npu?}?vA%s+D39e$vT9{ZBsFi-?K(j`qjB1rZ zb?rWf>;zEl98Uv{+Y@M}yR!+^@%ULe%k}BM;}!`_rptRvJy=(ex*o3Cn|&T?OBSvq zc4C;@jFFgLRRs@Xep&vk8s3B_`or&!`k$@Y{{cbiFPT*R)A{rNK_mJ9l`V+%zh_pJ z{l{}E(8Rw1-TX7`6R`kHHVKAJ+AnLf>sQ zTE^Z;`jpb7TLH*OJ^pk`&8|>O6?0cdd$V_;tD{PYivWJ{3%w$Gh&7Yj05eisce{GJ&mzj~2w7)vP zt~K;TG`l8?y3u<8p@odFMt@gNXYC;agB|OQTh3Psg#0oYHjVWan+tm2(+7C_hMzF* za61%%dYLKBnQrfDT5D*?3@F*zr|1Hcj#n|iFq6b_cKXpbeRUT8cnz_Pub$oaM$Hq5 z{DdjnIxp9I(i2hEp{g+vkpzY-ur+2fcieZDakY1^+Nk4-5uLz=Y}kH^dn$=4{c7LU zZK;gF9ucRdRNT=_(K#Tv`y{0m=H9JGFO0zf@MrAiiS^Fu6QeC|{oDOp#eAqe$E{zx zrRi8*p7}v4lf3ib;9Jrad`D54l|~MgwS-QFoo~s2I`I*UU8ZPiNHgchxPvd@Y-LHC zP!vf9tAv%!`edXpP<*LKk%j*Fv$Gx={bLh_C=O@EiQIRL-TGk-vHkQa^>5(fA$?~ig$W)Orr{-y6adW zI67vNJh9xq^h}UHKUv(d2LW7zViP%1~nDlw=|j!w##z&ikrPFnoce zuzhC8SbUjCsU|ENL8&j>Ll=e3&?KifF5qA({^BLlBDjo!`-P}cFLmYuot@q)YVK#Q zwl1Q`Ic+j89XJEqRc7ks*X_pgAYf{UHRuW`q@I2aV~u$JYx-Ly`ofX7i@hTTH9(FLgu^$`>+I_6 zYT?W%IQ}FjmUqr-e6RW=Cg$eLm2k3d{al~%@OAdRnIVl4JJ%J<`esi~S3eFLd!_Qq z(@OJnu#HVo&}l^;!fPf@`#{U*f}6FmZ+#g{3u(e6ZeI;!z$2{iVIAEZyJ3N7eiywT zTqv?bniHlWMfi?HIJ05vAg?DnwIQIOpMpKRT@|ytH%pm@-iyP6k{0 z>7q-)+@~kQ?DFDzS>js;Ovak(axP0(Co(&tv;o#6-OBq`REIVTuxl@!xwCJ;#k84e z`)4cWzdz3j2BcB0>jA2rZ0aUcD?9@*e({W*V7UIS%Zl9X<#5f^9KdZd17@1A4;}C) z_z7ZmTXbxs6zl~)ts|@}fg46I%|+z!WSPJB*ub^)++up|ZL!6h^whc`(7zUr`)5LQ zwWequ)pue|%5&$gS}U5Qp1zGq!W$>DUXtU-?Y5XKm>*`<=4w zyQXMMbApD|n3W9@Ay6^+hZJ3rCZ|Q5l^{tgY&ph{c(D($B-5&%>b{va;L<&v$ zF+(j&;P*{+_%rsD`yGnxl0z>1J-#~$^?BdfYJRvVwHE2ULXb@~U~lGJI7sFCS_8HI zf&}GKqngx}KFP(wJb^(OL&teW+CX2}6E1qKcHCLqzPkUAH{E*YU`y3TVVi^FeMf9n z={Ib5QxPeOxjLgBU%_7}T?BhgW4o#CIR`&5$o!;h&EJJ(hJ_xLbxuv_b!>QNX{T14 zwHnMIgB*{M%55I5VHa}Dl}FtOakZk7I2)$zU>kulIQy>I6Kf&D;FI|L__X`v#g<7l zwu{cjdU7qZpa-ZT?+qqts&g(T;WZ70-Z#SoRS^wAtp504zvd1Ol;y0J(&+EaR*qP0 zm*;3Ghq{*Uv0)#;s`$hdd;%rdl->`;I^oPq%k+2g>`2+D7(~R|yjXiI&z0h=sb4F4 zLL25*wVue7h3gtaA;Am&7v;UR8Uh10E{IFu|jD=7CRlgZtPXv7iHxph9 zF{NZYEDcP##W(070c4PM&|ZfC$t1zW_k-=4Ikw%VR4{96M$H=_96hHI1s~q++=E@K z58@0b?l0;3@rw;eQ{CBRb$B24`i<8@W2<~0(r#)>(N=hDl|1!PPxR}tno=fmhuHZY zehxft5|8%Nh~tZjagC*qeAoKeR>AvBG1m7gw4^pb4ks2?wy`J2y_Dh;-m2A*=CK2? zy^3jV3cnm#u%xO`IVEhI(-fh5vOJe3U1vIw*$AA`PWiyZvho| z!xUkso94K#v9@0Qx?YGc_3~`h{=(8h1!oA<>&iTHr4_uAc{kZ5QksHeQy=K(v?J@I z4~+)C^K?i{OmcONqR`4OAugo9A;OK98>>WhO3yRr=wcIIJ`oV!TWA#d333ChhOzXH z4LPk-4#Nzkj{6jr51+6_^XxnMTXp#LrwV>Lj?Tm;Lm=V8VdqPf9$ti`$nO{TZBInG zX)#MCFK{mQw-k;>dYX4yX#GOo8;A?6D&2C_)Jn*#5uHt za31SN2l-b>RMXeTU+cbkFaN$rUBnC+lGw%uT`I};!uh^yzW0%w+MIH+4>3`RV?cOo4vD@qpNdnZiiDR z8}0%*IK1}Fsrc9pNm?+4{o~U{q>g8evO+8%x)o*f#rxhGc_ntmi*HNdR+Qds%IaxU z7KMF>h<>%Hy;GcUO!WD#GmAHe`dt~CT+0FoOF;a_ftgW{yFgEC60-wJ;J1?{GK4C! zrOihwKV6ma5x7Q-e0F(Wx&yVPn|#}-hhjczr&Vb+HG#0|1@)a21sR0ztr$(nW^z96 z+ozF3VXlua8P}82{HDxUY96_N|MC-rqm*ucvP>YoLdR$qJq?2l+InQgb_|9I8LE zIe?W--9*P1e#jnJUSdz&x%Sl2gI&GxYfOJqShAfWlW<#HsI7Hbe}Wtn?u1bc2@t25 z`n@giZ+mtDd-Beh@09k^{;7?Pt(!61<0mCN!J&FCy`cAMyiHVZDo*_?tC#Q){(hpv zRnMlx{dgkwiNIaT7gcp<-5e>nl!vBO6E$ENJy-;0l46C7|6^GUh^{W`CPM;k^WsxA z(*u!DMRIIS_s*AYU;{;h!m3G(q-l+8kP8{*2LGFnF$x?*IT}>!lYAewV}ze+bSvAb z&jtE>U}4}zG7mgE8JUjUCzPp=R|QMRB^?fn2h-kYhsU#^4q&I%I?L`ohXGzX9CS4gk zN^Z|}Q*kPf0PMqPljj4t_Y@vDDSA#E9|t<-79ZZ)@A&4ax4Al!s5|y2-uG_MKd-9! zq<+4k?>?oHLG``lMl3H}foM-VqH6dtrfw)UsAnC7O9Wa5f$n6n2Jo?bg$B>LTl}bq zFealYW(4Xp`oP~IIHLZ>YXtuY6F9+${eAN-;lw^u?p_liG3f%A0yqHg7?VCwW2Q}|7>cR_3u&5>kWZI4ltnZoTLT(r%fg< z&H(>uFcj+EJq@ut+%!S6QwE6cH=aIkkN`y49Da7v+%16=gY6^de9Eqye?QOYxBf`K zd%S-5<06ee8G=e@cLDR)~A@lrI;5tMy(LM={8ek?)WJbbjUFwY$6ndad(lfnOGk)uZ0e*Jff@_Oe->wOA z3#>ItuWy+Qr@(&yjHlLtk|5qN@9TP%`dt^ zmQ8;M<4e((sn2?HPB)ZxlLTKyt%)h>A1eoCAp6_-Q=UN}kWp6=o60CznpcwV)LVq?q5zXmU_^vPKrG`w~=FtT}hF9#k!&b9sYzlT@qlrw&~G2v~#wzc6hkKtTk1d zK%=1U%PB8|WgDN2%I_eFvPIk(yzD9R9<)BLkx!m}g-l5oGJgVPrqLDG&GkD~;y-h5 zf~M-*cXi*3=G=2dZEqU{mst0jI8sr)s~;Gd;Xdw(gjU26ESGc;O?QtP9)%hDw*j$| z9~uX1k%Pda|213R{Gxj*JX64pE3(mJ$T?$SHw@wawM$e#YrD2fv+`&mdKNd4sv{y2 z46+k9>ah!*6||gUL`Cyf_t2WMPJ=YT#AdEwxZSCkyC(&OwG#|fC3hRJ}uAdiQ_U&+V*im~Y z_U%gq;9o|!S^oyN;V|pg>EB7+-zoVPKLnave(o_!U060oBdsi`#U7sAAw_6}F zz_3$bL8!H@cB+Lji)@EAe4iGBojvt-6qclZvb$4#HVv3|u)T<3DY~Cmk#A-2dYtoN zpj_2@$KZAE$L{|7gvoO;`~{40m_lSP_JzAjJ3mRxXej;!aSF)aUzwVq|B;MNH2NSA z+p3*pf|9U)SR~6YkT1IS-n$(c~baPN#&&(558vg{7qQ(3& zaNl;9v5MhU1LV!Ukh9Mn8h6lSr?|<88M-jFgC{M&JDG8tqJ1!T!K>QN6TwuJXjfqq zP1wG$feb8UMBmU^c#dOD`Wd+?|CJbTEKO2!I62}V4A^H$4aX=2-%j$ z#I#IkPnT-mu64X6V>wmu%bcab23pU-l4X&}j6`a>p*V7EF!PRGIz2B8vps+rpz2i05aRTP3?ZJgv(?iO0BF-f5cPtm_cWSIou+O>4Cpk;miZzRzb zHPn8w$pQSk03)_|GYi~#Wv07^Ueu~PL8 zwEYVa6=lE&{m)AO|2X!Sv=#q%FqDDScQxq%ic`3Bj_f-!5s z0v1>lV(M=ZOY)fpM+UGQZK#}cfz<_hEN{*S%{czoS^-Mj(hm3_u!=U4X2J-FPT|q4 zlKT~%5_a(D6KE%n&WHk4+Ckbu(egFQpFxWM)pN8n9)7PrB$~z*)4@!9tv`nvg65%dXR3Krcmy#z}O{FFDHhtYCY^uhFeXteVj_OuriIEM*9g8)ucPa+PIRh z^pRXCz1JZ}G2$iKxH3~&vray(Y&En&B3-;YYxY#&!?_rN^mXO-WT$D2yDI$Ez`ZZC>!=RTL_GK{ zNqoX>R_AK%FraIyT!YAV9NCD%AMP=A5(1Rv3IO-#9q$5G>wS1dmccIny({0K&RL=H zM~#|X9RtL1%RP;9Xpf%RJ*7Z(F37~AUxZm6D}OwAI6RDFqty|(W<33V z(1qQX%|{~eCy4KoMie1KJLswj3=ZN9-Ptpdia;5eJ2$W6S-7%VO? z)1^pC4`~6WHF>ZU*E{k;cd;8l%;O;=(h$5~hqoM?Mw-!ARruM;k`s1QD{_?f;L~j$ zQ|{7*z57p)J^#jqt|9?xy8+rsnbEk9vZ&_NQA58y7{#Tw*0`rH{(1{PkkJ9e5>ZHH znsE@uHk>Y}<}>PUF}33G$>Gz)ePWfcAHe-yAdLDa_Wu8J4)h*8XngC4Fxm(I7c$i?J-h%B^ehvMB<1AM-??&xdN4zw7aeslp)fiP>zS*P~zdASWfCtS2W zxv9GD-a+Y*HXv}Gwz!M33M2Lb(}tFw83r%Xj_)`3mU{#q*WmW3Ke;ROq?j}2Zt#`7 z40<#tez4Hh&gd+zh!)s(@=E!lNfHC#~A@(*M9$UJnG*U$gDdlL1hWX92+;y>QE2#AohWdAa!+6 zqWN1gbxC$v5XrNW%s;p27FGNNbtf465=WWJ5hm z`cFt&{}S@Z{}nRl|Fzizfm-ahQ|f{51lV-HokaGhfbc)4Jx~UH6l*h1 zS0LdWwkwI=$!Do`t6_}nCnC?6S>N?C#(>Da>*%$5+Tc&5jR5X~?6C@@!GOEqi^mU$ zB+-dgr`V1%k#ZLA{r7$u#D66T5H9+I*6Z)D%K!2`Tk5fLgv%kpKw_noyKkXv;LFO& z2+<&($bkV3!Pa)8p8iG?jCpCcejm9tMUB;?yxh_SD`j>QVeyZd*8XfU`f1NC*4I5h zGT9p)&^)oz{!|2;bsu%?7(Kt4sKU zW}din!?f^fwq^m<67C0o%O!^zfbZ^bQ-z9B<}b*5c-}~QR4(+$JU7J=GD8EA`$U+4 zP}0jQ5t+!qD^BDcKo`57vLB_*+;}4~)IF%N7WUe2pU+;1^R`C$7?RL0QE?cw^x}xT zxL{$fBgrc&>$v1tkG+@o=}mZbHd#yjFes|YNqP(j2;(vIaN1ej-hu&O{D+$2K>%b0K+{-1f5``6?q%sdSHNg6>07H+DtrOFzT8=OvOWv;rE@k29a; zzJIWlq$DCShQwNRHTLMn*PeB-87cI;uK>Uc*U?`K%4pkvf{2V78Uniwza_~wCwDjs zI*a8Db)#q)Wm|1%l9YHS2j(Gb<~8wFSj4s2cy=5RDS}1Tr8tkJ&XrcA?y9B!tC$0Z z^A%v$D_)HGK1X5QU>H|V&j5oE&Vq)4{ute}OaZ$+64n?Sb;#ZYKVLAs7U`+2e!rhm zI=NzFNo#joH-9iKLa*Onf8>||;;Ceyp&FH_*kg>+MoxG;^DOAkf0{K<7*eKWWQ{Bc zNM!vO9uMI~vH$%%ZovHckM5)Yk!c100RlZJ|KJa#7Cd;t45St~%zqX5m0D2A9^kHe zEdQl}lq#yo5oqJV$jD=5l~bhQK0f)}-onjr)v!eRSl&{NzAl2jMEgYAxfSC03_ zdzDgDB>N@Y>TXKHMw^ayPSz*xr|bjMUC*WCWHs3u&A&?;8!+?opUe#APzCaq3Km-@ zB`FCgO=~CXrC}~oh?ceEJ&01^Qre@TRQEZV@ngTVdo;8W5cowBc_M$Ylqh1bpzHN$ zo?{R?=}tS-=dzC-Tq1w9Qln>=){SnD6kD z6w@C!8SEMR?_O2jDEG0gdg>}`Tr`3Whc9y%kq0|ngf|Q-gQwQ_%W6DXmgV4EwQW~m z$jSJ{dZFlf3yAh87-5188oc)t^oz~AYU33Kvmodem|{CiHHhjz(4mkx3fr#m?-46^ z*@t!|YC3h|Deim=K500Se2z0D*$Ys5Ne9`G*@^FOd+eruf+WL~lyA9bi*L*!1=%yX zweQXw;*iWx==qJiAybt6=upSBqCoIIWQO|t!jF@#5$PQnmT$f9a91EGL7If8z8kUL zgdjv82MLOehk*oP*P;rkkz95i+J%#oOe|oPA4@?OXiV1`S-KrR+)sIlu%Q%wl*o40efrH*~FF^>@J3!t1K{yM^IwSSPQGHJIu&KL3mJ45gl*9&sV zla+|7Pv}KeBm)C2ru%AYAW_a-WfN<=bBi!vuVdo!0|v&tBLe#H|A)P=fQlPfE4wZK@Z|1(4p6Q;RncHt>-P?=B zs>43JIA`ywv-dCG|9zx5!N|bqkY3i9Qt;x6>;hQJE-cuQtIoZee&b_Q??}%BS>xQ$CQXV0pN0& zLgOxUzNUWaViksyre_Q(EN0&P8ywl)ZB{tj5~PpkVs`wDQYuF}k|>NT&} z%4;NvS)&zyh942nhsDQe5r)x>Nv3>HW*F5V+*NrjP#PqN;}O=yH3+beE2z6hQFvAm zORN~beC=32P~spwq5xZ0I_I~!+kSHxAV|7h2Elh}oUN?GL+0pmVqC#p&E5D?8J?!n zACFHFCFGBzbtikg73lLMQ`*)&Gxr_SdEsbPmrr9bS0Yvcj>YMB(9_#UUX~9JL8TxP zt!*JY=s+cO_Ll1+5u9#y%Xs*Fc#dmtVPxTJk7o~?T3+Tq;Wd@O^|WHs`_QfCH35_+ zhyWadBUMKBnSZ5Zg?2>w6O(?zb`sVb%E1khS`^h~Qm3Knk@noxt(Rl`O9Hkm1y*dfkS!S#bFo?>#_KNdT`uzaKDl-1 z2EWkiH;$^K&mVm)^R*V17JVDG5B8h#4k^A|qSD)N&)K2a!7e*Jo(on`+vR!Qpj#i@H)?Lt6xB0m@;!Q=vxQN)Mng4^ zKAUZ+xJU0qzuflBT#c75&cHW6?#XJtD;q`zR{<)hLl2>^{B(w;E5t{@)Bv(z+}d0woafs1^_4vzlqTi%sR&G)UKR%Q9J4l$6thdFy*klV;XEK3PbKV zCk3eQj8qh=VT?D+&p$-K(7ue0SgC~AHlA&78E3HRSP)dNeR***Z&~4QZ#ho8@tI*0 zIoSn|FU&3)x6|fUYeiyebbKa}Sx>9e)tvnmL_Ul{xoZXd!Z3P35*~1+)Q7|Jt1bkQ zk5Ok6;pQa@-Dv~KBYBDkx?!G|%fbFVQ9rx9TeZq5dJk z`CnS2{&bEmeVSi9?AoOiz1z0HI>unwW>!$eEmYWG7Oh}Ype-V-sxmg3v#>!GI@xfn ze$d3@?rfM-%4)R>LS@-0w4xL($qiw{F`*Tf>kbniv-I)>LJ&BqZ}e1~JV|kZ5JZBR z83ho6(ERwGUYY_doq?2u8*`t!HNPs6Pb7E%=$ZF!gV`nG_aUUNp4Cdw<@+@SIVP zZf-h0_`mKeA~|WA$gs&3oMOY&9bxup{@~`$d&JsS+fR<}7%cPXaSv8iqD}o?g_lU6 zx&Ta!3Q)>LiepXy)JYFEnYNAhR0;i7?@Do^F)!}2?gWYfabK&z{WE1pzt-f38}_%@ zM}T6Y2kDUqlh;^>Nr~SnaE~UgM<1vxNB6Lhg}W{U3RAWC--o)8)LgA;&8$bxNmW6f zA$bO|QdDvcZ1<8-IOX#opht>uoR_|@Wd zdb;TmRq3((rS6pAFlT`0O5&J#%_*^&o9AGyFmui$eAJYSLtzqL5pVCc(f3;P1ZgP^ z^iZHQ&@^aY)RHI&4FO~*ZQ8!hys)iy2ZX!HXWt6#Ndrmj@j@+F!v3fLes%bm2>Am< z2G>U>Fyu)zMvLyztu&{w!OO5Ch4X5@AwjDiO~MViAr#~4d$^Mpt>#nVjhXKmbu;lq z($Dpz;ZvfWoTC+5VwIH_7QcfS(EGNOQ}TJ0tS~k{b0D$g{4xiqa4h z4i+iE(2<=vZ@Eqi*)3AMyGJAuZs%PPi>Kr>Og7JteWA%0+B`nT#-F=Zc}z$gQ|H%% zcWXiBPXlWi`PEk-mg|9Q`V&S;RMf}K>sEbj%Yl#jNH0q2T0KX!^dRYcddV(^AvuS2 z^_**N@q5L!@wZlzYlqhuK9T?v86^E4d&&a=`a&Pg{|hJNJ4l>Cq`->6JhY0zSZeG% zf_3L&bHHNSs6ic4FB4*r9bIo28+jh^X}cA}WuLS2CDxS^1A~FAYaBxt_<=YQ8X62g zx{SP9{!sjM1Ht5{;UE_)X;B&Vs*NK_uce-0XFXqzx!QVt5&qMhF~x zUdm^We`R&sF*iLtQoQaFdU1Ly0nd4Yh1?|>og9d9sU)&~zc%cpl7?EZ|7bLu0r;LW zRucwL<$6=kozgL%a=u1m%`zYc9d^4pN|Z~)^n6kRsVa@ZjH&tcIO#OnZ?z8FxY73_ zi`o^ZKpeFMbxFaEO{T7#rM6F#;`n(AI!i1#2~t}z7DOuo?k6N^ecSu)=;Dt20cGWP zLYL^kw*yrhiZ{MFPC0FWPG~u)%Q0L4>n`48Iu`D-R2QkRxF4FE{q5x2BK@&lv#+By zaY#nZNVrZAW+#R^Q#6S9G`3eqtyubmYkc>T-9c2v^4<)45gfYl9n`kGzXNtZGe=!p zkm*@k>D+Dz@4>4UaN9(Pk$CA?d>45b0Csp%CLoDZe`MZE@SwCu`^^N$GltxEcpBG& zuQGFE?a`yxoFa=a-W{iG+2iqW7n(3|C?GCfC3x&-f+_0~Xo4ijeDDvb;@`oN*(H5} ziDnCigD>`e9_+)19u7s{q3YPAm~thS*}0gz*LDhf$Ed|;DZ$E&xrouW4(mw~ySAq7 zsD7g7#dP)jTN8^sM#(kfSxTwD+X+}r29oo@*JbZ8w`^QV^Lldvy#}#+r2F*6i#YU1 zH!uu2PcLjvDf_KM<}aln>+fH6yKyF-Y|pUh?A$bIoYJ#zXi|icGM{RO_M)jI=yObZ zAlR*St3vyZam!D4y?QTH>zBV+6rpYKv-Ufac}7ID5>N)jI^rAQynayps_UXme=x^V z_CB|y1p8~7v<rzT9Oy?ea_ex|GvE#V-~iVCt&(r7`RHlJx?%3WNdP}-qH;VOTD2#^RH z6CIaG_h~9k5k)&jOSSW<{Ff{L=NrnubgZO1-)?TrF}*%6VhhU-9;6GF8kdk|;Rk^z zV)ba&MzPQ_`5kn==;&-?V%oC%Gx$LE>xNFVgH@HBkg}q&;~8#yUPM{3Q);Qb-`w=f zA*xBNDlGJ7EQP`@)MiS)D)o68)|U>&NOq|Y;V|>nI{RRgsfecQeEJeJw_NgN!TG&N zDOb~s{rUPy(rs57<=Y36N&Utqs&&^x)|m4zmYst?*doB8hG>7W%}AR&)Q>nP){;17 zu*pzM;{GTF+4$5EnEl$0h!OduuLxWFgkxA?D{c1{7cCzrPr3yC^QEha9vTvD4I;dh zB+lMM`($oT2|&;W7UJkVW@LI&Dn#LvEPHghu~XDk++z1JL;vf@cAgoOlTlh79eA9aOI z4X2n%gZemT@~evNd!Y{iP7l_UoS}6DG|MCxS~3VjKVP+VoyM$ z%3Btl5h9`Y*60xz&TTz%T4x88e|ja!l5A@8nBKBVS7V7n&E2h&B1BvxtCJb^O&qm- z+*@I^y{Ie6wAsxGS6}%V`5f~)>%MjJ3_}(( z#UE>Xks`#{$Sibo$)c{R{#`FSWk8R}O5(HEF7)B5HI)W43qE_Bvevg8({J+K?QUJ} zy(lBJxQt)}{R1U>COEH^9dhr@SZdR*sEhc@sFe=p2Dpz;98X4X=Z50M{eySs7_{otPJ@$v`zOIv15V0jRqfr*Jt4L z^+xVaOry3bK#z~X)5$m*bhIe(!NlRwvv9|F!!|J+$wjYo`%qr?OSJ@onN;}7B}=>Z z)%UVZGZi>kw2|w!k%}PtMfvXA&87tC+zhv7*P_|m0-a9&SK4J%GaIQW$`U+klF{TY zLVUOe1N)T=?bBPI8+#Q_0EkuBUDkW2%ix}2jsw-E#KKzEQ_CERhC9B>;v(%RBoDVu z&*ybx>>thI!tD^z?;Gz2exB{!-^z*j_LMR+=$ zD~>%O`@)^Vn*Rc708y|mB)5zW&3R+TaXC_xQcOA@=4zz zLrZz8fwY(YBp-9tdQ#!8z3S`)U#qMu-Qt3dY`)c8Y9Pg_R#$`ChYH`X_7o2IBJi-q z&uYTK5;GC)kU)i!Z6VYu0c4rV>Z3KCZ?$e^*+TY{1LEwrDvZ~&hM{ic?yTgtjNNXr zNgIMVSFThuwswlLRI#7PbDFzSk_)%y!F?LW&SW3qh;YnPPv5><`$E^&JH3@Z(BS7k zlTLmpi-q7#^U#Q#ik(Hy+6p`q$QnM2Ji4Igads-phrTtY1v zpjqyE>VJV&`FY1rVu@={U79Pgkbm1x4A?t z?`}WxkaKzcRzH&Le(8cMVA#I!eBDd2YKV@{ZiPfDzcGjQM7OLE5K z0aULdOnwKQ5R)9mSYLbxH8dZo?Z?DRhaBj5^AH(9#Uy|q2cFVb zW}bdeyYyLG8woWj7~tj>D)1QWY%slR@Es|(xykle$koPj^l*Z6w8yj5-r%cy6+HM9 zhC>~S1Nd!}Yl>C%)0sP@GfW2@c&e>UKyH2@6;HWI@wNHrRprlUD&XY{@TQ>sMqn|CVj> zTVy$PAp~#e*6oIrN%%;d9|-EKwK&gS`P1S2O|ACdhIRhWEGC!%zzyt5UPWD3xNT(c z!mfJ*Jd@4AZZgOus~+$V6tQA#=?n}Kb_&yvOVVB4bHqJ!#5Z?tbUL5|0_{FuaWu8) z%ja5b?CG_WpYacW83>)3a7EVDm#Lhpy7t+n>r-BR#2LRtm}kmOiV-adZ}ytu&o2JA zru-jlGr4Ni(nq^8el?x+jBieOLLA07#FORS>Z_nrzIc(>()1wsW8q|J-89={^{$wC ztI=F$w@DYz{W+JYLtVW-A!iuWVBr2)Vf}Ou@#oWCnaBB8Tb3_KwY-Ea>qqDPzGd=0F66-bb>oZ6%ZFm*(cBV96I_X47N;gq zVR9PocaXxZTEo&Q2IRuuT4fZd@b|Uz^n`hvTy;OLFBy`xmyuBm1pY_6#pzhbTiyg2ifGtpKGN?!Zuk^fdR|24$0k6 zmrNBEMSVz_Ut+HXpd%lj0jNU+4ri+*DrMu%D%A%i{FG# zS;g{H|79~+fi&fKLy8Lz8}1U8)lh}DH^F$bc4p2svdA{Kq3$TM*_o8d%q)PSj?u#r ze+fHhM93o`nXb}JHO@QEQL*rdPjCs?fj?fGnMbcW@EVa1qk&}=lC-+7zjj~Pzxp)E z!H25$FkQB}x;a=Iuw_(Z#0-c`rSaQ|yr&!1&IOR57p}1?V|R2VLr*N~>mrK3K6$=A z*Uv(VDesQnd?~?ddH)uga|rM@Ed%>vBVFN~v|X#viT}std-?F{@U!!%UFz0m^17eV zOb0UH9U{Q~m)M!mI+uQSy6Nc_1cewJe(Sunx-txb0D6UIW^BFgmdgu5`xwlI&c%8U z8yC^UJVPtN2q4UBydDr7r~LwXL*!(aAB~bT^;5mnT>s4GHj3c6PiZSDWo;OB3$Gg$ z_+s@dQR%b?*tH{78{2IZY@Auy`Vwv*al8y+~MB|z( zN-jJsE8=qabiuDm{QoKK^h>WjGk0RvSvzyY!Sm`5&b{B(1gan@5gS`FuSZLWK4wN2 zjBoQBPon@h_3*|inq}V?FgH5J&Pbv(afkAV`S`nj7YTsW)(UF_%fr^ zs>n8!Y3!XxhYLkRkcwpzE5e$qytcExIT37r!YS=!@KQXu+jrS*nWo!721 zdwxWwBPssl8yVpd?Dg<9e)DSA_>GGvbEQ%IBG$Hf+hrE{E4OF$^=}rgC#wSF+dxWh z_@elOBI%~+3f|05+N)kZ#WfGfeVby8Ge}i3Jq6x3Yb+FO$&u58GSEpkPch=m5lTIE zR{@o)D0iVmvjyzx*rsAg|GA!Ozcp)WJJ#ICNdy+@Le|PoI@9@7SmVw9&W9ro(+4DI zKHk{?6|ro6%XnsU0K*0bzj_Sf`UcK)8~j~S5u!l1Pw%m;J^|O;CSzN|;QFa*j+&R> zLC$+>Kn6e|ai^o1uG1gW2ZyW2_n8k#L2-9UwE_EJo_JN+yY;sWcHcp~j86D#%jqj? z%^p{`&e^pxOO7`}k7(}IoWT`w^@;aQv6i0CotN@5LstazeraX+={Z`uMhhkev+AZ0 zn*CgfNR1rfelq5EHH1J!ipN%3)NoBmJjZZYYV>k+W{6oiBu(W{M`%FtM)WW%MUZ#=BHU}maMf(%j=A^J) z)NtG&^Dk<_I6x5am@j#}b0VWyhL*0M@*3FPl>aHFtv_eo`laLF1cCn_Lf`o3rZECt zhJROVw^qWNc`d!`q?Yvk`rf&$13yg@O?MXjTeWGt$cBRMW50O8;w;wfQEaX1!s5kr z`ZonR9ZsL#J$Pd~WM3Og*zg^Mqcz5%!7)rQsa!2>9J#`U_ZcLO9Ql+6^xWoMTOp%| zDS0&z-v9e>;$P$S!Kka12dPXPvfoyz*E9w%$7`6dR>YG=eJV{oJA|=*`sl4#>#@Le z{L(vn4vf!)$&&8+WjR4O?-EtFd@DE|Awj3iLelD7fHY6t%pFdFmWG6B>kI8d?w|*l zI-tQ(D*6xvM_OpRV*@jdOTMsPTnq zu1;!% zwOe>S^WIwm1x-SRty7E`t=^d)N&TkbcYTzxgLBKVA!;5kwN;$onQ+5ny54cP3v|Cp zxIEmn!eu4YPHcN>ocF+_ZP{KznlpTVsWy+}OeJ4}mub873Rj3=h~<2j$&z8;JojsS z>7}$Z=S|Dr=qx*OKEK>&>jw6+Zg=zp#;V&I( z@gb|{GNzCmE)elKY0z9vA?{j78gYG^^Z`36m1d67A^F#yp*?wNig2)k*Fuj{+iCOWGIPmo_TX9H?yFTDaxe7G6CcUA>)6*{#ZT>GtPy#F&+(bjtdB zz1qgkmfkhEB^!QzbVr?#P)6A>fV(OUn;DFN07^s1apN@$$l88Yb)y%X`}O5uS--ta zOt}t_g+RcEi?W)`zmQi*k{|7xHqH`dj7Q9Ucz8&$ux~FG8rxn=x59H4+U z88jX1IO>Vwe9u@2@_@)EZI{?8Vl^N#Kifyq=g-4lrW>9;wNHv1*qu8QRo6{#Qx zT8EmUwPz9L${5m&fTKUV?ruyo{AA@?E4?aj^ST+ZqOc4y6< zhBz%3i)`r4ocDUb5-A7{LQh?kdl1pKQK>I=Cw8>vQ3!}m*kB=hA0~Ly1p$y1MskB= zp)qFsi(${L*Jtlcj%y-}&GBW?(KVBc=$r`~IGP(UztVqZbMaWmo24*E-J4!9PEzGj zm%CS(zEWdNK!!+b%GDAxSQKO45njjqT)sCmbX73!r`ybb%HMzGq?G*BjroUd@SpQe z{tc+vKg}{-d=tQ;;@%y-kUN;OTj{ADT#uo5Z4oV9cR%eC)=wInc+0K&V7^vW)zwyT zR(+z>WkHmQci`knZJI#0d(Vz)hzPt1Rv%9WvWmLUim&LmVb9E6%ju@GEj%gE9zhzzFjE>Kl=8ICC0xN-t?m%T<4whO3!4In5$K|ZLxhxYt>m}W<7>3 z<|seUh`f|1;(X3p+6x_e8wq4x*_KPq>KgxjI!fGz_&_r;+Kf{iBT}a}8BIhuhgj=i z8@&77t|XlFcP^8bKEyI*36EAuW$j7fA3cs1Hh2V-;!|qi7@>#nYDr`n7bSbODxv+f(_m&-z990%~?ROjQqK3J1sS=(Rl}D@RGKKXw zAKH4FHlJH*6}mPXds^pd&@Q^PpA_fR>Nj0XD{P~ruBL2FNbRe{eQSXJl;(Ab)rbhho6y)9zN|7M@@> zDmRVsl9wU1p)*JO^#ogC@QYY`dK1Gp5fb`nZ5!FtC4v3slL~VemQaHCS`sxWI0>#B zNwRN^ql;wO$We!9B(BqV&!QfBXz5CLaHM)6gf3EHe%U^yQJY6o^Nc&eBf<{9AHi?+ ztTtNjQdh|uWw>G5&E2{r5sx!v8=}3}3yIOkZe#%RD)t zcZ;NcjaBpa`ur9t2sQCHI|+Lu9m`_W;(O{Y-ciep2Jop*P+Xn%(5@O8!m}sfk&utZRa45|S%)_p7+j*Vh<>yIi}o1$U~HWXsTP7OLII-a>5Q0j{5)whKECAy59Oni@J@ligu z6Ru;X!K?>0ZqxQ3BZO4xV^D}`Pcqm)ecbWLB;2zMw?~Uc@XWR&bpS{PqY0Oa0=4^h zKs9ZUpd?8Lp4ZJv&fZ<|FbUY(CrQu++9@lq5cI4jra^XPa3kcUyC%s!aZMVcq6Q|b za9jU<>Zacz6r&YOx^d&HZ}DNR%|*Q5#I?d9YD7$Wd;^JT-Iab8JjqwDkmk?BX=Z>- z%{L$e>~1@n6qG^~jM}S%i7vD<3R{y^bQKB2r@Lrv2@v(WVU!VzMg`Z2@B?O@4r9_b9=d#kJ8oFO|O2r}1M5<^$5#FRYt8 zz?h=NQQEavrYm^!$q_Ww*gf!CIrEptaQ7=j2Rno{%WQ!FF3FpA#4|D>m*hv;zQa7B zr?b({f~%5R!`?b1R-qbj{vFZ?j!5F2A7-t3uC}xW&uDvFAm>z9qDiQvFLB#okiUj` zVJZcoxO7p{^VyNf+Kg=!>_vW)_2zTlSZKKV3&0H(8T%z)^xu8%e~T~t2ftX=RZehL zwJc!-%EY<&5)%%`)If}m4i>4R45cU=hxD-sXu5zJF8!$GlZ#o?xa)hL1$~9is!zgC za3}Z!AGIhx+|>B01FCcJp%#5}h7-1GOY8k@|B$^ll-R7lD`BFZmY9nMj6g~xNmer1 zY0IZWV=;?cC8vg}H@y)|8Df#r>1fLgtL-Ta-?NO#2Grrjo0^)^7ZnM!|H;Vv?|7w) zKeE3I_u~WNhg1GHc9kVSsr|$6puXO<)>~8nAN+Zj_n)uf`tP{Gf8>As@38IvG$V9L zv&FgNCFy$OD+y}u;Z|yn&+MzZ<6p7nZH+|m5QY6T zn9S_QF6TCh!82ivX$LB5a2T9wTaRU|iu0ZyG;z6ZO6M`DR;HAZEu$~LuY8^)A#7Cf zIAi$Pe_!D;9POoEdBrhRVx~_2#^R3Ryqs-ap8Cm4?=h408j5)k!W$>Y(1D}U8=g)(9b!4MDoqhY^Wgm!{u+15&OeL{{wtP;e}y69SHAbRKqLQ0 zRsQ_xVfph{x_H1634Nui3zRLot~8c13J5e5r)<3A1kk1Mav$z8oZxW7aUSB0sw(M1 zL_DoIiq}~HP7ijcZrXu-AYsgLo#~t*C{e>(g=wk;Us`vKBKu9JQNr>L z$Ve|(npBeJ_KrNA&020^?9bz@|LpNY^)U9RD=B`uqHl}-KzU)6&F9L~8vB#GE}BL= z2}b}YCRlylzT#2ZBwPH=4=?sredxf=#o{o|$LvVri83{eb-1cgI+$L@yNI4vIl2vf zfRSi#sYc=5chF?5zxjPGK!&6Wkeeg6Hr~$L-l{Kd@$sS@!JGqNQQ;pcpMw_aWK9h+H=SjpM69sDu!#{}(HTdoDfoUzW}ITf=+&H}x%khCSyuhh_aOuMh@j zq3TIOrPiVtu(D@K(ko{GE4x7 zS>4MnD@#|-ccmSB2HdFNn%%HA?Bq0Rw-MM)Zc<4H8`J-6+5I8e#t-)g(UyP|Av***K*q z#7-VwC=ME#Bum+VIFW;LbPgZo@G2KFYS2~25=MS{ow>V8If!$w>2CgN)gH?-ji^>) z>iNSRhb7aBh`4!O<{mW)3cH?_*p58D4+Vje=!HHK(;GDja$J(Nkh(PFQ-lPhsOyF01dlK>xNDIkj0( zzdk+r(0C&YU`)G3HS;xN!n7{-re}c+-PFA980*H5E={gLbSt=?;9May<}p$+D)H}D zaQ+Sw*5$IlcWpTpI+GvrZ>voEvVPK}fPN_WHFI$ds^JUP)&)4VCx>F~c<(z?jKkMm z?v+!EvT}3p2-E0R>5bFb3#%FD2bO{b^?Md>lA$D5p9<%jiiuhXkLg+B*-&YXZRwFm z#m(bQ%s~4n<0z#JLGcGZ9BZj;+D+Q{t926`JdaN3s~^5Mty6r&fZOZp19F0)uY2$s zCHtx;&u?;r1lz-S_{3>^QG=xVKAn35Q8iVc)R}-ELxGm+Dk|Rq_DZY^N%Fs!- zT7PLJJ+|c~yd_JP0E$HS8+v)=&ADBlo8Yh%-0MM>cnL8Zz7K^75np}kM$6`Yp36y3 z)ru{1pZw5iZxsE*q${;Rt%A$l>`qC#gseEWnq;ESHo4Q-iC!}k_O6<2I zz4Pq~iQI=N=TMS??!TGioC2x;C0G0phvP5B>HkS>bR}`nFAW_htoX_eRc4#Xps{6= zSdv~GR-wD6^Vkn-BpG3_JJauG^ERcf*I2VTjvUo zEZt{Mb{>bN@~Ol=ICR;bTa?BZMw|%dGyfV;ZK|%w$`U97pn^)&_ga`Ht}0q5U2h#v z3an&oN*;PLo_0hK8mvFizzSsT%3t*Fu(ZyF9(JoG@}d-8Zq=AFcAR$$8* zJD+S|R|En;b){b-A^Qi+5S-Li0V9a;*rBWJ*gk5J>0RBCNqhsn#Uq&155cOV^lk1L za@i##LaPYxauWa`1;+i;mCS2oD|JO4OzlAIY^2VEzMbwcxcbMiuph}CH{6*FJwG>H zCcI|~*`MRHM#fuvg|lt@E~08h=FlJ}=*`+t{{wug#*j_uDk|gsg!S$wY*JzO$D(=A}|44G0&K7qf?VVh^v9@AZ-n8}MeK zNqKyxes8v%@XaW-ZkevbCYE)Cjt|5n8Y z%lR>>jBpzkDXB~bieWt{HAjJ5D^#B5K$o}j^C5!$uK9u|(F7U5;LZjxWSV*;46xr{ z;Og{rU)&8yeuQJ~KjEBNbDOd);_^XQ?HSj@w-c_OV5FognCrVHP;FNpCgom1jz{n5 zpumF7@)nSD>d?*;9M7!~FMt#hO6x>7zPpWjEgkVrvGWT?h${ILrTXfI>hfd6x14N} z7jiO-u0+CHD3p51nX!j<+~RDP9Q}Hs`A_iu_52xIB*#p7;$Ko)%w}!d-`7s)o88K8 zE={PY;~&*(BwUKd%1%A^tQL3{TvZ{nk)1|whTs3(8CM>^4>SM{=$JLv=b^6SdeR_d z^+^ja)Ui-*kyTwU95AwD6@d)SKa%bF|JC<5A-Vm}(a!i;Lkdvl`^h;ptHTZlaaWGZ zw~VG^=lHrgaS&?GOI9qf`Rlt#jr|3(y|`c`3MD0fyWJ$oyKpb2bPGZK+HZ{q4UR3c zwk=k6ln&+Bw@&WN$aUu`iVt*|l*_00$XX^!ZA*&)YF$TL+CjqQXomqQZqlec4lAIz zwk` zMYN^vG;157W31}^(uMrZ&4VwrVabUfot7#S8(M_`eWi(o&-sq_PT431g!S_X<~T=YX2ay+;Pi4E#+ID|s|0Gsj6h%Chk6aacxEfV@{qNn4T zE{yv+_7;1W7pjhp7kW%u?eTGAB&0K`iu-C5gd z0+aMU2rjh*DhZP{S=di(9Z|R;8)Xz_DB9%s+ezoNJ2|gXg~&U5R&a40rE9WFN{TM6 zRo%^VF1<8^aoXl3V53=~bF-;)qK&Gkebok~wI+4Ix+TRv z7}=|N$ZX9UKToj=9@qDFKRMxJ_SA7?Cs!R%k4sU_8mdxqxBsZ=y^uC^p+z_1_l#q5 zTMx!oM3qTfZ*pj-89rItfh5v8?=LZtt=7kut={yWIOdrPA zQoo#S;g>j_N*xuCDO=(a`>UsJI^ z+iJI!6Evv&YBO2pL|v&to_A3mda#gOeXpQ%rBFDpeU&Hdz?>uMnsLRjHiS76zSY~PXjE8+^D{n5 zD)`&srRo27v|aro$*w@cwH3me=P{cui6 zqqp|&s?a$2?DzLM{5MW|m=hiI4jEdd9WoLuq|D5aqSaN!L8caZ&nC{71Y97+v$(f@ zqY~T(7HGrdqV8Kaan`DiFS&A;oNWp!+@6_Lh8%F7HlXu)=4M2yEIb(Xl3bd^fq%Y~KUk`Hf!auPD<4B;HgsK~%ZShrP{HTNkDnFb* ze|22-u=8Q=jk7iACSv4+;pOYBTWNJ~^}|kW^eid(*&r%6QzR!??g*mXQUkZ|#V20a z8Izv0(3N|tYMAbI+=?{hdWdQ5_q?uC!=q1*0c;Q=WlM3(gPyLMK*sWIc@55eiepRv zi7g7&mA|f{o7TvjZ##vpl${&s%Z|n(L@msJdi2S=vXd^;_)o<9 z{&x?S&IDbq91Hq|!d!IO6vJ4*xlMfAh>d2Hj^0Y~X;CfTDv`q5jSPA*Ue&C>niwTi z?XpXENVoS?f6hRY(+n=f4gtUIF4%IhlOg-23b!0U?j|}~yOnq4KnCLKQn6hviHbL2 z`kq=Dgrhd+G>z-D6J4B8lns2EcwsQGBOwCsAVR!5pCc)b&-Zod$l|V&&S5XLOd!IY=1ef;UgEMsX4Xr*1pwLIHjrEbvoPOL66$D_rdw@r{htm zv{@}687Oq-;~Ka~ex>g8nL)6l$GhTDD4zi3jG1BImlp;>y*irRVTF1ak13m7(lc(n7r7yi_&c$+Q2GgwzH4FFsh1fFFcoT3Fr<0vFV!?4#tEp2i zmK_K4ExNu6%u_DKP6{Du1a(s@bxkua-i5Xj8d#eNB7{Ww{nshv&Lh$!!E&qi#Fl_k zPqi+gXe9Jjqp{Xo$)d(7OJagXQKuqUkqs=puNN!MC7xr^JpD1nnOjE2HGiQ;Ua7J| z-STT8U*W!4`p2FfC94*^aUX7%QNe@Wml3vy`-TyE(yJK3Xies{!raPiqd)@SnKhK; zSX)cu%CE=!-ib5*m^ikV{zX^cM>9nU3NY7*1H4IWYR0Xp)1-d))12bn)53nktT}!R zY&gn9Rvqju6tpj|VmrImHn!Nb;%8~SgeJ>dv?G=B_j8a(xGLpmoxG@uOG+Eu4oyP! z&@1Yv%*v`8z&v)f5^M;y532Z!uBV4|Lwi)JpvE2QTZ><#*1$RhCUsXZn_4L9! zev{N&N3i9BPb@0ALfK`t!KI85)>Qev^bD`<&C&7rBb6=}Yp_wU^&6Or4>(qhbG#Dv zT9=`@J46f$iSRovDam@FV3YMh#ems&5PFovs6a8+H`!ygZ!1B<>>>(@oP38<}*Rt->&&r&<{OoPge-#|w@ zPerNIpbe-LTE@c>TA^>KSkpTisWmA)Aq>-y_=Md5EpWaGBL4{On6!Y;VP>z95)>3kNXBq zUT(kjnm(q8U6Uj(gvX7^bcwQ2>I;#bo0)+0bE>F41uP&#(#O@kWVLh&RFqfsr&hz`-g^{5!tbDV6o6&nqvRJK$L}C9^bi0iA|~`5MD+u~ z^w+)a$ZlHi(fugCgIWpPfpVL%KlC~ZxzB})36dXE<<#Em-?)GAY1O|v)o|a6YHh?@ z!?#I4D!C&Cv?l;9F`yd$ez#Z#!`lIxyqWA05%8Ler457vdH|3Em-7Ri7!u!|{pbYI ze}IaQAs#SPBWcX&$nK1Fi!#DPQJ=BZB(f?fN)b(MXp?4+Y&;w-E%1}jBrS+ zfG&rdwwwDNqlgi2IOkwp@Osi18E=&7P^V@=DF#8tq`*VR^F(+HBRwe%Bh zh%Z8XnFxCDh&S(cf-GM-!_I{iwugJ{+AYf(-mMn1$E<$ra9ws9-2JlDnwfT+-r`ej z7H{EE@hNzSShvO(ji8|r&9!0aW^c6Dx#_b8idbjA-+@;9wH{CsifwnckZU_vt1a$a zbF-)Af)Qc1PcS?s&{7<*E{9KqPb|>~iDq>uk)r9RPQ`JTkkKZgQw!e~^0XX3^PgR; zaWfRhR>gmSttNL-53jCZXdt*yzz9?G4opU2f}a9Qri|t^AB{HF8<+x~Z*a*~nnU^y znmMdEB`MJ#v_|-Pb}sFz9c*Zc6{zk&;(H{5kx#2}`OP+~? zF#m%ynb8YOArd~G)2{)eeOxFqY?Sp05aq^@3HK@2>ly9?Mw2(i)dh?iG?0McQ~U&h zo>!ZUn~>8|>1BT;s`3k2O0~pJFYk&)S)t+k9BLE-@^Jh6l_k3S83W^Imoix3W>a}a zCumda&^%_UHo&cZSMp%#+Q@$X1J|C($5uS`(? z8r%Qx_4$!NTi)$sqMEv6fME8jxSfHx z`i#Aimv#?B1j9bPi(=P5cm0~qD_V4!Xukqks+{jxG@Xg{g_FQZXGn+$9E1~Os|(Df zzr`yzj(syBG~JzlWvG5-_};E+lf3Zpr#CEcVC{RNG9&3eO24Sa2LLMl#!A~4vze^IM^tU zQ5dD+?LZMNIs879$AG9L`l&wo@^K1zXa=OCTA4Tve4_0nJ0m**N%PFvp``KsL-$C&JU3<5WK=@Ww*=X`O$7Mb> zz#~(0kXF0ZTD3gYqUj^eS=PJ3nMU+3+x#|D8Y6Yp$crV3{h25X?#F?Q|D8%y(G3>sxu&lBZfeJ` zoXpv!K4>X!*7k)!f9O==y#E&b9}F`1UkNVwTY!WA>21FSwEjPf-RFP$C-`f}_gj3@ z|FK0B|19Y2f6Dt1=+>C70b=FvAj5p2vjtB;s-lGzU;>D0OTQq9{SK0wjh|NhM+Xbf zSV`FTQCGY!qO;WLt8VTQOe@QkoT`b2e7@b#9wR*K8@+CK$vP-J)22VN%D)Tv@JpeB z|B2`R`hx}3m4EW#{~}W4Z%xX-ig9V0ZGXyfR1%Z3!^vCv-bI%1OLejOP;Gr{C=C|Z zCA_I-06sj)Cv2E)6?MArAY_s@ASBE4gCy`_wEwY+e@E|;&^?;ok3dB>$(<^Ikompa z?-TO-H{|zc;rGSi_to5n#>SC`=a zn6dp_Odo-hy64QBF?k4Mg!8^f3jY%4Th*_Pru?ZN%+G{CUjOzljZU7Sn}ZGRlu8(AUoaF8;S{y1P`W9Hyu`LAZq zKQ{C8GrcsmGk38d<>3OFl#!SuENxs&otPwS3|&lLm>S!gm?8-ZBK`5ddd#UtmLtK6 zpuD*C5tm`GV>+HOnG8h=jCgzmhy9@b)yPCCS7U?}sR=0CsYko zpV8D1a>KX$r!?nNqaoz-?AS9^6JHf?a z2VJ1R!KJ`CZv%mWe&XT$%L9mg{mTRA0xr;B0>Vo~#6W{`a?k}FT-*y6aq;jjUIbcu z1K)!#Qs7at2`S)HX}lm{ccd1674?yj<910i&7&bCr-;!@ze_~4bXVvZxUO zCN3cQeF*P%{u(Wb=c5!usx_i8S;~x+h6dV#A^DZ_n zKH+_0dd8>Btn8fJyf39?Y3*hb<=C{iveuIST}+TyCI&&F4j+)MD2Z z{8KvFkG!~Fjed#X*DUz85PsbY-v1K8FA-pViQtzAfK2;e_kv#{_)lg5i(v%cImrDm zl4OJa*ekMhAZrW2^zEB>Oih^nY%Vn;^mdrahc{hl+{lGzdGL@ z{pmnYU&bqyv9`~(Z-)QP={v3}+4LAE8v-19ug@yc*9QM)L|EKJbf}YTqrYjyn5FGf zeNEYL7_wTGO)V*5!7V&+HcIPJk9#Y~!GoFP0e~HfEP503AkAdhwl2v6QC?vwzHJ&J z`R&?B%${(LCUd(f^#262(m)Af6?^4RNi5}094kNIVyXH$uwEE|JoC*;#yO}1$T5Di zr*aNTlc$8irE&mD<`96cZ_G>E_!Cg4lgd&ZvRv#kUv~C>QBx>8>WbT(>O~Wl8)UMM zw`gE+nQ!u|18r&O2UhYJqewJFH1c>4#f_y(j64TjDaxxV6hv@E6eGt387y^`D!okw zylNS(P2*4~fMZY0h?J23sNp;JlrKN9*K+>^8%PV5M<$QJ(CuJkswH@D6#>C;i-EC1 z|8fubZ)@X(6-4Pr`ol`(%h;=9PW2wYzW2IIQ`$7%b<8Hp|M-DQ_n|0`_Meg?@2NTV zdhsS?b5;#2(=ZK`s&Jf9?QHJW^__zXvHVr1bt}HYjejJ@5YX};in{vDyxsMta;S_H zd?TSq_elQ~GMOs&n8BSQ&>JYvQdk7-jZ^>0UZ)`gLpHrM&nnN-X!>B2HwWkl%N$pE%7E^J& zT-Gx_9)2MC6Y{pFK#$)Y^rGVq*|zf;N@s7wdgtu9@kQhmRLO)@omuVp%iPIEXWtFf z8d{20Q++z<9#v*n5T@~(7o>ovvf?Wu|6i}J92p1-+&agIdhi}Tt7ILMw@#@*E!2)H z{94qX%IR#hTWe9OB_7 z>l8K(L1_1BSa7(a6k|3USl@G+? zQ`up43Mi+JDg5^c!m?QorFivNVx3NrH_^;Hl&fH`r8uK@hZ|CbY2?$;Q=JhPp%=wE zXnEDjcgoh%lggfA-~2Cjxyg+@QpHi3-CbG~qkAPfyEzU z?v(2_BP$7NwUuFVmbDHZbN)yWDb_9Hxxnw)L8U)0ZAqu~xv>8eZ7r3JqVBB{a)lWc z#z;||84NedHM7v#fES6a^n8&!XCb1OGh)LrNz>+}q~leafd8m~lvLVPYyd-pA`3Za zykLnHL%9XB-n^?-sqSdQmwrbgo&$TlbcMK#M?VkL)GZ~(-5g1_&Op{C$fWKLuPP(v zf5b=}DsBA)pD>p8uF&>Fs=kYUJtDnS(WL6dek=@CF^3+*7^&jPS)E=dTTg38dq+k^ zcD|VVQjh(F3kVO{edz(H)RrEUPq-<| zA`_AwddK$9PuA(ZaFUOrQeD2=V7A7HyQ~ovCv$n|EXn&EM8b8JeaM@(Igh^E(0|y- zQ%@MYejgm`QoQ1$`NOCSMk7kcjZl8nhm!xpS z+FY&{kGfZjzmTM0vjh)#pa?R9F6yJQTW#g+$=9)x-^6-#vfij?RJ>;{O_);DCjZi< z^Z7EQKlOd#Kv3GQegnlhXvXjyq^ecd+A&94isEh!*P3pET@rM*eiLqLUH_h#OJh$M>NQ*|)7lt%sMVWW-q(UZZNH*-O@XbmOLYOi0|KP9 zm&4~t>r}1b;7eY5{MI|3t8Zo zam#~75bN6shWK1Yjyx((VF|t7EPe4s?9(O7I|LoV)R!rhC5u|&03=&^+J%iHx$9-o z1CLRj5V5V&CE2=1%FD`RtP~Zj_3quW(hgHs-)Et<)rR-U02(fDW37rXy`qe4OWMjC z`fsjK))gq+ZoRm(Dz$No6rGDeV=PaFposv|^~c)Es*s+YO(*xy$3wGim%?i^ND8ut z{5|b5jPoExYjj$=jgz9&_R?Dw@@7xvrmJs79t9>Git8<(PBs^V(Sh(nUC3mk{^!>% zH9krCbG;;;hjOp2Q_|Li$O#oryDwTv+_-Z5K$2#YA)@q<-%Vv$zSM;`atkbdCNM{G zc-WvDaJIL)G@C3)z#Re_Efz;A$A9$FK~)CE_e99vy@Ozcj@WYdu{>F2#zIG8)-mIs z#v?I4hU6Bz-{-iIKC$k3R*uRpnceo*#65G*P^KnqIFicYWFNl@3zLAH=qd_}padN- z3cfbsxvcWD!t8sb3KhfCy$>0OqPW*OcgPw%4MXB9Qm=hRLIMjv`FR>rt&>0KQE`d; zN<$}b>wA;G!rGp;HC&!d;UiQ~4T+U#wls+=PWD1S6OufTk`H&6ZHXpO1#1Mt5Vr>p^?&HDIH%@ju5V6Rp!$LklvqD`=h~f;v{!8Vidl~TtZCBC~}oT zNCGmfX+AhF*%^H^xeEPC<}rbqOY(L?rNLbe%430j5^O5XvMS=L#lpPJM~Tl@^gCar zW@j3ptKr0v6yFD}ZeI2qZ}$*6D^{yvVqV<23vqT3e+ykpj<^O8O0~c)&!FYuV}T#$ zpD~8kN(%9A&)}BQUC%zv)3Z3dP&3tpyjtsofDr4f>juQTf0|cGs4A`XM?gP;p`ZoI z>hGQ*^B>3r$Nj7g%kW=)vLdJZ^37SbJP224cWyaLM}c?n-Ltn392+Zj4{*Dh*PcnV zkx*%4CxVZ--T&)8IOav!n9lhI8Sd8e4y-jKmeAvTJ_;Z8@8#PN?Cj8PtRF5 zaMnPJ%+y|RuJ&11Etv$n+wdwX79xm9Nc2%CV^_qAW(JJg-hz{AeKdynD z#>gL@P)iqg(ny4?V84ZtFm;HS!*0V+%W8Bd$h=$=Rg~)uH;gQS z24X29DXnkXq|(ze?t9A?iTBvOM?Sa(zJ9VA)hUXzQL_?nS@``Z(JX@qV(vzDhtE!! zkOO??vFL+iHLg3~yhA)UA1pU$8^&j1)Q6C;Xr*+Q_QORVZ9LUxkwJk&%BDlfh@c#8 z9;RDOH$t~OX8GAFx>oMn^PvfR39i=e5PsLf(jzPwSt_@pJ0)Y6sYB+ZwzNh` z91Y!1Aox#ke&DQW3)Ox9pHrkLV(*20VT^XELS1Z~b3kyu%H#Zy=Pg`7>z%f)wzA|M#?O`n(RdM#*9`GiTP)rfw$A zDB$%)$)xH|=_$r6OXu|7#n^rQ;r;%r3y%NV0_A_E_5^D%+~og@Z`7n_~H}wGKp0 z-m(t^tgG4`0JJF71C*Ww&0mjS@k2fQLx3^zOJ0bYeJN z66Wsqtn;_oF?+`KY{~nQ97ncTffg|)<6z`ljQrWi)0=In&-ciBV5Qr8k@2Y#9?mS) z%#X^OYh0k|vRg%(v;!q-O(Ybw$uG@%)hUFY)}EJ--&$uY1q0 zTk|yXm*xVjl3$Abm)-NrEc|6P|FW8YZ7Tl9@3{yVk)uGy9Gtp={mNy4k5IR0M1(mT zdzEUHzmg;Qa1}QTy8ipy<<;MMTYrDQO5-)FjrA&kA%%c6_)#EL`LA(Y)BHYku;zaP zAP&@(|HN?rtoY~f|38xx?f=%k{3D!yze|oi`k#Pj{lkMSG%IOkk(ekL1#G$z`>tIX zbFDE@eqR8Hq#q2NgP4l%MNp9)KoLSTTr8HVoavd!oZ8wR`Mx_b_0h5dA$t(C289`jjHu6O4gf zOK;=qCcwn>40ik+NUxkf2Z8o$c>CqyiWn&%+7BeIa~HwT%iy06V;T<|m^=q9Q~{VA zO?9V&jaZyDXYE8-ODNju9CUjJ3jruJP(42%hou)7Z(1n;u?%oPEx&R<;zE^`T4S5 zMdu))4)txoDeCy{pWQS1_w3(4#LG%ETat6TL20v{ZLj3$#^dF$(yOwtz}=FEHGTH% zrY^Jy3DWvrQ`fX6d`u@??6+A{fA5g8d-fK`If&D+&F~PI%9%pind6B*-{f}{F^cCP zyK~UVV;T>A4^7yTz8c0wem~JfF#JStWVdmOsAKxcuoqqSyzM}ss%XhHO2_1Rn4de-Xxe{VF$RT9 zhNDPP?aJH-m?;H)S&viHnTlgwYH*@cD?IJ>9Nvh%^Vv-V2|In9`-e)`_mi{He35{j znq6dl{^SlK>-AYaUa9T|@e4=xcG7||Qa)4H=Xv>pUNNo4c2fOL^Nh~?(yfN2+ohkr z1Dx+>!&{iN>-?LiZu%Xaah$0-sSuB$vy6|;NR-~F%@6$Z*q z<(2RJL>3MvR%InaCz8T8W-YFhZC(H5dk?L~F?}XnJo&=vVfr$}>QPMTY;L#pkx$Bn zUGu2RvD6Y9+qMjqI zIMmG71(G06J_^;ZZp>kh5h)wt=qNWbe~cmAp7xn!K%Y#aIwtcaEswT`2uqVH!!GnV zFRgV;q>YZ@euKd05e>oewFe)srg&18*S5e$a$GK`%=hTT`{+}f9NRsx?$3Yo#$kgx zzUG@6M%xm5sgXB*B;^<=J6c(m-v&57r+fBmP@(GHMrRppKw?t_;l6aPmw1IESO18j zfT=_>Arm-RXtwNzD&eDhg7*$R!a7t>d10Kehk*b?JMUM%KjM%2pY;82W98aEXCwX9 z1$NhepCCA|wnB_hyK6S#lboyE3XxZJr`KvR603FXAHhElN)NgAmp|Noc}wsyJC^+% zR0%ud38}G1Loh&!?j7?$K!=)=or7rf664a$^PZ}j=T7O(>8ge!pDBIec>bdlNRkzt zQy`DNB?J86cG{00=m9^VGDzW5V$iV|EXsuTK-9c5X~r>}Vgl9~#O)_(t&l5gC6-tY z6hYt)KS#oYsB@6@C#XZghhSPRa=&IvU}{v6~t0YfKleoXk*+8Q0MTcZCi+VC}3bG@!Io24}}WVl7U zfxdDSBbUgv+2X#{Sn+CZ;Uj@#yu&$2EBOKe%Sxq;Dnc+Ym21dOG{wFx6^L9owPG54Mj)P3#}`EJQYaTbn9w zRhQPR7%3<}2a&eA+h!NV5{Ew}R5N|v%kmAq@an6`jvnl%b4C6}-l|3pAuQbwvIT1N z_4N1m)|tN4@5`(r=EJ=N5ka;Xdiy-f;X64pR5Mn$u{gH&MEB{Ny^r^9)CowN;_qSX)QMcqwMO= zK_>IGaf6ZDZSx!aXrd76GL`B!&hbminu<jHSTvXvrqGH-RW-)Pn%@FV0Tw+5=V-q@Wg9%DFi z+-Wxk4%JbXwuIPCb?ldU-<*$XcSDnJtN#Fg|FetbuU2yZnZ%?3T#6~JsJx1#mts7{ zTGUk*n`O26^-;AqbB!#q52Yi=3#cyt02x-+ zw5|C`Wi1iMuXGEwhx>Fe3zRmNTm|WOF z8hI&u@Xz$pMY9`y)WO|Kk~yhk>155YozEGiV>xvH?fZLdZf0(hPafh!B)bs4fQ|7- zvNN?zqtM-GHMm|=JGfecA_&-;(%WKDd*eF09)h3V5;iZ9TU@C88I1*)c0Ws!W>i~xF^!7svSr0%Wg9_3LHoi@{=%>Z z!}pv;1kb=VwF#&p$b&K*!=Euweg`oq<`K$7%SXH%iT1z3EN6RfcR6c{-$+UGkv7vd zS8bS$taY4WupH~?81XzlMtRl{GL&Z&!gVWp&dhmfX{!g4M0L-E@ z0~GC|sA(&CuSVjv&ROogVnX&3(OD$F#9_V6qHvKSF%8}r&M)HvA04&X$|{rgQ?-|R zgo+N?NT$4@F&bDx4NCh1Tof(S`L_IPK0Ir4gdrUWz0eGHu>}_jr8R=&$INQBJZndt zviV>gbPNK9et@|HZzO_}oqC?q0bVGJD(pna_?h!<#bbT>IVP$jPcz?}jeof2BwEfv z#{r zxCpQOpl9xmHT5Ig%UuC?;$1FRgK!3PL4afHXJDtFreG18x9!k}e{lR!Nd%Or=WuWO znZTs&%AN8>$91y(BG0?%81q6e?GX%J_SA9c3#0Kz^T9rk*?UUL?$x?C+tALo@(M_P zAc6eh*z$_$kZJ8OCTPB5*_gAvf4|eGnYhWD*2oLDtCd=A=5}3gC7|zIyv2O%+A5mC}Os^7+n{cA9&~IeIWfafKa9INB~yY)|!QL*tDE> zoIz}Tnw)EXCF5>1XA1sIAP3XgT=`dvd7w>M!}WC*@|&t5V>9>OS{Yhi7PuPBX30UZ zwUCqGRJDJ!fNT9HmP+bTTMHS>n$MMh5jC#ScSpnOD+^6gU)V8K(v>2MIUUlYJJj=5 ziLU-GE+5vw(>LFFdgX)53LBH+a2laA0@QJeyyPAvU;|FM(`gl>%mW|v@ym&5Cbi4Zt*^E4uXB;@fJgf;b zCg$6TQ$^b9Ks{DA0(^R5E$u`O>w7cr+HdI${xjp_$LCUp0cpDe2CxZhtsg)v?Jj=@ zyceSFi4itK4p*bM#}lUsJiDvRd#R1B$2vLsW)e}tHKC4-fd9fmFCq64u_HRjmJ#-co58OCM<;xcp^ zoD8ilCO!LTx?Q`H&i;kx;d_N)@jg^XE@jm-P-rYx;H7)N&Ul?HI0$BuBU*2^f>0rQ zO}7Oziz>tphqk`G$J~?o+2M+FhsswE{yT8}wlDKKmRP=#0vYa{ZobMyK8L%_eSyN) z?<=8)f^BjJ<|Nzgr=aYN^1zw$qVbhabp9kZyj? zg^-RpIVAsn;+qDtAC^-0{n>roA*9x1#h@xK>I2+;_TEHdOmzzGH^fpp`g6?HVGoH#}JAU09C5 zyx}SI@)1XTjnzH z_0<&~N{1`HIGm}m?X<&k+!$RXwiy1=MIiJOhgzP#sx>FYj5;H@R56%FO;{?bhFq@d z!(}p3j1Y1q{#3!D=GmB>ljR(3#mJjfr=pDsJ4&u3(5oSW-XqAPOL>Wi4Fg$JTJTCz z6W!ePFWKbP90RXP&m%(ygm+X%UWxiGECfw*YvNtbgF?tnLnOcmuCTiBmvpr;qg zSmj@HztT0`@J9QdcZ(i6P;%|b!9ZYTKZ5woyoKd@BXV}^(@;6aak|~*^0Jb|o3~yO z+PDs~fdt9EI%vt%Yk@8Nwu@?)3hZsSGb1Ls>(r`ADoI$5$uVvCJTcvqF=LsivvW`g zKldwVYcqA`3}Y9VIbluR8+haBbOjERV4qBDOeEhyVHyF{Zn&j}+Qar;jQU>P@UsWg zpHj_@1~^hT`&0{SKzlnlYgp385pAvE1N)P z9`}z1Xsva~WoWh@O7?BNi)X*lQ_lCClPtz~L!^U5$;R}?{pMbZmNE(`g1g9$Ku4A& zgfuTtc|y5)P>)RpA8Sj8%2VQPhdWFp9~MvsAD_jC%diR5x>@I0O!a^DM-Z!|651~W zU&WVTO;`%=7}xK~W@3sU(*>=_;1YeG6B);FAk<<__Uc@)@H5&u4Zz3=34Lu}&y!M_L85Riwc8jP+VBn!XDl9z-g+E@oK|V(1o* zoq2l;wV#d&h|QDhw=+@A_Y>WgDeHcsGKic{mOCDkq@Km>1sL1J^KwtnyY=My*Qvo>!Vm)uq|`4)>WgUtD^$8!BN^yOT|o= z!%Tp>YI@6?X|2p4bTI^_9dw}iIeLt~thOR}YsEiPB}AE0=_4cA+b0pXzFOzTzzf4y zsuz3fb(dx*&|i2}GRr77ZxFKcygiLTs9q+;C*0BGmt)@0rb7ybc-g{=z5^grj`%5D z@5q-I*<$)*@z+4l3nSw>of1KPC~ECF0rbbbr_ei3B3nU0cyuBhtHZn{GFRx9YxZu-t!Ts8|R$!a3u3?9l{>=_g!0}AajViqK z7n08IXvga!vx5b^Bnlx!3XY<#C9{eSL8|H~dB%7rP*X(R>V@oYGThvOb<#zl{JPt= zut!h1)3g&W#%ZPXPDQYT*a$DcL7+wE?hm9%s>55(;nxS$hY`Cr1#mSe$Bdqd#m6Tm z(GNgOF^TZmuGP@ zq-8iuERv^ol?(ErSnkhKmJ^n)VY70bR+?th5$%bK#m_v9>and|rzDjVhi6H>hP?Lb z30FGdA?-+*f1#K3LK2u%ntzFWI>Fp6^1^b`Rb|ue)6G5=&VzO9h(LH+kTVqi85!9o zt9@@U!w4_z&|ywgV>Jj7+pz>PxxC%UlI&}PxyN9HkwFLW;UzTOR3Dx@X7d8JJ7&+b z?mMM?z)5u=utNCI&NaiI)=AoZ(kVOhY!Q#ITfmY1L=j9@EhGbb<1(j!#C8lcG0X=P z2$)l@A0AQ6T1kNG6Q5>i}QM01&CtNYGEqb2pcV(gP^GFX~uo zJipOZ>*m0GsjK^_tBaMz8(w7=k05Iyu{*dhhxS{1HoCO!+H04^3MsqIhV~Jg#Yh8Y z=N8Hd3kRRv8y((|BZ_>8N_#CfA<$lCz^L{~O@kCJ+)o%rG955m>omBUP19!$CaL>3 zr;sWf!UB5^So+>+TeJZ72}&Q98>G}I(sk~-Zli^h4W`yA8HM`5? zuXj6&Iid-i!tiAlvp_u17!5F?9IgJ`Y`;Beb&-`l=MhmDvfbUeJq4>#9WAQG5mp&T zKwm@*Uk$@t%Jy;?dNC*#Uo~vl8l2wh#8uY3E%>Q^&TScIW;v9ORX}IY@D`d^Z5){K zIx-N1JX*zG*J#b<@XD6Ba``RwAQefr2oKD}mL?2NaSjU22HX{XHkdpyx64U z2D7vIfZ2xQty|2RUZY4xENwRCx;`0}LLPlvDLfcEaF%gcTm~zSaotK2oSO}E?XX_c zMHv!%T|>?LJM-!@Dxk9u)y1dXV#zQZgQ}HIboTF)WA=n(QulG%p|c;qoTXtoEaEj1 z>L8Xmdb1nG*R}LQlY&h59@}F|pF328;5R(X>Tw@^<8R>b(n84vBD6=q3WGLVl(KTt zfqsnd1!z(-ZQqD2T+@j0b#v4{Xnf;f9jn0 z=_QE@3@u|8R#!SwtU$t1c$sCp<(-ozO3oG<3307kkEmk?7n#06dEtc(CGbcRXa|X* zMkT6nw!9ECRTodGUMDwcKpRvA;q%kB0Fjc}Xge+J;3|HEKR*ZJXsr$7{bz8xBBOMKZ?YygnGPOGY&!iZ4%Z%n^*#i8ARm zyO@kwUhT(f_xjsb(@!}#Mhyd+(k%#b*oYD6w|Qq#u!9sO3rBr)RaBr=?3*zU~M* zoX#1l&zR@7gB)+By)NU292TqY6nITOZbfcfpK}fkttyI>T!IJQRb=#8>rmlNTq$oK ztMgoxr|OsR6|B=V{bV)p!fYNH6)witcQ0c(h+K_Q?Kn`1lmI#YWdlo)dWv9)L2U8r zCEc&9sHBx}BzAspw{^GSMd-@un@SX^9c47g-snzu#8K(YP0y+^e}O>bUozS;$nLTo2VH*59aG9( zX!sv?WBFK8 zu(g_9pn%`Y6aWqfzXD47xv@#beJ`xeZ~_)`zyfgi?1@p`PLp`zYMZPKbOze1 z^I0!6KXS8|_+h9e(PL^0tK*PNF9+-Hy}+Vg41;Y?99jmmwMk z63B7w)YFcW`Bz&mJ9M+(<8_G*?syk$2b|2^5q#HAT*FHdh^G@lFS(}a1DY`So>to5 zQJuN*MbXpUR{E}(b;coyOs8mXJ)PwQshfm;8xiP)wu+1a^RNV29FmSa!f;>#=p`OL ze{4TXx1D&KehjDmMX%nq2xXb^SUq&PD1;WOwbPo)he``#8$Iy!mfJhkrKP1*zGga0 zEP5}m3GNH2!znxmF{~$UN1duF$_L0u0Tu$u22_P(>a0duJ?g9^*n68$l1=F*W^(Hm zOYp*ckgk33P7>s%Pigvkx`^<^2Cc2NNCzqLHL1(^N)8W%MfAg=g>jh$fb~oxBb0+a z2ep2KKAci^w9Ztjw05nGr^@at=7U{_b8zyKsxB;Pt1a}ugpk2nG(JM(PM+#1%zBfI z_GQlL%O2g7mZsGCs2vvVw0ps0kU&2V8mT@kqmcf+(n)yzW^=;RHi{q^k3^Sh2R{DI zRHsgl@!~jp8IfFQXb0?4(ZK*YIs$;qY7?@Gw?EWdQ7(BD;H*Q8yPOiuXFHLH^D1QB zhX>lRr-8!e!-EJSl~OVl?96~2+RYKs6-x!!T#vivbg6|wqnyV>f`)M^`$ctzjZP=1 z(%8a4f!<{K<^~JV?TNM#gWT8OPJ8!t`DVTpxJ z@zsDQte9?J6D5*8L8HJzQ?%RdVK1puRpo4pv0PiLR1*F&%BK_aFE=~mjAw%ZrC+9@ z=x&X!)EY03+)PSB%aCsGFu5L;1U6RVD9jQ|Yy-9_a{(E(^S7M2ec;;I`4*ImLp3m450;{cxNoAfDq_w{I~Si{ex-2+~ZT=UZIm*uJG# z2lVXq?S5OzVk;j3pQ`(0P*BV3Ra z8gLHU^w960nm4TF=(|t5bd!yUKH~WH<;C<$c3)YJW)_|jRAk`C(fx_-55cnts6Ylq zKXC?)iOap>1<&jahpe2mYG_^UrwdZ=2sF80^4WLz zY&cDoIrn;NBjiJ5Ag-zWW6rj`cSaf2O3S~6DAwpid5tH0sZ1c2yYLpDu2C1XQ^$s= z1=GN6&q3zxPT<1_*>bV+sd*A=w6lFx+`N02sa|hhL%{$N3*NKfvyU`=X;V|l3{O~Y z=T7t0R+O5A3HH2Hf|L|f4j$O5wan3=yaST*np|ehI|nlF@OBG$@nm2QdWkhp> z!nBIp}lPm-SINinhdVp6^FZAeCa~9;hRI<;vkGNqF?zDO1%0| zAKYH^Mtn?Y7R)nZi^JK)+3_JfhY+;1m{@r#t}|t2Sh^ZmaKNY|uyvJAjgzW_QyFX( z@0a}=JxHJkBy5E+h?f@Vt@XbsS_^lbw#gpZU*m_@NxS*1P3Lw%CApcjAqZPfb--)o zmcQYqF*Tug^_bJ?_!{#>Du^lC(ZC6%X}{^x57tMievWUdk;}mQrff&_OhIaz`tiN~ zSm@}|%iCKV%kttRRv2?+Lu?N8PTR@yKADrJ`9}k;FTEV157VyRS>ZF;qI3#J&-&t9 z;Fco~^YQCHSSHpd-iw>^FVJ@6`#|s{3K!HwDdH#MXO$i5rI7{I>flY$STRdgAgQmf z;};$7QgZEN@Y7kK+Qc)$$bP{Np#|YUP-XbxcZe3xyj1J61r=RWbeBNLXFDRjJ z4=6Dk8y0=O=<)h(tK|0wB3g2s=pALWCwzV@9Qt+ez19_YCDFH@&MyJaNkN=@gIb~w z6&J}u80@NztJYHKL)Itl-oXWm%%&oOoc8!$5OQZ7HZ4DpQCoxr?~^g0r8^iltUaE^ z=mqW1l07(mVg}>4Lx{|{zo;}*f6e5`fQwP`mEA$OwW)yvU1`UsilPeVpQ_dNRSg%$ z>1-h%l8L>4cNMW9n2TSlyGu4D|EL7;u$dQ=&s%C&jE_A!OY5zwh&2sIxsgknax#%C zk1*^PZ`z~t8Y_UvVYwiB_mplz27v8^G&c6{qOg@{H}h>20HpkT#_-P(w%?jG{~T8I z|2;`zKL?op^8Qx{?k|$~6CtHDo-JU;Vwmd|lr-@>ZhbdwNrv<9lY`|B6&XO@k=xeLgyYFWY zn^u+vsA$c&2eT6%(FzEIxk92+&q_tf{5?j%L}K%SQx&<{DQvMZ_iD;jFRn9ay?fux z3QovrLEe)3YCW+Y7oIm^?cuC_qPRp?Ask0BfE%bh)&Ag$gTs@^7GIg9XGr-rL#kf* zBu1eC`T{>NZ+czm>BMwju!E6fZ&zEaH1Tu1jt8Cy4pLv~mWL#Vs0sOJ#O-ZZ^cfGg!m(CpmfsIpQfoV9+ZMtb>DuNR17 zvhO}uM)`|erbB~8>vg47v3m`3!?v#{Vvd8EY zXaz%&VRfQkzKP`=oqjwjn6;O=d&FN~1-jlzMCqD?%U#VG^As>!4PhP9v@5dyg)TFDM9!hbhwp7&V{zSKF-sJS$l*$d{j1s5P$?JAKAYCC8 zaslc}!n~gpep6QYzQomaY5n_2T?^i|j#uJcM{y-_vsOBF%`v=@xIjT%61)*Z(+un> z#(_jq8ic_GBw1TAK9pA-xed6tNekrbPw&P|_liu>x=B@XJa_cVCY3ariF#!){nP+p zgNa8J68#Gq%y`z!Hb4e5B|EK?;Z=YG7LMxLy2Zp_+)9;!ehp9h{&Kn~3a(O|k$hhz z&RB-+P7ZNd^y&LA$_64D)6hGea0h<4TlzY}wP~ChT`kau}?}nn)LxS+{@`MMBFv5)*v&{UKsZR}DFmlV9 z=ngK6CA`b_?6DekTei*6Rwf45`i00KmTUFM`hlPW7@6&mORx1gs8pw&&yr85gl|*N zQFsyOiLFNU7sy2qyH^Ni!8Y)e;Ut}*1@>!w45a34nmb+wI+u2XzC)RV^-$Cr%k~Wb zC`&pkb~%J?F;48C8qSs;$8@Iz@4gOO*mWRqx&;(+wt|Taq1sr=fvronpRi*nyt#aW zw|%bd0{wZDxFoyDucEfC{mxQphuZ)bMCvQk!t$*2sArr5FPth?x>FHm;Ss~tmpYUj zqf$q=HCG#n>y(j;nxQ)JaD=56N{`Rh=di~83y67{I6AnBiZ9uryB{-i|uTp zFlMV%rubH&fy-Zt(k!o4HBx+bhz>Lg%8XT=P7aIUtwA-!%GEE_!&FM4(W?)KxB1yE zRSAnZZ9bHMl1;)E7+MD#uA{*Q;}PMXfc^ZUMwe!oodz3+q%tdSn(5UtFXP3=%g`GN zz?M(E#P<0fK*1Eg`?XcFOY`C)cahL7g21vuZ3VP#mL;vd(aBuer*=!Kd(WoJ+Z_Dy zf^h2Uo6JE>o?`@U{M?;2KuE)rnG{CW>eI}qv$s+eL9e1wbFG30#-HT+VA=Kq=_>Qr znB0jC24}hx%^3;T$3@>){9Ad+jIPgu+~;5OW3NtGRfuERLYqFLJ-AGwWx4F)Odke5 z50me~->M$40mynn3<>t-$)Qw_hh=zxZ3M|$;s{oIavR(B_Sn-@$v%brb58&hsZ&Jk zcaN*JS=NCM8Pu2DZnvxz6y0}kxt~|io%+VNH`&CTBZvKS9R$VOJV!U0J}kCF{&aF) zHFBne@A3ab-djht^{?x`L0Z~kh2q)*g%&MNix)3N3dJQY9^46T#jQYr;ts`~;O<)7 zEm-k{BB2TV?p*7fJ1)sl_sT*Eh0<>+4OreV(US(*S>TvZw;}aFwF3j4n9b36jUbia;MYIGSPI z{Y>HD`$6CWGi9dx^yHiESKcgdxPOEM?ZH>88H}_M`yp*%{KXBo>u;~}rnkF~@&g`N z-E51w^?T9(Q=C5e$U*1%M~uv0pif5pe}NP>fLwyg^#7CQ91zR@U*s76|H9Gw|HJ|; z=V5nmw|pM9D)ugfG;R=~3-~`Y2UV6vg7zxJ^l)|57g4dxM#LYI z=3j)2;yAcG6TyBsIJ6skQqwrBs4*Z&K0T}B{?k~0+{TkYb44|VuI61}g6!v@a$?-a zicc2=fy&g{Rn%{kg8DhvLiTYwe0rJWb}{|dyc6rg+vEsJkuPbW!ylE_GyPxX+z8{s zPYQotQX83s8&(Tl^F0Z8n&A_xd%8kv`z?gi^n(!>i0q@LVK?qq1+giG=e^&zbQ}p{ z$-kEd&z@-85{;;tYW(~kWZ6&9xB&@9#A*<|sTM5gI47R-XR5u~TgBC{Iea33dL`qY z2^5cRZohw=(B0qsVEMFU!9U5&uC4R^Z9#vl*zMZlpvcgK7RPiUM(O$C@n?f4@}UhD z`G?b(N!h%%p z=cbqUbab~O@2EMmJWK;>9wrfliBK7CGmFbxWUgX&Kbm>|bpiZe?mp!RpjG4GJ+2FptDGn1H@ zoPY;gWf>?-w+fX1reuhn0J>fHomNXbP=nI#Ftf7#z|D3O#9wqih8@kYleU4VjN8t} zIoR%DV4QL-s~|DYSUe&HlcQOj6(?}3Nm}GJ_OWzvUN5PJ3+~1`j37*3*;1M0T#puwaO@fwaa3xSdP46Lh|}PgrvC#M=^% zFZy8S_&nu&q|LgYt^~S>)lK(AZ=)^`m8gVzuv`%{ZNsX_YR91j&4(FS>g^G>nDjy| zdg=S@K!HYhUj&FL8KZ6B72Yy4^=m?Qgcn06$CdM@*0RIw5fWOfx@WssbS|+s4Acn5 zD4k9BcEsuVJfVaQbSF%0HsQp`A$aV(Q61aF@Nw8{7T$wMPz7b5in27aDA3LYi7$gR z^Xe$1$dpJiHKnt&>||$AB9&*sTP8Y2K+7Zqk-`|J&iZdU8;fb{b$d`nnJZt0nUg2n z4pe6xK%A{pwuU&MDevtNScAddeAnPYj=PQ>uww38g*X`c_yEOV~3p-&9? zN2X&+6ztn#v+Rlk7()Plfcy#LgGj|7FsC2r;#duK}waq@Qr@Oi%u#-lnEo%GF0K%QH~Q< zQP`2sSu0^M`VmwL%2nb@@ac~Jw4eaUPP}#`SP*RCV$TYtOBso1zpG{}DBHEX&eaVh z>Ixv^b_!CnP6SVhscd!9A7*hN>{HAu)zXlcWnmn*|rTYW2 z#PB06(vdd3>(2q9pxgb1d+CF?*V;8I7AaqcT9Xe>-`AsBV3A6no2f^=pbvqs&b}0@%pmPQcwC`-Vua=( z3!r%BTYYn$xTn-aMjH$Ike%{+pZvlIs?c*H2@earqd$;fi0Y*=njM^25m-*>zrvmt zHPKSPvwFSyhz^5d4pPJdR(%3~yr@6~4>*K^*Y|z}^FT!tbCkJ`y7yKvaZjTwz04r^ zafLbHg%(pP{H4#hTok7UyPKr6IDN4?*rA6{*qW-lg@;3z7OaG_@!#rv?85|`VM1%C zZVfd&pXG*?h9p3tpUPLlA^4vNHr@Kwat_u-QQmgKVVKuj<2*(`JLUvhdTMJY zTv&(IOIFEK173JiZMuV;F{-oKThoRO9odXg>3R$_R>H|JgEQe#u3LDkzbC9xWYX)G z*h|RvIAdRg9b8;{q0dWvRYP$hUSGlDiZ7_ubeSqe{$91CB6FhQIfi#OackB6#Hn}` zzB3;dk@hLN@yvJcCd9uT=5TuLHYjpp#28ZP<5mt0;^-uS%y-aD+nL7G^l%ikc`rT0 zGHjBrA;Xr!WAhN_m0}P^2oKDxqMmd!;3ASP+h~rnAuXNF@ml?bEvrNQZ?kCRaX-0h zHUVk|)JFNi0b?lUWvO*?qxSMRyy3EcXY`S80yfK6e$uj(XHV#_86M#3yaW=o{;Ve= z{CjE*4&wEpzk3%FHU=LjaIV^?UcWsL(jk@oXesvDnyGv2{hpgB%Lx4&*g0Foy)I?=d-;XkD{y=g3^ULTGQ--Lr*- zp0xYfl@OZKfs#L?M(X|A!0L0jK)wVzQ(a=@h~b;Njb5~KhcJADqPx+H5#P~5gy6LI*){$g_Yx2OXhVZmcU;?U*nXdf-OB+0lF zoGNFk9{j|3@%Fp!z_j7p^tUpdF_F!9?)Y>WS?rz1*ZI1r;48-|flOnHtez9@{IqAx z3hjP#Jhb0}Byo&{dl-qWvjCU=mj$%(0^q+|mSAn4fP6d;0E`@rNKlE-xuXI1`H~g0EkPVSGTNkk_W@ZkK{)w0DH$kDjY@fNkLQ9oQ zs|=kEHjMyZptVy4T@xWR>U3| z6#yCp?K~Ez+#(KZP$LGqY&N%5Hb51D@rm&vMQ5AN@280tgEvY8j!+TiCLmV$4={x@ zK%%z5_$U{(`FgW7_nKLj+5YB+nAIT)t0jD1f*o_EAv$;fpTsMn@QvD}Bj^V`S5{cP zjjV^qi_k;n`jqFjuc_FgO&h!^7lB0BcO4tIRX`UNT;s{OM4`!^w(v`u4eKTI5l$2 z;;BBB;dJAYJ`f!D?-2%wLw6*zVo`4UXjW8x;}?H-*1te{4qYGB3-jbAp0T!+mX#{` zl7FcxG*~fQkM?vjTooXJF~4?GV2;jiah9U9e2Npd&_E~(o?-0G;*CW2!aU;W9N)2> zPwneyN1WKF?DBa=Qj;`}xSQwUM8Z3$F)H&Hos`O(#8Jjtoe{$OYO_gNPiILYmhXcM zv)+Eae{B2AjLMFf9JMicB}5bL71qOeA}(MbByCqd=nCVlS?XhAX6C^8S>&iHwa>`q zW4Dl~(^4IJ*6r09!jtp9-@)5;A_!a8E`}w37k~EE zw%J|Y?RInMVU6CX%X!H%Rgxxu#%IcxqAc(CX`EcrYxQdvBHJ_zp(b-?v!|3Nq@ifR z4BH~_BC3(TFENX&&0_4q$?Q4tJ8#Y+F-mZ{!nOS?@rb`bDdOA~I^q(y6BIHdJDw)o zg$B^_rM;H+&TRGBeY9%6Q=E`d>&F)pi&M_-^35WKSp^AWV`E8(rIg2W6>Mq*H>Mka z#Dr(^TvBKpRocj;nnift+o^L0=JIE1%r0I!_*wtPx3In7{mO_m%|K!GeMdZFyj^Xp zybinJ;k9h$m#;;K*FyN9`B~J@vIG)dlI78!cAXyrcF9##Ni0jCwZH&qE8UA^Jy8YKJRvgSMo&TJYG5 z-h(^{bHByPPnWN&e3*Qs#xN{w`Yb6avi8-}G7bXrPg2hUaUVZ;8hP!E`O`uEW6lTh zd(BI7=3F9$9d4`o@Q1d&iCr-uMxO@D(?()~kC z46siAtGb!gJ+0|W4tnDrjuZB)hbsP^)q!6*C5HTyGJhnj*gs~7psO<0p6bdh_0gokfV!w{m~f84|JquP!4;ODCi z!>*0>OHQKv6Td3zeOjeX6?2H)iUyh9wdT9I4O45ze|1#YlqTO@r$7SsEWvTho;PED zG!k6F%5P%6{qdO|HfPn%(UYc~u{j=^P_&KQ^|z$g?4#l7@;~8d#rIWEZVZJ8q^k%% z_g?z0Cj32tfMj&r{-Gwjaw%L)J3{Q*~kSvEwGXz&D8JdO~*Z?gcV?cM~!Q_ zxRBi$+h(-2@#I(Xx?lsM<)CHm!pn!`z(Z4fuD+6J=fKHO53VhJ?#*#1+pJ`$E z$6Sd#DGx>4tIrnhM(CkDb&@l8wzkvMvYVMYVSt+mV@sd}rJZ+TA4-rS@%k&+kG9?} z=|hs;g>m%JT&eZ3j%Zo@-LE0vU%9W5j+hLu7mZr9b2tgFYs;4DQ2JROm6Y(%5&F5wneS2cA-`c&xPS_=Jdi*w%1DfI zglcgLK3G?Q>a)BuR@mJ>j$+!WQ=DeM`)&WOaaxrR>(upw;Rod8RZkK^D3vGt{+=3) zGl-V#ljxW;xKh%+YC?M81x`a=QxqD|rTy}wN5B1bCBZ�U(0nL^(pLW0$eRP`g}h zp~h`bI&=QENA0mgO5Q~<-WfJ_VpM?5=6~?*&@S6o3s{beV**rcGg~T*vduGga+7I1 zrv8*#oBvs7bC z^3wA;`vScxpV|*;yfj~fp`Y-dWVljq;>`Z45&=g5az&yjm zP_Ie|Wk+UAh71eGJfUF`VwbNf=xe(N`v%vY^y>w*@9Ufrqdjtn{sO7Zmb)4mEA965aQ<4pmTLvmcqx+sFb__)>X z5Ot8{1+T>rZWB|Xs`|WUU+Gyn{#up{=e`(C-T5tBE6srYV3w31^|b{H_k*q@J^wn^ zR60g%funJX<(>uo_Q)NaNZaxu^o2NW`PxqcrRHkHdb7p3f>4UUOFH#+s%{y! z#9n4%&Qs%e=xA-70a}~%$*^TIeayUyd&-sO#=+I$Btwzuv%SWU2i)k!I`(b0Pm|O+ z#B$SucB1-q2JH-YGwSswQfc$%Ne}5rnw7}GZ;=dPaAHX`p5Ba`B(p>M6-Pn(=vroL zBe0X*7I(B6?I0ce?1E&}ofA+Y+U|MdlV+wpDAQVF@Urr`5_QbvxBEgNjsd~LY|MoU zh-;O*AygqUVX((t-!8gFrpPyRwSU)urD*ZCldmysqaJsr zVz>ax#Xlx({i|5+|9i=c|D%2M|4tAvHvc`1F)3h({l!5c?$Dcd4D%B6%8D;hRPT2& zgOQBAtA1KGnAlA{#2jyUJrKkAaUMfY-a`~}Vr@giUQ(-~Dl>r$ z+jlAFg8Ph2je7VDiC2|NmOp&>RlE+Dt)?89Ido4C$T6po7-ghJ<|X_Q$Twjm^J&Yr1TLVemL`XOMI*ql8oJ zvoK|ulg^Z@1M-IcpskTIZ*27~_lRd6;kM`dZTI!2N$SGp7Fff1$DEk@P3?pH-*nv9 z;$)U35=r|VtaU9dsG@{}Y1_{FsgN;H21j*9P|Wd&o^M^&oXvoK^qb1`P4uYkjq_1s zF=R8JaI=Iy{ArN5oKH|!(ZSNe^Qy!;|Cmnx&HUs6)uh6Lyq{|01PLh zKKAUf$gM(EEsK&t_mk@^I~t7&4T0RYH03>T+nnps%k9vsyXkcZ5y|t^58u()o`>u! zqjXLJm4`L#r`)O_dS|slmllU$0Z|))*dx`(j%H@Q)wKcEWckznbhZlZIoH5E6kFds zVq(&49!Bi&kP&%W#QY6UEP5yIJ-o#?>EZBOQjZq>ipzt)K)6RAU(Fj}9g?k?0mI|~qUs{-gziIO=nn=K=zI|F*1zLw`P$GX3{P95NpvO~D$dV$oqjJf1x zg@?&B?Rjeuoq5>&__P5e`J<$~hMXrJ;F-xq1#iRp9ZpVYRc0}9$5k9BjU~b~wxB1eJRKHxkEBM}C&x#rzV0V!lHEA7wSSX#^*yf^Z`YPU}QRc?HjXeiM`x{Im` ziJdE&{|?>xPY5>+x`f{VU6*!jM(qC0TT}I>#^f#6TX|;c+GOF>Oa_QE0rdBM`Dv&K zUZK;nd`MM4!e#5wX8C?=2}C=^W5%XMfVX8`l}0c6{Zz8H8-WbDr1#T{s-hn`#CRy1 zyio|U6>Z$x1^^_g2RFYCMlNhCR;XwE^awK zPGi&x`Z;-5-vxI=krke4*mt!jSGGZCa^5dFHj5Dx6(vA-z!D-c}u>V`9oa>8D&!4^;A2X63ue;?kDz`Btk z*iY+2`ju4pyr0VMc?^~8OJk`sG!Q(^_lfT%tYs-<7T~+@z}xYjFu#Nvpy88&ba={8 z%H(rw^M4F=&nN&Za9ynsN-M98iUY>i-S~v@Bjqo!91(5xud@uLlQ?`a(Keq ze&=@nq&_a-E(%wJo zC97Z4*&Hea%}KEG_?$riGD`iJVM(69xu&3xGAdwvFuvLDt<})jk^xCc(ldP(~p%kv)nP2BjKI6#7717)*i z`2_yXt$qkPC(qtD-Wu=?yfIjqz@* zai3}y540Bu1#9eu$0f*0x-A~?$D`X8DnCduy!J(O%AveVAPlT1-@H{B7aR9@@JhG9 z0-+OxZTRV0lOzRF*_1f#nF zLw=?M5BA!FB0)Ek@`&t6H?@aHDGSRgJx6~$;tcv8FAnWe9GIRDEbh3`el2l~=@M0# zZos%zqM`;o{2m%fcCRzfxS}PWEROnISKIk8H!8|QFrF}#+X8D@o2)Hw=y19hV zz^M5Jjea|_H*+Gv)!7_88Ik|n#DT{)D*pG&?p`7y_Tpo}mdV1_D|vMZp!hWwN*Blx zgIjBHy{-7^^c*_#XXS+}yDNvn9GvbbZnbZvrB9rk4Q2y@uHO>CXqW~s`mKK$EnsdaQC+J0qXb)RlNEE1zv1vM~eO4#px6ARnAVTxXn2y@ss?B`Z=OTv#1 zK2Ks7*%w>`uCCo?new>M_8`OS*$W%AccxK(ra&LILH@mlCRwA%exHG7L+bt!(yuq! zVk#QA-tBj-3r=6OtL{L!o{#CUg)G_yX+6{{X|=k8gub%9Zrc968+O`v*_Md;%NVSkG-<@DpL znwv!B#;J)uFx)g6Yc<}u(@-+tj`rY=xOu_eWUgV{_()GT`P5hYmkRTPxg^J}j~C9b z*#dZ@)$u-TooEw!OU(#+3nXzh;Okbx^`wYOnC@c*080}~-1!g%J6!F?DT9@~K>|f) zS>wo@Pgtmr-~MU7iw;K-5DTJ-B)$!FoxElAH_~!$alj-=J_b~SlmHQY>iPm}?t>iJ zKRx;1`1${eKo%YMf!s$ov~^@*6N9VWYAGUT8JBmABmMt0sAcxQNb(NW07kBM0W(f( zfT&Y*ax0iPS1GI*4i!ZiJ*s7JPp0Eb#=~_Vcgo^L8rN()5F9a3P2bU@5;)L{G(X47 zr0Lts89uE5;Gh-vvE&=+*VygJz&9SYy^x-B1SZHM**Ma)n)gulxYETO3_50Z6$)XLlp*qHqsPl4vIydkNsNjr3N1nXD05=Tk4iKQE|q zZvkIQ3czj6Us{lc==)5(elu z32IcnGpdh<12TWERy0|*vaVWF;=m-w!tXSt`&elcyLi5xA`*G9U8riUWLpjusCzkG zLS0zzX}2-9>4qMSp@nN$`!CT{+~z~DSKMN1Qiv?Lf}r$xRZg1gMqpHzzHU*JfAN95 zS@Nl!G_LAMG!;{k(r&;GGVsi(o$RhDAxix^uRL>O!+!hjXQaAy+J0oMhp&+PY0wX7 zu!@SMZ2L^1U75=hg;wfi#mzgs`_*SYlvicLr;=MNNC64k7`7zByV>^{Cm&z|Z?JR( zhzb2ojl~DA=3)LV{ESJyZhJYyc#c$b$Y^w02+hao40i8PqpUq^GRSj{Ot7bQQr2CO zQD?-Zox}q>L4QK)Ixz9tP2-E&-e+|7YfW}|RpT>=k~8Lc2QgYW-YmR-Zmzs%eT1Z~ zuZWMFPvUpt!cm}+IhojdO^q+49-ZJGG|qsu;0sNqHQ`PLU+#;$76vYO73tvCxM(lQ z3dP+jZ2E5835)7nYs=8#l!~wH-UXh>P{m|W7T1g*aU__K>#bnimGhc@bC%rV>D6(3 zh&|s}7r`f=G0?cDWS{P79&(}))<0IXo9ZF4y_;paFD^vhbu6+?Zn`B2j0g9D@xXc% zknI-w1qOCaN57n3H`tg5lCIm1{R)$J>zP%Pe0Pz?e}Trb*W33^kEG%FXShC=s4!ob z&A&jD*7N$XE03j0flS`w=o{@tpPHv`woX~n6TC*`^=XrRlH@4AtKYq8p&?rpG~g5R zyIFkt@$vZq#jXft+mA$J%FaqS(R6<@$q%!B{`XM_>tFXp{!vd1Fs%1^eH1%7=ea|J zH*a-5B5srVl41Jg&I8|FpT3Tyc($kRfvwZ>6{}KsGaG1nZBe40Y_{swuVgCg&9VWm z)tN1`m>st)51+cPrQ{zI)EZO}gZ+)2#27Az%^3F$os@oUmo*lOBU27~1=rIf7Uxez zRBv`u1E_bdD3j9c1?C=#t&7YvmgF#QzY_x0ihlXNLGQCX<3TNB*-OOf2 z%R;oBy{7U^UNT%<-=N!xJ2$n6=iGPK{L^E5Ng?@H9Q<35sjw65YY7Gw8#Mb-Z-N}* zUfEU9LPABK?VeEf-qV-H_wjJIv-A*?hI0ZNORd^dZG!Y&$l@@QOl~gywcf6C!*{** zAIo4V$yhE!)B|!LFT&zJU;P#189On(&Czij)j4~OiJm{oC^lmLm*z?&M5b&IoW#Tf zL!N#@=I)ls6JiGkB#|=JMG~TRn53;;C}JNUhC@W}?4GhMQB0@92FLGww0`J!uLH53 z3$L)Z0h26_{;*Ck;Dt@JHn|>D{t?(aHqxL0$510 zNXTw{tD4AKGnG_KaJ{6+CG}o_pbxQKj#cLq0bk)QxeFaXhUAIe%XDu$>C=;wp_Ltx z=NTMzDO9*-_TptTJ|ytZa3j7$y%WFQA9_a0=H6Cj8#^O0v9$O}91Ve8L!XV6imbDM zU=5?K2nhn+0%D3hTAh}fnS#0oG|j-ub_#psu?P25kn~=I#H&?n27%#vL%6`8uZC1Uo5Al4=9l&5GJZ`IXN?t^%KfFKFitcLvg$+>=vDjpp*-L(jS}VC619Sr0xJ0($Q#9epE2{3-1Q9V z-;p9HA}UaL{eS!eU0bX07H#b4%k`sA1kR16vOO^ox?~y*AL)W*>@Ud2pjAB#LNj+S zFoH9Ms4sQK?^=Q&*EYEZ1Dxbs3s92ahF)&U?AGW=&>xd#U+};m^URe+$kf`AerZC{ z?y(Rk50Bemqa~s>eH@T%3q}n9A_7a` z`DsJwAnRs_oC~3JNZZaU55(H=tpE8%dc+Zl48xGQnc$9 zI=C?8bFRY#jXKqbbv-+ul5Av|nQN{pO72(=VGGw$z*v0G+UqXW-1!PddE`P^OQ}lt zww8W+tHbyeAJM}qF~F2{NsNyQ+a!uFr$GBWw&7y08IEof?O{+`BcMc7bcSW|P2W*L zz3+e(A{A?yP08ZA;h-I}o{HpEq*xWZ674_%FFPhk8W+$2iO!+o^Cf#PkY-Nnf^|)S zwqv@@XlZ|*p+zqVKw(fRx=%ODK!#k;_lL{=#At4LR}q2NU!Z8=1+J|qHR2`SxR1!^BM>Xo*SrImiB06S2`Sy5 z?bx|3Mtp($h~i!~JRh~`ot9)9JQLc=W}D=hD+8zW`sAOj9^fj+lyfK1!#@D=b_!?N?e%pALz^AeQ-B4Z#=BSS*c? zrnF&#!y72B4jNRVJThA18Il3^XspG)z%8oV*`z)-C{(llF$I__PMi;NM}y=F>s2E` z^}a_s&E}_QFIddh-%JlcrVn4o3k`${I;6VuZEhD^gNhM#{n}a7i0r-??+=X~=PLu0 z736tcep4KyJK@_TSsn=^yk}Y+&!NFa^Ogt#pepsn;et|)3Nj;m=0rc{tkArW9F2+j z%`>vz_9R&MjpAGbAm2Uuv%h$j=F0{bzN(ndru)4A>Mau?#hi$R+|y0V=c1Pte}Td` zNa{Dp4N;^kz4!lk%fQFQdAM2X6R5U7KVu>_Q&-+qx{)5}P2j@>FU@4vcmMkONl~=Fk+0100UcS*`pbVY>-)2-c& zSk5+apr`isDm*Q;#ObOvLaYf!Libc4#6xjpX-GuQKIB`R4cff~_-Az%C2J+&%2zy+ zXw4IjyH39i<`MLU$KJ*aOn>|C;k&fPhze+qNV1Bzc5@Rcf$4C>7|9FqF2yjoiaR6X z>w!Na2@ptKRiQF{qtG(PcLx4HHg?a}VmiH}EhQFnh?x-C!2c@Yi^}6FQCwT@Ae=|Ax-jm*Ru{i?2Pyz zB3~PRI8W#fBIVduD9SNNG~LEVI}?k|w#tLHX%am)iv@JK?B^u6*wJ`b3K%87{{6o| z*1m=Q3ugf880>wDS^49Bq~DMPTqUBEzg;STN^JcXC}Lr;vtD9y7xo9}n%*w1TsIB4 zoJ7w3+eE46e;+TMLsSZIrT&q-_3s@M>1-8aqEfQ2Xy!UEww-`oawnnWjv*jPsGbLI z&SKig!yo?{)YOoVv^DBBqdGQ#iWmJQ9Lz7VaeD3-%R zj<4L7%)h(N{{r!Y-vjOn8g$pS@K;uJJCocjd_dVQPQp=L#KKD7OdUEJD~%O4uARo& za^35z-eU1vpAY=P;#AQp)cdnG?*aH_#)lX>{l1SVbE`XG4BCOAC&MSJ5xKFk>{Z>| zx_1)Sqsf1PJSoG)B+WN(*~R?^y!$#D((borR??48Q+{;ZOdyhZ(nWQmPkANe$Axq+ zE;>SX7P6 zY(U@T$$Tc9n0N^)`7RDzlZE7|un4T&w+VA`4Vj}8VF~`)hqy1Q(HOb@e9GsuH+frp zWAzXhP%E{3oI_HBm&5sxVR4+hxoQzLs2nF%8+uYzS@^}M`*~|_gVf~y1AadGe1JpN>ZR&HD}ypI2&mU z9|zmkidmnJCa(2)tz)WSD1xebtEcezwbll>B`h@+JXh&AQB zA1TtlxWw8-*H%#R8SAd8C%JhPM?+(9bQ!lucXuga9xTEYOl92fz+zX93C?JaFLS-0&gevJo<1Da(Ir=Uq* z8I$lku=@tbZ-ZjfjIi!y;Ycy^9HA0?PUOK)cEi3E7P-Y@>K&4WA0op8{7MM^?nVbV zBaxx5;IZZ!c+F7G6W;`m{}GmHnkdp`bV6}5S`$^;^yzJ?MO*UZmi+g|im28yd>du| zKL;_UT_z{<>GQmTZ+;F`hv1)>5>6hPdScneeZd)a5t{G?qf$ONp+5F zwA;d$zd$af`03+Vo+=+QMD-MISMtW2lc0TFyeo;^YcU+Rf!Bjta{sLzkM|$eEieDp z_xIeZLwW#}*$VM|{UaT1_u6{OmcokQVRMV4IrDFhub`sR-A9pKNXF=iVC0Dg{7AE9 zx&V=rM);=Rtm*f@u-W}s@*&Gb;_hUOdV zx&a^A>)+%pKETs_ z8YY0oL0~`>9(7O~?A9I&+sgQIj-&@fHjG;lE>!?_*cG3^R*}OmKWf#cY$! zxq`#=i$g9se%Lv*8JI#Ozn$UNT1d0-RpC(^lGy`)Ah?o&2ghg)H7wXk3XR;?X{>Ed z*jJuOTNW{?v%tlE7d!70GBv7H@Cp0rU$@C!sT8KAKgzG zAo@wfKk0S8@lbyqqZGQWJ`ZFq5fbY}UYWgNNbw-Asd@P9qY@51h(%Y-%>(>oECX?MM92?pM>98J{Oj4ucH z+TydMa)=f3+8p2;%RVwlyshMzVrZEDbp7~eL2A}?g*F?HB#j3<(K}`g3XV|IXp7|i z_p^7jsKlO{gN~O#G8EIin_zWw^}PN3!52H)Iu%+wZKHH7v29$W6vLpLDb&BuJ#Fo@ z#uC@1+oRBk*5JG4BP#mbq=APceAS=4{saJWSw<=ePD2Pya=hu*inzUu9bDO)>#dFQ z$0TlbrlG5vjKsZH@gT#O&kZd>J20zg5)lwrCKNC#8X_PBTq1qwUYO|R|hbllgPWE#oLsDVjPug zR?AndS1RvT9MXvw_Y>`Ri8}dm@peB!#Sa&PIsoL&z@PFKhfu*dm~sEZCMbZs(T(OS zdF_f*#zPGVYnP`CtNN91ZIn@-RmLb|igdlu#fFx=(@)M==7#kBACqzLSg_WccyNtW zJ|k)0&$J0{i^~kWQQ2*uUG8pei`{{o6J}{*M-q6aJBLT$(8dCHxMf}&&OnOsQ$$Qw zwp*D^%QyFHf0F#KqK1mOuKNT&S#5pT*(s-Jog7Ffxj+=U6)iaJBj@nLV0qNj0peEF z#<$T=6Qt78D4h5eJA>345Dwl&-gIuA#Wm1_`+=EWqU1A@^cN(BU&gLrNq=wZGpPbi z4`M&P@Hc+-Z>4ctgUAFDpawU^HQ^K?48TSgd~7H5{mdI*W>{{|?`{4^~%Zfuv9KqJmyX2==hCf?60O0pda0}eSF_TvajU~f!6Ln zI?Dg$nByOVSpH!t4S4kbowNVtRsQG4{}t)J&)mMR%Z?m!_1V*VCy$&+1`yuF^;rKK z%-{c=*uMYX9^>!7Ys2rmV@&MvI==GLNhvTIn}#G1*lIC*8z4X$VSare;uqY)WWF6} zJ&6~H+qejUqMBK)E&`TNXgKN05Eiy93*D<>x}XQob;(VL@0$n4z6mb4UXzqOav2>z zU$oG*l-C!Z0sMNS&07MRmr=@9#D_-(N1-pyScV&u{B+OM2~xsIRi)6w3F?>6-?j2} z3RH!ag#9>})3(9{lZTXj`SEK$rl7N`3HXHjy8he8oSWdtT~WTJ-89P`VPK;e&NtEf zLtXJ8BUwa?no|llD&+OYgfHYP+?g-u(n18?uM`u9Iy#%hkwZwy6`| zN*voHt@`#v*Rf~&Al74SwoV=mDpjxBotTh>CDQKNS1^ACagQnUnE&?lU$>sI)^2iAehBa&zOAx2|m6Q7^Qu0Ciy z*3HiO_Z=77W!ZBAtw+i?ZeYTSn)Y<;(}_LpNc=WX>F%8FAbY@lL`AcdJRV8?CtzO7 z&aRx!v%sIcT!N)6%C*Tvw8Uufbr=$fM z{H??%iKd<~c63@C9uZ=wsKvekc)%JX; z;eo`x`Fda8XQ6z--V#|E(vy^;QX#j7(42!@pZ;r-2 zlwpk_Ka1s>$M3d{*c*9Yc2)o3>sI<6!D{1c@>75lS#AZP}jX9@ZJ5C#Ay6sEzT7tP7pl&`XVA{}tb(q&Tg{n)q zXv>jshPD0cC`njM5u8p?|qzWd!1+Vq+h5i z{{Z$|R!06>yM*Hz{R2)SLXgh^+@pD3;*pO=BLcJFmHnl{-Yz!I$%$j+IKEBInS3KT zhS~s+E#I`z&7V@teCv#46(bTXHFtdZ5--YiX;pvZISIERA=>ZY^(Nhu;9oYY8ZWlL z$j0aO-TxUVr7q5^_W^3qix?zx{H6cVso$B0S*t!8H^Y)NFqY12tL5A?hX`+zl>01# zFfehOOZLg3q{kg=GdR>5@7Tdh3Y1B!%|?G`6M1bXsZul3F>{-3ZU?zaP22NldLB2P zRMR5gb@P4GJdTZ=urZ=g|JR^m!wl!=X*~vhbNUv}dyJwBqd4|N+wrl^zE(K<#AJ(5 z56y<#>|>18)o_kd-sDwsbF&aKT2D%o@4iu|i19WXPCIxWpsR%W5f~ULZ(d$yvPGLU z2Q4p8_i74<9x#0T&Fsd-I`@Jq8AKA>aJroG1@pR8e@Xw)>wdhaok^BaxempLq2z-A zp@BzV^B?8o(Bl<~$|7K47caAnh~G}vFByW)EG_At2VAn>_sX6K5XlZ-DB0v8Gk|V= zi(&V6pScXk&rzRr;1-uTjf?HDx*+d{W~(Iv@!y0J)L~Kz#Es~pIcF$s}cyu5bjHhuJjXxp6`!7i`L*3#imquzV9?hujcjx za^;ouE!iukuzf8f*rr=dnZ;K8QGBoz11-{GedHRR5-Mn?)8A4Qyybn%pzN)pqts4u zu%C(>Ab{eiRYb@u;to6z)1K^6VeXBG{?_e4Tu1tRfJbcBDC=F~qc7U(dL=GnSU?o< zj;ibo;XWDJ#z_TdP+F+Eb+SD2uM%vVCkRXWUEJ2VaOO7IvL_m<7l}t;{u@w}M90Vjwl1vku zoFoUyIcFqiXrRHb{N|bS%s6|WJ^S3V@0mUK{DIwIRae#ORjbx|e{Y~2;Xw*%fN~FF zld#0_FS-o_QxEkUZUfBJ1Hg51NFAmy!aGeZyFEWeqA?CNi?=a4TGAHH)f6$&3y<+v zB6D~k-JWRd2sQV1G_~g20ro4?lP4^XuqE>jkRb|Yb%MXFps$F;haV@ z&yQ??R0aSRXAKmINCuc@qI$sZOKb#u^S4&sm@ zKIj0pJd%20Q&*H%ZAcF7>{w8~#FPIH`n=Ws`?2OT=-<8 z&gdwCoEXF25;TSUhlKVuqzkZY^|+3V=e2BHq${I6Y=2Hg8a$lgRovCZoC>yr9Yh=S zOFO*W=jD!EEu_4Ltl2G>&hU#p77t;RNp z>~!S>*Q4cePx0qV=nj1v-BG=tqGk5Jb?l5Qf~oQYDte$CgCHY;q=ts6QFm`98mZ#n zh0oUHEqVcJe=qq1Mvs-)2YR2QKfg=q6L`<0ER66qHhcM&P?K_AM9Rcws(ut?R};|I zh_Z2kOyFC8e~hTW>e42Pod~&kL+9x$pdZ{TWgr8@S!D)KbgAaruMS=YuS6K@P2SMgC+Ghv@xetYJ|Dge%pGW zQ@z35mR#xkwdw@A)-QRr(+se2Do7u2Y_Q{_WAm4 zhSlVAw7Y5~O^hbXX!Z6qG>8b;672nWTur8{56Qre(`79Kb{ck+E^m0n2h(6KvM$km zZVfL^xbhTQ2Y#Nc4aef}G2$5h%H4mWAe3Vcar7W1`G)&$ZMF^qzPH>Szi9Ci7d~Z2 z`;y^`-tBFv;Bsi&hcxO=F#rX{gQp%yw8oAllmYR?`#BLedxG6zR6b96x)Gm zWROjrZ`RTtZQ(;Y3cIF%#0wwXC7D}0WMP7M#=LyP^cJL*%kk+=a$$rrapR&b;f4Xz z{z*|u=A5M1hrycy;PBal?#eT{6#|c!b*anqLBQni4ie{}_S>3vA=@r{VZ(Uk?Wap? z)N|U`beRh&@qG`1K*zeY?`iNG4w%~CK$dXG`78u%{p_iV6hN)kFHxf&GKNqMBiRq` zd@%rz>^kk?L*Q}a@P)V_9!WZ5wrVtoLm|DOB_GiZxV;uqpe$^Pse*=Wc;~U?L&N)T zgSx_ZBb%u==fhtIELVQ9WyCuBTu8aI>=0~vS2+hW=^5tpTrb&upTs4LItjo@hRpdr z8C|8B1eofD_UkF2fJWx)Xds0dhBVGa5+a;)bpiialW~AWMsP3AKF2$ZoSX8i-RpDH zM+YUsAf>swge`Flg>2b<|HHjjh3qz$GtDE&oLTQppTT>30y&py9;c{vO_DSf`#6iD z1iTloH0QV<%ap~Lz`M@`jL7Dxc-H;GK2d;<>pc|_aSK)^npekzF(oJLf%Zr=Z&&yX$ON<_$xw|yGowOCgUPVo0t89 z$-YlvrXeD+HHGy6{|$45t`$JitP6u5KEP+y1l@2eJ1iD#m+acmC+!Hdoi{)@+J4?5 zsloHxyhGO*H74@b7fKfh3hk7NFi}Z)>nr>W^Am!z!-xE!oUmun+h7hUeO*GtBj?A6 z+S$Z~i5Vr7Hx<_!j=7P-Qn|l#+GtKvCK4Ds&$#0l0xqU}mK1`)AhcAKjNc;MkbL0y zsOo^T)nu%~ZF~2L*1Z7gS_PX&{_~6`@19-j;>|u;aOT~6qiUJD|9N+}?lD_>P3+o* zwOdd5OG9zRIUCP+IOD}cC>ssGW%jHS)*aZlO$?+`+JRu;t2l|3w+Qp=xyIu>osokM zKWAz~jc65lcIRp8AdENpBC-}(;G_EwB_-}l)XNKr5|MwxtC#37pc%25ZW&O12Q_EXBGpy>T7mdGrBNq={g@S< zB#k?AHPSP3@bN&5d3JNkG}boXg{0S$;Mb6^z&x@7dLclI_Z_s*0kHj-odB~0gDjws zBki4KFbx!PQaP5U)PX_{-QtG`J4xDSkYGgNvgaPICB+9FjA%z_esgw@kBLS1)b!)L z)-J|?RpymfGPl+Qzukg(HmQ6ieY>r?-r_Px_Q5@|JkgRNN(4G5AQN&!qyfl~&8Ip_ z$UiW?mNyS++{W<)YG^dCg$m7e4y5M+2rGL__{I_}$fmpp=Z(XljAWEDOQ%Z=xYK;u z2E(mQHGIh${^o#miK#NAqSKmJ?0)%5ahP1YdRC=*1V`K4N@S8_*hEneKyHod|3Pjg z0m!Y7ne67!yhVKmzk`SfUHmTPT0U2lme9Ni(Z%P2d6{%1c>tqAE$1DJi+}*e@$%_! zd*wMx?oY=)^+ddmMbTs|Za#n5=hkK?PIRzVTZA;VCfQPodomE_dl6bu-;H9=EpU^f zhi;1&rAetu$VLvwc+u-!1EZI+0{UAEh_~5*sNjSRUg^~*`x%1xvwNSugA%j9gQ@|u zT-zM90g1)CLjXgS^#`M7$M8V-lJqoS5()nfQfcg&uch=kBkS`n(JB9ni^vZrk>C9J zndyHr`m-7U$oKkZKIm_@p@Ow2pObO|scHGpjrJuV*usYb+F9-X9rU757wB{X#J^vT zdqbP#PM&U=2+kYaG}03N!Ci?rIOnNfMt@Bc0QyG>u;xF=gnv;b{eR-L``@vzL*q?= ztPtxaaNPtqytoU_E7JU_gF37Ik`m(=xX@ZP^8jiA(`Op1{$xoJ(@hs@T2~rou7)1* zsWdty%6=CjaZTLu<~!({;{NTMU2;}Y2e>6bdL$#3{>dTXzmqf_JKEVn`e(?TcJ@A;Rw zF>#yM5iK_G2B+Y_C01j~7L4VWM@dPJ34pl}_Hq-Dw{j0*3y>P^pa;CrFIB)eceCNg zIHyMoIDsfE)1o5G+ZC`5_(LH+0{{s(vRP9lX+bz*qI1O@qX5WU7smJB+uP$669(Vk z8^YQZ{cK%O{JHb>BbTC4!SjdxUZb49eMx8ndI-q{YDaa7*sR51=DH)?f`hm~xs{bT zH6vK`xSdef315AIo0Q}z^4>Lh{qPH69M-#KZ_8PT$%Nk&`*L;TV*tFcpH7~3zep7S zJLr+E|98;7CeWyN9#Yf*kX3mj0Thr~K&GxTE`?9U9*v)cr05;28zVE#$wjIBW>j(z zo(dz*vkcnx6-RvR%z)+poH0K5>$51YPm861?vl!-&GhG%`h|nxHX>{nE`h^JFHtt) zPjnT?TpLwQ$gD5;n_@z^nwse%l`8=If?$1|$Ah1S`8R6VH#bQLuF{{c^cD2c+P|vo z-L`8D^Kkmd9`GIX&VB)Uapy;%hHsSwA^|i%T0Sji{Iq7cLd2=Mv?eq?f%)5}3|5|_ z8$`Bhf5zj+>RZi($M%`@Q`_p)G9i$W6!lA32c8sqZnW2L=YKr9i}0`Sh5vjVpKkg6 z=PB81e0%-|_~W}3kYwY>{=44lX`a=LQBP|hzheOVpJS=YJSXoL0y^$*9tf`xQ+n7- z;Q{C>xF!Hl{mi~rPoGuy zJ=hBZEOCD7GZH@?^);J>zmaXAy<66!!fs33&)m4Jo&Kf~CQg)3;8-hrLVXy*tgFzU zcKU@j$pg%){&y?T`yUj^|LZOBQ?2>u@3_K=^Ol7V?GXTsIav!lJvu#ad|4sq1@w|y z3-WfQ2gCPX^I80Fb^7-)TYs}6{VPmafD!xmQ)j@a4#^BaH`)vH|G$dxZBgFqzk*1A zhF2j&|I|Yc1Ly=q<2z_LwC%_B05CzM!_jq%PUUry&3_>R82eY^hI91I1R{BAg6$~- z3|32Pl^4)_jQ11%RQ%q*{Yr%l0qWkNf+#TYGScO|B8UMN68ovZy3G%|jROqo>4Y_Z z;jjEHFXUhO{XZ^RfD0PFmhS1l#Iu^eu&??r^ljG8;$+p?_z68MQ>^-+-Eey(mSOKT zNnS0S4@ zpO!Vhi+lfH9ijfa_WMJ)|D@WXD^H8kXJi(~t6vojBS7;Xk@xaS*_hQT&0I)P$scjP ztj(B#qLLm-yvqaqLl}9{&vE6yO6dBZy3N25`<#KF75T7#rO9BGF z1}glc{3JgHVfh_Izq)?qUtxPCIHRfl+W^qt;5I+NBI4PSoJS2Z+iiD`O3t{Rul9+o zi$MbpOP>9rBio-7Wg%WPgmd^^W$$P42`c)=4kW5?CC#ML%q;sxOTtyBp+OXUm&=I3rEqertT{T%E~fcPBwHv#50{ zsCMFTZz4c)GRrNlm8av?oYUaMLqu;^iEHPBFygw-8k#2#g2cyHZkSE9WNwDdK#zCQ z=RZ}*Y;^X_kF6MlQR`ho>h5 zkrlarQ&9b-5{UU5-cu_X*HzaNuKb&`lG(NRk_&?Xk znqFJ&+cyTu?@ITbLbqg!)x?fW4~c#01_H_7d(8v`AK-S65u>W`FBtSv;3b=LTD+r>k7&vj2-ltF{NOC3 ziG~sjLT72RuYN|OB+u45nI3dbF%eX;`c*@*@xdZDS>8mvqef!%kt??U;n>{i@LMvH3x_DVIR(*{vt*x_b}JbND}Z#Q-t3l(^8Lr3Sjy_mYs#lku+#aC zjDb4#ON$Pih(~V>(iR#6xgeFfpEqOKv#g44zQ_Hd#lan=ai1%*SS6|;(C6S;cSuY3 zCS{MV=d^icy)QV}wf$Sx!_5gHa!kKYjQTnZ@AoZy6yYi2p2*bmRM}X2aZXGo3Rns? zz@TBib~OkXQqzp}5<4h}Ez|wxLto|25cyU^_$|Ofz4Ol3Ow90;JpTNm?s{|F$krZL zRi0a(RUxwz05d)~?xo=g;}0s7o|S0n`oS{Q$9(oHZaKe%=l)Op?T>Kn`9*i0Q4Q(0 zo3Yz{UlycTq_;JlJi`|rU7PP$Jfwn!G_Dx$Ghg{<0xK}*RbT~%=((1WK!r|NDPIW~ z{1+Z+zgYwM=@Ix(Z|~081|yL7&P&DBQMi6XC2p2mMq6**5oaSO#_#V=un^-Gz_Oh^ z!e{+xTy6;F%>KymUUwg9Rf=L3Tqa0648*vA)2~|D+I=V1h`wG_e z(7{J-ku>_PG`S!QN1{WNgEIEkP;4qk<9Pg$mrA@S$(^Xg^6d0OeZ!3-b`@#j^Tl)d zum+X^a^IrrED~lAPSqh)XIxgBK$y#vfzB@i(sxaafXX!Nlf>J5W^SC_X-urqwvLQ1 z0l$fo@c@ApS_Y-l+?JmWys9jGdkV>E!ElHW_^9&b0@cob8204Yo=it2`H53v9cO(- z89=OiFxF<2^C(M4&E!0H?yMp?Il1sG(QrQoumtd{(Y(fxz2>tT)*0HnTa_@ZTABiO zo`29L%+p%M%qF>D=W#)CsEo2@fL^|z6+&^W<7uU^=KfhV79a+tp5qbf3P%G42H z>vdyE0fr-G5xX|zmId*&WpHGByb$^+3F8XlrKC^sz-~2RY#gGv13eVBcYkVkLM<+z z^|hyWyym20Z+&3LC{}1O%z{y#K2jzbuX3lyLCyx{yfeXc&|xzPNc2 z@pt2e_VwLSqX8#8Wz9NygK6c8*j@EN)a}T$mqdgZcDHz>UjhXL6`&?E@P0`dK<%@k zhw4C@<_|FWrVI=yBQU?0*t<>!tiIHs|GVA)w#%>d0}W1pz5^IXdSSMqWu>t9_#|^> z9XF{aw=4@?>ux4-j70Dl{CDfz{|XHJXRiQg@YCu=@8?4D?_S~l+MMst&$>kOM@%zh zw*;@snrhn=e2PE|J?L`97N%7|4yl?#30EpA>x5tdHPA_Is+93D8Nq5Xp z;iQ?+nnJ&y9hl}PJI1!Ed;d1!;Yj;Xnalz@D7hni#ADo0TDYp)nN>J1Idk^Rb8ZS2ilj7nwwdi$^{8t6X z-$8x|R|$0%7#G5HDL9eSf9{U%JPyKFgseRAvB01#UN;xPC)^S#aGw;F@$D6JHX>A6 z1%TL&))MKMqd&93?R000gp|UGZGM(ekN&<*wuKPgoe;EenE3W)PC+3~s|Rf$;6}Ag zZ4S5;hc?(w6h+x`y1494DLwEDIcYA``H$Od)rSq*#dZ*P^~M%@ECqx$!`i~nnNoOw z>I?hcb)d&)4r=|?PEoQs`&^pijlzN_I`Y{O^mNocG@zX0`sd1{I~wax8Y&v#Hc``0 zOVf~Qk$MtsdzhQz%+{z!Pb-J{IJXnynWN=LC6>qbc}-DlKOlReG({a8^#a~j=<3rz zNu+ESSda|MZoJG5B>ZI=lCFfLw@U;2diRz^9FL5Fu$X{LPr2)f>#pn3;_K1r#%-vD zvWRU_?`}uK{c(@E7;|@~thsFC8#8v^xr?!j#ADRcUSBd-?(GUV^%EbFgf1A80Olfi z?0kGB2t!+2=a-e{dNsNqM(CLY$XBYGr&)wvPBs~NYC>q<*)DO0C-5}}zbGEf3~$>1 zDyXtHt;ki=Y((~Am`$$pSWFt^>64hFy%}piyAkJd78`||Id;ev*fRNsZXD;pc!|h> zY#%ew8HzV&sd)ka@B;tU;rqv?YoSw8wT^!nYsthIt0s*x4#SRZcTtDN3<@P~CCESFR)a;pw z3_Gu!*2qrM@mrGOiSJx>POGym_#n$a)K~j?YEwn`JIIu5u{KbF%&bC!zr8yaWJG0RvYeYYk0DCFIBPcF z4!>v*HO|qsY+wR(6J$1N+Nwh{Jn+sBAY*8iz?*Juz(6o9?l>^l z4#IYwF>7zhg(;Vwf5u9s;@KB}KHl>UQhPueP$#gs7utxMF>ss@=++lcNG-OPwbCcp z$p}^K&br#D@a}^kZqc7y=~ao;W)iU#2dWuN2G2v7!{NH>0Bw>gQ`g zbEFANkAoP=&0Vnb#N@@mtNj>m#_a~Y`&Vo5Ia-9Z$Jv>y%#ywb<$K$V7vNkOXEOay zH}o@KJQ`PE&zj4C>^puD2iKR3J6%rNtkhSRR#_Ip#J}F*tKzU95>rQcL3|RCgK;{k{ERf zv%K}U&N+SVSqgthc&jTn#<_Co9R#1#5&Ohmwbd2Gb~y%Z+cHFh2=69(7rLRfiF3F+ zA*SY42GU|7P`a`h{lV@$Wu_V$YWIzYG>gyX^d(Kr#b#Fqn<41dY^9ye8oVk|y~-fa z&3ko}i#Cx93Wn4h(H0UoUbde$71qvm!m=R3x-B1*_z#D<$n|nMMT;-S8#>>!SySb~ zD18eGc(BAIiBQ(&NDxV)DhKjNv8+k1K~h=oC*bo86G-Vjxt7QH$kNsJCGnR$g55x!ccypRMAQgWcS78SG}`Zbvq&3I z_=AK7x8Itw9?fbioUMCd!|YNOs>S=-CfcJ6Eot4p$gep<8FJ9LBv}&;cD2q@ewFpU z6+)HcCMND|+yTqwMJZVbCsWAVNEkfeD{KhQwQ$9^&B;yT#Udt=Cv(&SPSyB95e~iO5%|V;USk>@C9<=j+D_hmd=*K+p=rrikuRw3)pc9@xE=t zjh+-_8u?O7p6nUKSz6ynF5rjTwcRMkDrqB+|O4!~=hHP=`FLuwL#Ozq&`FQf9WARI~)(k>MLwa&{RGrwoW z7MUGi$stu4FSFq)vr5AGT;CMkltTc#3g)Cp>`vEyP3judXCWp^*o5&jaj|xa;XtfxIB#_xSX0{Ng-AE8}}i zwUFs}GyZ5(Z}P&Sd+huWiR?#pU5^vn>Ai}%bm$v9Y`iyR z*jsI!J>{m{uA`BfRX(tBkzBWRsu7bZ9V-T_b|sXggS)q>4LVWMl3O}J&Z1^3c6!Sw zqz|IEkoiyD-SgdT&-dwD2)F_WiO}pzyrZyo=9J4bM1)@%VTp2KG8*}5H(K}X8cu5)*2BQ_MKhJi zr}JY%P}i>~!Lo_xh3<1#E-UpHHnO$_je*Dbm|BXCJPk#9dR^o!yTx~TaKjSg9|~?O zv7UsbS}sbETk8144ohWkx*WkKbu=PLv`!mVXXMd+C)bIU*oo@^(bl6=U3W%ns>Ue| zI{ip9RYtm7Rc7dyXhHQapyo^Sq#GWwu}ldq3cfEnbeKaamUQ_y@#JlcP5q2X#uZ~qne6ILPH=%+iwZN`ID`wU#o`B4UUlYTkR(LRcMuQkDxeU0 zYPFs3U#~nf6ceW}Zk`yJe;IUVRmaiNS^()|9_I%6GM2(Th?F#kDe(24Ud(2`E-hULNu9nHc8XG@nV znU^kG0SWIo$K?BMj3J2eB1YW3>U;}Sb?b|mOURkD7KYplQ@23o5L=Q!HIv0@>Iu(} zuVk2(m}HU)NtOzyF5tBmDP!W%H-p;h)b@`*kC^=Bk4!|T@b!_{*a7XS7Kl0SGu?;5 zR4R|(sPd}3&cg(TT##D1ilK$iTT@5_)c{g*1!`+CWs|*PbJ#F#747a>QfsqdpM<+y zbOT_=Hte?=9^ws4lpLLX2bI_`4SUTiKiJ+*Jm?buBzo;i9(e#g10dpyL!i-e2g>hx z0e=nY(~o-&^K+7%J<2ye+Ea7Tw}P|J_qvfYImNu z#*y%BlN>1L^7a^4e7IgT!6k~wlBY{9Xd=LKhVH-FpglTYQbw|z&|XW({(J@l=yN># z2Ej`wW!47dv722zQ*%1K1Ij22m?nXepsx|sl+3DFW4^_h_ zW{u^;eB|sqds-pfQG9~y)p~>;Cwz@XZs12_UJ{lWdXw(_F1mQBlX_oHvoMHSHzidu zsazIDXn(7hU?$IaiHp-9TK1>Tl&@z;Ta8sI{0nmwm}Y{+dr6q0RJnpXkexsd)W1n@azh;%e62oIu$>e6Vr_Z!N&C6+eCJYk6UIp0O|M{)>7aH(?z73Ay zevlS0NO%Lq2G>N#tE{W|tC&?AL+0)yui1%qSDR&_b`jT%js~KVgT}Xebz*rvj(9Qa zacg2_teyOFMO<39M`dl|^BRAvZ7@v3zZ9a5>-t`0kM3Gqn`pl}u1#Ez>i#;i`t(@} zDV31}DH`Zyxv1@em`GbC$+&}|)rC^7HKi0uTIOt`W$Puh>kS0p>pJXbN6gFL)p?%4uj3> zsXj6r4(eduQdUr(JY$p`Gvir7>Tlk!pnY3F@DB|6#Us!Qo3f(3(mJtEme;iYn__%6 z(4o(lj}P@`dmI^~Ua57tYkx%M_>nhZgEjsTdhH*<%E0#k2r<94@oOi z#M)fjH`V9_CS!lO*DNKItI!c@@59{wYuRY%$7n-DS)TyY)xFDtN5fvIc4`|Shl?&KGmxQ zvNcy3OfsS8&4A@FEw|x2{XLoN`D+L=JhXNHdHw#0(+<-G>`-tM+z8COq<~qJl;L{- zs=byigh1aJ;QN~b>u%2q>KB05UG2N7YnH6Y$dVBgDgvw(G_B{0ucUmCL&vq>K@16T zH(n-Qt;%Jsx?V7J7T6@J*G2V6RwimSybqGU5jKAK(fmDCB$e#yEBBDh{k00xb6@4sRo z|H`j_1aawC;)c~-Us(~rB5S$jytci2iOwCv&if4)kp+LA7~;Q+HU5W^9zY__zMK!3 znhp96DjZq7?tYtEQ##948JajK)twdC1)@TAqx;>O@PC?A^&q) zhbADvQgb-89sn;?r2i0z`mb}9|L)g62KxSgfi~Zb1Xu=<4*h@@PWd{?dJAwSSTaNg z#{%VxA?We4?eBMr_;(x?{@-w_Fh}_7%iy6skCXBRC+mo48}yMY5z6}#26Zs_PGCl! z5O=!y8I%B7$jLamLz(lY-nrk7WTUd;gx@u&z@yOacNcdxqq`JcH%RZFh4AhLc;JU1 zZx_mY1p~&TK>p4k06$liDhWrHKei9t=a042au#K?Zr4_HR$rhkts6IBuRaKGrMBP68a+`MDQ$IG6b)Po zrL^LgpOR|l9zS~`Z`^ry@gIDb|0$u=?>X)tp-cSt_0s;Ua=C%z3dS40Js(mjU;s_L z$~C>QHk;^GfOczUJ@rJStYfZSuI{{62Osgxz#wH&bLO!x3;-t z9)hS+AQiuhbM<@r!vEB^e?%Vy|61fx+AkWt|G88<)$e9s;~YoHpfz@y>9F5oZ4cteyFQ7lKp*tJsn z>D78_d>K2jn!WQkk)cF`kUDXZ+y0h=Im_SrhFj+EWEY2t7?S8Hi^}nbs&-58HazGZ zpx@PyV7VHwQ(B|vTkuHE>LraWp4I+JbUK{gMN}ea5=myl6WNSTAW3}Grn}z&b6li; zq)^X_!j#++*DySc&2e*!)f~m_Oz@VtCc~=Rbq3oK|5R4+cTiJfKeE593sKSiBur#D z5&0eT)q7U?lSQ5ff39gE&}I}STlSWMOjM}CgxC>w>Tl4A=>UIsRIL1%)0;DwBYS!O z>cH0@27dh;tMu~otw?HQVmx!L2+#R7?CM(&t=UU?ReSAFm_an4uU_Zut81{FS%^-7 zkK)y7yM@3WNlqSWQ{fCJV`Q^y%K-aj(hQ0^<a8`bewTQmE^QPC+VyBI2 z;b^bi%mhtq-YJ)wZ*d0Mp3?5JzM?pbUzIPRJ5#QSr}_pQ-NXKa4+%Z%{a*bynVEXNtk1%QTiI{ncw4Y1ymXsKBe*oR-eOCIe1BZT@0sYEfGSDH7 z;(@D6&2g>9x$c2N+Sv?eutSS1zRGNt^Tt<}>6P)NIH^1UWB-Pq^RGVC{1F)Yzi-(I zt*P#XXq+LTsOk~?6dpU*&G4H43wy>#PHG?bRUuL2L>~yPlUKAdG<|ZY! z%?Me?f|*3b2~55cAVlog0!#V0>)5Z)$JfbbjKetUM|Y>@&5EKgVQJ?a#vcWZO9V7) zEg@x^K~U%8qeIc2CDBsLiy8QU5$$d5EZ-!jNKm{ifr z+6`EG4`YrRZ>Ke{bSKXUxa46z97!Ry7ZRj0uNM+r%B${vLQ@HiGF5ukt`Q^uRm%E2 zHEuGPx6TqUJ#YbVbb~v9l_9(!6$@eeDD_JM+wivEVUfdy~`Kz{F++ zd3Gg1aB;Tl9mhGbTK^riu`c!BkVy-^E=JIz=)TZtF?R(w8DXz#G{)%mvGo?(b5@ts(;L8t4xT!1 zuS|? zpZC82-S0$Hy^abcsZ&Fdy5=^u?299n=_X0M15%;^%qb?ZGN-d|UV~`NF><|gN}1qk z?6p8#TCO#H!yfAxv5-ac0?FhE{&GLx7HxU@*EBszm=5^CCcn8ndc?zO4Rg3Uwa*Lo zF2)s~9T~N&y$55wfR?1u&(_Q#R!54jpft56T@H?Eiy$!Y@EScJL-M2r=S+>c+7FlO zP9rr8JW`X^-1z)E)E#t2tUDB^f@5cG#nsnyjh`yOZn@=k$R}#ljj%c6xa)9M3c9Om zbw>v@b+UH&4HK)j_dh#O&%9Wz4CKS%8R~^|O$(o6=d=;kET6@*Dl<0{nh87yD^&p3gY0-XN!%S3>T-`bR6aMlTFy@AIjoL<2ccXdy9nAzRK+EzbWlk37EyI4yc#?I2Nq%tVavH@xD&#SoZnTN%P z39-o7sGJ$|re(n>74pg;i=HQqs&OrzvyV=v^{>aPFQrE)$_EImC(_h02xx-G(dNhb zWPbC@w_SuGzZ#y>0A{F@R;j;r#-k&u>a4=tXE18QhES1>Ez_eCXJeMpLN-xbk@hae zW6OC3*HuFiXFhhMk_o~gg$~M|&7au${GDaP?%;_Jw?V+AxaA&6trs6TV|C+jxu;yL zC3XCp6#0-z#-pm~wsFD9b{@y&1dT*S8rpqx{}o&s%}eJYDpQr4AN&9hYZKaazT=K& zG0|xR=cRnhO}_lNk%yhEFO5o?x`jgrWN`@Gala;c4+7)3MS)t@joobK!$vmf>GJ#{ zl8S_1^>h8m%J5-rh`0E7=Uz^F(8cgBkk9Zh?Vt6B_+|ZDXJvEMSufVw5+iK(6sqr2 zg`vle@2Bnxo3?B(4=v5Bn+kc*z znU!|5H@9Z*HQZcvi%AxL8xm9@O(Z0cVYD(OUms{)Xuab#U)oG0{>oolv>d0tUqf(H zxls03_EbO@(5&3IyfC2!(6|Sy(zRCa$YvSfw>rle*!@O8W?ASMbY3b zcu!NshQV%Y2Civ|1ljib+)@7(1Nc9m1)06U0|eBvXr?D;1F%vtgV>gf^^L`nzb3od zzB|G_{hEr{z64|GQ|_U+56&KTX*kJr^XJFwuUvgs4Tj9xT?*db$3?j;%2A@UFv=Vs zEZ2+)@7hPqdpsH1Z7ftKeb8waA70PbBfbSqmGN3cFX_j1l%$s@3ZkaQV(pfZM0X~- zIN32=?09>kn_ZJArvQo9&rHz0nOW*S8>aB&g$WPU%4%6ilCw~26+-%RdG9OdJ%Wj8 zKqFn}D8-XawYVN6e@jWZcfAM$19ZMw2iBIDRZ||@LiT?sY*rt>`l@zi(+Ey+SFgZ( z)UWi;Y=mQHhu&<0#+`(Z-PbXIwi#qCE- z8+UX{TuaI=K)~w1^1$Lky^hor*Vb*J$B~}!)_8nZWc$O#j|;4Cn#l4*!77b&BMQTY zeQk>f)_lnHsKTJ(QOWX@azLHb5)Nkl;Pw!;V5_PB?lPoepc%X(RqyH#4jmFz@Yht` zGAP+UdOT1*i;V+tpUNw_t?b$yY>UVfATi)-vfcK7);jze&BKVtuIj!fx)Tw;tOLxio1KGY<)ZQq{Y@z?G_7T`anInQxo%{SoG=iizL z@Gqn^z}Ga*n6J$B30mgx(ud?(8BkR2pJqm7oeZC65fB=O@$_bLbtio|=2MkG$RVW) zA>o;bkCt!}SEz~HyO8I|?m*o&K?yg&9LYCfEJJ1JV!poS-kC5%+{lv?9sjtot8*9m z+WA9~O>j_g?KrO?(T0vpNp44hbWWSdO^tzv`yZQxtTRKi1vkctE9{rPVoz)9vwEve za!%|V20Mw`DL-_lx|8sJ(JbNFSrN?H8@}U{tdg|vOg|LRkWfG5sh_`{vauUl1CH7^ ze}CuMur+f-E$6*lR|hu9U<>XHeo{K47iy`A(R=z-3Gd21KJepnUg!;$=aQ*91U+O- zGID5v=>(NCKe55Hf+1|r$;VpMGE|16Mh*Apcgrq=)ztN6pOp^3(5|5D@s!hsW2UHw zDi|f1q*P%Z<~?&ul&ne+G#WduHjDJ7XPSA{+qwWkS&3Gmcpg;~Y!Nt^%k-5DUXSMi z<~eH>W)JO|p4+@|uJ~f?^jt5UXT`+iPGmF2=V51S5w#*URX zefXHn6-%@*q){lll|PzzO}bJ$@Nj_*We)hG-Q9R|PUJZFKJoSkBg(QQG-KPg0DQoFY%u2U&*;D2N&Lf}W zsm2J7rUYqLdb3|!I1{O8CH>!=NBj|U0{v-*Z+^b_YP}v%ld|c zpcFv_rB|gXz4sz0T|kjuRX~K$dk>=0I|9;CKuUl}3B9NwO^US83B8xl0}0{1&-YyK zcg;C7bIx4fJLh}nd1n6LN=Ui0@4eSvd+)V=>-V!X^e8j%DkC= z{vMB=U(zQZlvT-gskDCnUF>N828r|0gl8+6nWA{XC!X~a@mj?}T5BOt!hZI97a}>4 z*c8!LbRv4;KwSsDKRTbJU!%NET2XE1RyW68kwOOoCGNWP`qC|XW+^;EI4iFdpgM@l z?_UvyfZGeg*BhNAJQx|rysi*;*K8leS)?Qxp30GH@`b!xnySfKs9m?ykkIK~Q!WuT zDbJd>{%Kt8Pxj&r+-;L3yBzD1|5m7e^1dKD-rFt$l2J!OT?pmcPkWrO>5nM8mNBXl zyNZtGM^BgEVN32?WnT+?*;GHaD+(WW3Sua)JC;EK;}cxV&CZEQN5dh_++&!(Kb?ewZk z?J>QYldbkBc5aE$;}rb7f44ow#B}|57IgO|=7j5b+B$0~m6mVAMPbqB zo57vWnSRzExR_rLmDqXX*oGW0*JPmf8I17yyWmEkf#Sua-G^0;dmJe#XMwfBBqs9b z-RHG*T)rGFRs$Syem}+~RUW_AIJRUIGi`;h7ar~z*%E2ooYvohZQm-HK_WM{KOr_p z3*S=n@yvh9D{rp6n$hJXkma5k%G;H;B@{c}%|&(Pt<^G++@#kopxt+vB#BZisEi%DA9}NkVgzZ&?HP0tLy9%1Wn63wKa>FNv||IGt$?#y)U;4-?=y0 zbg%fB6(1)y2z{3Uo^{@CQwH<+&+uP>dN<96GN;o|GU2OlhwOW1fv7*+X+}%(7s=&! zW8xj0jxt(z-ETk5+L!EZ{CDb zu?GumH&S@;wlL;jb*KD#C(bBBQJDY)!nFGA3;IHj>02X9dCRu>WG^pIeWm|S4{>scYuaDb$I3P<{$wGdaVX2Pz8D{42`| zH?*>+&7>qrU<@jqYgb<%Ua1XWB2A6+UAWqAo%8r9t17LKDSrUG(_yI|;5TD}zTbN= z%RhFwc6i!!vtlAG&vzK3O)Y%;vt#gHE&DYWp8-S-O*^xoK1s`E5MJ;V7&%LUjX~zB z##o$)6AtUuyxrye_146 zJCI6X?QwJpO&uzO(LAXVK>g6Hd@Ov!BUSwS2ZpeIkXfSi-T)O)m`h9(4Os*D*Ls>1 z=2fxm7QkNO0|Ssw297&#mG9^mUeg31Wz))MS^{5sVx&ikF*1s$809lPO%&^%So74T zHy=&gjEvF!TAE=qQ>f;C?1zzB7VjL55!Ayc-;a^iKH9@=^%HSbKW>}?od8R@B96HL z`4vOHDKD~|QMB&E$kC5~-HHbv4D_0lKMY&GZ18oTLLCwhmr2Wa{9K1so6BZtTeb~# z+L;vwdrwP*3rzF#!`0vTD12pRqq_jLkO3kYZ=yOB4%r~f7A&Zebc?)&K>j{Qhk#4Z zUm0iKMLc&hjuPr7*jE>OCpa7fI=$URvzoXty3Cv}6>>I!mkauJ(5`>!je>1Vjs*$O4HduAA!kr^sdKCWYha2-L>)buzmfxd|-yQ&p~Sp&Htm45|qnE_|Yx-J|2R zqI}*3IDW)>@q|iJp$1QyyK08EpO=$~<>Fe|uz9sKIm>RA2LsW! zB=3@YEUq|$-k1weuA!mXWklYBf8!4sXdEatyDx3ddHa3nw;sJryw_jZGRKVPH)k}? zx|b26ur27TTl!(!Jo3w5+MFy1*`xja$Ef^t-`;_i3HWOW7+^E}jSluiIkcmx5UI@t zG|<3z858`WSvMb>sb>DOb8EkMy+0@K_P47c^#9I&?&g2TDv7^{U*Yxn;3nPk6pP2( zc*iE?M*O1HNoW!Vg{$)I2A6!8eMVRoTzleaJ*ZO!Elk=xZAG6MfVc7f5Ud(VXA3e+h@!tN8<0WyD(`Mg@vXcfcGBdruATkwQG)ZIvYWp! zj^7J<{@wQsd0a0*lz=E&jeFoYJVt0c-&fL7d{PV55r*karFm$W_&|!5Xkr5>+X}-) zJb_`gN9&Y$Cm{tYU0{?fZsMJ)z$ z2l{{Y!zH}fpmCCF6D9DL5QpzwC*)2ZW1W$W;wQ+-xnQ_uU&UazVezkv7s8m|FsS!_M@ z&$(*v+}9imCr{7YWvN!>OLt_FOxzH5Q|+ORj>r4=w3q+6+~t37b^^1m00X;Z?|lxb zY$~&~#U5eUi`|!3(cY&r-tI9IUpvV4|A0iLG$*X#Edn;jLS}FBrp0r6CC$-QHbZGQ zVZp#E7(~a8x^f3U_haOpzt@C+h1dTK=L5a{%~R9M_LDlajw9 zPMLe{x8ibtB|rBsjX(N-bpPrt!&=vlCwJasr;Wgd*Uh;xZ%_48N!|9jkM}+$SJ2?L@`uJz$ zT>fTm<$n$i<1cs1|NA*r4_4y>^ZDP4Sk z4h?jt-0(~59yiAOt@R>?!4}}EoBW*QJ#p?@WgYLPDUs0Jg8u&?5BisD=b!!j&nUL| zA5LiW_l86YMr*1A=Ew;@MBCIg4pCb1Ro}7DY&3ji6Dl;YdsX!YjP6A^r=E=98Xy1D zCV1#Z|I5OfENZoah&J}{wp;IFiC9C3iotzA7N_LpBxhdknzw{_Szv<>nOJ^g=;X$o zrsc|8`vec4deNPVi+snxjoO0!^Z`>9+$)P~C%-ZQKJ)XH_p-_!?s z4W7Kp{8v)T`VB$LpAi}Q_n=<*-@fWEAKh{MIqit}sTm)G0j-gM9HDXt{^ghKb>?AD zL!Tw9OoQ5r30Rumckh>}E~bVVJewbgXkkEL#r*jf1=B@%g&e#lc%P^|h&fOp>85v? zqjFC5^e?mV$!Kd(h!iarMP6S=OukK8I(5%=EY&MtmeUWm3e_bVAb3RB(MsCFI*t`a z*B7Zf7f)HBxlGg2)wj3D+`hNyaNegcp-rQW@48M_5SfQh2dmv&vW!%yx{~MY>0T5d zpUu;#>b6;6rjW|Znv7?a5Ics?ALN$L{VG*9d+OM1Z+jdL32PCEG*>VmiVqCsK?+N) zz6rq@8#2<4l!MrW65f8JyeI$WV^dtG0uxp&_g2(>!gh%?P+-EI134kH3t8(j5C^mV zs>|SPazN&MoU(`4ptCi@qBcA~E|wwx}u+w8;qHwiP(toyn!<7RX8Sl1zQz%lUJEs&Y&REQwpdvkLn32{fqYabisJiosS=+2q5YS5eqRMgOpxsM@(r5%ojjgDmtM8m!$v{GHRJzt}gg6gEakyugmILCt9r1x_}x;a?C zHsRnVvtddd3;#0v*g!3JMB`d(KeG4?YxnbOPo}T)-A2o@Q?m@`Kwrz=^g!VuOTlnm zDHfUh=*uq#%q~Da{*14u>1*d&Z*I)u?a9)|yo=)%;2I!u^G;(Cqw+Y8y(Bu zs@&GLnb#GU1X)A!FA=c@D^mG2@f@p;0vbpJ8x49Vy4~JZKJ0?^FDO*@N-}SBiYU9N z5tgqg_}timA3jPIeWF0u{@BC5Cu8kfX!baSOJu9{Re=Hs;Zlj@HRWEDc{5->NMV z;)nR2lflvPZEjg%a9?n#nY`zb7Cv1F z72z}&+fh-vrtb%8=_ZbZD~j)S;_*6GJ6ykF<`Tv@bf^jn3f_5r5FI9drLExcH8gMl zrh%H&n;Wei{^37;Za~Em-L9mq@iPE{QQxntgFD64`k$H>tLptCL~Lk;MN%Kcjl zOY2@?c-dCoGdr+Lw=>_tU4MUQ;I#Q`?)$t1bZStyKH|Hc$4kN&A5&Vsx14; zqO;F{EOxX~Bu`j%)7Vk7f4f0L(8n4tgW9{K?$yNny{xlElBd8oC-8#_%^WqNpxz#d zyPM7;A48cCy58!_=CvEC4=`EZsg}+<+wVBR8N#3L$+bj~m3DAEDU=&Ya+KtV6@SZ> z%ecrGJ?CWCBGlA^_AlNPf5fqTl+jJ{e$hrfG9ltpwrh1L8;rnEZ+{XM`7ULf9~ua^ zN4neEJj}bHE%YwAd}ve*ybVN`*v)Zv+Y%jhloCo${w3H@)SOkR6cA9B8;nSk$rQM%`2s4 z<;~8^L0L`5!ai3rnig#wJvRgSz)00R3x9jhpj%@A@6kl3XX*5LI$`{SvWgsDMagd= ze)xbx$MUfdLVj9ZfH+=UfEIYbU(?61?DDk3M@p}l=dT?x;qy>A_*>Htpd`-p$HL)C zxq-09K0nX$HEy$%z|HPHe)9sVe4wgDZ!(KOTjosLX}=|LEvmSlD#}!y_+PJCgsB$J zDi#@i^?ix6XXS$I;xH1r%QJh`=O|?^DR!2V7d-d*gxi@sxmWc$ zQ<-CVKfm;mkPx>>9o>3Xu5AsNu#K9&x|Kp!8Nyl7(ocsV44x9$ee#1hqOoM_fRJt1 zDm~rDhTADWKPA<4v#gOq^Gbn+zAbwhL)j5x2B=k6xA#6YunmXQw6y1-Vj;GHuwDin zvh_tTu6trJ*ZOYlJxvO%QLnaMrc>$^D$iDkE3XF(-i zfUF_p3FkR0{yQ_RIQ(e;L2l|W1>wV%54;k53U&i5nwuvK`sM>F?v{kq0=bN?u{DM-0sFf7hD%wLjLTpA_q$I444a0YT zCWo(r_HJvrV5hUBS$eCpu$dy`Af;8p{bJVW5MfE#$a&nY@hpXJL9Eg~!n2za6e>3t zQy~0&c_`hWPx+0_W3qB3>%#Wlh+1i{JWr;$iRZNw$1k3GB?l~@(z3tWd}Uh7ZvRC0 z(~^qD`^A+&|yk`Ic|v&AB^af(!zPc6$rXi*3*0=Si!O_O`7Hko|s5 z?OEBBw=L~vhe*0;pYDJj#&Z0{K~T5LaaBNRqm7x9#l3!EDMmv8J|>of1M2n8>+|O0 zuzfklf&d4}R&q7!XAGCz9y(o$CW{PoA*h>Tw`3p zq`F`AO7ea*)tDf)&U_&WS&S`ZHq0t8o(Zr&&&*m=OCbM%;>V`puNI;bzf{X9)P5Wr zT9INHFuelzF;LdwlPvkLVS zePP;Ew>i2cL0nzkJcCI6rJRG!cv;_W#HDD&0p{&luw7+na-unK|L4nc8U0bQVysS{ z-Q+V(!^9rVjIL#ph1)L0jdWaOjd(`Zv2`~aILnIdW^XtDJlY(GEye!8DDk`tR(j~) zlWao}drfHDI8fKDY0MD5jToyfT&##2vF#r4^tYG+{6uXw#$NhlLG>*!j0;{r>ZL;v zb2IALSDQ|osLPC5)qdS$3S+L_FVif)AQNRLzm-s-6b{6$1&`2jOM8nW5n*>!d2eRbd0U^AqXkd0SO5w|A?O|A~S|8UPaDnQX6 zTAl9rnjmr9lyg1)fjJvlPO4oz=>>>_aZHStA=A{Ue@xTdv(^cAR#V7CieF5<%$`-A zQtOxBAUBKrIC412(@`$ZCaF4dd(S*9y&}b&!=wJ8gWuLcIH6pvi_Dy1xm_w4@@dlI zb|qiC`W*vWRzX6-gMx@Jqir1AQ4r2n(blUUtb{klC5!bWG3F|xaKm8( zvsw1rUU7Ew#H@^qOp1$AP|qLh$0v#4Anp;z2bT8E{6ho%1F4w0+DqfB3`?wwpBIWR zK;ORu@;RCpAWl13QPXPclql~}Xv91l*ULUW>RqUMYok?l>5RA8uqXpSo;0fprjXP< z<%lx_Nh!QFtH9`tm*HlX&S5_+WxD(O(5E%4nYNMxlI0BTA~OQ9d96Q1*FB+7p8H@| z2OFc0!BvE$OmzoDbHU`a>m9aaiuy&1e5vV{QG=F^T*P59_T|EUJV%;)empIu8xC02 ze2cXzdqnD>R^gWz_R3G%U!Zl%uJNw@LOJ$XV*Xq?I>8#Vj#w?R2HJ6IWl*A7`7CjP>vqg+4R!Hf<*PfcX80W7!n?AKwf*X(*yn5`;~tx9tS%@`y+qo+<*ojl z?NMa@OjzE%nxbw&5VTm&6-<8r+tBK}8}?((XD^%Jqf=UlsVo6P<&iAW$z`p^!$Dv0 zu8duS3EyL+0V6ZtPbaaYg8L<=a%h==+!-B(J95NxdzoWYkTP)my2(z2KZNP~T2sxi zBb2MkM9mgNyuka%+SM=+!yZQ23$nnl;+* zfHkg?(=lSsw)?VIz=zh+YF}PWr*(^GWw<_hRvPv5j8Z%LH!@djn#iw)cAqL$YP&`= z?lS50NavKSl@_Q_dcWK$NLwgYRuUNu0OYN@PCo?U8T+_hfK==Dm>b?keTz`~{~ zh!Ao2n%ix?N!&y47pLeyJh|i2bA7DUj+y3Xb>KBE2CX57xnWM-D%0@Syr++%ZTcTZ z_kdnFr0i&^FEq1Z{M-CuQ>xC>P2U^eswb{5E9kIFQ&H`Wr5Xt#;wEB^9-Mcy#CR*g zTYcpFT&6zO*CJcHvc-k^sJB2^J>kFz;Z&AC4hvpD;Yzq7|rS*Uw2 zhbO=POv!nb@+!qXqCbx?g3sZw-UCzQyi@igu##BmTkXAKOj-37w`**sXP+&w)h$PP zp969u`}WQ_{y4P$>@@(Jt$@?g0=)m2_2zsbzt_weCd$$hvR@lGpyZRuq<+xdxN`yW zf;&UcS93JMg^PA7@p5X&LP$NjJx&5Ax z0lZ~%>)623>CCq_=+jW=)1|B_ze1Fkf#v@G8Mx7V!^6ZWyFnmq?(^_yK8BasOfmd& z7o%;BI?mJZ%b8h&!duZPo@5@k1gA{u>3|N794;;eA-PQ!9F_O$);01AP|_2~o(JSt zolk*DB7Vw?HLrl#smMGNt2w~~9JsU>+eR^xL?ttS8~VuLf-gX)Vn7gs=CL%|?t5q2Bm!-a;*En0 z9E|jQ;v$x;laetOcx7iBsNlPbxBvwe-d|uM)Y_v;Gyzj1?P}EtO0umuX)odR#QO=A zYO;he->hh^ZG;asHHNbrTS2Ndyeczahm6a{9+CgP5j@}-E@W0SNHHZfg*?m@8W85f|aykA>{MzhrYiJt0de^Z^tOpfpZ z>7X8?$XL00{pu+lJI8B7^}G1%we(&|?#F8cG~R}_G`gSka*h`2Y9o$+2@wA()nTD!&SRm@Yp%+VGYGcTVFsoFR3y4t^g%7znVuZ zziv)ho+UZ$ox5`Uur65n1;_~*_MypDQf*joBs(JilML;mA7og*nxKM=yame^r?+Z{RP-pXd#%S8#LajF~RZwY3@=ND2 ziL}oZst>QE4F1>uN20scQ&BKRJHtMZxR*iF_PgW-!#jdk+_Q2IqV+8)>>845Blxh& zA12!Z-BMy~#7dKVRn$%hbxRdNud`d=roT3VU(e8wVxRZGNG}bMj52=lTnyfJT2KlHRA!6%aBBp-=tEQ)5rdEguid zJ}K+8vMO2^e}pu7KMo$8DYm(l>id9*mKwD8?ZD*sZO3De*4}L;D#&61m$JMH0H-0jzi_@XKL z{i2_LYW@k&C}U_(J#kg#gcnZ+CNikyo5w4MTq2b0K8>P;kN_Z`-x~{qJtOPkD0_q@ z2?7C-dm6xy1zV;a^Eb4~pm$)e%!{T}G5uwhn)0a?*V0PRHIw~_9+u0h1TGyFY%Nd< zeG)<8o3@NzWn?T5v>3kmeFTe`ra7nkH=Ytw5XJ|L&tO@fx{om*8UF5&c%mwY_&RoW z29di(UBwY!HPvcwPCEUZoppkeziqG?k-pqg0Ht{+WD*Lz0Smt)=Ztz4X%zBDoHM~9i^Ri| z@`k$BUoXIezv=ST5>&Fdqn~0>mGjxp|1K|LduYqjE&**V90 z4I=D_6^LMEl^}cN;q)~Za=K3YFTd{we(mu*U0~W7LH*T>K|M%}Z@!SIo%q^VF~i~G zTHF%0zo7%cz`*0Lskgs97xO$uSbOwwu&}S}wWH30!f;u+;tea6Vb)PTF`k-6N?=bS zdaVbKlt?CZnYOb`=Jy6LS^E7;319WX=7m=EPg>k{8cv3p`2`IwCP(X!723y9K{1?B z1=8lSZkFcSC*IC1Gj?XnHLIWJ$P1L`o5dHVO!t`1rG|k`t8PovN$X$!#%aRwV@GtiVe~KTMhgNixN&dd9ft_UL55X=&KyBeBaOHBoeWJ(vBjkQ>Fkfn(cS4u zfEKr3_8&!q{u!vue?Lh0UnNPG1LT1ErdB$i*J=uU&B#>fB>w)LS=su<7(b>;mC8o) zg+@A1*1#_~aRqd$eGB7QQe2fqd5()JFUq{KokDRDCokW%gi`7l=i)HJFDX5D)_83Q zSNr7qES>9y>GZdIDYK7=C~vVw&&4ZVHa1Rv%_E#eatEKLO}g}CaN2ky;-++8()*p~ z_w=Nlo-wWwOU5djx1~&a-+De+BM#*;A5y3eh{{mMb(T!-h}S-oaF~hd+DvHSoNP>D zlhh??$SXI*a#TXPWbF+379Q`5XP2zpbQ%)-q6Nhd=&ihdmB5q8MkO53NiyVF28b2_ zv0Kz^TwH1wM)oXa_ZZuEjNXiCye5>@DM^TBPftn04A9ycOa>;o)TRfH)P8PPeIg~; z@yyKn^vz8?Q-7`>b@%|4(EoM(@}Dsq{`~;&e}y(Lg;CqFpyGWDjR%`9Kma}1%Ly&p zRR`qqY4{Vb>1aSiS0xZ^5DDr*eS)1g&o|5Hy_E0c>*YQ$rI|(yEmA6V;;*QZMiT)t z1Hmdh2KAP}NhlZGa(6IpXNYI8$fRxTx>@;gAadKRZ2qeh?HY}Zryc-w?z6;E0L%5J z^gse|#MrzGlg+}&4)9&wo_QU;NcXj~fSF-Pp<;_Y))+VH?=CW9KK*X;MRLCm9XoeS zQE&=(cvMoYSn}Te6AO~Y7Uv@qYs6&fm%x=s#DYu&RiIJ+!<&qk)VlE#MjsKTn4(3# zTL2`p7TkN5;U2_VBQbUbYhx7mQczH;Xtf@eKHglZ?#{kw(&yDd)Ej4QU<+PUOS8{e z{@LYT9_mj&!~5EwsIG9=%wElvWXJ13nvCozM7}Ur#45}iEwt>h-Qj28yh`Ad*srQM z@`lhoq2r3tOCOOoS0Av3kL;;{z>bZC#8~Ayrg(}W^Oy-l0;nNMKf0_y3~4Vk9Ssnm z8L+V;l|V%M2r0YreW6CL*N9vt=m052#3i|V>i2Zx()P5GJ&PC~<wk0jn~sH<;` z$PYzu+3KBA#dq}&c7aGgC{+p3K1j+fH=IvBQ++c(8rM5HGHm~0Dvkc`lI<&Ng;_OH zp+)vP(RVoX9uW#HY)b%4d=Vo}f!tqfY{Iz`r#Zp0XFVgB&SN~YWYz1gSCiQv6bT3H@qvM8&SV~g)hJ~Pb zdMLCw!)W_sO@yvW3{?aVNXEtJGhfQrN$KZxu&~KDCSx56*X+&G}}kX;P|8X72+UEqSWEy19Tx z%Tp-+R{}~RQM_eWEM-loe{orp?;^&wA+4MfBVQU1?ds%+EPZNy&I48&Dhp!r-Rp*- z8{2CeQU;xEA#To}dVRa2FJBVld_cyQeD9%unwtm|aC#S@wglJ0Nwak!Pr;WbC-fe? z$XTJOhPzI$UFlfyGVvl4X3#QU>B~AY3SPE8Yfhmp=Vu%ApeyLL$v5f^oxLqxT1V>s zHJ6P1(u1V+xKb@yL%)=dTPHW}IM2NGCUy)Z>ABS%XQwCgy6Sdgvj=>op)iWKRA3q5 z!6eh3IIaHL|Ea885Y#UhM5q4da+V&bRP7o_m6gD!fgG(P8h3iI$A!C*9(Mtv&HXPO zcG)3?)q(aLw6?th_IccYKJ%ac`HyWxE(2JZKbjXksN>c`bO=MSC;}h|QqI^q=ybQ^ zt4`>yu)NHn|7^d3agji<%;XP?+5e1U^8fh)e1Ak(4ixJ_)}$Xa5Fi`shB#AZd0t=! zCwwcfDv|Hqef5a2yIYmi8~SS0fWJ0R$JHY}HpZJer}Q?_!+oU}kuNKCQbnJ|*IiC~ z7iwU@MF^IzBa~QhX6l>Uy)hFhIC)J4*HZ(VR$0u%%>&*GYLJj`9bTUu>6j(@UfE zRvr9}{q?5oaK0aX)pPN?l%#l#;OlT*9l9CIjhZu+hz}c&%`NZqB5Eh!UApQSD;{H$ zuwZM9d%_+3_If=VY0<;rOUNjN1-o)IL?!HGEw#AFUJ!<@3gJkY_i_d(uhi8@qC+q& zq54To`Zh03RFA!L+`Sd1#7xURZESs-!MMXI+`Jw%X4qRV@~Yd~dX45@syCOwY2<`M zwyu7HtYOXoMv!61QZc{^@}SW9mTno>0o{{V(I50!hHH^gt*e>{fLR~(IEUk_)-r}9YQ3`!3NQ=-5sJKM@ zTpc2~dg}|09&|z;X~7S$Tiy7Qo)aP8c6jSIVZOU6tm1uj(1=W<#^r!ADCKz zoKq@b>m4EJ^!XOn!pK|aDcC2Di<-ox&W<}DV<)(pH0#M~CqKMA=?Yt66?&Mh-J1=c z1`?FJ7oZYAF+OPoZ;hF{WmJrb1hHuWoU-6|C46fX>`dc$NR@DVJ`P1>oevk`_G95# z&7mDJSOkRdaQAwXou{jFF~AKx&h9gqu~l&jWw4f1@&>sv5F&~!(Ki&E(YxSz+nN*w z@~?Anor+G^+s-l0+7y}M`@)Kw{Xn+{er$5vRIJ?FZQrHvakl{WWT;plw~l^V(eWK- zB3rxU_g&wKY`-WgCcO$hDV6iPf_m3VDa-K89pd^ybMEvA{IdLo-S?#J6wVm)2nTWe z%j}1eyZ4v@$LiY(L~BXx{0u`5$=ryR15F zx*FlI?Wps1>uJ3~5{(-r^UEh}E3vorttDqhI|Kz;c7777^A3Ky*>_uoF68bDd|qu3 z^V0BUuk0rQn;+ew+arPYLtnQG&N#?`tA@& zP_o4%HWVi@N?C1QKYeKljW=L~PTgjf8I={|D~j0W&25QvmhjF_6oCfRF?dy475DoaN|jW^HN}b}s{5!SjdTDvlowbSIZuHNOO}*Re#A?XjMbnrv7%+6y{aob=2^cwe zzIu*U>%{1{nNM|>U`6)EVpu7fhry1elY)%2)u$BKZD$;j*;D8Bprh6s==U!hkfGIe z+sb_%a34euX`^huTDocyt<2|}q^>6oV}y=-_dBFppfJVxxGcYeDna@s^-- zBIj2bCOo>!I%OM!`@SMX}#emnut#5cmEFZ+YJc-i#L{7ylhwrbIuXvM;f(;krWsz9ryvnkc7g7P7!b-JeIr)#0TWy$&VPY&6pZT%(Ru%@plhq zUUXPF8vM0|Wdzm)KpbwITRzd^^MGtiUVyyWLv?sh=QT37EWVIov$+egGYaS3Iha6w zh@C<$#KwmP!?uN-v_z$h2c~YDHswe-G)io*I&Il9E=uNJwM1nE=v;t4s$PIn`C!1M zEmHFbJlzY72a1f(LywIfufh)=v^;pLXgw?GSjfiqI(jS}^8Y%H(T!@5VfrjqZ4^%D zMA&u#x?<^*K0E1Eo3giiyQ21m?tP+JZR<8tYf{gzlI1@-ln&AN&FCNFKN1%?f1jQ0 zoH8ZtzfjRa=F5UAZelq$Z?QbqukpG7L4E*)gnL^*FAos<)UZ&%w@VQcCI%JHdushW z$1R0f+ql0Jb9aqPK6U+iSPFTQLs{Cww(f3jGCpm0@PMlKMni@5^9?4ojmA9BrE7Y7 z=5Ay{p4}Q(g-YcbPTyQU=)DySxIgMI-z&0(b(#ZCP}GXKXxsVFawCqG^3+MDPS6XT z>H$%Loac$aa0La+nRWmH;?gFbg+9W2{tQu#Wx5-)PN+l5EmK=9# zOwg^(2GzKasKQWLV4R4_Htk;PdEtg*hpmIaDyseYjMtkF4m=jcR)V~q?vhk@h z1S|{kt)ahaDKHNs-2)!r3K|gkp@i{%x+vM<;<|1D&c~{tqoC^seknPqoc_wDxBRS0 zI7%5CBtwynBsWnPTZo7`?0eiPN4D?<=ri9gF5K@xC#)4!2bsr1jUB6Ec>v%qa_fh4 zvxOdQS?U98$C2aunm?yeh$6QoR}S*LWAIOl z@&$w?Xt|b7O1c+e;qf^lkI*`@2H{uLP+-Kc8i?iFdxp3gFxw*Xcoi%10)Vd*TOK^sFryVfEbpB%&t%}78*8O@vqztN&*nhG=Z6cq!e5~DL(__;uS$$MIPQF+)@~!} zrwayUg4DQ)>Ar)!X78d?Z3+U|k~$mA^Xv^Q^n^Q|hE6%&B<5RFw>>Sk$|mOlNMCiB z0v^0MO%MC#yHI`4MD?{rP5M0$?&g>2z$zma`T!Bzx!nopCqG43Y-=hbNAYX$yd+#j zggT*=5PI7vS|VPINd^Az(uu4)@m$rDRqG*a>qLMKnrbKI*{A2i+NaEgPKlWz3ljY zM`|%dakY>tW^sT_aSj<8LUBoDyoMOF#q)N3U+H+uXY+qZcyej>Nx-w{GnZhe9P2q$O^#$l*Q-k?)xxm84k@BPyu-6IONpz#OzDE3& zYc7OhV|LPkkR=GTFIGroeBJ-9Aa*A0}Nqb@DttdFmYu#gnMYk?lp}5XN^MARGf6cR>Y2zjc&ixw)mh{mkKE9P!aoDp3 zGE4RPYy^+GL7!t9yIQt^(u1E}idSscRGl?I>)?b+lvr|MdzR|=^}8ao6MT85+o$@K z65W||DMkwxY3Il%nZye7G^2nVr2ih*IeNJDlsXQrd;~dT#;OC0is&v*IhWL#JV3DW zXAu47EXC)hrwygvkKt1)#_<}VqO^P|`@_%A=d-e^+5unYd0vaMXTgKm`!bs+7vxN} z3^d9sM(^yOkT)+M&UE-?8o;o(&sxvPgV--Xt@Hr+=QeesXKQ77zLc9Fmzck%7@n@xkgtX22+UyQrXM38H|LygoSe{rhN zoODieg_7uUFtde(_@gT2+P~41hz0VuHR*BR{DBhK340OKKsdqzEE1ba&XU?E*Ahb+Uvz@fzuSjT>QUyyGCKs$e)Ea+hN3L*P^AREOwIo&|- zFK7MD3zpk*nr%5w2QM&}+5mcD{_m&y70lni%rT8`o3x_BflC75pEp0Ykq4J?T^N?+ z3y>BTDH62X=7bD5&cjgzTH?Z5DIv%h8K6M%CGb&8pamax+jCA9wE<|Q2`CQ$Ze237 zZ$OP4QqdKGOqPz7S?Q8xBSgE_FF6c?Oo-P!mb89M{z~Mr_31?$AZR+&35)b zU>NsyCJUxktU&=KI$ZEa4QN~FdXhQh8{Q%?Bk;5v;eeYRy{c}zCxcmA|VAO&6C^nEL5-YSwj#h;kKBPB=9a{tL^T0$Y$O~kh zyq%K@lPrJz4>+CggF#Fi0;P88g)vR0feYX=ah3ETHb<(0YPW<}T*iKyOWd*W}_(zR@8-rc(5SadV1O)d}$-@6uPv%qu>qc-~dpw#*HOPhyt$!rx{ zs*n6B0Pyp#ox)#tAAYqZaX6NcCRA1n5K6y_2T!#7IQ}Bsr7?6d1s~tbmra&_C9Dle zHKob)DQ!={sP^Y$udBwqjw|*0t9VXEPPB`O#EUR7U`hYYO8485yGQ1XZ-)Rh;KeM7 z*Le}~mXl_t&An+U_u0F8hNakPo||&9+fG(vB|fO;p=C5s*qz$=iE-tpJA>OdxK*wv z)=LsxOOpf3`~wzPJfA~sfmZ!WpB?rI>@KRth3iQxYnpI){OZp87q=aw-9)0JN!9}H zo&zyLqL#SxruidCMcXlgBz4Ddza3|Rty;srTDZdy#b|Z zqX+#oeEed>8P1cXw7Km*Z9(+9Dm*V7bo;@hOYJ#ro2GxL`S+h1so$w}`e*1p67tMD zAF@#Uz|>`Vw5~xfah=sIWXrw1x7FeApGJ!pVndeLI}W4>_5{udqniYvt>U}KF}HPt z0HuOUQj{uAncZMUMQsVu&DZf%zae-Af*)6Z7?(NUiuAV|%uBiZNLVdeQ2*6j2r&Wu z>NdYEYI#IDs93aW{Yk@jD~L#n&rB@!te0$}`XwnHv{I1 z$IInNJ<>!rQmUsutRae5f;m3cLp9T|Ql8gxO0;;-^mZzYkiE;<5>{_(v*Oqj@5Mej zL95EYKx7pBU*VwqQ?zYqjAND_mVca9nIEfnC?7_HkngdaC6&BfdbKaSXfDwy2|RDi zn}%GXIZ$|80{Sa5&hp>Vb^c)%^Ug5FZRL6BL#z6CqODwoOj28d$6*Az)L%ku10n=9fbjFpFN6M>=%s(;TK{3*f2{ncb~;th6hJrI zy5P8^;KgV4Q1kteJWXFslJ$@z?ttTaN$66-wQHC7{YsVtJaMlj!j6ClIAX%y#Z(&B zGpy{fFpG={;T8hte z_p%xw$}E;NpQW^+An;(U09kITM4VZqKf-2qw=Nn4>Spu1X7vI;`Q^+R$pGT8NX%a^ z(l&+eqpMhRFFUd0GU(N9N}_&Z0>GZrjD-Ied*1;TMV7T)WF!bk&LBt>keq`kNmLLC zk`+X9jsnt1QgRYdL{JbVw`9qZ5kv$e=Pc1~P-viO{$h5&{pNFZXPg~o#($c5aEk6* zx9Y}o&U?=}Z!tIUF1^t0g05%fEna=qC;i9Ium}bmuewVr^T9=}R8Hav_MhiCdPN0JB7@mzt7& z5NI8O8fuo~C3^7>W%4&U?*q)twh9ug=0<@roqb;=!N%1KEdhNHcvM-_R+8ZJhP>|{ zCigf!WzP{3j)>8dus9i+V0Pq*4Vau)?pEn2vw5GrM|iH{ZW)-&LiyDMxxGE~cFHMM zV`FOFqS7(8U6rNpvaj3S>fgM&56NHuFnsXQ5h*{9P?0p&L!E$- zx*5(*^O@0#WH+pLowV7aT5;{xp@JQg``Qba@yUhny(2bk3ETS zj#(n)J)R=8rc#=2^TK8mdXoF3@Y#9#aBKf#Bkcf(I1me_HpI^5<>j`pFn?kM5(SCu zK24UjJrnK$#cfJtsaKkN;aklYhjBG?Sm@lU>sElwZGW-hd7R3qzGruh+9HpiHFCVJ z_2mB1rb3lkzbus{-B@9UXCAY8N;rm0;$E(Uj@%7rq z?mw0Dkees)t!VXKfWlC^JYUt*|G&tV|DQ&p!Zd{W1B$q)hdDfwT*~?zvRpYN7djh0q0DEs0wMg5YX-k}tFXTPS)J?(}QcZvO`Zlm%dY2;W)OLXa8 zjPK38y2&A5!7Pm`t#0R8N8ggfJU=6gP-%KDC(NAt!QKPWGGvdvmX+f#!YP9;XDh2Z zVBVH3v^&-Wo3J2goLumqOY14tCe_ewa9*qsE)UlU3wj# zjYo~Us{QrrVL&zjayL~l=~5rnTSt;cd&ex};j?jzy{fy%A5G)jH{xOs!k#=@0-pGL z&7k~{;`=~AHkhq|r{b2-JmG6m!(7Sq)M3zrDvsOC>Gg5Z*(RabdXOZJ(xn!Q_R&nX z8PDaQm3zqs{L$}_b*H9JwnXvdOK2BGJWY%p5}$Q|G~Akr-rj{xpa`CWhb1}6h|n2Z zcVTfP+g2{kxV_nnMCykOEBbU(0p#xlwQyZdFJ8H)C)EXCoEY{aL`Eq!(TJgpMo2a0FMq{u%CiyUA>9?*L+XbvQKG-3O8920iv6X`pDKqr9vxOrIHu z57uR$#PstY5IBJR>Ec08&~SHdU)iVX*-9CsMJ8$%^yl*uS4cw%*4l%O7~r>~Kqth& zT5{MN^tLZ!?(he?vr@&@Vny_WD;fG7)r2+Gud0eX8B#Xlmlc@8^>@b0Gp)q3-3x3y zr0k1dMp{(ARK1iEpcv3ZG)W$9Za_I>TJpK&k?mtI{uv`Ov3Qa&yQkI1TIX4V*fX~{ zj!u?VJdya-ZCG^^r1ESK5Vnd_K zMdL>7TyIaao;JgEI?`GQO_R9{MKZxTLrOu0(@Op{5Ihg}ydWnltmt(^zpX(6!tD`k zc0`hq*Joyi;~~h3I#uQMdMZ`g9&$k{SH|zU0~NQ-^kqDk6mc3!23G~9q& zB88CiQGZ;^i72m8At|z$`a9TQt^l)YdK7ur&;rW$V66qs zfSxO1%QE`*mQbY9yIN+UZ91H1aXa~a!7tY{Qbl4}L&zgYPBJzw8hJ-FH{qE1Y&slx%n!541Z zKQE6VN$7irRo{BK)5@0>E|Pi*Vf)xN37h`mL*Mg?leCv292B;$i(m|ND^dL1migXm zwC!`)w$DNRMo^Fi_8k-{oEM#7_gP}~Id@YuWt-Tv;8Lw`;@kF%f}{@m+Il+rCMSF3 zr&wSG#ThsE0ZUYOQF7PYp}LL@(r2p`v@Na?5j*d2^C=UiE|?zVit@;McMHrFr88e9 zaF8pCJva*QO%yE+DL&6>AVN3)o4^Dm<+p>SMENK%Hsl`Igt>d7G8*_(h1M&K53!VvbcqlkJKBRGf#~U)+ATQmBbM}(QF=#dm|xzKTd;F zG#Xad&}(JjwzZRIVp5*9x~q5u3*SuHmB`3BLGQ#}z9i2^N_;$9)2FlBkoQ&wrWB=+ zxpjHtHX-QBsQAfRNzmZyn7v8zief-ix!)Je#3i(Q;r)%UuJft_e8W^j*eu1tSC7)E zF)Tc%S+S*Wl%gt0qlFami;N(UqUlTG!*iv}BQFBb>YBIWss=)ooI^ z#xT${V*OE$-m9qpEv;omnp#y9SGr;sTM0jA7DJpGfT7aJYrTS^_qy1>PJ6W?P^~2D zN~R)|K97swg$$?>2O9I~^ySr+xaE#8my8bIdPaR`m8$5bt5SvPcqH(`?j>-8!rPT=pZ~Zr&iA4stu6HFdb+8wTjcHathNp&T<|cSyKVk&E;Pe{Q zvz>&385RcUWu8@ifn$wSkc z>G<_om3cRp&0P74F}8|u^#Vh%7;On>+_oyCgOPao)|E`9-h47j=Gk2qj@LiBGpNQ1 zV=-Z1J4wEJjU_Vx?N(sY0k`0IRCa4q8j=k3uXWFuR+lwc+HI_rhP{0tk{ltX%=_s0 z2B`*L$p}M>Ys2}7$CD|RPF>!g&T(NieV(|BlB|1K72P0*J77-ss=L~|?BOi_+`?A& zsr#YY5NFN!DEe5FXjo56<9XQ8VDqQdJ^F^jPpvl2c1x2rQYi!3d?^9Eng18b{kaYI zYp)L@ojShp4e?l^%5Z1;J0^2QRT`66e&(>`oVFK_w8kC`8sS^u*MzM_J0tN;-=L_n zuoB=&$Ou1d(7p40+KxkYK~9A!Eu^h=Qe&4G^jdnh-2T@m!uuuc17wi=Rd|mcZw?5Q zLZ^?0`&KLEo#9B3FwvijG?^ZY^5#h&$5df&e}E0><^0D3prbY)U&$S+LOv+SlXS*irf9Fnc)|LO~fz9v@6b%DzJntTK&iO!2D09)vx#tZQ%a5 zJ@{+xKWaNITe5+W+BB4~sHiCPa+k;lcXpS}-V?8a6&Co-@bU5JivuH=0{#QukqAby znSSur*$jZpWBG8T(JG$H@bAbk_zO!HKlwj^ zZ1Z)qJVyfSx*8rD|$tF)q=-@_bNIJ zFXpt|mhp96UlPkgT?o7UfQ^*h2a&`;wePmVbn~z#l7;&DvrmE{@ zFooIXB-13lPI#i>-U95|j_(9gcsJNB+79#rdkkl)n329BieHd)uCKNw7NDF`3SfB^ zf!mtG516N{g4s2UZ}~ofiBIbVl`u0SHQ$%o8@3hoiwZiMa}GU&NXd&$6$dNo-7%rT z>gunFM^Qg-OxvNUTUTD#2OJl(^8{U z!PpTvnAh=(ItGWL_prM50gR+=yplU^-o})T2*>HV0Hk`p;i42r@#Z7N8P5djp&J)Q zvRyLQg1|O4sOB=t?{PdKnK6m}BAflX$#tKUv zttPh60{PSM{`eVVVbCu%i2QPSbzO19)ltLqg&8mG9|mHxAA9~}x@csYqTG+VL`o;c zvbo%q9^=_LHL3x1RB>nPagp67cmi*9H7~)C@7$%91aU%<H-HpA$k*$|4uB&={Yx!#?Zsn=mCgU1BS0iXz zcF)+`xk*?^$lfjB*=nl%fC!Zjw!3`ZS|w!Ua)@Y z`CjQX1Pl~zkl%|1!;>htHq(+8K(oktxT-n=S!=R-f9$Qek8U_`&5;3@OLJi!jy}kw z*PcuKx9r@;Wx&0s8&-~*uG=|Zy68Ti`o#xP(g+$ynEalkrt`Q-T{^h%t9u%LVf+6z zI>)aqgVq3lZBPAI<*Iu(LGSK3fvJgIuYI8Bfo;!nz27mfw;S~nBqjNTmtg`?7F8u1 zo;9^GZ|=Ai%;4N-ija1Pn&T;YMNk^JB}~XL7L=7`M zV_Ik^J3F^JcbEN~0$-A*R8fTc{L5TbQDSOSFpNGsYv``MyAW&53$Z1Rq9XeM?ocf0 zmUlfQYi05QmGb}hVJb+zw4E3^7SIlQ2xcS8%?!!EEKXPT%hBlD{iX4M_q z^NR4WtgzYK*`7(k4NU)2lP`2PUwoL!BPEfARQFm+d+v^STaG20$kWe+i60h2+#7Wq9y`me3yFhoDWH#`Qvm0IurXHUYPpRxbh*XW!v zf1tGduVlb~`JQM={b%<6ANlM<8R@+6BYk{BkAh!?Xoe3KeAr@s6^!hfvdOJ7*9`WJ z911(LV7_+sc}I%pTW>JTWX{ZA@=Jsmbm{*BME*ZM&c9YTq09Z>6NSSN*67oL-^I31 z|9!I|9|k)5E%ocG-j;uhbD+P%$REZ?qjB&^l+D8|W_xh=KKJ?p<3?&-V2r1pKh{ ztzTR3Vd!OO1pKdHTYdQshk=Wt5%4d2`LFQzVT?2y0Y@X?f4i(@KS!Yd?CZm@w9p9n z-^Qp$BjCTUKf&)M=MO_xqY?05YyJF@fd4_q&)=)l9fq*}?-6h?iY;RlVR+fkenm9Pw~yItFJ02E*J`mT z=~8^0oMzK?f0AD&R{M|CVBbpE_&59fE97(3sI7Y4qz+W><>UpOAm-{qVnCd8(|#-nkeOD`UqVVqAeyrkXXPf#*Pi z-97clbl37+)Xm4cCy-uYn{Bo8B`I72(~d6GZS&Na($T_W)GvGHV{yi6 z@$r1G#z(}4(CQN9<+Zn8?E7ZMvR{*n{_kFfvJ26wSs768wn}H5yq2;1P%($`u~E)z z7wrhjP{W(V{;g(~Zed4qyq>iXZo54avb9%lQuh~WE1*zgQed5LIe!T_&99<}8FJ&S zb;$)Dx5`_3`d-iaPn@A4i!&>^8{>Q51*1hV(FIGj{RlzMU4J^di)pq`t#!Q}(x~Gt zEC~$jnWjhBln64|ItA0tU*I(knc}iwb!ftS!@HwWTYL$_ z5P*JzIjB!pDQGEixG(?Zyb+XxKmAwAFb)I@JM@wi)@_|MPn?#aY6hZ_>xZSHL1)h;TJDS z+sS+=$Czdiq;(IFd^(m0NEpwq2vPyG*B$d0!1AXi)Md!{ISivt5OG20`jv~>4sTZYv4w(6nmtusqOb+#A%uS@U*Y$1 zeEk1j9>aKqE{J#5;f)rvG_xy?oY73J>i`16OG%gqQjF_ea$s{>9xcpPom{6M}8}Vv0L&TSx0P zk|aK+d`2<1H>0K1pD3-+D&UWz)}mFwA7-OKtAM{aYI%{UHJihwH9xE*97953U@|YzPET ziLjhv`RPhTSX@~Ae?J%f=jSpq0_QCpEZwYF1W$>9pJ@>YC|ldPS-1!&+g)?BIBQ|< zc-?|PPLAN86?+ZqL=_TXo+diGyB&7VVANzf|Fr`z558DK0P|z6wnr|BelPk7gcYn|4!KVeh0#$|=WIZy6h<5`2( zWeq+^z0b<0VKu2UX_dMl8uu)@adoTK{PMexH9F}oXU0_A8qUx4-gjwM^wMVj4k0iJNoLhIDquCbZ3Or%QrCW^*M54dgI<#q z`QNt}`ro&|>~7|E)5$_W&HkFD1%ZH`_4R{!BPx7~KtR>P+R_T_DoF|O4@GM?S4|6- zGmiF7jt&+MZY&Z60%siU99=FuT{E{3IBVfyZEm5ZqWG`5cJ_?+KWEy%W~1Q0y7Heh zZ+~!q5+FUNtfmZLU;qFH_#d!80-OPGv2k#5uyJv5aPjbP@d-!?2#y>fpg2lGL`qFT zLqknLMMcMWf|-t0O+!m}f`gNbn@2=cOk6@z>daXsWffJmbCpLIIONXV(6G4pdkKk2_a7vuXFSb(_B<;)r>MB3w5L_x zqJP~zN@9Q=&>z#LVpVogrDegizpPc*bMi!OpQC-AU3%*SXIGwPy6gTzZxm?RNwO(H z;;;ti=cB%ra%uTXJ>{pujm5M>+?Ol(EskIq`PNszIn~nBlTflU^5I;^ z9k#TZ;$CwzL??0|KYJ~N$>J4D2ySK0oFAj#?!|tAx$T0r&$;`6?2hBQ1Jkga6p<3P zdaH4TBzqi2-z5|Gr(PP3$y?#R&h7? zQZnM9Miz30n=k;MC4k2ip~r*=+SKBW5CktsjvD&-XinH1y6-qVSafF*isJNKS|V>5i~nMGM(*Nd{~&V=GJ z(RSn;C#Q_~FkscHKo3RPdK(l|7hn@8g2{bg+Uno>99YWw&Bbgo>%duLG%M?RVO=r%VqE2_BDox&x~AHrRf#_)*CqkOn8W!_U^X!u2YaBjjnEQ%HV3x zM{?h2J6!cIxZ;0A0t6Vpq0ff9Qu@3b3jds6jN^|T<^8HBR& zu*p8-`b!(|Mu1QA8VWtmSJuAuxv`tf9q4MoUgTzt~E%G?nBwL1%237 zV9D-P1)(v0!?P(VNA(pRADs`I{xpG&lMR$o8FMUOz$H z`KWIawh-8v`Pkf9e$c+`OsS{X=16cu%th~`R#@ff)rI7f_oA}tkP?>W!8%mz@gI0I z1E;q9*I4zh!lFY?gtqAPUbuamsfiD8f3L?2#-h%=0=a-W5RUr*juQkf0%>>&GD{z+ zr3V>)jhK-a0C#OY${a7=ss7k&e4Dx8bQ~N~iWZulZy4t4WyeUg0ItW#J=LlE+C-np z_dNpJSzS9e&`?Y9Ab)oK2H9f&idj5HnHY6QBX|7*ws${C(*%H!>Y6GK=g&iOP9gZO z_BhOfi)~P6#yz;rg4G3|g;WXfzV$bV?q?`S+6RuAGMHF%?*mK*)j~F9Z8fZkVv+oM z3^=+%jV!=1<2Bl7Hd!5)TAqDC1r7-)c(U!lQS-8Q)}i9|D#wF(M#;E-+%D62+WM=% zA=dxke33T+VZ9F=pIg`qDRtbF7}e7;f;MVwQwzwih~`2TTc-U)|t9pVlayN z*|OA9ZUW2^8Q~|r54?B}*>NZ?$nlrq-E@LOgWaM7nV1UueAUyhj5lva3ysgCxSpOL zZCBR0PZo1}hfEi#F)d5d#LQSru@78bmU4JL3$fX*GpkgquIbNkSbaJpA<<<-m%S_D z#oJI>S07DcYEw(sEdaIHRRK}cYZOIt{1#Xz+tpqHw}4zfPT2gNseK@7f&lWu&0hTc z#y(K$J5gxxRPM&erE-sV!VxK!&n^HSsni2`0&;AKkLq`nON**{fo@qbh|vHlvvP_*m3^ zI{8gW^Rr76aQh>VTeK;twBvd;13n~SwH*4^@&pb@=VwE&Avm?oVlIW{u|{uR6v^p? z2^BL$FzGRUK3OaKvbThO&$Nk&sk%46`HjcU_2bu*Z>hXtQ3+yR8VYUcmt?%i+g5G< ze9^Q3h?aR{%P8fr`o&k-V&YbxmduIy?g{hZ%LyU=a z$T(i=&iGsP5m1^(xXATC8erq85lnsR@t8<`B6N5@V8RX_?f>zUDanJ3@Lg3Ku`MRu zO#(V?-FB{%LN2zJ(|FN0V9!Hkgfk32#M_k9O;(ZNTap!33+D1nMld$}vnLP;U9@fV z6Ccwb_Z5Gi9zA_)E8HEEEq+A|cW`9&*b~bKSI?AEXPtcIFfx$oUEXvY3h$aC#3V>t zbs^cS%m*Bo#w9Cz+&Zav>qv|{rXO9R&3L!Usb)+NQDN<+5FVQ(b3Nil1}r-2%zSqMK!X4sqerchmg@;>MZRm~{j1pU9Ix$etTo&k_uAX^v z!&!mi4%56FaO`QjC zKPEY`aLc^Idw>*!a}+<7Z&HU4A#^`B=}o}y+Xz*jc;iv19Mpn)JwBH~jPkUMYedA( zajzMT0Xb@~z$TKX)v;F$O)}RKe9BKu>Ta<3Iv6p&{NUyAD3^Yc+Vt*19g@R43DsMm z*J!$~E+?}O4BDFP1KpVZvQ+Z)i^Cd?P@*OHqKmS-x}i?*Cm$T5x!JoyjWi7rJPRoR z=0a40o-!gZm%qmRnO9f+mLEOcy-u9q4wGhgiUNukDuR2hEMgd47fYMT_Y$TiZjW=< zCZ2s)@{l455qvWC<|Z$LORW@+gK(7iXUd5VxPVeMoGCONVX)NEM4cRzXSqxHF4k_f zPtE#K%qfOd&8G$GN3Aex%V+jeO3m zhR^wq7>FKuO0}G!u4iM`QZ4a3XMC=?wRO?DjYbe0BY5$aq!21y1CMMeobEa{xGYb~ zCqriYbV4*mjHX;Y{B8r=kvH)UZT78Jni9+Lm;AcA2$5=tgcD_%**1y2kz%R>rDVG! zPuDY&gJW9PY@Xoyrc&RmTwQkAKRleiS(_e6U^Fu)6(6Dp0Ve7&F3Fh{&HAK2%ayc@g7 zSidXx&bQfoDfkLgnp;1SDcN;7+IIrcqo+jv&MCU$jdk1n6V&o+Wc$F=e50sGPrRgNTA64|dQry_ zz0H+Ddf~T-s}0_G_HUvzU&pyn(KNdrwE_*8Yv@yvUX+`ch; zAIB3V8SECu=Tg%QfazK>qtb~$z7k$;`Yt%%ES-@(&1fzYw1R?lmVIeI)iONdpk~(mJYGmuO(yM8a&_aTAB-dj zsR9#FiZ_6*CAhnLBwgxo+ss~w-3-+x;};JA08*Dzf<69xUOp(Y40s)=Lj)U$OuQhL zn?W7J%A8rLlGv3W-J5tY@31wJyhXQ8666V;>>@QriuCu3Cicm7XPDq8%9k5>i;WZA zbsRfNYvZTth_85EZmEon3Wk1oapGQ~$=l;>LMN=;EHu3q+g26m7hzi(J-!;x zY?(-+dQS@$UQitF8D$rLM=7DJ^ol4yAU`5X<%|j6blW~qgc3z8w_NgPhmB{ZYe?gF zln%p#En6vBz-=w*-6L? zJJx6+dxw4W8$Q^eR!Rv1O4Qh0Q^SBTXoA758g z^{U6KC2XI;U4jiqtik$czO;yuYnC)o{R7(llc5w>dH5=GZX+-ZObI?qN7EvpXA0kU zsJTuWa@&7qh!4!7wspVuYHasqrX6^4e7fayGlUrO`XmBWwyx#->;q>BfMpb|7he59 z9dR|c>&+>7k}g-dcx(1z_2-IRY$aUk!j!Ms_k z&^)g>ra;-DEX8vDM%!Wn^SPv{v}h!w1#F-paUk{NJC=$-)smUNrKNFJ zoWYo%6kJNk$4^@x-m#q_)OieEqL{*Mo;Vi;y8X!9eBP2q?d92OO33+6MzFwoVCQW) z-&3(Nr;A1EFT3a&KU7#?=!CL6hi%aT@Q*|3t-As$@RqdA@Nj66SJ4iM+|*VFe_yDl z4epy3I%K5GwiEM6V9itMa-X)(@b4 z`qxYn{hL6vZ?xsms4WZhrk*3ilhQWn`szN-I2-G@)Dg?i8dZblOn?49@SHvjXzG#C zpX$VGu9YYq5Zzld5aK8WnyPLP{r%ew4yW&-GvWXE8u%o%KLeE#? zB>c`Tr!qR!k7`Np-8gtpfWt@DeLzQMOSedkc{lH(%9QaQIJa&5L=dhyi|bcxQcu7l zS0nC|IJ!dY+3f@H+lxt^6xc=y3I&=3FfWj+h=(Hu+3ilX52s+0eNbLnwt7q=R|F?% z$Ym6}CDow^lPybg%H${wneRRxtD)I;6kl#SUu}jtq{sNm=<8{DywXm8i;@Ire)vd; zaUPp=k$)C2LyJW@(yhP{JP=)t<%v}f?puT!gb^YxOS&t0vh{X!(5GIlQ)S^d_(ULN zUh*2H*s;XDSo2A;!^Vx;;My++BK0pV@x&F<}@GI7;G#|Kfk zSJs^`DNT2UI2%k1H$xGke5H7C>TQXegC{!LPOY+x-8m`2z973?369O6j5}FtPt*=cxNR!zy7YTC0X@8Otc57vvRjrbXih&GUjwoh1=z zuEt{&81*)CoCwqG@sV*GgM|Pn|LVqUm$RoWTXWi4A$!!LoF1>4scF-ib+5DrTW@$C zpOYw|Gn?`eRzio@nrbX=vjdjkz#5oF`Y~ElkzH9d0Y~H?QTEW)^hhB!37xCBYMe_K z=e z-ke7CsVa9QU)U68|EP0gJ4tI~d_;MRB+gUy3})-eR!&)sd})0+q|w-=YbAN$t}kQO z@EXbN*!al#hj-4D-j7(nSuh<2fZ(k;f*Ey3-~hw!Awluv{PHUecPlX6oR+8el~2UV z;mDs{HPr7-k299#c%QGUA?~2ao~M!YGMK)~UG@MY4&DW^{&%?`PS5Z55e|i<`^)Gx zOwdnU8nCx_Ulnfj7JJC?QEV4QPDmfkOK=XgdeQJCCcIa2)i?ySe?q*+>SFVjQN-`T zy(QJtv#bYd^yvm$zNfY(9_@2*p3OhBW_rySIweXBx~Iy33oExS6CcR!oi-NF0CsKH-*_4+K{j(#qzDbP)~}vHhjA3=oKfmw>|^z zO2AD!Eno7mvvHgVr#M!kyk~8cY)^1YWK`ht4LkC8EFU%+)P;x|eo(|0nH}J>KkNSj z?`UVK)6?==!SNb`FaSn^Fs-cHBRD`H8x}xkHM$Qnl<;SaraFlvRtGlHTYnl&9!nQtE)W4l&+LxR@) zb+Z}r0(>hFDo5{C)Zf*d%A7iXi(^e9JzOg|RmI8(N0XjCP65z+-u zvH!MSJl+|BZ5reeP-V}S|1@?J)Ol)!_JP>wSD+RIW?;N9kkI$rB7VLAiw}-E=^$+t zHxG;hL(unBoB}-9RHFD%0>A#M?}_o{dNcZRMgF#w5qi9^UX)Tt*DkTm#E$dJf}9G| zkhFDv6Ud+~c*ffs*$f^B{fQytzekdkzd{}VzS{KuZAJd3kYmbrqzJfFmWHr@t9z3%e-xf z2+u=i<&E;&2P5mqv7Oabgv&lalsx%iU7ZT8=e$P&cEV1D@Xkl1;Bhtf0%$jvr%N^I|jpOs-wq$3f5t&EdkV`f5!_bzh2K zgi9J_G>!%n8 zH;M)6r7RsgHawTh%cso3Ktz9XOse`4p_826v17CFK95PyHAsV~Pp{3&=4Qhra{~5? zW|UOfo2b2Lgh9GLZ`Ic-nw+x@bUWy?SKCIa>hb^6Uq`YY6Xc-#r@S29Kj`^`USH__ z2faW4Qxc-(7h1lf^&hl;h}I8(QpC~vAzDBDd+3ML$g5k=^IqEV_;!RXPwE`-j8yXp2iXzadf1^Kyj zo+y16Ec@Nr7}3Wkf5_3$p}82eF58c>0~m$kC1}f6M{kp&ZoVe1i`~`>T{33OMM&{*ed!8yeU* zDIw4T`$rYnq+eyE`i2driGjAYepp-U8!Fhh7#K&?d$eu+eZccy%C`Q72KH@QO0>NGVdeE#IT6t)_@77L zd*BL>M#29kF%O3^&}bC=4^gtdS7M`4@IMBW{I&MbVU{}-TMLbX|1~Gj;S4q!1^+`( z?e7gdJOqJ_M#2AzLEDGnafC*}|1KkqM#2B0Nk0xnSff$!?=^=0i1LSGaG_D~KVs;< z_Zf#W)Mym^ubKAeFf=nX3jP-aTo1w4Li>V$ufh9Als}AtMx)?p6g+4tapi5p zl;YbnXJ;TCyF;_A3z>#P`sDV3*X^Ii^&~R8w2qWKN+~T?gVx7(&3YSC&Zoh>>Xy zNKrMdBwOpaA#+C!F5Uz^wq(KvCJ%NIz-xWJ=w0*neww3UEbq}o=QrU?7}P; zsY8IN!*$b!(_n!R%k9^jX~nmoo$KYqyW-AOv@Kq5g^lDJ_W^`PT}ib&NbKZ^JiZSM z?E|oTrrT-5bygd`V;w0seeGK&d>4jm2pb`=qPj9Lll&A1_+a^6wt0{$p%~S>u-9Ae zun#1Cw14Y!qsG^lO{x8qc3$Fyt>c@w{JW!TTu_P^u>7R3S|MNcvc9=0dr{nn^Fxi& zit+Jw3gem~EWoHGvpCD7*+2kN_W8K2JZUYn4O!>SDcd6_nZ}20L)Ddw&aw|OdrUsb z&;sOYOBcFMX5yDENw=IzsTs@QH?CPVm^ZLWb1$^9|0KN++^OY?Zt){48hBjhbH!m; zGgcb+YBuj;fvj< zd`W;ww$me(5CO?tVm|Ujn%!Q*=pEl=^1PZpV*PXk4yR2JyE)*tAX+QW*U+7>(~xl~ zQU$xhW5Beu$k_8%!Y9p?WCJ(Z8x_#diS-M<`XDP)PLm`P>l~yUoEgcH{PC`g z@Qmq-=_-V+D{z-bI_>kNAkzmUdo!;XeWs%kXHaaAZmoTw!+T^O2!lFOs*{A>v&lPa zzE-cFJtom0=yN)MK-q$7CbMc&SR{=Ic@EZ}IW3P+IRW!c_RG#4U9#TXtPp}GHv%ib zQA)3clyzHIbucks{$8f_UN*R)h;Kqt(yVvIhmjM!P7}qR^o1#w5i_EODAIl4?!+hU zy7logkX|C|U^|%>-=h>jmgmdli$h%_vfYFM#u?`3h+|tzEM9qX2WxT5W7w|B!Z1z9zUgM`S}@Lt^l4eS zgb#8@dpWv&*`6%wU7#PmGFWfVrmUv*nl7716_1wTI>9u!fs!C1_W>oaulSZ7Q9O^| z%iztdDmKiwZ%x~D+PadTIcYz&>??JO_4amEfDN2qA~)w{vUjbe)%%HD6w}8IoV8VI z1muCnRO=lDMCpv|ImuyTUX4nxyo|95w$v%}#963w0EM{(eKh4L63jdwXFJ`si){>2 zdL$JvH`Wm$EvGs;7=_OwA{ie=$h)w^K3D9-sb7y@QWLe*hLJ?OUl9AKQHyvqKWy9p zyTH7OQ@N(Bl_x|)7NfzI+)E1kTPv`X1jglJ8<N~8d)EB5-n!V&~-VMZ;|uFU8KeBmz8OoyA)GV zP*%-wzVBRbiidq&Q$NpZ(7?VzbU@_zqyEnMTI>UC%(p?lNlw&5=s^bK7SnY>1Uafv z3$j?bUYYaVO)FT9RHR%NzrjuND7qpbjo*1fav3R~5Ek5Tev_OxtDNkm`6Cam7}g?D!e{^s$Lf_0H2-IHVwx3X69l|kHC$@`HyERrCdkb=7!zK zp2k!O&OJTVLA7!Ff%v33w!d4+51#HDjphGZtwoQw0MeA!Vjx$LVz}hhBM>^JhpEQ* z^o9&SyU|V`Ojf%QHs*~@{$(Yfg(f*zp!8aLf^C|>Or?Dxq zNtLazbi>+V&!7hdrM0c(z=Av*hSUcIk14id&8rYa;A2y=_$%}3`V(-a|FFN3rnHc@ z)=7j?rjE7!JH`SV>ELooofmn0tp@m)W#r65$Y!88LAijZr(P$s9IQGh$4lG zVO2rb#h-D044^NI{f*=le-S4FG?4Wj1A(pQ@y^7c)AlCO<&le#429=z$DO?vkVk?| zs>(7TKS}uDZKT|TTO!4#R$E%uJ=JebRL(Xktjnv!PI|GM$%l3koW9gEzzeF%O&QZi zAKuzZAGk)R9hl4h;^Y?Ti^X6rhd`!_zIlK+9q^~i^#4X)zQ}U-%l$Yu)x#JRUDbUYlCKceR`! zQi(u=;sX7pzQU=S&%`|z?AC8ZZTazE8#d?LXfx5ccfUnqu8pxADWGvj{*xeNHnM9U zpoc0;%3V9*u4pg6)`7gc8MF2i5DTl3V*n|tK&UlNTpsBVjW9_&3i)6LS_kxLC~=Kl zdcl3*F-WL02{QJufHy^}r&sr)sGm2c?aQwMu$d+&_w~tz8eGLA5$n zJ-W{maSym2`+E9bk#7UfTF@$r782v5>M2VQ#s!sl@eQ`d-;??A_o(YI*dsBizs3TP zQ*@G3yZnX+H72d1fLT?>CAsDI7fe}oWFo7biAr8V7=egu+1!W z*v)D#Oq(cyCxR!_$E$Lk%hB(=lfd zHMvrD@8Oo4hZc%y%yT=IAWF9nVk%siH?rq>@kM`04aeF{$9qu?@s(IR^Z9M{ift?` zAn-NqYVyo#ElbVwJD*Ps@R53DTujlgePo~Z>46byE*+2adLTA=%C#cD%UWYz4~=_e zbS8;Ow4*QBMmk6rXNllXnR{J3immVPK;lGkjI>@7QCUv>W#be519RaC{ZUK(em)#f zci-hFBdzX^Cr0}VyU2|ia@Nn%P%GK3vbzJTcHWaadXIb^_kjmksFpGLeZWSK6$Ww( zenc3_32i{8s5g+S;=7iP!(!Vemqs=ncFP>zqQuQhLA#QEADCCz2MWtI_JQGq50_r$ zQC&MnV56tDxIl=i^fZW>>%{F+PY>f=xDXJ=^%(O9_&xe1i12R$^Shft@C`wjWqdOwd)I-K#t#a}#%8CC{a zQb2$_n*olfx0TNKmBO;M%KK(B#U|ja*T<_|Oa>Mq4+N8V&g}!Y-`a@ngj5wohe=u7 zPaIK|(}|3|eZG9twpv{%<+`u~hv0|0)&ADd&xFQ?)8!4aXEYvImQ+MvPUNP};4ahR znLzTT?Zn7|M4Xck!NZ&k6jj%rDl;hrb^$yI>UD0e;P`+Pyr)f{1H+Aa1Q?hv|=vH9c(|iV?tv7Kj6dEm> zyD}83A|+Ql@#5(lKsOGhC18ni?PGbP=oz3l@C;z)kbVZJKy9@Kmz@DLuv-}rB;W)0 zUU*L%MVioXJ&l#w%rvFGUsS7(ZkakbtK6AYsh2VyYn~=>DIBv;T+C;uO|wWNosUPu zF;RH4BTlH+I__|6^THUna@xE+sctt-@E_1k>&HBV&>lU1Nbj3p>R0=7+J6(g?nje~ zjQqqVINGD~U zf)}0L@#pUP{K|IU!mk7!*ZOl^1AohQ--6RWp@E^ZJN^~|`QJU`n+R)kTu z^e575-~G`H`)Ef0c^Qq4YyCqa>)%9Dqfzj?|IX(5y}tVwdkYN3M}a117VGe66cx zG@Xe?^3@S7MJ}I>vSAnyez+<+{moA?=f8da+b|oAf}>GzGzyMJ!72Dq6*Tg|EA4Z& zxj#%jNs2M@7qWi;6N~xhT=OlgYBUOtM#0f2_-|ua{S@T-O`uUU3jR~%{yoos6IP>r z!GAyP>)*-kZy~SIDEMCl97Utxe-TEbQE;>`INBHdcSXm)4KRvE!GBk#{uFxtZJ3Ql z!OH8Aa;u)%&jT{NUCV^wEsXF{&P*56(+ zMD?)jcJh;Zwbxmk({fi8vHs-cxqnS*@Bikt|5Ej|P`7QmVOu?LHfkwO_jTFGup^1LarB64;;$e-c6WTT?yw_OkCw@EyykyDB$D1G8Mtcs!%YuvC z8fh+(5H}S#Lb*Ys^;=E2|D(@wJ$_rqGTrlLndtP5t|2a447Cq^g7*I|w+4IEsr$kVEVDBphbj3tID4flaBQ4MQ&-s>g{Hl^+|%qGUU zGeEOw{$~VKJ#3$Ea{E$c78ZraYQwo2oY+Qe1wjYab8R))xfuc1O^V_3$Pk80s4B4` zVC0=Q>r!HlV?g@kPAiVl(fC;0_nM-0Y;-d<%( z%Lk|*w#1h)tue~1NfrMYNcs!IAOC=^Pro*e=AY$yvR~$S_dTSE{{5d#j9){yU+nk% z2i@fQDNmLEOXq)K6@tGIKK@68>o9(y+XH%1{cdh<=YL|y_`T2!W=QQy66m0C>EL`{$>`mP)ZdsT)np@yWN#XtNzFtu6 z$P&DBGWfSnjzV7;Oc>79RYf@yZ_a<*1Um}(LSpEJ5;nQ;; zweM>)aT-!9_+0T(z?+rXW06D+~tn(MnV_mp#{sK1k1suG~czC$Dc%%e`_?Ib2DJdyP z$;qkd*chm3SZK+~8F?64uCQ}*aZ)kxUgPDs#>TRRVE+GZq5l8>9s5DQh*14HhlPcSh5fZ(80S1u zKbS;V=b88~5X;=dHgzUp76`;4m5qK~(uB(*r~xB;;4+9u&MGv^w*9qh-}UV0bu8#V z>e=5r_K$u+0r;30DCS`j0i*%PZ$mj=okRcpiD97t26c^^UVMZcaA?|B6S1oWc41JsGd#|;BYz+X}`0x%VY}+<6)t+b{TfC}> zVz4Z>Jg*+N6XF5&NHk$xICwg$aC?~pGwuxV_>C^g_l=}A{qPK+b_V#8D?Q6Gat2tw zwatEd1{i`Ianmyn!poJz{RX{69XtfZnHUE~j!mh%j2z_^6 z1}hTfcQ(6Cj+mahHQZm^kK}OiN*Foq!}lr^t{EG&xLXNnj;n>SYP8PA+%kRMt%!A5 z21~1=&uB%r_Qo(Y>WQ(wsi#e$(1UvqQeQ4AGd;kFeBf_SPE_VFL6&Kwg_)I+yCNQ3 zSd^u|YT(=G9`}XQ87ukrR>ZJC%4M8RmLNf$UmSJ!U6fv-P7jjZUjkB{fV?3o9mc`z zaH!E5)5S>}70=0h%QS@E;yq)?g|8W*>HJUBM)?+w3OD!jP(fM8jyn$YP$_YIc`TI< zqnhiiF{m8T#_pM3>Mco!VZP4ph1S#xSuRQC_wuXa@Aa-eQZHkYv0$fSou3$;MsP=A zo&i22&q5GnO=p1C57^rB&ITqyjdZpLL?$-I)Ub&C7d1PZy!pIY5ilh&3k?DsmeorX z^muT05ZKDhb+!nn<)JrZeRM|;$P>Fxx(Z!soH zIvB8mg64}WZ5XEnl83MAI(@OqS2?}OzhN^REw=a)h+$rwtPE)Y8g8<+c z`bX{Z25{j97p;jhi7u8XXc1CIPq*09?+6Xnas zC=-Rqab66R|L7C3*aAgFzUxz&6PvW`-+dOGdF&$ z7oMeA<8)n^0O|o%Xl9-}b!XCERve}lCPcLuYV13Gjp7Z|@2y4Uqk-besJ^(eO5tuq zuanc8*igWRb)hbjtRoO5rc`0mNW7Udz?}8J&>XOu^B*^}&4Qptk>T`|-nf@tv!L45Ar@%ns!Z};OWa-9X^wz#_WR&Fb2kLUGj zIouB@)C<5BLje|)=ZBehk?&oiOx0_*yURXB#{H^wAqH7R?=zBglh_ zisYWj)Vj(?;WP6$oNQ{-pEQ!$h&n-SlN1Bj3*SmS2w8-F6n(zkoCi?;;rm*BQDEmu|TlE-PC5UBn|52(CwyLytw)L(~+ zO0%;M;8Cti_zo^NTlnJOU9OjB(}AixV+e+`1&At1Zji(!qHq0r{-mZV{6?lpk6G?# zU+I#DFnWuIUL9wpL_l~RKtTq3Ssvh{O}1dNZ7#i<4E_?~SoKJX_G*4D(95wIQ`6f2 zLuE+&ct5`tO*3e+iZOhi>JZwZD}@++iy_bmi#!!iYaswY ztei3QUJ9eWB4l--*`GWO>ZZ>e&nq(d-BK<)gPLBUfVJg9?%DQavrOAGk&=)31t^?s zG`6Rz^4Wt3K~qaLvYVK!vHMr*4E_?^cnfY2cno}QS6LmtGcp^iT`9kUUs7)4Qa!^} z5>EvH#B4eBdQ+|D#ck^zONSxI+zES}g*V#MS=@UGZG?JXuJpzii})a3_Fu#t`Oo%p z4U1aTkN#~<1Avz(QbC&T4DiWT`czbZOxv*N5%`PQ_Vj7U8x+Fmp6afJF>6xxB*K?F z)0YyqADK62MBIMBSMD8uD7r1rY`Lf*kpDhBi10&b)f|{;*`E=<0n0wY)*o`0W;xOA zJ0bh1y0BS6;|XG?YHIhH8Bzb-(qv3Fg2eaoInjoVKJ$CX2bJszOUB8H-gf1nveZxm zU@o5rZc9HAk|soWz%^0x(5BWZ{WNd!G<1Y%R|FbSR~eXMK7T{N8nYbM*kJ0t&Cuav zC@x$OH}!%?bu=T`bLtrrPopI%!}D`*cqZs4xw(<#QZ+gCo@rz-`s{qTUl_QxW8*n6 zQ437Ve|=)ryGg?3I#r+Ru}->kp6_k=R<>U6{kr^)Fn>N6@}12&;FOIEJQ$>!pCeLkLvmI2oYY;xb`H!>zS`RYJ<4!R&-n^{=K-DU+(WzAHK8L-e^yKHNA>tgzYb;I$SlY8e$@09a@z# zJtxU{2GHqM6DjFjbvBltr;}DGJ&p6#c78Ph587C2K2MS~@kXH%)iZY671kDdSYR8A}+ zZZ<++7wi5e4+d+_y%<+xn(fBb{@vNeEK)GZRy{C>h&J7{SfqX0I_{!m&pEoB1{q6C zkEsUkkwzvma3mN<@3Phz!0tJCW5gO0th3!W8vE9|#0c)QX+paCw2%IYjri?lEsGwN zW-P<-nt4_;VTQ-Po?vj1{A;hW7EvkcQLErh-i(Jin;F%&7)81?BU-p_KNk~@m>bWL zQ0!COvKKy1WmmHZU6>AU}e+~HY+43*f)%Yoa=LXfB8mUZ)bLVWnjp#1TI zyh#&V@&t_8@-H|;ET zROrezLDp2g+2UN>`4n@jL6Qz?{~B0bD?Oth+n3O0=surY-ee8mxvE6Wd6$`u4!IV$ z4ZUeVEkf}IO63w9h8(22G*Y8vn*R0@vc=#K`4lBHO5oFKAN}dPtaPJPVgz#ypoQsg zK5@$29<_NL zqnNJGtr+L^wiQatN_6Kg~6lX|IA=2cT5AV{`JynY4 zF&$&Z`e8OR=}(1^!>k-~Rqm_@1}xbIv$^QG-XzF<5MMd5N< zN~6S*fDvx`<+>$Ch*R}aft?p&do(;(Rj1!iWKDTgC{crE{Nh^$ub#JG?%#c`9pdB{ z;XSz(?jRgR?iJsm4-d4N2{XEKls{YCR%>XeKt!o#p6ns#AR!yrVVD$l;O}KJr{4OG zw}Mwit1`u=RK_nSYHE@_j$;=C`s%Hh#s$3KcApQ}GACETUAVA;(+2R0R=Ka=86e5| z3}BX)d1WE=}&j8RD7$4z~c2^tm=YxBf2)0_O zPh<{atsJ>zqZMPwvf}!Ov4XHQoSejRYZ)RPx01H*j7SQ*zJX_Oi`G3-tEpBDUFM^V zbi*g0%#k#Az@{Bb@*0yXt%MI1PQdfp)0kkD>3L9SSM~&IS$z;BM@$;SNgGrbc=R6i z0(z6K2FB+G>$%z6E{Us>v`_JEd%S)NROL?ssq)!_Vq_N9qnpD8_wll?hm*V~#aNZ1 z-F8UNhm()D@$`Tm9E7YoZ4!Pyc(lmPpvCom@8oUq19%t{tUu6eilIKiXpGrrea}vx z?8{Dv#N;Qgj9_!tGz@$E!((Rhgn86_psJDoJyr|wA{tM;J+M8a?c3epZkHmjgZ008SZzR26S+F&aaZqEycFgJ)&`?FX82r5BTB+_dB9P+mQ&Oz zo9!33jf+iV^kT5Sx9Qsy?PPAPP|;wlUY(CxToH>=OZ)qtJ8NHDdIV+00t8gGkm3;Y$o=2b5caMF7S@FG|J+J zT?6pBl``P;1&@yLFdBF1jr6OWG|nrKF|kQ_TahAcsa@PL!dzdf$MfyPiYSIM)7!h2 zmEC(?rp%igkTh|C13~~>Z#yBfUTuR#{>ILVNODQJoNb+6kuQ~jabw(~35N8n4!Ak{ z17wyPwRW+b*rA|7YvjihKB)9qSC#)6AbE46{h?XKL&fHZ^YwzTHJPC+1JIaHDlRJI zypoAAvdUi&>m!Q{WrqR2>~qqAK0HZdPu%kI^2%!?f!P)6l2PTiUp;fKzYG98iVlo? zfnnD&4U1riIF?3m4Zb}MusLLaJ*VSiu=w2Z8M(BH7!dSqoEWPfd+y890KVWAv^D#- zvLwIq-9ZF3>{iKX?a*K)%v|od4@vlZc)H0eyxO}+M4f)9P%X2pUD zk@S~3UzRgKH3S(`05E_D5~R+kU-YKOA1ZISs6Dn-;P#TetUQuOg*%QwHn2J)krLCu zK(QH&Wi!f*D|!9xDv5{zut8zc!78zfEj80gFGg9Iy{!*#%K%nRvZAx*p1XWFdfbqL z6}Z@gx%mlqS>KaGYM(xO+pLK?;%Rk4tB*svz=B2j@KtiCmmx6~wJ1{{7SYE5ej#oN z@RM|sy)(e26&E36x^1$GwzjNC*SYQ7aS7Su(w;6KLB-B1)7d?P6}9@2%=SOorash5 z@SB(t7;ei-Vwf_#MzptguU!u&An}~g@%7X=LJpps4Uf7VkpNKf4ucm6y=H^msZDi7xz-B8=Txfugc}*8>3gK=tGP+L^Jl$qk)TdX8;^&1fS!xGXN|N z+>C+^u0cw{Aa91_GLh;6A2k2rjTePyr< zDKyZtDsC2NxL<@oDL$}7z~F3PNc^Df}aLK1ye2a!QW^p5NXLy{a-#}5Tf@q4^_ zO3nbE-8|)%8E)j|m)F4gtlTe+q)Zdc)C7(yWj$Mun|HsCpXq|bcv)WV3?Qn025_-L zPT#!{73wPknga)>aSm^2XYgfAvwn~gZQ^R4op)5w!J>x3Q7u`^nji<~OV0p9kGHt5(^5mJ@ydTnD z?Q}c2MtR}B^K%8i2oxaE+yRq5B`~Hr12knaAhg}J?qZW=!N+DtqfN;o`DB|~aPU6HO#_ths!y}8z$O}FMak}@m`I;whAJa@K z8o*R1zeulUr>%}Eqn0`MXPpRcNJfBIfqHv7fxD5E$|cR#Mxut2Sd5KL$BoR0Vi_u( z2Lw1+4+C}B;K4J>8P<#2;1Je`5GtT%K+p}GNh1&`#?q)U6I|Qu?LfA^EfTwOXp=N^ zw0Hu_s=>KRh0%mPq5V$M3fYyxhL7fi= z=JVR^!vKdFud4|CS({nskVvE3lW|X~c^_%-MNuL|2JPG4M$PeIuN=IJVXA1Xe1^f! zx}kIK>y;>A&=F4JpkeIXxW=2A#MReL%XfEyYzwe<}BZG_?-3xk7Sf-A1JChR0y(+yC z!27$ei%ALihsc0R+a@i%ly`*uz)kVzJOxw^fIWKk?Kku*qhmMifOCqW;8{GF@tZlq zlGCbnf0IqgX+HlWGN}Us{<<3yx`Jl_+$XJ$$83-zr*ZJM@T44HfpL086juav^j>6c z-*{pkbZv{RkNv^Hn>ldsaq33HVpgFS*Usj&)SW{Lk5Wl}z}P=n8On+EyJ5ostgjZkHPz4TQ$17rZt0120> z&Hy9^U<+NOHF%~QjCkIVv3rtO@V^ht952++eRJTrK}ZZ&*jgXr?WOlSEl<Ae(6xqL}MG$(xBF_qN>u0B(AS` zZaP~%%=<-wepT<0>PLhXY+k7xXvE!`MpRO191S!Xzx8N2_q~qpFMNyz!X0+3@~McS zO^Vd6Gx|-WYtDEg5oJ&}jmJ$kU6w}dEM@0y%=fz2>P6{!VfDq;Ev!j#b=-tbz@+A{ zR^6QFDe*L-8U*FQoE4;S-9vjT;g8E1VdL{LuH~U!4o@vsxP}nZKN38|FZ6Bef&B{m5M_X~Hv)Y(rP$!y1*CT(jw0=FDqNn|7~u6|Suhq>gp zMt^YIBU=`_i+v@XNb({zm*PAjelEv*?co^!;mK;A4HCtcY`^RiY1A59esZVJRXQ3B zVo2sTbBhQ$dO2ee#ZCqtyh*F@Zk{3Jxb$XH(NhG2Pw{Q`$A;5DC6?!I?h_sO0#1sO zqn7X9`O=SUEudTs{TZf>d!hv97J=8zeE}ErpRofl_RckMg#vbpzQ?O;3E`^#bYR;v zfDMW*Dzgk5eC+Gfb|-#SsS6I13tK46x@J7ZD(S005kKrmC)`?JEN|S_4R!!oYQ(gN zym44w^$F4V#PVsVK++Ed{px}2QEM~``jwC5$QUj_LBEuEuSCAO=@vGI0_GZNZFR&O z-_6@?a2-I8yNzrl>%wew^rp$l@6Wz??j*x-7scw(zXrDJYjFl(VF*N(i)BY97kyPD z+D&%&;Uvfw4e(0sPHpagLna==K;8V`fhN}%+ke#bpNb&SzRRN0jQ;Jm=24aMX z>&&UBN?M09aGljrsTMzt0A)^hk<}3v5O`jhyvpeXi zM%*)pje7@4UvKWz7Nw_P$7ByoD*K=EL3r7;xcE3rJUUBFb=Rohw@5K7q5R1gxrF+W z>B%zyU=6|a&d%U%G7pmFgLHA>=!0jVgVx%KWUVI?0T?lQW<@<)z_B6R!JPS zxlRN#)WdK6LYKX#@Bn_<8n5#0Yp%&bp>?{3brL;_y%lvw87b>NmIZSXbb_to^kL46 z$s49`flhgG=Qw)m_}BRZ*W&3)75cn9+Zb?B1~tMOri)NV$k?6c9ZbUy8a^LLbA6Vc zpI$hfLinC~n(yTu7bfQ#`vS{hsgP$CYeoXncyCmAbgc%WiXX*^#%B%8f;HXCZ5g>K zA{kV7sCaS)pa?_0J4CAKmZ+uhQ*vl(vrtg=eXg?}<~SCSSgGY+P$l{{H*e~IY7NyVn3BWF?n|5~(jT_mCv)UKpWccr?(n z$?ZDt5S#-~n2jvU{Hl!F3}2N@=i*&WuI!_s*9#ES@V=2Jh~%R<)!ag)y!rkhgLZF8 zO>0S+%XLX55xSM23iLSC1#acsaCF<}LEIUv=tAvvNRxOus)uRUe3)96N*lZAV5vWk z8y8(o-1q(1?Sf-z$x6EfUP=o)%63FDVzDe44NV7q*8Vr90zf{n&`M{gU>P;2FO z1x5VKToRfP1&+G7V(z})8q!%x<=cbG<(q|ueDCt)Q99i7NiXoBztD-0$eXt{IG6Q_ zeON6DJiq7ov_pRe^QZ($lxZWQqDPKLMsKK2zDE!W}0A-Y_ ziISrHqW*)rO1j*qVSclaDY)Jd)E4n^c}PO!<~%4faz-Y2=9XgI4s%g#yz9uln^ebF zN-PQt8rmLpYtweHy2`>-NM`7YXakK1@QU#-XupWWVV5m&hY%RpdMbnQV^*NO*340w zWqNJR9Wi&zYfI~`Sl8Vx`Y8e3`CJBGL4M+^bkc3j?d^-6dik4kFTx&<`#|3c7V4cIs(;iq&B7FwFTJlQyz|W}IJXF~b zXbb?1bKwWH$p&82SS!Kq4>h|_5QIv=FDY|=e`uvDgPYfflus(ddYHN$&>I}ua{YY~{!E?)n< z=%Fe~1T&lTBGkTY#;XjX2Up-sm=n6b?ArU&T1O ztJa1ypJ(5>^$7)ON0!laCK}0CN3;~Vd^XC4VLXRYn&a2c=q7I$U(bK5S*^63t&wKvpooEZ3 z98!%rf~y(0pW(WAt=2h797@j$lVWHa??LNsG!$23FUUxcvP2e?u|Uj_1fF&D3Z3^Q zN|r1ih`9AnCoFD_IXX6@Fl@YvrdoHe4DuP@LRPk}`P_~7TN5an*&es9-pC_>Yjb0@8Zjx!E$>ZpVCeMF$^z0<*<^iHy8%Gew&b*G(E= zhQ9<*S|{WLoO@5q!ph?`x)`0SoV&c{XBppb_&jW}8t|wh?vT;ko$1AE!#qxGY(`sM z>0E0KHbiZEAp7TikER$-HfN9*0MkB40Fw+4t4XD>l|h^{B0z-hN0$-0*-GYf;=Fn0AEhN!81X{&H z>kw!S6s@46?H9Cphq9x8QY~zQH*Kkv&3HU~XzCK})yHPdWNjfZVaTT(=fO0nsMEN7P=gIzK-}~qI0OT<@ zyQ_FunL07OU_Rikz0m<<0t>Gnue>Ja~Te>EtEn&Jx{j8l>e&4^`6EBqV+3 zsxkPJ9V#+?D5A|>`v=~-9H@}q|1PA2$!+w(-aVZe_!Nr4XGttX|Mx8EQC1HZhZWUyc zxR?eI* zMe11EyfBl}Ja+~Vv^T3@LRE0eF<7l6GS*XB9PfILtC63NtD~cVp`&wNU+-Mz<(sHL z+ls%?zUDXCxBP{PynloJfq&jp`~H~hXKf!j1cd^A*7lKhdcpF6!ALG}Iy38DYjhX> z09J_<&P0rY_J^6$m`n@(z?tXH;L4(U(UT(5C<#@QcwS3V%TC(Ox`@j-*Xk2xb{Z8e zcU*FA{#!4!Us`hbkKF$H4}n`$wDu3M-CtZ{nY+&HZm@5}=TNyNv(5Y2Jt?03K4$>J zg$J+QUrycXUgd3dpnDkKy&)ZN=_sn%7Vs;b0)H)eubZp`w=N+Mn>+f4bQcp85 z(|}k#&*Af(W0ow6Ci6`xOEtP^Tr%nlFZi-kwBZrfgL^wHOabKy7?5Ix6@rzAQKQ0% zwK}R(m(h`XMBL%-n!QSX@|a>YS#mk9q`}|a!onUuj~hR?Qk1JSz;@7Z;6pIKT;!}_j8EbSE249%3y4|eF`bnyhZvpe1Dd@(5 z+PE^!DNv=I{K|DrCPr?d`{Dc+faRYful~$!zJVXwpFw>8aHr@TcbzpKuVqDj2LsgP1Rif!H(mqSJ&3VvrPS*dvb5|4W$sG2xMts{6 zxZ~W_gm(sT%Q+ij_Ud5Bp!ucUdftyprSFSn75i$uS;eqFYwKza=evDPkpdjIqv0r7 zG&Jlk`7S}q&LeqcHJ8 zSGS0_I?`?pzO^6Lld5?)O3J8h{M>_1sBigQVx9nuPkD8KN_FA+#p@&Zgf-i!WCkYc z1D!BIW2P31f!Mipi=mM{gh})=G`%g4Im`EYrY-f0$Ct{Cm`VbHl6h3v$wSX|u4pJx zG4LA#AU#E^wCl{vt z!mbS~+T;&L_73aJsZOnN$-Z_@lOsuSa#5OF&dF}|TJ}|y)<)_GWVIzmNQd4we&-*5 zqo_qn@Pnj^&~qE=fQRK88+MZFj#BS$PA@3sE(pALY@gUp3F3ZTlzerAu}-h8)ijBn zxOFDZE0d0n(j`)`g%itzxpn~0Yxgef@K~hw5ZWH^MC_Eu*7u5%K~y2>+MDY~Z#$*e zJQ3+~0drhpA+PmaRA48^47%~o#tal}YPDZtPa2Ww()9;ET-+)z`FKrh%aTyjKt6`^ zffH&PbXu@{mjcP)m}Ry3|44djf**R_|I0e~i?dMU7+H!&3)F@=(>yU=D6LbU!SpwS zCFOP&r)o?lelobka1Mk_vV7IC=x}-LgY$`WwI~Lu2D6fRl}0 zK;4mD1Eg-v#65i*Xe5Qps zeE8&T0vRAqm^@Svzf@0uG#^e9)-qh4qPhRZ{~Nk<=3^Zkayvoi?G3{iES30SI1qX zI^U{b?|uf*PTAW?8JScx8m4biuZN9Bot8Peg~(3Z0{tFE)L&b=E`3K=rD;T!9RzNm z@ts_6v;w}@LH*^kt1uNY)paBixz%LM4#r(|ZgJ%*dUAEa%yp5LEv%S#=^c{P^1bt+ z4ES1HYw&4zqwtWg)!YsB4HHwCXYv8?Nkua9N_6383YvsCs&++|&|{jJ!lK1xO}LNQ z$tj8XT~z7}%cC7aOiXLVuo%4~iGgXdgPJQ>@^we}FS+tosH^q&Z4A}!Nz2XlT6pp0 z%t04#Zy&~btglZNxZ7Bj+sb{>C|SD9tun#GbS+5F8E=wkzK4UXHn{4Fw8`h;%Jf~b?MV`i?HvOlB|kD>Ei;h&PS#X(zBc= zU*lutPM;m9^K6_DCPVxc1R>#O(pk=pWGFX}?2R;3Zh^-SPe|6&$8~{?lZ#u^(JA)x zlpSlvZ}g_92c=7-E5IKmQ2|pXJ${EG>(fyQ@A~=2qO!coOPs^GM9DnuxNT~7hn)w* zxvD59q1{mHE>M2=OPwDw2@l_oUTlD(@gl@IZ4n?fLbf7D&Hzfg$Vo8o;ly~Ezm##dSckDxoRx7ca$ra;yQ~-48_%oK(=Rz) z)>&ph0GcwMn3=!c)Xtp|!l}p~Y<=Tu(*r(1G;b0#}HH)IyQgHALel;jDTajE%tPI4X-n#a>wYNAi&FMCwxT8Kz5~X>v+6&N;8OdXd z=;W#ahv)#G@}7Ptnk5qoRo?#S`G^OTp6hyh)k+#*kNifP!$rlV?4EVIIahn)cP|@3 zq%Zsrt&4V#7*eegT?&$V(&dF28Y<2oI_`+4N_S9OENs+YxTFB(&ep1^t*Nk{t$rYI zj(>k6#j?ojQEPlHPsz=L!>X#7cW#xX0X+@%z!7@Tf04{4K^>D~3gvf=MeP33p;R{YlIs;UkXCI;}t zd?i=>Z24`u4t1ycFFpkOnG8ZFMcg7>I&_9I8!BErePF44EA(E5#Yy+f4o-f=zkS&n zJ~G2e;JEMYNT}cfrnLg;8K6gL#QY4<;eD`Wq}WD$fV@x}KO!hxAHRhcm~t(VKhS`O zj!mqsC?c~0y2@7xAwEgWeSc5$v4JC0C?0ZYo}w@bVOKe@Ft`ehdmEkI=a+M*cwDwF zG5Ls4;~}0$!bAEuZ=ohP3rh@}V;P+=&s$(w(H#|nJCfv6`=8{kQt+2oUA7s@mzj7G zzHU~{cXQ%&-HOb$xwv2;(9Mf3mE1^#l$VC=KK@N}qE4y(_7%w{Dhpc^V zZBc(asc{q8R)hV=Q!KH!Lgd)?oM@h%o5{Z~5KeMBUrp=dPxYjgp0#4oA{4u-p`kub zC9C4a1%13A40|`s=;1Mmtd-Fu-8PGg;@}6tZagzCddZP`v0dynlB4mDSW6Ky+qx+) zFSl6HvIYqR8%2#RvhNfuJjufDEu729f*#uVqLLRp8eT~we$3WiIQJ^c>W!z3_xQtO z_f^ocPg`1*xr04jA{msEXgIHZKDRVYhCVJa-?*?UbM09BvBr%t#3Po;fYOOOukVpA zMJ3(R00Kw`Xh%Jwd{CKFNWB)7VevZcQ}fQ0RM#wy&Hw>LO9m*n&2sN8b6Dz&&@OLq z&Xp369;z!$G^g(p6LK`VX!Y3_1d23+Z&2Ek8Z&d+Pw?*ER^L=qBjkHr;-oAgdeKLj z6Jo5L-TSq2Qqf@4Xwd%w>lAI7elJ;Cw)=xoao~K9_jx&~SgMnFM?Xq=w25Dabvh68 zghoWlwBs;Fn+RKrQ-hl+p;t4q`YR@h;5X45i$UnZmJTc? z8rh4LsiBMV;-&j~K^+wiuEPLgA?Ki1rpTKyJ8-ro=ZX87ozy%C1~w!?pb;P2j$Pein#hcWUYtdViCi=uG`&{ z`T1K7W9iEUrPn7VTC7eOG%H8hfq4b@QVX*pJP5Qn@FdRP1sq$J&3&j>^f{M&lubFyGIhbi-6PyXQMb$9*bM!Lndt5*^Y3BPL`Gl$(S-EO}iAHN9IyIaE~CvaIXa$<0HjZDI;*lWl!8N9qdS1ss*21% zv;(RV`+2XS-x0)TwO)%#t8pWoaNRBnt`4p|Y&%e2A1JZ!nuhdErkU4 zYfon|uvRgew1Zer-c#l%O0-3-H+fPM-;dNk^Y3qY`!h3O~1sxJG5I8#}jrf4>p+42iz@5 z)r5oZ4Et)j3qzjxcW;kF65JmO#$KwkI)AOci{oTUkNf>mqUe>)wOepad)8e^&37Wj zq=?GmW)Mhep8kQOWh^{U$CZY&=}!3A8v~$>l^rT;AHNLf9FMa+@p)AK{&P9Bs01?@ zCUOC@X*$`Vo;xOasMIQ}rTv8WV%|k~B3DmMj{FuqgAvkZvkjc~ZVN6Ksjj4H;8zh} z#)$63q?P(hO)O?!&KfaH>YV}pWlrX4f5(h?Mw_c3J<4?W>lywS4U&G8S-=K#0Dz4dhiNIK8K9{kL3gLNi^@cVO@K)FydFmFWEH1tk*AZxvR2xzooRheMm^Cp)PPdk^- zW^<}Xm1jMrybQX!CSOpP?`|x#T@m#}xPYs9ae;9+xv$3Z*|fQsJyGCr={$sLWwgS5 zn%vspQm@BxW!9zo9W$cm`T;j^0knj!fm(&z?%V8YQGnAYYDs3uuILfPMIs2-;`%<# zXQ5P+*4l?Q;>M}YtVJw_ZLGUp#V?LZ-*ZZM-4sLEiJ7jbU8}WIb)T%<7;H$e+L>HX z$Cb_GoZ_`E${p#d;|s3HXdK8G@*IWN0fB^AtKtp8y}m$-s#GNlo(U$pC{~@@Uf}jS zp}-Crz3}MMXu|);-dl#nwWiymMSu`IkYGWBgy8NTf`tGH9yA1Z3ob=)*8mBc;4Z=4 z-GT>)!o3PDps3ur`rLD#-C4bE_dd^FySwkRAAV32v*xT%<~P6h9pfE?y7aA2Q07{4 zz?uBAhH%=&T8>s%ZwL<$Q^$sJOlKnl^ga$IOe=yE_4}S4HNv%2HiL>?bU@tFo`@wk zYe~d~_jPVyKn%A^icUR~J_|#m>okv-Qj4N>gb(q}Ym$`q+8gP*gw4Gsndlir_{$_$b-L$A-8V)KDhJE z+R8QlE;XEt8jyt3tf915m^9ONp;YdjFw{kLW03DkyWcM*jp^QKuiYg6ZD8 zwJ3@U_Y}Ep^wsw$Y4ti2rWDbgaA8WJ6j7^e`mE(4&rT?d9m@kK@y3jn8tCvdP}<@u12Hf@M+-v}EEXaB^Je6Ox5ayv5- zyB17_v8f0Hgd;Q1rsbJ&`q?_n+Nq4u=riATBZ;QgF}0$e%CMUfUCyn{>Qa6SWDEWS zyie>$NZdU?FZJ%J7u z(Y3ywbM_Sgu&#z^Ng((5AD?gkO0WN%lIAy28!yYU7G>9F8*IuZ;h>dIh$ltgSQ*vp z%v?$CkUisg*Oa{ozC&^<5mfZgrosI!I8w+k+^`Y)Bp*C`!!kI10Uk5__99u_02~5N zsB;rsN~3stu8|3$WXAQ9oH~o>OJu9Teq+mE5sFtxOT?f62tTaTOdFzERqZchf9Lb+ z4r6!06<;L>925+sG_oc;NY~^%9zwsvH|yb zpu_E9MtvyHPt?m5X-pJko@4OlN_KWFkf2(vbWm`{c<~$4VEU2+=5CsoR>`;;4w%-8 zccLxrC+Ld%@#h=fDJJ?1;H1cxr(Sil_I$-kI_qom;@MVMT@n}Fk_AGmA3F9tx5*#{ zin#`gUe0ceo%@>g^*Hp##WDf)_vK0385!dO49aB$x7a0c2zQ$=4q?$k#ldlTNlEY` z+lBQXr=PZAIL3;YQ22*D_64ciI_jg|kxVE@a^~g$8c4&DM1pq!t>D3Ci8cM)hpkmANbkPmOhCL` zWo8#V7J7RMv~QFHNyq^RcYOzxa1-6ZoiT9vW&l%tCVY3!X>Yws80Es1@y_K_s&g!gXtW^ehrWj?Nnath%7VtNQ2dm* zmk9v2Y10jI5mI#!>Ns#Om&_{OkK5Y1c-{6}U(h1k@a(|G094tOjxOahuV1%~%LN=76~ z;DdjVr~w-O7ev#qFj#;)W-IO|NZgkmIM^Aufz}^_|N2APQ2X#hQB9YXN-0q~`DybB zQy$~(c$%iq4k|-Q>!PslS~8LpsZX~dDhNhCY9yDw#{W`>{;M8!Mxw$@lj7Ds@h-H8 zbjOya%@SW!0w)slU0@@0(>0329Hk*}Qa(QRp39vQr`wyICE!@!8!k$QOj_dizD zoaSvLB2lXnY>)9leEh-?F7G>ccWD`%&^EBtG`NKD7`x#`nf+xp{xT?UT3O*A7()

    4`o|j#>B#Xgd)-XdZ)zstDuCv8U_fUhf7OOh=8fzi`52?` zPY}nj$%!tQAi`&T(J&wFn7PI`G0U>f0a$1NQoTln z5@$;u|Ar14e~L(zMx2pwZWB*M{ry1#FQO%?b@=_Z&j>aRHyf+_<#A11^4) z%nE`1OS?))2C+R{yQwxK!g=FfE)Ra*Ffvh18xAeiL$IRo!tTw@ZHOgTVYg9ICqyZ^TTL@ zmvoOmeU%DgYp35>MM(jjW)kl<%7rx>m-l#lg(vjR2j%|IP8l@+Rx{Bb<=RShLzkVApi)pB1o<*4$6ZpaNDnV>~yKAX3 zQ_=akd(>u{?(~Erd1s6^pH4}s{I2mnqDVu`QonZitc_tW>g?;(e#T3K>AD*$oTAEy zRT5j85tjFd^MkhImlR4=f=PsHa;S+ALb;Z+De9gYCES+6rsw1JUUEs({Yp0rn+yd0 z0ln+XO~(Y@5>w~6it;mOivXctQsvW#jJ-fA2BX~WdKCE#9+ zfuaiKd&lJlRulUQD2)PfG_gZeP*Uzy^m#aTU8Ktah|T-a4Pb6%k8vAY7<0qCAmV9% z(hD$ETn}z$1i~XTp{=5r7bpbDHzKcZz?VU-v?suRoSiGv(={8qtx=h=hCo>-Z^Q0z zW=hfJF(o>zFplr#O}NXg!iYiXzSW420q0-on$_}*8aZDsFEaquJ&M{@qocVg_$sL` zbvsQu#*4Bk0myNUluI077lU`)h{g~?P}iVBgdSA=az@;yaMf1ejpk{No_;q>jv|e7 zCp6oBNpJw|)FAjL2%`)#!RLdj42%A1xu)1(hW6;i{BzBv&1LWmj)*K-)uw+#FD6aO z#5cH+cxtJ;w8liU3}5b6M6T{morw-z>1~OOb{=Ww(<+<|6F&!hPtcj-R=pxi@V9U# zyBU7YK9-Mi-riq7-BEuKnMUFH=;Td#)GcJeTWy+;jbvCvHj|V;<$b0QmiSEIXH3#7 zo#*`-bGuOkQ)d+X-)?o17#AOo-192-Wu6*`3OV!hXR9^0~Yl3>7^lQ$H$Jf z0(U`JmF+aKoGP@f!Q;H?63-@SC#$^#ZA#v;8v7H?hc!e{;LWkBtZNnANopuu*lA?{ zT4WGkTg!iH%Hn;hhKrntYSGKn+Z2Q9Q^9Has z`vlww+|p{Eh~8+OdR8tqF&>*!RvG|^-n|%vz6AVb>k{A&W_S=&d1ZLEGIA<#gy28C z9J3M?S))F%r-V0kXWE^%0c#yHX4CdWG&Biq`D0skoyLk=-dLE zlnbS2`c zI8NFiuhsYJf0m5sKlm*Bi{$8k@v(nGlTffrdQYBcy|$xs*k7#GvP6LFhkYMtydi#2 zq+2C|{Q>7INu~byk;Dh=tIW>nf52Po|6Z^6KT2Ny!E1`&?FKY{zh#glqoRaSr9$Y6 zqMn$2vP_<^oTblZpIgUnk(HydLlwifnk~;n-f?FYK(Ok;Bf3K#Eq~2gu9vI2+!OQz zAXP3<()9oo?9yg7$f4Qg%ahiLYvqhOg8a{2&u250`VVidRmxHA1--D{^7`V|sD>ssm7F zL$o0-G?m>5b1k(jf-iK>%+^*Fzp*qRko(w0+{0}5tkdaWA-dPbn5f@9>*Nwb-Psn< zI8$7>$6q(=OBv!y8(u;&qQ;Q^Tn|6C|m^)>H^|+*3Lsrz+uVY->#!-+4RaK8*{>ns!Jq zF*_*ZSCpneDZMmX;U3WKwe7%z1g%HWh2-Q_S@RL8i}b!WTSRu)WE7?`GOUhqJuyL4 zk7wDMEse8f@)7d&-`UqvM;lLbIf)+=PKu6^fr; z7j&g8KXAdf`4d!q9CTKIBn6%S^J7R8H4)hMC&;|VnfgLGUp!GFqewuJcxkwvk>wQU zLBIja7hu64a|aYly6eFAz1tq_3$x`=aZZsvco9cy$*>ssnJh7a{Z3RfUc;#Sktn9q zvM^M+Cd)ni1hTcRG|kTIHI!;@t(DgC^zpYqqQz7JTN;Xr<8aWfx6ry0dAIN5*=irE z)x|}NJcg!3&Ug4v0Q*8+(ZrL{BTmd-=U8Fk6a2tymy85hGNd&4vn_6JV&l&4L(T$q zsSC|j6obTkin!##}}OPU({*;3q%h7 z7F_b*`QHCjZutr6DpRfEXV$>Y7yru?Mof*Z_};ckSWrfK zo+NC(gC$Zlr-Ajj`Veg$l!m8defxJWng!?Sy=HHL5UjD8KdT$B85-cjG;6I*v2L=| z*U**=!5TW*Fo8`HCD=nV^{e12Yh!qC_Jl2Gyah_YRzFl|z_d;YFLoF}c6wnR*lEW8 zp0i*6{zWgtM42F0qHJF{{hpef`uLL05;jM^veK>cdf@~XfN^7s-qQZMa@QLGtsODe81??faXQ5lku$;!)M=A zJh_*F?IR*f*k|L$IsEPTojw!=IkF))Yb)pIGXLDv)a&$M7C;yx(t=1OV%OMIc}kWS1K}MjgTgt&0o9o z*HOl!mV(N4<6|$wv$nfZ+Cpmgbv8!;%jNQ{r03yeq(m+()nSgYgKkXo^>p!GDjmtVx7n#C59x1AjtXk-(4z*L|N7p59uIU(aqA%Y*lZp z+l+3wWrs~vXbEEgZ}I`rw;95a>N8tu?aW?Zx{9{&p&Qy6kvFUieiEM)i?0fq7|8>e zM-S0Fov=1^uf2(|`^IYAlcWMhH*!W_iO7tDEwh zv~A7`X*?*uB;CiZ>GlIXVLZB^WGVkoD-qoJCzrwe2_-C8e?ac|BY5#&k@SJ}w2Wk( zszD+U!C?t;FJn-&rGDk_HRL{3H($D8jM^8q$Bnl9ZX>Rdum1?dD^89`Vb8Vu31aIO zp2^?pb~jn^;w`LqAuC2;`xif=pawFKZuUe z{|(=#D35I0ForVT2Il~JfG(RZ0G)RTDJyXY{KHD+R=_|@L-Ie{tox0__Ozxh#1H|z zmLXHu%=Wv8zBRr-z(W4%n8)AD6KQHi@B)ksQI?XOW$+vj;Mn9|`C& z*6GA+ie*6FC||ubTPxs(5H@1Vx;-sdO;3j6^x@6{gTqZ$ZBD^Afs)?!nyPIMvvY>% z)zom=)`xK8mlj9w5?SLdvl_@vqTe{PhRWXMJnBL-0ig_RwMxQ{{aY7qlvmtMGq(2F z6m_ECq|A%T$LSHJJi{2dB$T}h?Y&~EQxjc z8fQ1e(#WzgQ9o`ZqW5*jgiG<@xLg+CIGXrnK6j>}@W*cLD1tYa)*plEcPGg|M_T8qn~0ZX`bYUS1|Bc@@g%Ql0D~ z23{o87cd4Y&57%vODc4RXSAw4=;3aufKCFHW(-JkAU!;xH`6((dCYO5i5T?UDlOOp zlk>(<&hH4;O`gqG*VQ&n5o4?*qvy_1WXJJ-(qKID*Y@e!0o0)KqPh7Du?(-S0D#X- zU)qss#WnHk+qp3m`CcI*8izA2LWs>fw`$*GIAdCU7ges8+{75-7@m=z=dA{984Q{_ zT6vaM=PgdX&7W`sjqvwl1Hps4`(4hL^94)IIxU# zh;~mayp*rtdrNQ1NdPTKl06-}TMMHi^#Vr~C?7tmv$6g^^FOgO{DS0N&*MY{cQ&r?X6fm(%9;Q`wfZ zwf>+<_GwL1)5Uu<0yO4d%STTIB6=Z0`BWELIt;h^nIE?ad$$D3EPG0?G-kqBT3Zqq zgmqX_#u0CB^9#BxZ$Ch=Rd^&?o4%bu^zQhYEjp&X^@`JZG}B^~J!XWy5pqZ}ncGb8 z1e?nYRS>x|#(2G|^_i7VdqmA~IzzO=CHu9i<7Gze$_bxER(Sgs7E4q@-wZ%LY^kgH z-Yn&Dp%_vdudB;NYcK7Of(u8|mJLB}yudh1`)-Y9#pd?5G*Mq0{N#8{3UG>a(M-9YLE|SdxTjv(wUuvZIhE(?kdQ-+TOl zxxJ9)hOui5KnpvSas(F8IQNMojhpLYXz)M#zxZ-OD5Kw%)UlWvL8s+!ok3qY?jpuK zcr&aurJo%xdAEn9?wHVh;O$+M;<{x9fTu(21twx{!hRypPN2>eKl8?z@C#Z@>ZYbz z+$rtGAuE1WoUzp!bLYp&jl#OpLzmO>3;-qA;;Z2MDx2jyT0A*((Rj6kM21X0RM$-A zG|=gCG3QlVP>!Hi?Ouz2ZWKExhX%+Es@GiR?{@sRK2sk4mv0>Yl!y1fhj#GaTF;42 z?R!V$Zp>f7()Jb(-yiZT@#Bb}vC>*Tc`x=b+3^9&@(+^@Bj5P)B#y7hrQaf3@eW$j zbB`L#LwfI`YPSb3U_zw*=q76Z+&ukbL=f{IF~-lBFPal?iQBToox>^(x^U6GoRyib z*$g+Lb-NyIhd+kSBv6(IAjj>JVoRLCmface0!eLiOiY}krv$04T!E4~?M%UpxpOWhZY<(Iba{Jkij&ocN+QKGqcc zk7XOV}hS0 zp2y$Q0nF@=PiUbwLcwXRV-nxrK|r|2J>0ztLfM$5&3;{GN@6b^WVBZ^oOQS}`k=Eh zugTN{;(itbPzo#;(yew=?Ca$2&h%foRt&77W_nJ-;~YI)pxLieRV#1M7d*TU5##K` z%3r*@0^$PTz(N@K-a3l>#`;j@!EK#d#)SlHLZDAQ290xH+e{3V#Vi#KH231O35=l| zX)yCKHrpn=kN%D)>U@hX&H@-s6nMk76mfSs#rdJi5bV9vmk;ADB2+5Ai zyN#I-EDvwnvaBbR9%?=kbyHnTTGX|ci7WtZlhPwUmp_CDtoOp6Z?duWdtv1S4!@FQD`cbVD?O_&bcrLO(t`^j&@Ykv4}D} z?bZ93~XiTPz8rz8zP= z*AM=Zv8|VYr{s8(LszZofNHZq^G@)5@Z!>K@{RDy@QF0~DgWWN$QIu1#ofBHyo{s`G#n}CL;Sk z+ZKVy#QeUXK{K+U5hFxYnt*?byT0r){#Vg9{|uo2>>Cm zfErwgwaB_}lxY!y3x+fMD!@7ajZ%S*avN)`3KmaxHlDhFi`0(%v9``-xVMzcnmx`^ zUx{VL{clse)y+*aeCXlE5064M(V~{PS9l1!2~IvlJ4#Z@Z2L8%m|BWWZwDgS(5vrF z46!!aHs)AlGki*H2i;NNUBJHs(8pNW%59n%*TN3jjo*AtzFfC~+`s%48UJ=TnGMzU?3Ukjh7WFLWq0;LneS6K{;&auPt5I+&{uR~LEh}vn0$9F4exGVk;x_FVN zK)d)pG&Uq^P>{L*UKbOkh}MJE@=%fr#LEd$KF9SamfqU=PQAKRQ*#R3cd)#3)z^dH zxI-v5zX{Kb1)sY&lX<|NjqZCcSgO@F+{us%c8`oCLC)u7Q|0eU5+iu>5R5SK;u6b7 z>X2q07q1+}jpbfm6BVXQ?sYa&+(7|m`SnH3kG>D!j*Ov<<8;vc-~~1RCH9R#3z*S6 zGv=Jyk>*4@o(y3v0_dfDLPrJ-6z$S#S0ePi$6?J~7~U2Sufx)<|G0@yG3~*6q5?gF{%yluspawrdgQzIOIEH_5EIL3=1rSC6 zC9XG0&={|=PN&_nFrK40B%l^9Q|2c16)JA!gq2WHO&y#Slx#FibEu~}@8EjgiIPBvE{RI6w^x3~&-jdgcqx4#QcHgyjc? z;59)&EpOs9CiymI>q=a6y4QQu&2Hr|(rd!KsT1a&qocb=a#^{n&~&JIUr_#7s+U+3 z)wLtw#2?i>E&3^HO7*xnv4OiPm~bBM+<9u@ASXKJn(|!6^_jMOyNAbHECEU9Xr8#& zyTU4+rG^?+lkb78DcsI_VT6nC6CJWgX2s%w$YcOe?2sE&KDO$+O+VYI0nfF`PufXx z8t5)B48+CN96eF%^Tjn@v3!&p)7K&*15I2i4XkjdWszyhz~_}B)L(b%izP)Af#~s^ zM>!?Mq<`&2>j>*Me-=o<+Drx1V!G?f>_MJPwfJF^=t!!-c2rYU20$lV>LBPEF7_g6sCnLM-Jh zwfSje$Za{#)|lR@B<1X{(|48+CeSX9R|W8Vhl(XI;l9|PcvBl_li2u)+?R};$mI3> z@1YUsE)yqf!|rcFe1 za*yV$yr6h%sQrD5TKD%Ad}c*`%?nFesrmT9*?UfcTTI6Gwm$@EKAh^kRvN;`WRFKo zic3W9G^{=5n=niPZ>VSLPqNM2<~K*vSDGq@#1g5Sfj-1@D&O19sG<4*VPotjXxb&I zTnxO~L(w!WioLhHtM?@FvmJsWIqoiUzNv9KPg96I&@>xE%z=yxxlrxGmTT2e- zBV5s4S{PjS*C5h&-uIW#xeEWp?BF|Mm@<;@V()r6v81P8bJzfzcDjD$J7D;^P(h^F zt9cf9+tl{G=HmDi!tjfwXZ#Pty;x~i&uo*!bqOw1?7l*xLU4hO(Ehl0l_%3GY5yg(HHI&3(lSM(WI~T#^PskXbWmlG z1UqfJ&8|l75BsDKwj7;wogY#|c4Wt1mOEP-_ehi6C^AW0=V?3YhcUGe3CihwOr6Y5 zuj3oFnwE;+>z1w17QR+1yvH0L$w)lWvV5YsQx`Ea^!>Pod|#h`6hJ4}>*`{T6&|-! z2EG0+Dw6~E7x1CI5Q)w7kz^h^S4E)|V`1!x5A)VtqvTh|w--GfZ9ijs_`FQcS6AW{ zQ{zHues>H`^Xr17>*b?mEegVdqWw%gg6o<4UC&-AtfVLmxK`UwBA0xWb=-xW@)Fua zvzgd5N~vrg)tqnoXep7dL_B7Iik;`D6gxVN_uaYQlN&2vLh#IAkunEQyq;oq`236{ z`s{t(w5V9Yn6smc3ww)A=tRW=j!EUoxGabQ&od1tB>|!mRL#VDWqQeYJ&+Sb&D+?Q*f&Vkxi|Ls{Am0b9A{ld)#Gr8&3T?cI&;<{Hrg=46o~4#&;Ue zP7yfAbC~Cf64ZP1(W%*bdr8pUD5B2xy~w7`$O6?(W7ZcBYSOujHVCyWueExStdqxQ zEyA7Jd@R^ke5Fj!1unST^Q6FbI=mmt6Ino{5CMm0RZPs7C58Zq@*+lM%3IckM*eK) z?Y9b5zo)0!r@1bDdWZF4VLOWF^c0?q(bY|dhFy@A7rJIG;TNMPybXz2QHY3wJmxnV z;Ty8Dt{L)>)^c3K{fF5aEQV@_Q1{HzS5fava>`G3-V<##B10h95>bsR;b%VFl!{9A z6TuPgxD%Z-5f?GMWBzzh401X8OQP>SO#n59!yN$iF(v_O3?;Q4K#jp({*4-Q22f){ zbkiA0ARJikncV`y+hH4Z{24Tp)KsK3JhIC=as%wrw!_=Z?HLa&1bcWO4Ed3E2qoxx zk0)bb2J%!P{Ji}A%oL4Z_vy2^pi}Jo4sH}>KsDj4{lziB1!w`bSJWy$LH+N4{SF|< z#w>(144HIIRlHWrfoUnAOxu>Bq{Lw!zXG3NhFgMV0yGQ+3XF`)bI zEn*DO6`>S6JD)hRQ(e@l5{?tK*^_mR%5TLWn)&q_zqV77$_uD0L397McLPaq_a9QJ z1QT1vN!eT^gc*HEA~PbWeO4=JLVwtC;Pu*hO@i1Oe3w@Y`_=bDwi>p(dn4eg+!h6+ zY1>1891--#XrCA@NBJW&hY!>V_hjg#%+P(!0YX>CSmgRm50`(rJWzG^M=Xwi!9e==HJv6z^eAQCL z;X(&dqg-f98+LH`@o_sKT99wz_V3IeNs6V!u^#fv$_e}G5f(XZ13Hhgh2_%r^oN@> zPszATe)Q`4k;cU{105nNR%E$CEKa*GP}^#dS`0S#3Ag7`N!^qjU>wBL!jZi48?%`y zUuXuXDbFr{qo()()Rf2Lzf2~SL}*CYe0~T}Ov#6wt+|o7hzToq!8TlGxr$Y&GDhUA z3yM+@ENVG|&8-XG3|WG0ai>cLRpreig@rS|6atKyU&kn>&V3yjbo?8&=o*Za{(?3k zN@V}ln)p%}FS|h7vant;C(L_D3tgUEP_*f z{p{tITNZaW-QIcolkMea>fu;W$!6$HHi*N(I?aa!LXDu6Tbs9Nw7-y;16Rp~M#1}j z`~*z{a*S>sty+|>M;DM5j9;7e>kLi-0*=gQGYF=vH@mVv{D>i|%XbcKCMRDwNSXZg zIAzIJnNUSzaU_T(Hj>A|?}MmaAJjLTlW*e=8W0Ut4ge_QAS z5>I7S+2D8Xb}K+Zs+>$VsK-zZOhvDB(Na zO5O4((s{QMzX~2kH(5r;pP$G~Y!@q1bEseMLXx7mPVm)B$Vl9k#En=F*Fd@fJE@4@_=Szl-)4q%&;`Ed3 zrXk7=;}djVd4u%9OuAOWiBJ1gY>FwUUoq!J*%t44o(b1B84;({R*3Rn3<-X|6e9op z3Fo~A2wzQKBF@Li`AK@|^H{5y!8VKMqrIb!^d`4E0L}k~NYK<2uY81Ay*Q)=EABFJ z`n*xaWqxe3EM)!MYNqqlXs^earMPK{u~BD!^f+IiDxa{zJ^49_j#*Tm&eGfv>o;Bf z0hi6&Ob=G+JnkQ6HnxZ1b+i(KG}&DNt*LjxViLb(VubT#p}z;!d1xm zClx%7%avRXmS+}KI8!YPa;J}vMfl2EQs)<{lEzDGd{hiVG-qvSCpBjN`}tu%vW~zVPU1aWO8<#6hSmDLkfBL0yA3zII5L zqxaS;?tG59vY0e?C`)IK&HH$|Wmw{&<)s^ou~%+r=BtX#(QqMMtA4DHE{wGr(f9>K zin6uS=VG3ey80CnO1j!q^Gkr*G6N1Q1dP7>5G>nPAS*RUgo*3o$!4L2|ET1qUx>wL=;@fmj zHcg$jBk}Yu_3?e_J4xIjjh3sE!!2+;O z4C?itpu72@#=3_>S#`5CN^vi)Foo}^B(S51I4Nj?KGMhDV~^Q*S;v2=I=9E~{KK%D zD5s`=USFfC=7X%Msi2@Ky$R>&=3DWo5^7?1HAR?GwL&3%q2t|kdl&o1(H7Wv4svKF zOiw%F!pk-Vi6C1Ie4l7k z3!p^$^K_Jlb#H1n^Hi@ME?LEl!ahF{xO=FYY7H!&)P=b+(&jGqUN*_ZYCVp2R|~Al zl)4xgXbv7|8n)6p6KA_gl+vo-suPpl6}JCh{kslCahO^{GP6#Gjis{chQdmvZ+OH@ zfyVh@=vG33HK3+wRujpJ_hmIP<5`?IKDx$`xu|z(^zk#euA@tsw|;?<#13`tAS~bU zbkWGfe$A*tCR+WNXwQiIr2!K{w76*!i7i%wfoEJ9C9bS}nUv|YgfuYcSu4liGhl8c z_(5Mg|H@V2T&yE5mRUBOlSpzE(2&JSYTf4;Dp-9yq~g}J z2MDh8?Ft#FNwek;DCoDWX#E7C_5;bBW3hi_owk2OGwx5(a%-yPyQkH^^eIQ&ReTG} zyP?l}yBvztWG4Ar8gKs;tLJ~HYr^3H&`W8UY*@MN_iDM>+^l)DaSaKU zMs=G&?fYF}&2m4;cOal4nmlgi%GI^hvCGAA+qFmkCWWkg=s%cdw@^*hA`H_9He}cm zF3_9jmGX*a{jfHDAA$QDJOIs?Qr({*WdWk;UkseT;1&Hd*!2yD zA#FPs^GBZ$i`v#&8P@oXzT=OZmGa98mSpiHuL4kFQN%QyT7fE^=dkif8Fuu>Ow zmP^2dqqGsI;(7Xt{;g`)|B%M+RJ*dX^6;EmViWm& z+_rUOW%x$)^lC}i_C}r3F&$x!8(q}!OPSxl769}g;gA2D*Z%_`{ZX&6{LYEI!7z;a zNkrZvO`eBpG?~D1*Mmbb*`19zJmKOBQNpMH^RW3lBD;6~fAg@xYOKE0oYy$G z_Q_l*e;2fjZOALL-TyFAX*+1qf!m#I#L<9dwgeD@QF{&Jk9o2a{As==l`!{f2Ks6C z;={xxipsK0`pB@pR^!Ua*3Ia6xBP zHlrT%N82v^SbRg6K-f{KFN3>Cm$21UrrnLkR+jPO6mp9-Q>A@>4Fk=W;#!|BPUBf> z%98+=Q5(4d{Bpf?xv)TmbwYa0;!r?=P}VJ5_bMN=7iWY8-;9zIbRGYHj41y*tH}S1 zYyBCO>5pALEk7UJW9zn~^hz2$l<>p7is`ia1Cxh;+1)p&{Qhkzf-2)N++x0)VDLKH zAJ9FMdc>0yG*~j^=Kw-6VU=nRJwr>mxGpaC3AtguyfHjjnQK+NEk4xs&SbG%X>%iY z-4 zi$3{^q#KQv+RAScRHi+{#SbmxK|@?1lUVT_HJ5bNA(=_WDL$c@@Ao zP!bD;J{Cm(!GIhh=5*QfD8*p!-poY>p-qV*>V^rymJnL-Cx0or$0*D>o69O9T*HNL znNG(vFZ2Oa%p*h7Hg$Z6$$4r<#T1ZUi9Z^-1w#x93+)5C_%r8fL+EavT?r_!$9?*; z^BG{Es>Mp-;yQfWO}gZf=Kpf3^Ra>-POaLQ1p8tW@M*YY0keH{(HvC_T|cW8pDYzp zX}_G9YzhcC2b@Z9_ifiNI$v&ETmnAu?M=dq9RV_6Xz_c)83eXV|2q&yatA#oSRu#V^kO27DHUWo<>$<#gBQo zmM4$($xW?@!{=y5bOz=J)5Kpt`POM?45of3l9H$cux2tZvkV z0lL%wAz3WSdqtE<)Qf&8GFVfSxxd}Nq=ZxB11K2nz9fx5%ak~k``kGu-MGsY$&D_? z!KENp-QZmnR1`D7mW-41&JGB#^0xMMh8+1#QKJgfH^WzMr-6i^i_^Ea@pU0wLPdfj z<>U-_IJEj*`~95_#h(>v|5u#%f1>rjU1QIJ+Sj-ax9_+vD+HL)YazB-FLL7*Lmoet z?ZCjLqfLmt>m*MRIRD~6si3$eh7n#08Bzos?MT^=0cR2YM~)P#inLin-mkj@g#_P* zZgHZJf(1Dl)T34Zh}RDgZdsH7qM*5+t3lU}cLeo{c(pFpc8@-m6qtg6^#U}zXY=d;{va(V_6!)!cMKmVQB>?de zps8Qa0-08^$^0xRrVDz;w7nhECP*~v+$*rT7ISKlVTys5!%^3r}&85sC7DH|1Tay#VnYynpBK0;$ zSh{pe#U~Vf)TVa2UUzj&W%8D*=oWKS$FHcLH=JvA#XQK5@TM~@O!iw^A869X@2}!m zX6BZcU7KWlA|QLL&*vV}nY#HMVNLv*xSw}PXR$Z7DAs?EXz>G)yXyBaH!g}$TD?e+ zPivc0`@0z){6|COTfT)!#@R8Qoz0%dd(}oV54DVz|6QQ5U%%(?H#1tl+wg#X{m|e0 z``;WYLoqOgje5_yTlJU@WU8H-*5M+*Jzf2HWO`K0Nbp=O>6)6D(i(&Bd7f0{clve=q&x4Q9&C+ghVD5KUyhu8|jTuag4N93)S$$6E|;~Jcl+n zjqSVnd8~BUHgj{QYLf|jpF4MNl94*$Nnwyt+?Z96^aw{{QpYNULjlWCR zdfN{2>9Me&dW7t4_KGroL9TUa)~6%Pk?aeLKv>S|9IkpX9TuW%ZeD!M>laMEJ*9Yr{HRghanzw zEuzX9XgO-SgNpn{w7@qj7|ASosI+XFuu*3Uj0|XF^ zz4zJ9&tjiq)k((yyT$avD@tz5e9kI+ROIO3qRtJsoU81QLyxFK(Y@7~7uCrJq7KLA zC*PlU!@~l;+_#u8b2w5DaVDLwEjzk$={p4`kyAwH?>!c-)g0FBMOgL zb0B4z@2dW5sCI2eRZSk&+jdvxz}oHFkhKNkYt`i&eYM~&n7cyb*oJxWy$-UYrq`5V z3EJ=5@oDeJlF+8hEJklb1ndu$MFb+IT~5AS_d{ElpP(xC8M;!`I7ATAWr*6gxor95 zIKW|z(R20N`q3%hatiWkeKVLWgL$8{j;C||UaM1E!(4UUyhnK)QCllpHIgLX{Z8e> zvSFKqA(2M;NDIW%pWbwWk$ui&Po-!BxYkV|9rE1pYE1vR_bzPUMAX7*baf#+`KTsY zMfh3rAq^Fux)D5iPO~6#YqLhz&{6A4kd0WepWOz8{ozDwI#;^)$s7vyYV5Rl5xjR< zGf|>`$7$>`H?o9_{4H$LBKn+4Has^<4jFb4vCsbLN6Sa=x+9g1E-|<0yP28HAD7c3 zv_j`P40{qvMj7F=N85#J={93uk9D6_31TI|Hq3H#1ofmuxg{>5oTU_O8R6z?kMK4KlKfLUf$nOa{Vzah#}g5S8ZIny9YsHnxi=(O@oP;-c*hG`K2rUwZV0(#e1)> z6^=fpca14kXH=!QP>glgQHH)+bHCS{36k_4fqr0(FhN@7L^v&iD|s?gXULWIvR&p` zb(zOr zEyDA}&ZV8TCX_5Jxg#m*ZJ5~Tc(vu+g250JBzt++_Za{`ny&y(_&Qd9Eks zN5*?_foL?No`p{+)^g_xm}M73L2h-EbFNtpH)+*RYhv(%Q16|VukDMcvR@uDLDcLa ze4B8SkxvrMyR%COQ$|e6GzL5z$k$K^k%mWk#xOt2+Q5uvs7rkP-m}k~PFs>!Nh=xj zMr_pV1k~T3AU(P>R$&~!+M25r*xGH@)IE`OJH*@|i-7NzyUMfh9;Ih@vMvk7xFP&Zc;>6n0TSXstg>w{ z!|61coZ>bZbyDy>0@hrdC#<-y$Zo%u!?|_)KK;=W`A_dwn#u7&EwB38%mk}|m!z_w z9G*51ciSx$S`sWPY?6d)FqxG=bT)6~*tHrgrw{_4I9Yt$w?)_NiR%OZ;1zfydG2?m zofpZqJ{s|t^CEK9nVpinxk{DWMUmdZBT;3A9E%NPkfx*xvQETP=RRR0KTPrxpwfL= zElF{!q^SQ5Tkzdms`o*vP%V`2a_Yo-oZ-wGc;A7!dd^bdM=`BbG>~Ugc+#i!?7{se z)twCkW8|5n9Iysc+`431R84w0wChy>gXEoD!_F2^fh!X$IYe^?#9#tip%(ZAVLb4LE<_#fr{Qx!V?jBDlpfnr8U zV?l;R=?^uFl363Lfm)ov;wF2FXgiM8D>9d-vRa%|cd^!i(iYI1+SApD*jZ_TW=WBkK>d!7u9S#0tTy50#1Sq(i( zevwyi@|x;GkEiX8`pmNt9yS}1FYhF>-T~kg_e16Inz^k>S6unhW!8@84gk*yX5qLM z2Wp;^&iT}oC1H%7j89&R)8R^7=m9GMO$0YLE#qanc?KOR`k@LTzbRU^=c!m9?FO(w z*y=P4YFWAcxvtfRPgps0lOaZ9$7eIzV1BT2T$Qlu@B;zP>yWqcDl)&->v><;mHI*2 zr5?Me|8|2ISj;&BZ@Tz}=UNxaSKHBeohJx7kV-|-r?iXpOZ&(s+x|>{*s#i#gqK<{ zKg;=g*t677(=Oq$*Z^x@P5IdnJMhYdBrp*P@FzgHT>ojQrhz73ZSt^V+CM(?-RSOq^Rl>@z& z5RK!&AsUO&Vys7R}zo@OuZVMIM z*W2A@RT849j&?{@*v*v}^FcrA1sX$MJ*rT9f$X9-tlfAg@vx;xx|CCuC+2g?lWqkI z$;OokyUFiT%2wsg@og}XnS@8Sj!rB5&w@|cHMQqhSsJavN@?Bee|l-+o06R;#%5fn zRVz}xcsc1+mn^?c5&Sj9>vai;>1$*Uznhm)CNe5RJF>~D@zGbW+tGV2B?9U! zih1?^koT3w?TqJ(w8)p=`>@#(wpWrv4sJV;n<$0-t{d9k^*ra=Wvm20Jm=$-i`{VZ zsfesMtkK;p^VDnC``l2XwB(wrNEM9XtTJKQ(mv)*r*$Td*D7sPKKacToPpyc-6w`0 zIdFGFHVlj*h>;L1KH}PIy@|Q{|Gf~aozI#Wc%BVvroVP}W_Hjj#{GuH{(kQ>_V7!y z$4!dHMe;AaA{+7*txa~m&)MtITPO>T`Xu(1eRfoQDqzGRbpU$J+>!Og?%U3hvARQI znajMYa~g!boKsfw)1vj%9@Cx zR@2SyyV?e6|0#m`2M9U;6;}SONpOQx$f(n(*K4M%-m`yxoX;rW+!D@#@QWGiXJPcz zf9=Btc!WW_!AUEa|T&Cb$Q~I>nTUE*MUhF+r5j8w)1v4V6m&dw{X35@CaD53!!%7iOu6e zUuZZJ)Ec^dWIHdsa)`U9vY|nI6|dEPcFo}Tf0#^rf^QYDa*{a%#JTd$6nXZ0;|i8P zEo$p1kkKm(ZDKt2WLP7hvxncfYl*nBD4&nlLdks099B$VkF5i44HSnkzNWlTVHTBI zqiq-V>eU@Pog21%g^G})7JWM!`mpt>d1fecNSnMojBy=CwQJ5Qk$GWy;#laES_=nj zzF6p1$_Lx5Lq+B^7WnMJqsl*X+%7s3c|C^JUQqac(VleT&|hP~_O-*4G{Y4%CJACg z*~|$Ps~Ocbp7ERA+L{X@UAF&tbY59zbLE(wLG!-(77x#NCB}uOR%w-aEZJn|SDGtR zuqkF8W1zns^Roa}Bl~ftG*b?0p4Jir@Jw-LP4Me}_?T-aLFe2vE z-O~3sNK|d1-!S3Ho0($GoUZMZF8ESHN@-UPabowksVT}T`*>&XPLDM7yVZv_@!n^1 z$ozL#XYkO~rsk&V#`^jf0)~U%us7)FBA9)j5f|2JmcIs<4{yC=J3{*mcDN$CDHO03 z#q`tkop566_m>&>@nt_$q;?rr)1=%tF5iz zm+n;#+?_2@O`YVnh?q_jm6H*+Y>wBT!!FMgdg->ewO$pZ;fHwa6GA9ZK?Z9r1_xH6 zyB}C7(?}#!Sh4Y=FN)4x*CXw&dJCa~vqp7$an>X%521&O`{lK65JH&a(z@->#+)dR z7N(vKJ{-Yb$6NAch?@J~bg?;NoAokbu+$)t@7x={JWO14(28=F47WtDyizi}WdaBP zNC1NIuDTO`$~-Q6Bp{37Y%tM(84{p{DEP3NLzHK1lwZ?v?6UbJ|NZ0I9$%*jszIEc zewe!A?Czn{jS*lUm2c|imiHNvQkPx6T|VCgtJ|!c%)n3v+9@}CR*rl04aFaJ*&P@R zemg_F&2Gyv+!ES#TVyz*&S2{rhWH5+xW|lxn7q~|Turkl6M!hbH`k|Pwplk<6H?lT zF+N_p&p?RF@J+0DxD5v@5-3J}SNpF`nh7LG;l4t=I^EttOhpSjmpW2!wJd==~e_rm9maZ1`ZpNt|8jN=6iHdOrpH-q7n?gqMRE45pX5Ax+5dBy+)({KdP-hv}HX$C*z` zSW0BK>Tb#_I&)*JFr1?&_?mLsvnD8#o(*2^3MoEEm$W%lTd%gW#r57 zDib$l1ffeZ9QIPup!ydmfF!7P^GYeH)G$CK%E-~}7@!y+Oq3qI=6n!1mw&U|V;sNu zndFIB|BjSF#ZN?Gcr6zZGucmjS9fgra-L&chHw%Uwo{H;_laSuvl>5<+%-Ou9MaFf zSrB*R4orl*j$qA&it|E}`%_xSyvQbPMH;O>7|6Vtybpw~4q(yX02EnN`RiW;eE z4%^>~b2ULWCY!~}(cv7E*a1P~=(Y4DZ!H9bve%hpKY=<64cdQLN6-YsQB*U6K>ZxS z{Dz3w9IImaZ#yDh7cQmF1X5}&;q7$o_gpl$0Q1pK=A;gCL?QJ#4XiP+>KDk3$iE>s zOmK2PR>(LrDLPU0VPlDHeN%3a1~1a<4c|3O(C^nc9&mjJy8_p^5Tt`+W$6lJ%Z$Qj z1`2RSFZJNBO0P!`-z*uHUmqU+Pu`(@76~81oHPIH4S#D~|L&tCY_9>BWs1DX(3s$M zd}#Hk7~Z@DI|%r(Zw!tOV9xVdJCB7;G#eC_Z`1^l8zm5qvfzF+6<8Ob;)pOCg+2qF zF8$$PzW|CJFeU;{0a=QX1gzBTN2S0kCW6bDWcyV(p0TN|p<~!2SF!D|J5P7Za81=$ zCof5UeUtXLd~keWgb%dmDmew_o`+KFq+w#4Dt{2`Uo?HprSjQ;26OBqa=3C(WJ^Jg~_QL z!HRywTQO%mqMcXV>9BBK7QpFGDBkF&mZ>6_llq=&24_Y<&Ag^#o9AaDfWZ<4bgrIC zqmnS!woBJh%GWGc@Eg(~wqGDPy*Gd#onprE**r9yacY)b)g&EWSt4tY{mRTnEYOann9s4|UA}Aq5!d zq|H6-%DGt6002CO>&zOOVL=a%h9rbH`T*jGk5IADZc{{>V}YdwQOAhdBX*JG5pmkh z#$O2?bzKzX$c-#FZOwGw%kBO7_p8M;k@9ycf1;cWeNN;vYZZk0)HSnl>tT zHI4$6v7!fCIlME3V;zr4?Vuz~*URz@-(lDxhd%nRuD_)3Al@?upJjVy#5^DzHmT8#^@c{Q&JnJFMg z%7DAlOv!hAKw@*d6$+R{Eq#zZ?8yQ!PBoA}?8QgFi{`sIk~C_jM_Z8~Ws#-iQL*oIy=u_Zr#TAs~?XC|dFZa~hQ3~N2n=nVSVV|QOC&|AN@Wn8Ae zV9r<8ycPYd|9oNKc}^2!Z{;_*=;DYX$m)+HY2}mHYjkvzA9HQWKMmy7SFO#Qj*Qza zHu@Q7T)LL`V0z44um>UdR7*2lNIs0>pe&l$R`$vj_IK(#{Xgh+{WIL34t`8N0JD0T z$6x+He|mhpb?+n_-g)_|$mES^fM&`Ek~1&(jn`9owG13q*nf7UeTZGF4oCuafTvw>VAE$79lB`{O z0#YjTCG3?JgL>x$OM$zYIL9%(+vlbJfWhEZxJn(vS z_t6>K>1IwB&zou44>MPgI8SG??M6@?4ATP}Z~a~z$ciWEY(wMf@)XP4g7E_CkryoU zyn14z=_U)qwT&>%fZSa=iWi>LqXC2-wz2aqHIc@$Lz*dH*Vi*ChyAR(mgwb*e=<=_ z3+)@dtU7v_>neI)P+Zu_?f%s+n#{t?Ln%Rp8zhE(&)swsuq8S?s`=S@9^#)v`mpvU z*>k0zCHzDeEoa}aw<_Y!pm{=e7mAG?>N+aT7ZIRfapbSjcyt)$cu?lSWuI0s;i=+i znZB@`qUXx+X)02pI=P)z>h($;;snmYr(WQb{KOJZ|G+r@bbq=utw zj%%>!ely&Jr))XpDb$T(3ifI88126%_L<~cfZ`Z@g#dW=r}noV1Hz}Oz^DOcJG5rn zhA)F)oFIf2prSF(5Ut%4`335RGdZ5(Avr$O@Q4TSHikr~P7*hqgiUY^;cZBX5MZN} z#i%lFzPy%s2gQXG>3TBMUhj!grri`1qDf**@0$%wOHJs6cyGFUa1y=I2WhJKGL4(EbQ*(ekw@u+lz!xAB+=fmD{;8!XPr0oMGWhqFGn2@9eW&G^?h-v ztoa$rqv_+Gt@HR6G->1}QbqHQkQ-P9`GoZR>q8;_B9qT#KBMdnMFBe0OFfNCo$*l{ zs3dUZ6lxB5 zfY5n%9nnOd=FqC}JBwua=MTxX+uj1SkB290k_vxOP~iSo36rUq zb0}|4jx_7MsV{jjn?TcLMrF@@I_MN~C*axm*@A)4Y|XI0VpG~D!-~qnmZBy?f#=WN zNa@7H9B#RGTd5;6Dd%Im+Kb)zDMWM}3_-v3Xq{TXZFcM{P&lPIAMkDZktulu zz1nCvQV8qk6&}5M>` zjo^37?85QpwR7M(mq@vigZ2aJCB^o+NYYUH!!G46KVeke434=lIzZN;4&;cgtR$wE zkN%V<;QP8Kn7sx$Ro*x0Z1jAJr&-zza9VF{8E%MtNYGC}ce5LioAH4E7?~Nl30e7! zp@n=0OlT8nz}HTV_Uhp7Bw}TahVMM(!Y^wQjU*pDHhPUCZ#~ajfIu@@G-d1n(ao^&`u63=SY^9)nJ2r89OKuL zWgKA+I7)NR)2~cSS7ru+SsEVGTe}Z;2_QfMwzz&n=aa(?K+kAQ&k%`cE+z>*DS zcw3m63l-^f|+{#ezG_0*xm1JSjRghI*$%ojzK&eo@6xt%Y*1h| zQ(z3en;Bn7*WQL-XcNRYaRxLTE!rX2^u6EA45@NAf1w%}h)N<*?wOH#%}63QLSi3$ zQ~+OXT8dLQTYXYT&9_q63L7`i@Odk$52rFKM^|^F3j}V|2S`@pshUKZgYGU(&n3w7^zyt;*zEe6`Ca zXq|F)EOW@4&Kat2NH&iVH1okTc#q8%a>mkR_~`dMPFNh^ti#wW3N$aP$f8Pe-B%C8 zQw`sFU&uT-@|YRx8DsBCts7?faz`MXC;s-1h6^omSU6% z;u8qpg~`-wnT%AUR$p%lLvAmqC;~0=A;j2xA?vw#F+0#t%k~cULq~MBgq!`?&(9<@ zN=WNY9tv!{C@4x)p7`yqohdiUszlRSrVxq}IktCiq~qn|v9yURNikPm zF#)PRT^!Lt3>r%D|Urh3!4~JN+)|dVIbg43vezuuepQv>N%D@B*+eUfAkrxZhcc;chxG zhMruOt}NZY{*D4%!B1b)y&oX}nvozFYSEC&IMnk1;n+`@Nx)txvZA5^41#=tbSUQs z9`4=PZC$iTjn+7}Y|l9(>O93~o1&LN*4v9bDC+`ESCNcWQoPw=m$?Fe|2Z3k!cNzd z{$07$&` z9?9O2nEsAi|H^!=%ltpwPSJbq5VUAGZ11}|N~Gy1A>`H#xIXu@JZaa%{U(PKO6+;6 zh5x`7*k~5fX>Sc|BI1XQJXH-HvmA0YrTMqTd`VOs$HyJ{(u11pok%u zUYV2E9gei5lYN|!%w>-XOeARiHR|oMq>m1*l*!8bJh@tJ;YU<2{Xh(nZ!whM2rvSU zfE*>Fl+kMaXi)@a$#Kiq2j-OQxm?lGTtD0s)}ITy{hJHkXR z!wf1F3L@9>gmTZRoQTe2(+$ za_xD(mXl*mvB`fez8}4uX-R(xT^8;7Ar!@(d)eyHA-SIce(VsO09G^KTTw=pVgf9nYGuZZoQrQ$)jpTczHKYr9*?D+Wc z#mB5fUc2<<*aS14^HP6D3oB}=!KgI7M-yyVDdwR5`++J@{u#_8lSP5vWwdT2ySV+n z;aJBr{tJr;KNay2gYz{TL|K!p<$)JxPr$gTM>0d!sZ>=hEGpHAXKC_uN;LqE1t>ISYQeK>~pQ z=*p!P*NMoKEr0!$bikG&<_=Q0_ua!+#`6n4f#0f(oNP^pu!4`UlfHql!hG8Bp3vS+ z+7TFGjnOMMPtFO-ky%R3Jx`ORR%%XWG~aOE_Xuif@j8{Bj*J}NBpQ6>RJIxEZ#!lg z`6^RDC0c+^WjXZr{m7KoBDB^&DGlgPAp!lB=Ei?ACiE??rcd1aZyKA^AMt!vN;I%? z0aon&Z#aTMIEnUK3u^lHND(M+gHO_LNso-U40@PGZj~OBf0q#-#cQ`xcnS2DTi0WmQs<$1=*1mE<0J%m}xFv$3W#wKH^_TwXmh zjlnz+!R=G5`u|(uoq2)Hb^E4Ki}Y851RQ3}?r#Id0O5I=ye;t3+@<*@mW; z6BdV187qWf^tyitn3-Ex?8S?ZXSOwE=-Ml0Tq*WPIx(s*r&Vjpu0&ubHrqB8N?;PZ zi~K{rYsEloKRSe1+1FbL;eG^R@*pyLtJVHRyI)pM<%**S=A8dt7t+ZE4+|jMoQsXF zX}=)(LgLdeDJiQDfm=w_>kEr9JTB+%2% z_MrX-v_TMJE@okwa^dOANSC}TR~#Y^C3fSuSKncw5vJAj>7n(6jwp{}CaA757f5da82 z6-a*%j}-t{r-xej|Jv#wewhJ80Ae`6;b=o0(HZtdsxV)ABS&$IXV&)mJS3??rues&0)P&^i_t^)0WJ ztD6QOYwyu&N2f>X*hP{?ZG7(O_ z=8$!GyT5c4eUmfP!fF9qCLFEHDmu&K@4Oe%uhxoXYF6}o z#0G@6%F4@3r|(Ie46cZc=d^Iy)6}MQUaL%SpE`%p$Ao8)CfU-YR*F*hSnEk8YU8CL|+queCQMuy)(pLH`008{p_N2Mo+8`hnGdW+l-tTyahtsys2!dx?`kl$6e zk1I_c8sLaudK0X+%hWHTM%53aog8jPh0T3shnr?H>|t^>~GKA+?$gx zn-B8fB)Yq;TYzEaA2lU_RtuF1=w3H+Zo+GFZp7whbWJM{vL%bJ6(-9dHbvKhH#rsa zKewJm%05I4oAOH~6N!ZhB z3;r5@=PT9ylZ2(nHDLy{y5RYjnk1dZ&~eF8LLxPzq^BSnsivtDSja{So@iJm*4V6IsF@w!j4jnt;8}-GJjE-lM6YC#ARoA-k41y4iYvExN`>wu`O)!rQyh{zA?3 z-q3A=1l@DIn7U9oy7kwwwlW;M5>~0*hwlt)558DC=I>2eqKzlGT^;Q$3B416ZdS&V z1pQWy>!(MHh0DX5az_W1g}a7}Fr0L&tn4h8RFYAFkMnZ`h!O(xUJ%ylU91UvU=`&N z!}@%J_sd)DDeK97%#^itzG3a;iDIp)OKBT8Ey(=oy8A1c`=|`ur4Bf?eL8Lh)VXBa zYm_m?-GHjGDl6W>mc>b=VtLP(K{rmU+r5=D3m~q=5%?H*4oh~Vq#*E9JkjsX1fN$5 z+3;ATn9_W9k9Y48uTba^Gy)g)0!6!)H)~z|{a-s0mY(UZH<;N+4`xM`S8AOe}biTN4&WBvR>gk4C{95gBg;z zhpA8-L=@{FjzmxPbkC;HeZd|LIc%5ZWwd^_F{)&G={)F2u_wv(tFe%JzU|49M#6&P zSGB4q6DnUD|#ZqQoqQ4)x9pEJSW?V@+43C38Li0}FaNC^f_ydNlL(=Z(dQWDc{TS3y)!RmC`2XIipm)IP>Z=Z>Y zNevSr@3X}xq0mKQY{k&UE&^*7oCK|5p)mIvGPb8i6*S{HU$6DXoX>2^A5KUq6wDc` zR2uF`#HO*gln=rd2v|MXjzn?$@86lZ4wbFCP>$m>&j78-VNL4MQK zNU-vRlyb#SwftQ~c==b~hiZ;8UGq{MuddrH2>l+lna@4t1`T^Mks*N+(gKOJzOt|3 zLe>|n6hX#>#!LZ;Y=v7~Z&5y9Ff_g0J#F)N@mPnyKuqPByKs;XGkNg#FAza?J^5M8T)#JQJMIy^&typAEAuWLw;w>D)3_5xg+7+=%` zzUVr?YN<$tqWFndluG@Eu$!K^;k(hpIU9vZq`FlEx$l#>hNQ~CNM6fL-!_` z@0x@8M-IZ&_4pU4@_LD508u}hHnKl=QyJ$6a*h@z_M7E#xaP~}SvcD~Lz{Gl)m33L zOMQ{dfD(SmWK7;QX70$iaqpI`e&3j?)07H^S5L~DIy(zn35*mCD6={b2J=UQ_(M!v zOaZ+=q@Unu^ri)}{jvsY#1QpG>nNg|m{JpodtMz>>BF=%R28h|%0Bh8o^XT(=ipifiNOb26C-0av79rlp zhYL;cTE8x)$Kk6GVxEeeq6MSfc;PPZl<$`*(*vv&q!Q1so8FrFhzqapuR%zmd#C^j zL{<@!c1-r0M$%?nZD92PS~hhf@bD}P8SS3wZd$$awen~rVg*V(zhutGCv2$ig-T06#b^w-D&T9JwvgCDh)4U}mSF#|q zYsPKQgDE)oVzRrHph%G;@ca&Szw&*`?eF?uG2eeff%Q^NLS(&*e+WJ0bOhJ^=E|gk z9#=^O;V;fP&XcANy&0R3Z$2VYWhoQEGg76EzIEL3RD3_hIMA?_=3ZC~qltlffO_q5 zR4OLf>co0;Hib}#e=1*xYss-!ZE?&Eaw*Dr()82L=E86!b(K~q#tn1tnPjPV`r`I$ zver{pH-Wd&W+6C!x{5hnjfe%ibP=FM+WKx)ucIw{QM@q@yW3%Ag@F-+zj@iNHqTZU znD}-k&9~57*o{P zmLMbDy&5<4rurSL5R$x&OvVisislw~Hz%DMbZgx;)eKCOfR`!MS0zhtu;r6f$vTVd zadqzmH!8g2d(cbQriZ>yFw^%g*ib_F&XYpEiHS*1`jM}VCM5%o2Tqm=U9O$ZbY5QfeFnu0n|Ir-9N|?K*^3~$ z(yP9@&+X{U-A=;!t*H2T3)R4peR<6#+TF_Dh<3`durgnoy`axD44l8<52y+^rx(&= zescc_Pqd%4ICT*HFVN5wV2`#7y-A7t06qaY6+irD099H=QBQhL{c)+sUX3Ixp&Gx- zy57%5J})!`EI2CVepF>5w6x==KGkTe)iZG^*yQ^mIx*74n^ay!YWcMPY;?A1B{n*} z_3PEtw2bK~qK=_}jHM4|q=`+wj7WTxt1co2K2b1Mqy6@Lw>D8b8neT< zD7q;h*VEFu9GkU)ayv0hhWM8*NL8k2elqGs7X>@7#?%Q7eh<1{6Q5sFOkCp^E2PrX zR~0>;1#dy>uSS@9*Z5<}%elU0oPqB+FPS>m7bA(}-*zI1%ddPQ^kL(UwT=SzQTDrI z?pWQ|bO{^vq@2M|T&g%@8hUxMQ!&)CA;4|b4e*60z7O>C06>`5PiXA-t;o1ee{_aX z)FC-aesgu~deHYxYn@lvFHrNlx|irkOH)9yLXzcP*a>KNB#9C?{jFN+$Oqwv2PQup zXT?WN&j8H~lWSnoZ?cESamD%+IS5JcpLiq zw9?k?^iC%!=zCLTlC4cD!+yATu{w7a`B3&{@(FgB2Shglc)WB2${52C>-)d%>>2Ra> zMtPd`Q%V`5mwc+;hHAR`O?oY|ql|2czv?y4gqlT;YlZfs(cV^2RZaNxXm=1btS$`4Re}18(+B#H z;F8>LAVv^pIXp^+Zq80;N8}378ktYBGgvmN%~>V)=DN&EYx*4&eJ0^t>VcD#Ll61N zo7Glmot;Gj3sxo3R*8Xc30mG-)y3lZk$kkp2%|g;0}IizfE=g>{e0M6HUZ+uoWW(` z5fgs-Y1t}{g9{D?cXTTOV9)i@J0whrX z$J^Jz0POO$CT38GC99tvn8E9{9T56*y3 z9rb31&obFMJEkG-%{5nbSH19-^s`RS(LnZg4hxJ(s*83rQP$MHH+%3_3l>A>hkFN9 zF%k({2J9&xqs(q9Z_00)mf`y*O)|6RgJ4PLg6o6cI=g%NfC9*q$6H~F0|^PkOnmL< z{w8}K*5G3K;md1=Ao=)S*4zi0N0FzTkFbYi9-`3gGdaY~2ER*}NJrgelDrwU6^rFZ zS!;N26%i)_{6wyapMQVdpq!ljVyp4GpP#8e#oA)6wi$CN6iJ&`pKC(8HraUwbIm;UHFEJ=caIy|px3w#_O z`)=EcZ5flZT$_iqDTs|HWA(BE62MhZdh7NMoyrc^_Q3Js2PTqi$vHT=jjNi=L~@|g zFqU^;aRJIF z+$aTqdUTMqg36X=8jySquwFt9GA6iHIf@T;&tz}g&=-7#U0fMcn#5MjGSv4DVRgDl zzBSTPV?62=qF_r<$sPM1TK3hFOj%nK`tGXRN>s*s=)&7uR`6F0KIqu=@6t<+vi*iFwDuW^kJI&G7#%1%3ne(T%_ELk}yxQud2sBCE9ple%pRr*_dN#Da*K54%Lqj^yuN#qmE zCm63W)sOv|E%B)q5kDaTz1&o>wwuU0=H!`Etzl^bOcWN>t$TE}i(#K|DF3avXpzfE zcu1qYyxfi67f*nyF1Tc!jLj!xrF2_T&4zp5thPEFs9q|@KFWLgZ+b)QU_fukm4fnL zVMZyHS2#f}RckXgqD`cJyHqKz|Eij4rSE^;g=gNiqBLpR2v;6>LW&!qozn$GBYde_ z?q_9DJSpHXt)fFwcxr0i^#P!Z@QbMVkLn1;|Ft8+-{bxN;?n##YB#J+i~!2*LR6nV zCu}ov@!b04;kWw0lq5mQ)~5r}$UfCOD!8x02M*ltpQI^Hm9JDy389BYP>&%j+o1U` zbdPqPyJ0oBS7taZGvKVY#+KbU|2AH``?7XMXz<>%Sw@vgQ=_ka6MjN0s zPx_8fJ)14F7vPdzl;Bpi21Cat@ucZGx}vodx_mu~o!7#pg;LK|dwBEkL~rb6qN(KL zsWtJRrHq3tJ*9m_v~mE}kB0VwnNZj=EmafwlG%#ceIEhN64mgQa_cJ0T)W-;nlUr! zq}IoRisnF7_(FDfGa$=}xThU+pq+STi_yE*5Q+R5AbfOwqBj{JXJ3j+T;+trOI7&fKC?*Z2bl)suZo0*}U523MXi(LYeW)?ET)@}aTYz)Vlt`I^w1LhnlVZpv zH?6Kh!vC*d?wp2K4tUxTZKds|CO{5>cWLS%Fjhao%x!8I*Y_7laA{-is_A#1mLmTL zf1^6}kEBlf>B6RPr zMM;I;#Rd58sWaC)S|ciOYkzI{xUlB<0^)C-#XEm`!c05wKJ^c!RQ%()@IU$aPdQ)y zpRg_b!wmqRYxQu!r?Blr`S{sY=Jd#Jr;xgZ+diH2WV1HE>ARkYlIY)al!`YaSzngA zj~5X3dQ1vuvs=dOHJKjbk-qxb8C6f7vX=ZsX#U>`(f?6@|7WxiRB>~>guU^ixkV?> zXWsN>9&(H3L^iCXVn0Tn>R9y6W74d+hR_^d*Cp}YYuV(;f7A%$-!tsR!I5x|&N%V~ z4jO7|9XsmboBsXYETXmCIX0Ug;()J9sG_HC&gwl*V*jU;r%}DhJhemyj_fw?Ns3vh z*i~_?an|lnfpP4rcNdAi3;TC{;PoVySv=5vPZoKsR3;y)+5`OiO#IK%tM~7R=HGw+ zXT+=j58(6vm`>+VxA`-b&*J1Aiy0E#*R3!1dmhS|M!Z;~gFly@MNFvC>T57T}J2K4bVYha}*!aP7tU=zDsVbguWa z1(BYiNGy&RsH@l9|Hs~YMn$pZd&8}Os31vlR#1>AIfICV1_1%dQG$e)oMQ`0P67gw zB_lbfCg%*2X>yj(-Xwjk#*! z)$5NK{{D`_#h+aj=s(d^!joE=@>@DQe_thbSB0Q1O0-|dw0qBn?DIQ>@z=E2APV>Z zwhmHaDJRYO8%posBPo}Ac}^}?JI7LO1QU^*J3|HowXzd7gDxC63R*p(qugt1Ju2SukKkbUfS3GCY~6OcEn)-orY-q z#z5}`Ilor<*Tq3dy4;#q@KV0&E9C0v4(CH|-`cUbHNDJMXUMO1l&k(oW{bbpDD0jQ z@~T^tTVEl|O=owu5>ItsHJtEFIQ31CpxIl@H`9J(pFvHlbT=@cV0tplhsC{p%Qn;z zJuu*)9n2WV7!76wVDOH5m*g^AD(AUVq0~}q4^rMi^9~Olj4Y(z=_+Pf_4xGS zi(Q#JZyh(Cx=75_F)=>G-TTo*hDw@yVyU1ZaV&OKr?qOGsbEn z7-sg5Jg?8iBQqKtTT_MVM{;zPi53-HGpk8Bi*Ivl8yVfv0vWK~NTA}#W!vpjkll4Y z*LrEjW+q`NJ?~?XyQM|#jqA;6EjjPQ+6zdo>dPAag8& z8wR_bxoS;I6v%eK=f1i&>HU1&3K1M%+;p-s{qK7czBm_YZ4-Ywuf*Sv!uE#5qH6s9 zxsq?P71nn=BK9ll!kz{&n%-9!rck#dCYW)3`R&!3OVx2xZay6WGG1eHCN?8c&C=p^ zfMI#tURil*i?f627lEu6Q-3uB1KWtpIEo>O``WpoNd$z$45sPfyzNq{8EH|k{GsHOlc;|sm@V!ELdN3f zIfmoz=}X6K-=*Lff@lEbUgi6aJWFt>WNQg8RI3_Sm8-NiF>UBgOSY5=Kjcb^nZnU~ zX;6V5q8f$@_+f+b^yt+3=Vqq!xVirSh6rYYYxdKN;I zq&c=cAts%O?=!bXFo!MiTRc!Km^JDGR1EG#1hdoTM0mGSdL}oHGkiz zhQ9xjFN1L%HU&m5#!;~ylCn<(2Av|L{MnJGAr8)qTCR)oqQ)f2 zy4S0W2+&f^j=sLyB>O%`}nj@7#CM&51ey-Uc zcP7F`zAae9m`#dk*e9uO$S14OWpY?|Or;;^Jn=S|JdImfePID?E{%djUR+?AwNM3h zNrJ9c@}O-JEBlu;%||i#$SK9l*#(`gk@jzf-c1O{rqw<|+g?VmOkZDLq=x9{AD(lE zX$l;+&03jh(Q!1#$6XmS*@NC53o05l%HnZ#oA9&jOt()hP4}xTtG?TlD@^YuN>o_e zX~9Yj_}5HCH(<(?(4LgypP;FaKS7u51#d%`LKNF$MWh!sUB`;z5-q>J?g9|VQC_94 z6Z0oKW;uzhmL-ls3Oh(AYH*xnmS92QeD{!Cpta(0)Bo*95wH3@@ zW^Gnq{?W**wAGP~i?eAw{FX8k1!Hm|VrNhsOKwyCknPcQ`>oP`V)qM^d)BrLSTyB( zyY>?ak*bi%9hXX@5Nkwzp}YiQr)hWciAb?2#g#0*suNvt$_ZB)z80`a0B*( zLyy}VNW+!DD2K^vqJ=gGAMLM(!jI44hv}_LtC)mQ23V^Fy$CNcMh#W+{Ss3J5f=@X z)-}N%hGgHm+LMxRdx)q%HfCKGq`cIN2QQ=j+heZJ&JdPEZ z0x=gYqhCo4J}e8M(4v+7^1knuGF~ntf%4!X7EZlZK&Aiwxjtu-#zoqrPI4P_S>h=e z9^`roe8FFI{4ii>v!gyDgJFt~RfL_}-kfNrkGLV|J*=mLXs-Gx6!xlfg>@hK0+-X3 zVzRkXDf}$_tIzqsG@b2B#6{UPi}y_cJAN=(v?4;IdWj?SxY_!-L}kzY0kFEes(mtd zY0G9vn=Y34ec`=FR}v^jy4l)papi>Y=`Q$1LyoXh0?exWl?N%=6%aWEIdH|8EY{-fI2vBVE65hX=4 zKPVGMS0Jnqdvec7J4(gf%y-YI!)(?ggsDA(8zl2An;0h=1m0vXO1d*@Zb6x5*6`P} zUAl@5mEy^$(m1h1)A})%Rx{RVr`?JyUpqYQ(WQd*yu!L&`5`VX{#IKo@!dK!OAMIqZ zL%;lBaenema}#FA;7{@yqCtSRC@qFcuveZ&Pb4M?wUFxD5N zVm2_d4Zo}$oZ3~CE0vdVQ&EXPOdiZ_kjDzp zsrmMP<7Btqw@zI+yIst_NR<(bQDC(8m-T64$mV8@*VOpBV@y1c$N9}0n1r9KisDkt zj7|CZT_(Gv>IS%2Y{YXhVl?uyvPR2|RV{f_!vg*ek@H&+CV`A(MhFMO2v!wRt>YXU z{Aqe-R+j>A^J&Mo+RJ1-MJFf@iVv?~MQ$?$PJW_K^wu?8UEQ>ir8u;LoF$YgQRx?b2tw&t*n;f$`;@#7kdm z1U=@%o64$SVHs(ioG$&(vb+0d>+!$4z~Alq{-Z`CaaQE-)+~+dRxht^Dvzf%YaCEuv-P{( zS4zM1-sh`k1TwSbvdfpZe2a8+8~za0$MC6fy?!aWuxOy~t6g80TC9GAq{~?!YYc^N zW_aKuGoShI?UDU<7yc6FLE(ss?MD10c8(>O_Pqw*L?$lzk0fqcG%Djq5j=gRn65W- zORNv+J^&UrU`%*dtgYCzB&7j8mtNQVn5xIm86~6LG$?Re4wT%5d^OE~1 zN!iNq4Y$B{G|8lM8dC10AaZx-Nr`CMuKWFgqmichMN7b7GMU;#Q-Q3F8O|#p&N}6F zbR%Z>5YFfc+tu*@!r^gq;Muma&Uzs3>Z4|s3t+uujw>kfG;WU-h@eHAryA(%&ghDD z?jGvS<_IXTepK&m=@rG3BLSRg?(Co$qPeRbe_X0jgWWw+)msf5*IN2?T_h!!NXIa=Pqd5g#iZ`$E+J$js z*+=TcFp_JWN_8=j*JG!AZ^vwlY$lY*Te*9%r!X%k`W}&yy)6`yZiUMaFlR(j=$Vl} z?WMrx4JHj?KZfrAgQk~1-UNbelAJuv*|LN!XjAFefeVLUc*Z1UE zB2o%16O-yK>%(JLzxJ~?>^xA}wo_s`B?=9#jQx^*NAkDg^P0x6;e#bFKuqF^i*$J@vIzJqBP(s!x7LXjqhNy!aYAb6Y$cjUUQ7a?k>Lne7zsbwc>dwX& z*YGQz@L7*l`mpgz-pQpgU4IfCIC^N?{Y^EjCeb2-F+vD~+xwZQdLku629JYd5ct^S zB!2mVEyk#Ny7hZ z$pSbpvL@_{Q@JT^A6c5$rGYB}9VrKZJ;AKNB??TZG5YKd&A3g5IN-a7Bu%;Bbf16N z>m+s^%d*eg%@4`X7rgO|`Yvd@V7L+=DQ6?j;1&STJIt;_9xKQiGjb3xh)T-7L?60t zE|UDji{P17>??a3sbU+K$R;RVTe3;Xpf;n#oZ^SP6{ zQSoN#(RVMy6@{cod}PSMTtAUCdr=ISE}jzf6inBlb-1Iil2f+^Xd?CJ7PvrNs*k3= z%~C4Bm9z}kM~4e6#b+V5tm4_gf`!sGuu=jsh_6^vIsWdgf94hAa|UKh67Ab6Jr9C@ zK+Fxts}^e-BLjDfNHW7sqmNZMKAENx@`shR2(`AXQ6Z_oa& z!q*Yv5LyL>zdv$tkfU}k#Ay@*IFF@M4LqL3`igcsU0%1UBktvs+~A;+Fn=`KPw5eq@D$vZ zyIEf7G%wHjfs8a$F;(OOuEv+8V(qMvymvco&m3cGvIv=O);!MZIeU$x-aJA(61n?h z^?FS$j!H-C9Awwvc&-FdHdi{zCa!bOMzRYRomMyV*qX^7WdbMGfk~){Xu{&RbC7nO zvu_-Y;=v{DZ_a)o{NaN}d*6vUG%aztsd9ZFp<^hirLsb|09)eFJDjHDri8(Ti4JA- zuMLylV2FTQ#CB|3HPkEts#fs+Ex$|k+L{l$rHl-lRQi#upN5l7aaVoyncA=J@b2H* z(m22KP>Z*0XrFpn2nH?2xB`g3{m7;E<;htHp`;jt9@;kPH+ebWk?OyqER27|028t=sC>SsXIE{9_@x6ysGT zv=--@9{Lk;DdrH~!#njC;U6!~)+OOeWQ?m!A-&H@9_BYTZWh31rAIG|=U=J|I~x~> z0VfoHG>)G&71XFwC2a!EP0oeZSZr*o)qxx92_}~KHbPO-PH2L1Ymv5k>E!o!9#n-J z(g;{nTs!LN!PVD?{Z5&6CQtMr!o8NSGXu2}mpJ6M$@(RKJcW$5fQ;-|xT59nvNkp! z5Ch~3QLl_|dD5pfXbj?J{vnww{X;#08bTeU0D^yufz1(W^&-{yL%THt14A-xtjnVM zRNAvTSW>%>MlQ9lap{$l^+rkmvHKTzqwa_6MUQOnrL?MrIX!5b;!2R{7Ns%^)pcHi z5IoZs{63Yo2Ge1FoZ?ZMNoz7OkXci8vM9{mJmgVCg-`zvuN1qNG=1y)@PL+;Dfev=EL zwjA48eI4udF_lIh8}-*vIekrqh#tWRzSKMTG#WxAA?OG8+6$bOaX&$?4+iU7c|Se= z%3)@z0Z-L?r)f_kZe2U9rq8D@e-zH5F|U7eWSH)3&mB%FTB7b!81K~d6Xg1BGt{|A z-)+BR@GD%WVe(K{C+obppJ-Wo+AEWBy&GeUF#-!9Ms>LA?1h@;>XuBOvJQeP`^$)v z+Zg&^s+V1V?^bSng>-y9!K=l`6yKg$HGUbFZtsXA^8rSp@^}T;i@uw@mHZ?pH=yLi z;)LBA5q_3!>Fnt0jg?2?Xjg0fKJ7~}vGdy9efym3{cLA58dobZXZRLWQ%v@*N?KD! z^1F-P6ZctHSFpoy$VZNi)(cC)H|{t zvwnWxHChL@=rUij)=WC0B2Cg|_aAKu{uSr`fPLEkP#N4Wx=ZvZ-T zGH(tTa8(=DBXbKcnwj8Ex^xV#w6?KgiwQMXv!Xw0Ome>@bS;0vi@sb$xM-51-;KC` zuk?AxUNy!*_4$k;PrRz*Fqe%M0~-v0!R&#Ww>D4=J6 zd-Pv__tKD4z^rr-$zY3MQ$F^=K}B$)3Ft19M0J5=Iw!g-68Q|e{qE2fjoW-hiD1s$ z0d`DD2a?y>!D!o7Xw;lNdyD9tk%8 zzCelP8$UtcDmt5y+yLzwQkfB1q6w6XeHO=b(Ts(bCHti~Srom*DZg6{K*=?{4tM#i z@_#B%j~)f=7X+4?dsYC(?eB}U0L-bd(K*8at9z**&|N7W4H0iNylBRxgKMR2pvW$$ z4gac0y5BbzA6!Lt3J9zVEPc3AxQ|-&`w3zQ{iVRnziKU*JoDu#bOS{IwKRq%9T=|t zqt>T0C;`gF|8KQ@&oq*0H@^Cu?jXi31NvAxrY{k26BoyGDV^;RyM0nzAHqsmm8-sB zvnlpYS{@-BaR$Ji%ej7!eg0P-{}H(Be*>N{mzQn+@K+?K;l%DJQ{C9fRcLM8D|w!7 zs;9U&fV8^c!wPi!YUCxkmjD3rqxcEB;Ewz&x1!UDSJAsmuu{QD^jTefZi)NsXmt6O+J!`DWU$YNaZF zU5VJuG?E4dfe=x$CEcQ1=lUbNr^EMkw7oMH)FAecD*e*LjS*IfJ z)%1rY3mIpD@bxglMzHovk*nwcx_iKA5&%WN%-j_h1lCo7?NH={w4b0D)<7iAA+5J7 zU{q5Q+FY3tuLNd-O_Z>I)Hr7``@BJFKxdq%0m7xmgJgd9d9o!O*KD0VXDQAwns|tU zu@))6j9$R0f$P3|=eb1JaLe=Udp@6E-gU+1!DiUosog4nnm z#ZdeP|PJl#|pQXL+u`bZ)=s&JjK@O zoaDXdxttp8ymF7M)8nk!%qVB$N@k>$=qujvFOSN6g&!gD z;|-wIaoZd)v+9fP?@Bb=5BqvBQLk6EcKLh<%s+AT@*Y#%Emw0FCHpXwW~<}02q=l^ zcNFKUm`JC;M$WUoym$7Nm7V(a4^=eG7uk7ov zWVA<`JgEJb`iuY4&>8+A^Mn}VOrrYyW((3OQjh;3uv zGi;~|(G(1evnV?|uCj}OCx92ZEOAFXg}r^-#nsYcbUyeM8#~XFJN}Q}W7_o6ecA%_ZT|QwelY9O3z*47!P1O_jqE0nt<7u-y25t#%!+FlE+bK%o&~e4A z`YYeOfgrTPr!{-)px5Qcy)sK&`^eZ^@^PZLQK=RfBfs{@$iIHx5>X`V&`k%$6t8vg zjvtDVT*JG<=$?ZtnRSb?HfQOzY)F+A?Rrq~>K&cWBnt%e^vPq%Wnl zDj4S}VbB!g+5;o(gCn;=knQy^gV(PeLB)-y8}1syk=nmY*%g`3_SUu(LTU}+k-@{s z6T;<|u~Xva37ees1vEI{0ahu{jvCd{E0Wzf!>ti_1D^q(GY+L6NfNB~$+XNEtiSYf zR;gCX!pn2NlY0h-4;50RlxtwI#+VNqq6!GUM%x4b5UV;v0H*`E#Q~cuBVEpGg3mW5 z{?R({U-ceb}JAY=`mJzP8X4sVVhmv{Hx0 z=rJ&|^B`As!scuF*jHBop{T(rQuY~;^Z-Wr|ZMA1czUzHqaG~}61k+w9H66e2j(c)*)oG8 zSMu+H+!{NJy4x2qP@D&A=Q=)tqLIRLkf~3yoM$GLwycHL586cHP4A6?He!~G*29Mp z4?NH4NCsCvrIK5$HASr$(IMnsT9~|oJ)=KNRd6&o1-zeyi<010aV}HUk>|^G!KN~o z1vl?W+h$t2jOi-c=FCX5=kwYLKg%B}FmXu7SjWbocW(KLpVmZ!448d+9b+?z1G#6Y zI%>TqK9xO(nbgbf{6tOk#Tqk(7q#h=&bP=Zweq^DCh7t&up!A}bMU*zp1LMB)gyV( zgfkiLEFutY$5L96_Y?EXt!mW;1@m0(*%6=&3in{KS5pl z_{A08*148@YGv@7np(S ztnsu3{*1IA9Y>pTC!A75G<}UG3fVc+HSo&j+RF0)jjNWhNF7s;$FamU<=r`2S@C_r zPCGX5=U6z#iKx9=I6>4)_Rom6bYdgQayz}V7IY1@71B6X;Ofx=t@O*EKiNsry@JI3 zGoGULjr?uHFUv!Q6)S5hDuRp!*;fg>J_xnE0#V0kSYUjroIq(m9e3JExd|UrR{%e% zXeOg|Nn^|WsM$56$>^tP`)ryCFdSVu{|TDl2iCsZr+}Cf>p%AVEa95m9BE#*cJspz zKC)PqyP`?5P}yGh8EPkT-^FZZN~C@-w`r+!CY)Jlarw5!@=V`csbZYHBJJ@WRxHm> zl`rv)78D&!KzKMzQzrV3DA~O^!XJa!Tz(G>#f%x&kJ?wM+_3HPoAHUEZAfJ8G}Zf2 z5a3_5I?>$LsC3)7#{WT0_`~2^B!tiNBcEZ*`zoE@9PZ5V@Bae}d$TAm1e{AP+BdeNXR6X-)9j;_ECblAN9; zJqXc#8^>M--T=99?4~oC5D_jk0`?IW4%3EO{h5{}X5L(Nuo}o~t~q(R$6zw@w~t=$ z2?=40R<4IX3eSus`3@lSObPdxG1^f$8E%?rQlD7<(A7v%BPnooDTH6wq=;6j{*cI=fwL}z4@49^LJe3iukefRmSw#t zCtghM%yh@Del(mUSUZyO(^tn~oq}b=ttqJ_&FJq8Qr{NEP;G*3oL_o^l&~ELh&-dg zYl)z#V$Ed9mhx4}n zTY)I<`cQkSK!f#inDP>akI6w|eotwN>U_eq-fgqnjUjuH_Nd#218CAb5YU3TsL=Sd zGs=nPlU4iQyx{Litm!rUW(8mLn-zQrXgd$ES^uv>rT+h1|Lc^iSJD}I@hBCD4VAL* zqk}xQ^Dz3vnNiwh2b>)ecP%c%*GS=JJ9DH{`cfdhEYvP^1r zGnq~ypv$z7^aAeysbcTQYxA>bwt#;4)XTl2tDm64PLKgIcNH=_jDu9#Sw4fEaTOv% z7QvM7E3{u{UgQrHuq=;r``unbESFm8hLf%22EHriV}(*oBXi`)2G9er@2eHZpB?(5 zWo9%P0Klx^pbE0?I_dhuLE05|54solo=fDfn4-`neef&(D-)7!TIQ*feOwF8#OexX zlB$%-x3cb36y4IaS;-)5#!pxvvst%&N%31_4cd8&(m7k2pJcfk$NX9J%*|nVGPgV^ z10|6$%lDv?<5ekix_;a3ivGo%6e{iQlmU+txzi8*-+48xhE2s<@RRuv9|*J=;!Ec0 z^sXcYb3Cp{QgtU?=$v7UlW~5IQL8PVpL)?s{oRS^#D_-c5VaJs%E%LF#ywcGGUjb;;DysW_CrNo_^o6;jeIz>% z;ETLTQn$PqVsQ8HaXnl-bcIT!=!N&R%=)n))7o2*1SsuuYV0}`{}cd0T}MRkYnFM- zbcsN+EQ*fYc87j7Mq7qw6bUMOGjIj)Eo;rli*OuJ>Qm1`SX z)VQdn=9|6u&LEKeMr%hGpKY>DDb^j(gz2WM9xWtMdBPg1@35KNv>D?(>Foo~=|R=s z1?}57H$GooLB#o+i9AlS%_@g)v){lv(k73Rm^=f<#Db?;EQ6=%h9`Rwq~`-u-1 zZ`r$Tu4i4`zs$fyt>@FM)W%0L1J$@UrbD^1L zAx<^PN9XHO;|e8tw~L~`G}28nM9L!8Np~vnNe^ac#@8Lh9b`NbLFbW#fM8r3nsVaN zSk=5S4pq-?=M`gLi~D0`wx{omqUWr!U8`BnKQ^dkxHZdDMh2Xc+wPvfx<5Bh`Fk7Kv|cR}F28^Nj2|_j^+ztex`*S)|W&1>x=w=j@way}z&! zj(dlDH?-S;rupz*QNHEnz@?j?;*oAxkWH85%!B9UH(IZXv6<}NbQ-%sUK`#CWxua- z06imilrNRykEDaYGmv?QTh3B%n))&*Gp)g6GHe?<(xgBE&*SCO;rBeN*53y7b_!$e%InJ8MjJeH=Skpbfy!Nta%DhqY@{9Wck)iHh|=IXIOOV%|XV((c+aFq8X2O5!2?V`Z{D~!M5re zwRfa$UlC?AyePQ;j7A`&3W*ZSm35fZJU>=s_QQcNsBlM(kgZppjXwoIkt)%J_y=I+=Nq9JsMcUI>uEA>J~M3f9bhW z<)!vOInoD9pX-6;Lbrz?H$y?oSY0pls``8D@UW6eDtf z9h;(cZ3%A!n7dZ@QDc!;)F+GA-wX<#VL3DdhAqEyS_h!OzpNYnwdC-BB)0d52;==d zl+W`Wu7=>)aowOf;dAy_I21&6O!sm8&&aQLXeN~a-fBO(rKb7v!A^c&@!w~g6hqJ? z-M#=9KoN*l-UT2#D;77W!n~+}%@V~-D`dr*oBjE1#$#i=fo!VjZ`i4;f@0hAk%3My zb~W{JYs9mr3I6M1a~DTub$G$?{i*Um_tgL@iD3DjT2W-vn|0OP8D}hV|ee*o1 zX+2*cs5od`_%Nhw056E#&qZ`E<`I{VW5)jKLX$5lKK!WY=Y73A(eEeHDAIlDU$a@3Yxj;OE zdXo*9RlCJ22B1gyPr=-G`MF}v9(-O(l_ZgBU&I$>T5gm96z3#I5KdP;58Oy~y?eCD zzdbnc@pOye)CtmhTTnvlkQR3CUn*((;6i zPgM4c>B_-IPjA1dCbMg7_->kKF(Y5v(@IVI)lWnN|JNe_qh|ZIY=~!RKsVKZ)!0yevDvX70Z!a}!&ean^OO#Av7Nh6 z5K?${MKLxXsocJAowvo)b10s4M{WUb@x1(AEtdampy+0QWX>2MZT)K(#Lz?&A~?}R zB}lM1uz$H$EGGGsWjS=V55mBNITf zbXAZV_r|n_=0EciTssU1N4&t$H}1uj-wlS1V!CZNDy-EL_~q|nRMjwd58#Oyryscb zqWG42HkEOIoWY@~j;uM_i_v!4!S8UBahF{3B$oBu=+GY*e@n|0HaQb&34RJEBI;$4k|CITl zX%DotW}`>@wBr;s0sY6H2*%&eFm!*@^7`!Am834E@R@dE(vgSV#47Z!!-Nbg zda@FrHAa{;b35eK+E)hpdjH|a_xkMQ=xKNUPE7odz%&29Eb|Y+M*q9`V*jn;kyrec zsibqd+f{25>)5_1?E4)#vOes-Xt>J5W}$mAPnW{HQjUEmd^&~kryKB#AP%yQ)b+7H z{6$-X7ML~HomSIQ!LO5}K6hhM{*ZtSGF&MvO$<(@twYTgTHR~j?h7!s*9fg%yX+pH z+ebKv82Z8SQ4EH6y|{9CUAg4rz`Wn}!pmjZ>f&B7V351M@#6-8){dXi6#Wjs{jb2U z{de#AM~sF4HYyeWw^21C-MYJLJjSDE+}^HRpBUphSP^ax)t~b3-98!%bN}+l_YprR zT7aLELIGPDuHj;smfjuFJ<_7;T+r8lXj?9#Obi4gqz+C8;uIO{Bl5q@=T0+6oAzM3 zfBY0>rnJ1ly$3?fxs`~Iqr6w86LPlY2rQtFJ(Qc2&9eTdVeGJG+kG39aNZ@lWD5SVRH=38yq~HME z26AsA`8&YrWQ$U`9{_MVrJhg|NMaJIP7NE-ji1ut=quVUFP3My;&13{zx*g=H`D7? z!qw-q{{_R&$ISa%%`A#@1&5BvQo%cy=RG-K1@Qh+%_q=Hn;U{}KDjXxNIhX`Nh|NK zkQYQQP=amhOO7R;^;IN`o8yd)D!4UHU6gDkjssHlvW35&D*x=qf5=W;J?f2cHjJmV zmY|Q&lVqND6-m&XfMQp7eP=~b5(VRu^V;J z#Jnj20Gmnz#Q$pafr(qcL1eo)Me{^f=&%LBZKFBOp@eBhmA%&4x*0Nia;kE-TQQcb zW&9b%XQEdt%|U?G-`@H`=ZBu^$x-Hsq>MgFv3~1_EP_K=vOmL}b zNSs%VRSiRV#T5$9>zwROh}F~~{CPz-1eU;{h*6ZGUMAYS9+{zbz* z3|%H1@)V|`oRpn%qU>3+MHlXwlR0gJ3ma)tZ9U{vHLK6KH&ODoU8Gq%F}ZS^>Av%*-1rjsv}(KSmwYW6Ph!) zHs86v>z!VfK%$IEIFh)T37-5$sj{HR*|6UJJ?>$lMYlU|{NOZT_AY=X{0Sn1jv#)5 zK7WCB0pc*03(&Scbl-9Fh(x*g#JV-zjwk|F5q7dl(fRJ++hVkphT_)X*aSoR(pYoF zHwtZj#NgN@^OjXt__ujCsDAatE-CmXdhckG|E|qa`x|lFc!vtx=k`5jbD<20$HTYX zQZF|=t5+u5*D-hr@csI`k9t4Bd038CwZ0 zJg(jw;u#AwC;2WP$AD#+de4A0skdZD##tpZr2kO#1g;vgaRkY~rW?3S1CO&id$SCy zj;$XTmOM}hVuWjY*`$A7dM_hMO_*3^pmt!QdBwhwzMK%* z@w2PbAtZAO9I&U(V1lQ(Sm_k46s>&eXZrwDvJnWc&?)}}^*E0>)2Ng5UBdvtBR6wsdTCFQGo;GdwJ zoxbh=a=mVnX@{@9EY6sdS)QtUS3HIUEq*~g&i^}N=6`z8@}uVPb3lQQ>U+R?faT+H zGm$23%o`*oX8Xr;jPFy!?;a+ToXQ_cgjDoObX56i%#*}L;k49Zm?-nMsqMpd4^D^p z?Zc8U>N+(Quv8VQnyw|(dWLs|DV!~fC$Hw4)%ty&LVJY)1_L4z9U-6E-wHKr@LNR_ zDc@IImn(NyGu)GH9h#(a$4)0{F0_pE7)s#_$i`2x{K z%5}&uI0gG-Ccn|b%bF133``{}Z}8imQkb#F3}v%E3s#y``(&q!9i`9RrIy0no+{rr zxvuDq>P2Jgm7U~1=e^F#w1Ce=LH0M44W$Z-1%5A&lZht62j-QSpP*O^Xj?Hndo%cC zX9u4I>A6c9UUBE_J7>xVSiVv5-I6K3@Vne?6aMa%<2p8@p&sJFJk(-$mI~B5#_jDt zX3Xc_I)RvGyGWTWHJ2|)+5>?Hrr?~TEN#(mC4fZiCgfhjhmnvEXb9PL3-oLS#*%xT zV18Y3U9bi~4X)F6n`~CmB`%2CA>rfXw;6)H;NA(>ddNO^fVhK$bILwx+`P|f@Ig*u zeR0ytEc9vT)2Thv0J9z9kXHrx>8LJgp7#0}D=4wlkRNN0lN8o~6k5BlhVJR56T>(G zq;AZqUyKJ~_$ILLO1*tv@tAa;!Nk!)iO08PrO6qf-=xTP!EE@yNXi4ZkckUy`R-)KVdj~;{u6}nh$z>q&(W1!-sCWI8Jm^0 z7QedQWIad&;EErhyEtY5T#=}5F}#_`1nDNqM!9lZDLcQL=6f7jjCFL>W<3J^pq^_k zr5m&w5&BE=%OQ;}2xGaI3FI~m#E{^zTeNj^7HE!<)1)1UnDF^PU0o@VjHP4>>wkxw zeYmF*)zd>A7Ur9{(!}LZ`pLhlp(JmV;l=W|R6o2se?11sfKKXvc z?QG=I)ZIin)Wc0QYX$EI@XUC3%{c&~*h(F6UWLZ%#dq>#3hXBNc=2m(b+MWxNK7V( zPmv{ToF5D9&8Mvlf}A1Ch9NFEk2;$>l4vwcpPN)Rju;0#p(-^GuVFr?xYPtM(^2bK zHQf?_FgYnkwOhnU`O%fAc-At*A^M5UM;mI7HP_+{^x2eBMdBWMg$knex*_J}G9fW; zGB)_J=CpgTzfuy)tc}=N7*KD#g8Fw&vB&kXqH}MUJd6S-sZn@UU<2Q|R~H31)j3 zupoE7)n}r$Zrn~4Y$Hj3eEzK1_7#Bf2$?;5kF+KWb}nK$+LNmyus+$*c>f~Jyk|js zy7nyvMc3W?3svIx=XGb0t}E+s%UhXRNeVZ*M#52pUC9RyTkAqzDLznP}@VBtLKd=X-crsjHXg4%J&h`+)5ZX zV$L%JjXe$*8kkmIq957<25qk(^BA%Rs88CxIa?a`VD`4$1d4EN$fO@K@qZsQEoUSe`qW-!Q6so?!dtVdn|z2L^VB56>YWVh}({bBP5UiQZ>L5q6Ij-DSi1`D~Uzp>$Q z@00hmp6}?9CS=aJg)S+F@M)RETq*0-)lU_H1--W(@94SEu{~XKI^BjCE_apSNBA%< zB2%X;X0(1(ySx&RCK?j|&WtgR&q$|~T6tp(`C%o{uR*NjaVP-Q>6=nD`EZ}~Ax{|k z@I6a1fsZk(LWel-!G54k(Ea?- zr)X(Vb=ike+oFI5u{2S6_MN>LtD(s;L9~~qhQJZF@dHozZcX+Uza27WiRArwb4?*b zpt`4Lul2p=ByxWPhV^QB#0}7}{@3%b_?8E@5uyUCa>a}XT_v`8I4-j+IqRlt@8wIe zfj@G=m3rZ$yujEim4PU)V1W-Ek-pn#0om^65E33hI_GQ z8TOmxBjaC`HHHELGJ_};xdc#H!BYnM4<`@n#8{5eBq&Xsd^aI>wza5KeYNM|#c`@~ z7aK3#TO%EVvFY3<%_HBPQg~^5oh@SVD&Oz$YOJpsL?k=t`5-(BgS4!#l2b#tx|lJ( zJ1LX8IU3Y^>f;-Z^09uFVvsiXq#nwGhW(W!v${{ zlVAVBd^h9{W3)schL#ub-RJr<55uxiYg;M91)ndfmEY}(+fE&?6_XR3+yTn zZ;*Rr+dlaYn(=Ty!s*&)z_Qd5^5#tUrg|z#oDg*ajR)*r0>uM+-?>yry+hkDUb)@1 zacACej;05X6R6y|Os?1~f_i7WrH;M#&k7uu7g6!Hp=sy0e}YCe@}ZR#GqRaTnp2Hn zr}aa#P`%15OhT-9_jiY3=Das%k-95%9~(6D=Cs^A^(&ehK8P&KJP0E1=Xkcz5TVJi zP}lf;r;C>JP`t`^nT`O^{nH3`h>O_IEt-puzd;Hnsd;*boQS^Xq5_snycinI<^f1n zsAWBZZRd78&By9Gv@rgxB(+2AKf!69f!};YK>ZK9yxryIc-w8N^Nrn_}jYY zws^j(=M3SKGbMsU#2Lr=sLU|}g0rRz@$I}CKI|LB1D30Q<)Hbuu?LcWjThA)k_Gs; z^N%v%I&_%YZ6+w>sM$x4j>6e%yW`I+9h1-J&xYT*d!O6yo^GU3^B(XHGUz|cP!va4 zXTM7s#Ol=wVctT+b_c+%cf&vQY8)Tya8%=EkwLEE=)l;NQYSa;`)RpAb&EijVbvJ1%->}@|26VY(kSzsuIC=9wPj;)UFWrsYhKITz`G%QhY z^OyF55U2ZE!Y4ddO*n@+B^YmtH)ikKNF45Cute?R&EN?;?m@DVUyGcVJoAc@?-VoE z$mt4Rg!P6|BENjkCR0iZ@fwc3@DhM}rOj{qxZ;YD%g2%t&t>;M-gnN@_1t&o`w6ls z;U22X-yY6S;cU0l2D?%}bl+%nA?zUkRN%iB6L4v=kb8ix2j(l^iS&rB9i`aJdfK-p zAZDZG=K9wkhLx-$K2U!`Ngk>s95Dq9$pt9iCK(6tMlEQk#e2_&SCuLHraiSERR=v; zv(dVR7KRcOyN~6hGiw8)OeGUF{m#f{*!X%1i+pl=U*mL_=Js5j4a_@tEH|%SA~c|6 z_0||0qGzl#RR7UH$m;>G&R1&J5xU8a5rR7=dK1p(6H4*RwQO0i2tv_g1K8~qTsif& zBXGMn*Z%8g7^`^L7*_z2!iL5Bme2!WvdiRIQ@)oRg_}yi`t2vE+ZVOHsr1>fGR(!# z#bdrYuGt$%l#>a+Ho7i+Sok$7W)jiM?$UIQ$eA2TQ#^bkEK}fEI2)>v@?@SPQ)VIe zE;%>tvM>2CUuyk%&@ScZvHqA7@&xwHIH;&$U`%IU@Yc)lLhffG-kwt;E3p5My{~|a zGF|%~y1S$#1*BUPBt%LUk?xX?Vd#bd6p#)DBm@+Y29a**6r{UL(g6g98otLp=R3K+ zXV0E>-`(?y!tdeXp1be+>g%tV5)KJfomO~~vh8mIX!o?J1k>Rgod?A^OMw;R0lTj% z9ayU4W*O|3*92I2Z8h$Mr84yt2|t~mt$+A=ydGFU`!^#@s;QnD`GRSPO~*&WhWp<0 z5Yd$y5M^mm*`+&sz>`= zpL4TNMxF#?EIBHoJV_%@wOLGTT($IttX|lzaaK`?(Ta?<@c8z|&+E;C!JH)r2V#$! zoA4k)d6{5Y*Rm?#JGXgOPiF2NFpHKR-zyMTmJn`17pV3HBu>nuI@A8}rMd)_{cDY- zwzMmJofF7u>V2&sNSqe4rQ4clNT?4hZ11TyEGpE2tg$b$z%clsSjXeMD<(juL{5SU z!pNuRT%Q|!EA^h_h32rAO;$l+~ zV6D~@JJW_YF~L@;v0Wd5?C`$L=w<)XccSF(VR)_4<8mbq)vBg9r9`vS+OJbkl4u`m zZbX)5FbC1##_&7vD?LbGoDW+6;QE5xKqy6VbT@K!wQ1`0G;?-q0-dwG}K@F5Rm`5vCrg34vXVfFQwgzOq+3GoAFbTcsnyKbHdOEO#T@`Hy>co zFIRF1{FC?7Kl{o7C*-j=;GFun0MNz&@HK5)+eVX@AgcrF3t7O9WihjJHnUE7+-@_@ z@iW%hRbA^>EM~Luul=wTmL%}4#hI0E3)M-vBv8c8Pv^Nkc>3C{bV_#HUX12Znp9!a zzuq(;SXJu)mWP^3brbC9k)^380H__N2y%?qe_HB6$G+gL=3@feYBA)mudLhS4h8$V zLIP;|bm%~Fr1A7`SJI!YIC=b>Lx!^~fxdxYfJ40(<%=?^-Xx<1vJLZPiORF7^Bms` z#JD4g5onuNto1V%PWSVdRq`uoQj0io(_*BMag zJ!5MXs#xtWvR0_NhJ8q0PZ&vwRFBv*RvM3uRZ%E03$Gv}$%uD0IvO7A-Dpv>ebAh5 zH7M(va-Wi)sD!@N0@-p+k1^n-&(BuKE zG*H<2nMljnJI$xB=b0}-1yBQV%hj4A9HRIoR(4gt{?|>uyXom`jTUlG#BSOaDUyD2 z^fvb-ex{gmy-yX5nYdP%y=Ss!eyI;4$}*fM}w-+fB|sPv+56j&|2g5q5jS z&5u6Yr9$RPDo#EHFHj@ws0QdDMw zF!5tPVW*BDbcMTTXHE9}P~b(~HDcg|SE=

    1^NR8PS`p zrh1u;-j7=C8j)1@r{*r|`}+!TLOaHyu0E=)YJv#Vz&Wx!bx9En&;2ezdXt_%V$>@O0zz^dMP612#qaeQRm)cajIDVw4Qb5%Qp|ioj|! zgZvBbNVdToDu+o9x;G{tr3vl{S{E@E28OW}DFHwJ5J0uxBl-UJ3i;YIN6=oUzv)=9 z`KXI0qP_4L^@ru{yzBk*1QUh>F9+nF zd`t|CHN1U~Mhf?}Rz<)pF>rb)PEFSwwX1z|W6Ef)N#j85G;CuRK?#37#e3vc8JgF1 zAD4ex@gXVHyN^KF8?R1IfA>mr;QZozL{5@v4TM1Z?!4EMXj1W{$PQ5$*W||WIOTvy z^Zq=6=}1xcK`p@7bog*2goaqO>YpRS?kLXgLGD7KHpX8t?9(h zcsfC~yCY7r$uBQkuv9W*%5jmD!&RTsFr}4rZ&?ftLuAGH(KOt$IT<7Pb(}AgGM3w> zN^ws7F6)H6sMsWBDvLU8(sY_*oRZsOwPE>-t?p~91(zV6zRwOm)P_!tEH)gyS_s8G zvGtZTlo2s$#M9#Jqv-4iQh)wVXE%I;_oFW(@;bzI-YTCKrAw6R>PcDJ;uWRxNr90$ z9c75oBfZDXD$T8vgPP~_dB@tgwZX{gWiP4SeT@nP4jb6<3`ZH0 zRsj)23F3@}tmi37FaJ1DAW#aG%-li~x3I?%TJ;(>e<~4fHffwHS0Zm3HQNa*Jdb8q z3&a5v@lBT($d~eH$hf|E2_S8n)WXs~m6thtX7CVX=VREiU4j~H$lUo$Zaz*Bbzqz> zedzZ{5%4q6!z|&vb^u=KLe10EL@nUB4l3Y4E-XY+#iS>c0qMR9WBZnd-(RclZ(>0H z3bVrglZNFC9V0Cah}t(tIr`8IZY^?oM&(dMAy$a8>1*O#6!&+3PP^MMT8- zJJTQnEx_Kdqk@6a)>4M9scE9)YQCX}Xcm^$5BfrM5TuXOd31{UXTsVR=v z*TKsngbHBWV&S{&Ic8pg{t9t3e9Q~ThGGd3hn(5W4)}irV)qRQ9rjlo75>Pm>;I#4 zQ;=_cR9p18(tXdfa^E=S3UCck>Sz;c#QU3@)8~N#G0}@uBxy!p7kFpRO6PclUQ3{G z4W8FQBELQv#S~?ZdS#7uwM&Iscs5&CCe%weY0l$0%uSUQ^ zAQmdsT77Q`=K1mQ_zeWDn9%!S^b{o&e}i}E@_hbgKDC58lcPzme)|ajuki<8d;cBF zi8 zHRtxJ3%AzPo=1HYtSZ%v>MK>CF|e8JI~sIe+y96n#gPSX$KSZXD+5HSK+n0Ef%Z_+ z0r@6~sSW9aiq0uZ0eXqeeoI`d;C++o%I8R2^%=;f4p@``*zUz7WrU%FkRltT`L>B(armSIjL$^l;Xp#~sf(U3b zU|l-yKEFCYv97Q&mbsbx)bCQd{7z(lb@d=1bzJS$tx)v-{48H2?j}RhLIP_ub)0-p zEe*MkD)q;iVs_r$Nn{hms)vI7yvRN$fWN1ym=HT)6bzQRC4aLv2-Ui_pFs zKI#fuaT7% z4IU*$3V&rQFk>M8qHszkXA3jf1l5`HRWfJ5nlyttHwI^>{Tj^1;m>dt}=(}6d^^AR4eHJCr8(G3UnIvK4J z8OW4#+MNX=^T+Wr5hX_P1F=bl*D}uUj`>iRY&K%=iAOFG5YBA0DZHMyK3ZGzE+9F+ zYPUVp8!sCxOZf<;C&4}(K|XLHPe%xa0sg6z<1cYbC{)=UgQGR_FG2h?OXat@!w!_; zdJ>29K2m^98Ayq3DR<75ei|cA54bp_T!PB-5nUZn)}^Mt+gaXei87u!fB)yqziVLd zA~ph{+zx&2Er0wIRjU~Im;?_^*`}1BcpTw)Jm_YAYmKBqiktAz2!zzllH3hATuSd5 ztz8|uJ@DXF?nm9!TVo+PHkAd8dh>dn&Qx6>HC#sLaC05PDBwZy$q3*d+#i;+oeRhu^m5pX~lblEXkI&$* z+j!vNyYs4|QQfF)X-#;42~&>iU^@&(!da_!Zlv z^Ct-s!kYEeS#|_TQRv$Hj)p~s34rT3H?)~<2I%~xHOHhnV;*?lX z<$UJ>Ud?Q0F+sNLsZrafs!h!VDD1Jv+VlR%5D0 z=sTSECUBo0&0TM}t;e9$nDbH-5-J4QzD>TYf*2SPqGN@ea;Fo#5KA&{VmGs->|W1c zsWEVFcY+JFlcbZ*m7Fta?Io7hx@epUc4gJ$N>bvYudv2Rb1Rb#cnq}paSn+SdOT|9 zpH0ELXKj+)G15%!8;qVTh&icNGpWFpx=@MEXJ}8&nWvg9I-OvD-DU_}r)RrSBAo0q zy7QiS;C|y6C#S2@jr+AV@}FE;V!2FK%CiaUmOr4;Jnf`+Wqj+$3hfr!v%CldLJ>bJ zjwhX~44%t5?`1X{O(L$JQA~?ZUjUiniQy}Vfl0eGh}zk_KzhTmbGsKs)M8B)TyE(K zPjTa<`_&MKr}`%kC2qFYq5EbAb$7p8u&B_!<#H{kfb#Xa#aONPj;n&6qWz(Ac8dP{ z0}eY~xalQ`dAtRBgb6t24_-ImayG(+t|Y^i5zXZ3r`I^LOGK#=dur#(2kfHsufjN< zXZ*%`+Ha!1{z*0UA2$B!KXi-`8%EfxAy#=V>Pr+$IQD#V!UdbcV?9z@)2<+9%fYzT z{Y_Iux;xNv!N=z(tRNmcpIMIr3D|QVz{Td3-X$mz4**snFrAHA!cW17^4UOKE2 zx+w_V z2Ere{Y8*Ga=Qi0?6X($@RSOR)8hACwb(FsNUdX4_A>fsF!P^{*z9?0(-~swZ3|MkA zJQT3b<2S(s+HPqeo_g~<0hV?wdX}|W?vkScZamgyW$XB zfwQ)gCo3Te%rkE<%}V98ugyHPiYLuCvj;_aE>+e0IzjwMJfc1}-!4tRTl1{-R#4pS z4}4P#B*8$Ksn{67ISU<8)5nBM&}u6faBmv33_TopGPNFD0cJ&zrN>jibD4}yFmC&Q%IO?QoRdQ;u|fuIS3)rbGR0^WZj9Dak(egjedzaWwI z-zwPtTb{pxqVQ{W-k>p4PZEyYDxzxFT|JI+1n9<^1lI2}W%+;0>i+FGzQIZtFt)fV zx>=eyFg#;C;;6md31ff?z;*M#qP6>DJDU7oC)VGEvj0$!`yYKseqr6;!UO)l0B-SH zO!fbEo!?@q|LcMs{+8hVk)OW-vHnT+EB`AZ*mp_m8%R~iKT+p5khHMB!hZc{8sP2#Y+EQ^R)eiT+(k%y1xafW^jEzceiJgtIeL)JE3Ps!XNJ>y2a)z64-Z1 z>o=0tzKL4&y9WF}(Nuq{&wi7o{tEl`T?77GQRT0-^9`u=ub=@(C{d_ZK@*l}ZPgAQ zit$4llGSH!MGkgTM;vOYiqL-mFkwg^{F-14{}=1}snk>47oWm>2ymfdd3o=hKGwf& ztGvNBL^LVgOPuf#_sOj{0~(TlO^&bfv!2QRU08sR^Ly-jb9E*X64Rot-j;~tL{i~l zxqwu=NfdjL+4Tj2*hp86_$4K#vxhArOX=GH`tY^U>@KAa$_FcsG56lgL?Nkm?bcA2 zNm7+-a)*{=9}aJfkL^#`@-cXV%{18-2yt4kKPZzsV3?^d{*0g7Y4!W`rvKWJ{r$b? zNFNoNyoxZOlZ@V>s$EdvjJ;V|hKf?Fbp>IM;5)EnyLZ(#*Q4q~ zVb<^pbIe*;Ql&5pi z=0&>M4X5`sXcTB-`e2GA3z6N-<_7pU*V$z?o?penpg<5cSPZNU`55K-eR=|}V56_A z()dW$b@v7A7GH`ZJvmp`Z4FYSo{JD5CpYgG)H758ASbsuoe7YW8&~{GE+JeXCwG#; z_d?&N;QKE4eh|Lj1>dj3?-b!XQT)yqd}ocmbBDi#K?YK!a^xBSa5$#z$+LZ`KEt|G z&kJ92jbrZ7zaYITc@X*zDXID9N5Ka1@q2H=`dfI|=fq-g$Soc!3J4G@3h;6EwIwje zDKL-b|Dwb?|0b%*AK7~QNALT;>B0BvkCAEVTPcSBH|s_I_Z7&05F6TWZL|9=+WR+c zc)v3P_U})>_U&>>{<}UD-w)NVp5f!?9>{;&hwVGL`bGTPuR-R%^MJp*Ofg^MRloCq zzs83DE$aBr1O8l6@p~xuod^7*@R8qn!2dO#?%zGAU*Q4svI)E1lBsTD2Y1rbm|4Q; zVsgHF$Nb73LKv5m*yi?T&Mr>oCg3kmkcT$deEj_My!1al3EaFPBJkJu0)KulCdTuF zxxJ-}6+IunAn;BVn@85#&c)n`N7l~7#r&?hDa6biTU;Fb&lP)2X+)J`BTM1lJv#|| zraNQkRK-$PluJK>iR0)0iayOL!LMNwd>5HPz>`;iDL*LHl;vpSnU)lj9KYi@v2|re z=Jgk>pS>xq4q66thDg+D6kl;)l`xqkP;-pFlxe^JxeeFa^9~b1K&EHfxO}n=e%1V0 zu&Y$lfo5nAnJe7~EP;4s(;hS&%7oP@@ZLQm;dr)pKQ-e2J@ z-TX3GV9;MCLe=1}WrY7+2AG%!P7qTya~FEPFGYZPf!MnMZ)JJufBejsN#W5}erRKE z`sK9(A3sprpNay10sjAG4MhH0!t|s%N=VM=LhCa(h#r%#NOQAgiNgo#m)c8Y?;2tp=8 zLLoxBYy;5&{X|3h@e4@d|Kk@DG78XN3`{I+9H2l2AqW`>1qB%u1q}@q6)5cs{2c@! zUlKF$NuiUdm|!qElJW<{zQkm@Q`$_XItXJHc<2;}g-uRzg_7zT3oF}oc0nQG8zMJv zN#B)`m6KPvr>3rYiee0VQFP;aLAL;u(+qs;u8{|Cndki z%*uY9lbe_SwyeCOvZ}hKwxzYLy`!_MyJu*4WOQtNVsdJ6X?bOJZT;iM=HC9n=fk68 z_{r&)aUp?F{xmG$=TDA{2pAVKDk=&p#+Pv+A-e-_WCFmtdTl(=O=>8>WC0}BZ4M@uT@$Me$#U*IC>4d#D|9ipj)AM~(d_M}` zZ;J2t<9Dj?ooIe%6}~e_-`T?NT=Q=!D;&r^iqvuCqO&AX$g@6ZhM>4>)o`r1o=9V1 zm(pLii}+lb$ZM$E>S`&dHhn*wS+#93?ygBpj~sv>i>hAn-e5zk_V&nBbcmsjiMw^N z;6s&%FP^U{Fg!$xdgy0IPE=+;LzZQ&j*|T0dVrgyN3W) zb3|lhZAb-+JbYHOzsps~l^qF_7cp1G$7I^XqqvFik zZd3Y(X>pZ1Ieu`>z#j`+Dmo{FZLT5qJEmI=iIR^7ET_QBZz`?n=lD}bxV0QsEOR$B zFF}j?9(0Ju^LRjJ{$c_Ii~p^B4uFIPIW9m?NqlYq>4K{z;K~1pNB?V=3L6Icx}|ca zd;|@yXL3KXPM(4?woECrYjk{^#@T<<)srS`W7`CRqxX;577Sp)b#bhSvJ!M$rd8fdo(pz+W>9(=MNOQy>bKzK#=!Ngv2=^pAkw8Tk2O ztI&U#hUlLvwN&1O=5uRwo3U}IUTBee>$>ymjGnfhBK1OyLr)eBq1*_S5CKqPxbgkP zOJHrLd|#VaJ{ova8T~%KtWv1wywAZQ^Jy4p$LeQ+cyH$Z;$k-0O~5YFpP$p7_ONXc zFr3^zQ>u3(f6q+aq2?9Tvr7|kNXv#&@v7#ZW+Q+6DhAvW>}m^kUW8(`I=l2$?kVI< z7xbyy8~Yb)`(ud!iit8{p8v&S*wFGc8{t=YW0sVc;CaaY2}Wg%e5eD?Ov9gtfl73U z?k}p#5dpO3F%@pT1vHj&_~iart8&%BU9%XP;+Y+0qO>Hk{!37M%q1uv2(gS4MA|IZ zBFgr-vDjE*!|jre?{VjJ=5xYS$CSE6SRR@CKLMiysF(jd!eTW9XUK&bQ z77wzIcvF>LI313M>KgjlTj?CV+Vqz=VXpK3J}8#9LZj=C&$-abF^3d6Yr(ZyR+-nH z$G-z1D+nTu59(_sE|i4mHaymv(gHT_pWxYSajZ>!i18`ae?*JXu5z14+unU$Q6I86 zL%}NwAQWZC)!XNwXZlt0Tgd4(Fn2Ywp@hVa#tWV<#5a1G<@G zKx7s99vq8fu_jzc1;DPFn3Rd;Us`LKVjE^gN-zhR#fWD;z_68ZB2Pnt;jI?l(DWmL zl^+ei>Lx9 z12IvFB(J9b$`+>Ia|}8LM>cTrCU#OJ--C80l;9>8y#?$Mk(r9|ok$uHZ@NIJiQd7c zT>~2WC1Fj2=SB+!xqf<~CH8_R)Wyc$3a`yN@0(Qwl#Q=+IA~wZ|7G^pRX-|dSB>W- z$fO8J_kRBd*{Bu=Y#1TaJ8*_)Gk@w>oq^Kaw9g0sglJW{1Z~vz19Zp-2%%u2i@F4D z3(+A`3b*nz2(T|w#cUT1m=-!i3SEteKPKEL_08<7s%Z+lPI7}kHr@i&jL`3~MiU7< zT6zzo6A~mbajQQi?}Tou5i(I62jOweovyPM>BkosN6+``zlsejE`gc0j!%^Ymn&{f z`VFq>>rcpVMu#U!_VUL$faoOI=R?nLzJx=Ut6I{xX{nWl#V$cRMmM%K^9YhEN5He? zxXY}R8&4%^bAk@Ha0Z?rM28j!i|mR&zkFz4LCVqiSpm!ZfH&jZpN!IC;b=q02v&Od zUS_!-R^oqn+p=|A55Xc~7dX?C311BDUsvnLnvU)2w@n`^Ka12`((3fR)nSS@yPEI< zf}63>@yVRA0AKEo)Rw+hpkN6$po(S9X)gj2<3mFZ73GQN4ippHIBdY?QWcr{4qD73;%7=~>QF3Zw`#e`RP7M;b3ziBZ(bwB3+^8bA z-SZrac?J4(cL~k)L2lx+3vaq-6t)bT_8zrU%n`$~D3VR80@fR=%Hoa|Z?u}yL|5dv zcJ-9}V3|joi$Z+7)Se~rE zzNC!%ajceks;HxyyX_8Tn_UU6O;0rwhNCgowL1|{lVS6b$C~cxc!zA6@O0m$S;;3W zXLtdX!e@7Lb=I^AcVsqkc&RH7Hw=sRFbmF|;dkBz=A7vRcmehWlGLYFQTF981Z2WK zwT?T%bJS(6K8q?0Y2C@>)-@z#TrnS@WTMPHXIid1yYS+|X-}i9fsK`k@i>A>X60PT zS{RTj@1@#Ps;UPx7edHqr52%)wQ`}ZTczNPS*y4WMX?!ai1T`3MwyG!CT4f%Oox}2 zRQ9>lu+ThJ^GlD1ieQUbu;J#}gYs$uyc~MjlxJiX&ULFZnApmKNNZ5MdWFIbf<5B- zR&lbrJ+k9-7OIHiP-oggm6v=0Nz9v_ctH=8X_lG9pQMQl9}Wb~(eI&b@GxtVkLP)3 z8}4*_kkxn5Q72;9-?~bjW77dg8(+Hl3BV;t1FnYht$5h@dE7v>#1Yka(`U&H0-5NJ zQ@5wEt=3!hUiz^wRL4eLF0M99-}Gd}ebd34iCwb}0@W;MYYaBhZ}ygGZ=?ypeCLBZ zvIV3}oW@HHWH^F2iTa?9U3mV^!$ppFFG1!W?hEc=knC7NE|zwtMg~P@L*KAYnv$ph zy@=(=3mNzQbHzc3nA|I?M)KOXduVGfIVchBF3ftOuBy70X1Exl>eo5qT9ZK~Mes|I z23SjVxSnK@x`}w;bq{@A;!ezz6iqd*DFH(-FRnmP=WScPcYcD=?W#7F&Kp&__e-;S zV&*0c_ysx)ZZIJ~4lERSv~An}$;Z^O(4(xa^435bjxwt5#*O!uc)?slOG~^@TAZ1u z-%>kHk>cN488Iq5XaSH@{H`g0^K5jp%a@hnaCYwc9c_r^$vwv(MH6U=;Z^4r81uhH zFzJktR}O~mY+ZuxWoIsbIv+Qkv@ zm_?DAq~~hf>@!XSWe}*q*27&cEZ2kc^{cDIH^*)mhNtAsV-=G<_P;m;9--9pbRgh%Va#zU?Rr7J1UvVWX|c^C%}Ybb%V-Z9nx65Sr3SUI zir(54h22WtYNWjcb-TfVWps$XxMlsn$>H!<}rrGA>Oyr?rim;vfh zFRYHf{MvD<`Vthy*N_wJ@`+gQjsFq)Hn-w} zdtUFuAs+joyPn~6H<+!PQcE=_XUt!uBr=i9eW4eO-6MN&uIP!LNG;2M*NJwh>cic) zG$Rt28PTn^o#FM=RGx09=CJxwrE27{6$p}Vf)x9iu@(_5TrIIfoD z;SN6E`+(xU7;~#LqTY4M-J0t9r$mMF*~nMa8dL4w3q3=JpG)}RSeGE|G6b1;5OC)h zoior)8#SHD9VG%37tyFxcYHqYZZ9xRTQDU~YQ?pf9-@n%B<-{TGE)EUVz9Jjmkm->p2R}ufPrg9<_-0^vI~(Gm%1=NRer2 z?E!yZRw@YN1NN~ltYosaqmgV#9M{9EQ)7=i)YO||O03sA1^y~l!1+3*rm?IP#zz?t z*mmlE5^TC-j(k*16xYizrPu~1(`Z8P^#rpgQ6E1$K3OZhen6MKudUIt{($$(jWn`K z3%zvWc{$^DOf+!kCvNJE)l337VPOMAYuJwoKHV|}30@0P9~M;HD>RzFZBXzf>m`{&F?T_%Esi^`YRWM~6>-a_Z^BrbIVb8!j6B#5YxfXkLE@9=E* zPN!E~1oDW!-+xj6{>-_~U9>FqK!5f4k#Z}99PZq%0*`J>?jzPOj`f>*zfiMZw7y7r z|ARW#W1X$>9No_n;dJ|oVgN3fvEKXz;b)|Qb5@vE5Ofg+Q#t)pp33o zgE3m=7E9Xk_&O?zY>vcPgSK;OMt5b}!ksY>7^q?R*q-n3J?ZZ4`f)2QsbA8nd_ut^u`N^p-RM~QqRaYwv4a#T zG|Qu`HJJcVTiF)dp30^;otCR|Y9*GoY=!uRLRUx>mGt|=8dC3U# zy|-o4_BgT8v|tS6if@D-N410Fh->j!7-%1lWT`RSZFozUuJsZ`(dUZS=z5VB7I~D; z!&aB2b#kuqS_dItO8eAI;-n~jw(xp5GycUf?UJR{K)doZa}*!V4p7P zp&LI`%x%@C-r^3)-Kg5YKOEVU7S^k$41jXZINjUfZ=nQmBTN0GBJCHNW`NpU*ZppZ%Q zt$!|PnG%I;i%i;Rj8jY^bJixgy+?OKkNd>jE(YB}geFb_K+0ssUJCNzT*;)lJv}{V zKBbtqK5j%xUl*`Y2X|fWUUUqOGQj13bBqSFX^h>&xj$&v{yG|HtkyS|`3cwsEfk5B zc}Eku;bB;Mk?|#Hy%X_6Yl6YR^lf$92*@!V-&UHhlj?Z8q&zeYgs+V&{w7(B-mwPR=n?2`dyEmF=PRAwa zBt~KzJbVc{%63_pBOudJITCI-T=NZ06x7(YYhEr1`JmltjuGZ_oh;Syi7M&{cuYu= zmz2#84JE>*U2{lG3)U-3f=6crGxvYd7k81cKgPostqIODJ4@dESVB0mP(ep>&NK1} z{7Hz*xmhdG?PTAwx~?L2Y2a8pPVWAwwU{Gpk7w>gOnFhL!DEcPnkuL|HWM`_sLCvt zIp&s=ZP_b-ks_XsCj9bn%tDtEVz_Ka59f*7(fHAzY!Y)S`x_MIr-v+&(m;s{x-zJh zM`Ftjs6~>!@xiB^to>~Lomi)N4%}4U6^lAuHk9y@dI;>3T3Ot%>Yl{o5-zhV9`-^w zoOz&!IPh_n$fc6t zTQn@RB!)1H7dSM}%IhnFloztp#}L3-217l4!N6GtRM2HRH;Cq^0TuKWrEO}iatDDA zE?>?vpfII6zcs|kSUOa%xJXUSR<=4lZ8R-IWftd<{|+}!c_g{&5`;td4nSqR=>g;r zLyv*(X^a9bbEhl~1bZ2b?u;dsz=iwQPR@Jtz@NaG-XYzhSquTD38qiea>ia1!kZS0 zYb=kHY}>@~N5JkF`}Uu}ua#O~PW8!pij6zUfSj6OrRM~fAnC;5OAyQ&;}S%sA^0zQ zwPTe*Aht&r*+|!mG)kfgb1N#`7*ya+dupRuz?xFUK&;8yJ98&;wl*yuP~5j%d~wOr zfXyQ)Zn?w9n+7GXax6k3Kjm&!R@NRREjCaqJ#|m<1=zcw$j7}Fb5qm=tPDcX2KcUQ_ z%og&XN||5wrls8tPGwb3p~*o>8jI}Z=#brj}%QZ%U)rBSBXgtJkr$TpA!4a29{+ zPNO1|cV~d9oEte8FHV6T#4-Hw59UDlpM^4igKVWg`a0Ga1*h+G8$u^+0ZY$tWV@?I z&p9B@#X21zT6L=ssrGDuiu_O6A5h*)SbRERL;#XpRrJO6gjz`G{JxTp4!{(geiN?veuBl(Pi;_Y4-CaI(>>wzobK8?IMy2ib35@J%x48u zFbYh8{q>t7SJFv^inIV?RF+*5`f7x{WAc^J4h~wSrd|zNpJQOLf>lec0InsyzwMwn z$oe?hs6`2VK&`yGtS)+B9<2>bU2M^mRHF3YcYwdFC6jTYE0}WhvjR!LY=wiJM-9IR2dh3KQ-|swB>SLa3SABD6(fyVT2?j5Ez2mnDCt zJX=a6!oFiUD*mJR%|VJbKm0KlHwLBE!dNDvn3CxD((MU}AkPYR+2l;By|B8(+nLm# z?86mVrYb5v%Vc{`WR}?8055|vB!{vwcT1qT!XMhfF=QGmG>{m70vaac@!NY%2s45+ zYL`pUMcxA+%(zRC(Gd5!c~J*Bpx`2sB1DaOBUvXhpfu!J6y+7AB)U9WYoH6iI;Y0o zc_XaQ)RZ)m+W)Tqjw{2nQ$i_PschM>)-~SN0z(R=vA$lex=O3@yOkK(g)3wdi@awTy-U7yfMTk-b{{cn<#;^b zAMTz=xbW$P$;o{O-ey0Kk;=pNXN zf_`f}@n6=ShO5%OPWd+pXBrKarEkNpnz#2AkXpxqdinljYC`+bK>YYXHa+s1E0-yh zu>1AK!2m?mO}z#Ou=p!dBIlv<>C@1`p4k9oozded0x(+4pT|qmYLN9@f~?^EMsret zjzA=3?QZSZibA}yng;K95ofX*P=N0!pzuKf3-T&6V8?5%9l>ZjU0zdZWAEmi}HX;FL z?mrPJ0(>|`q78!P!E+x5RO9OY<;g-d-t&w=$=T-{{(uRC_`uX@)9KZ;cOGS|85o92f&E#1AxkZk5)|3K{ehE zhA5DGX$7(jW?3U8cvKYJ)LClAedKhWwH{0ph*4c)`Kmb0{NA&X<=`CG`6-F!{uT$w zeM>krc4g{n&GLH^xwpdrWek$x;#|~bR9oVBcqjK=_jLDhzBse^?Y-daMQxsXjEkq% zIkpMO5#timf zV1eo%NvDsB(Z00N+Mn+=J@UP$j|C}YiR)j}0D(#bIp6Xx@bqX$$9tT}vR{HMJxUZ$ z$}eoD^`H23%xec3Cr#`HINHBdk;8k05+_CNZ>*L7%KxSj0OH)d4^NjHDfe-(uWzc* zrSUyJcs0A)CL+47?WdNC%WIf0w1(5GZC|(+_9tJ6RDSsgeoFZTz!f4ap{Ae-^<$iY zl4njccXV#wj$opfdXde3&;7e``6jINags>}o_2wh0iX@QVWDf!F!Dg!6TBqKyoJ}M zXzQhwXTtuFk~%-%(-9?pmVQc+4))?BAf-QP9A4~}!xehcMi{(Fsvl_V#*IazYRQ6a+-o!>_|B_I`{vr@}0R%e{3i#i@9SO2hF?4j_bC%Zu z4ZHY4)k0|AljZ9LL3Vd)JW}@_#bkSK#Ypy5!%GUp`lg^k#%I^h((OmZl4_l6n8Gw@ z)~~oNqb{uAvnM4Gr?;z0c!_P+G@?g$>PmP)18>`e?bj)XtI1JPl?3sepRYp8xL;OB zL+b8*cw@KjpJhsu8YBF9Ak2@V=0WX?>5pm)3DH_A_TdBAEZsrRqwW+&IHsYGA#a#E z9KOgKQ97z^nz5DUYk5eep5IQ%H>-Lg!6^uF<1%E@1=*ywGnjPbQY^@O;Qnzb%VUgC!|hMxXpd z8tLE$9p8YI%wOmvnU?ynovSyNovR*)?bdb2HNBa(w;gc$hyrAMOpHx5-7kk=`-Lf> zCu;amQtW#Bwz%ccAr|g1*)DyJ8}60W6cR!Im|QBdlMt!wP4e2J$65)*CzbnV$m#_g z$Qtp_{59eOG&MnasDzk|FUMC$j0cO?2aUtFR6@nNz*!b@j;L3x;S@H^?{Eq}s|(WuOSBBho7~B26|7RBn31D@ zCV!v6j!@j*SNxYb6#SdXjD8O^>FbeMe-*6owGf10;ofvyCFjLpv0ERP*cKgUp3`2X z?Zi2Ip90IUpKhnK+4D<$zZ0BNG98_iu4HQNAn@*PprzF`25Q;;RFa@;vWWaJ(E8^l zijT*~d)9Afd>`pPrMGs62d>0mJ$wQk%Wd`B=l|$dIYv)nfTl4jI8AHVqDQOQGX*#> zc_tk5ah5h>0&M%EK%~$By>|Ek#+I3;hstn7a;5aL8PU$VT9HU6Y&Zv{T!6_AMSh`+qLZ#~hR7rz7nxc3~rV#Yv+k*3Jz@)lDGaON+9 zkpRYnxN}`6t%0$NZ@+K8*6NtA*M7sWd7+7F1HtmXc)V z-mbZT7QSgiQ6*O>KV?R;o_8*dB3j#BjG%W+PAfzoVx&-cuW6Gp(?Pig3QWvi>KsV# z8K$qE&?!H26lTJ>rc5}qakAS$_Yt8f9`&k5BE*kFoMm{|v_6W>)s{lLI5T3Ajvf0w zRh+i$OhD>loJ_CXj91UurYn;swu8H}x?lReLJleQ*SpEJze$DqB90mX* zR#qQwD=$8oKWk538F2JzIF#*3_>BvaIpFdmQk5S#wInpwl@X zkI4+#07o9tf3R!6er8eNT0U#=Y`V$b?G^X^u0&_>52@y%oZGuoNJc&MjmqLRHy3{yFWDez^BzV=NkipInzs`x1q`KGP|)o36hjQ&5f}3IIPhcM z-r7z6O)@-v1ANFYTZ$td4zS^jtLqoR6_5*&87;tX?eEM!faC7JNgaMl8bP&!f++ZIl?TKhxT0^t>OR7=!|v*ZsyvZFF3<)2bo{g_6I9J?wJhW zSEUcX%IeDScW`P3?98EQWKc@0LF+j&SYK7lbR%2{ir-&yyZXujGj9({t^?A3=9!cT zQ-V6{P(^ixPLYa>=rpxx%tCo$LbOv5uM=`%X^eA}`_+&rC$R!OxcMHnPKBcPK*Wu~ zj$&r?0lBEbeI%NCRSu%=g;W=jg$EeVt>Lf|4PsU=p&V-3I_Px&~ zXxV5orCql(-V+db){l;|s>9vh#J%ncUJhV6QO5P#1)YQrl#s!k7YW*^FPN0}(gS^@ zUvEfQ&oOa13p$Z0+>4LZ6xLK7d{n+dh%RRXI<;NSiO7JZKZ=mBv(>xMKy;$Cb-s_p zyx7hw-^DFxd4oYLrgKGT(F+<;-Zdp^T|TQUU!htm%{3bvjC_ktZBXt9OIjIe_gs$@ z9e5L2PE@hW*47Jx``)&mpZ7babmE`BkCis|tZ|CcP)CopN1xi_*~zFcO`F;w+gZI6 z#{oE%C&L>V+EvpS1uaq(T)d6^Qqt2LHTfgobK#thmC*@^+V1kq^g`0H0d9 zzAmS{avk|QvY|H*f`m_QHmsF+>s%vm5-2tQkfYFeAL@1Qe5O)Cri8orBC2?3jk;I6 z7;L6v950=j2k5kIblYKuPq{-D!J_wTp7y0-!gf;q6*wiuABkLTsVwLzxYCi8iQ$q` zwi``cZ-V_c@`lIj?X%*D)~Y?B)KmK^pICj&@}!(#2Mu?DyEWkY{o4Z3EkN8rLvdZ! z^rkRw2Uf789lF~8WA81);@Y;f(Si^x0fM_EKyXNKmn3*__XG(R+_jJZ!7V^=5`tTB zDcs$?aCZtXpdjDc=bXOXz4z|kr~5wl$9JFer|elZ*I0ASIoBLxyze_k15oWHO7UfZ z@U76A*d2J!=!R8e851c>+k(&fbay@{r$XPUQ;SOV6F3`0a2s^Nv#Z&rrjo?anUUdX zP)yD98b${+1L=!~1sw_I{hkEV* zx?Y0DBeq*FsLX2Gm$of$hl+aY0Kv7EZVc6}CU#&?c*jnK!We;)#Y+&gPQoChH{NVK z4&G3NM+5P?o22`2!sC`osuKF;4suHNBlE=Lw=$F56^U*E>N+Mic?ptBqh%_$ff4Tt z?=&Up6_jv%@F$M6L}!9?3z)yEpDRh8D%`V%E5Q#<^6&C)#adgQu-^}H=_zekK4M5< zUU#+$71bmqRqGw{yz|g%<+#oVA{YXDMz@Mhn$3PUG5-i_19XsWgkH(AB~G^9E&%zP zX-MC#jAXY>S>o=*f2&>5^K=)31UeZd334XTV!1q~0FO2SiEU~nKxf<82Lcqg;rJ~v zg$AS>Pbonz>i;_CU;XpXjt!U0HELXVkHjdCh~Zg>FSVDA=(JwFCY!7ALF_kU0&;OK zKZiKR2Mzx|RR1pQnO}PJuCh91@6;lz#-B{qETR2xtb+^?2v4@aI$=_5EpNt_8{G8G z@;X}m_32%%tM*3J!j(m1?J~^@@6H5kv!gOf1AWy^ckazr(c|(C^4K4GZ-dCt!yZ~@ zaP<#v^r9Xon=sc!rkL3#{+af7!Ma04kQLOeMJ_S(M1EhBA) z&FRE(_PQt!Zmm}*{P}rmZvd%S!%*_${Ja1sDwtRxt&PXVlOMPT(XO02$zLmlep9&z ztc=qJRPN(s3s^R-PZWT}mlH}-MoU7A+FH4=rLN+vrzM9_EnIgw)W~3}+DC0IB-q<3 zgK9jhY5Zx%lJ+l9^`>1__s(9Rm^l(JDV+eO>|)@kRyNdIzlKV6kBV&ia0J=o+bKh^ z*fHmt1WW!#gmh!{N*`4JA>k1A^KQw#_-k-H|L#e!D94ftnB&yqGV&2{r8BngswZ3^ z&s$Pm2S|~2PGjW;cM$EwY9jM(gqN7^eXyd)MI zl9)Rk`DX9b1AA!#$A4rROt4Mg3nS1{MLlsY=j znTPD2fxV7=g^Y+lCqDbGqH3jduvj#ZH*|Nbpo3bldaF0|Y`z-ek@B@_MO8wQyX>~iY^lsJ#%>#;5M5aIn-aHuTZv_yJT1x_`TPMc zd_NFcyb3%3+OcJASq)VX)ya)zdv6`?5}W)Lk-r}T4UGREERRe;4-pyUK?A}@AS zVtKG88chHiYKc@pfTJqpyu8>XSbZ`#$8FbyY@mZL#)68q+{0$Dp?YXx^vO`Qrh5C) zdf6wi0udyo^N@3yeXmew*>oqbGf{y z2|E=a4E?KaX}NFfr0)bDE`FK8mEg@L*M=r@1t&@}XZtCsjZi#JX|d5Pk;K@6D%pUG z$hKST^P$Dlhy9bb<@6-PsH5exFc zzom1p8Bm)#uBwhwZzkg@HgiaLWObAi`U_-z$mGX$WyIf}crB*(vtfc`yP_p%r6H)M z(Pol*Pbh$&W<#s)zW1IeUh|~po0AVw4pI7D^7FZajrXoMDrqWGN@8DTe+cI_g0&bx{HaQBAT!k#)%AE9f3UkSZr>2 zHgitlF1^++Y3t)%_sC`K$8Le*@YiApwvmwSZX?q(rJ&4%X7f9gTFLFh#bt9v_z=ib zEU?jLb%Ycu%NNY!Wg}q>>Mf5eBN6D{ct`3KIO)pssfFa8I@O2s!-+_m!`{=Bh5jbc|D&Gam|6#};l ziay*m6RR95i)Xk@MA$4h)m}qd%1FBTyFjkKq9<=}h*I;Uzd>K;TkBT0&+m@PKA%nh z%sMXg7!d{p(Mmy-*6HzY;MvA!Ru1q1*~uU33*6>ooiARJTx-7D5?6eIF=Cj|-Ih-M z8ZNumWM~>LGj3a2vLtg#6E?kRC}c@4LckHnHELI8J5{~NAv0z+RZYI{&b<@UJ5d># z;}Z?e+7~BLMM#XE-(sN};SN0yaw*o6b-*e?D3SMUN>OPzMLbmoe~!59E4DFTI}WB^ zJr4DjTrK%9e0^Tz`czKFLCEYW_E)UxAM;$T-HPH{^~=PIdhYRSEp66wA9JGScU|Pa z1f0Got*e!KH86~WIeXY;icqK0>cXL*GkT@~n?KSabjMyZSMGG9ambVdogaV7;I2Gb z_CLSVoZe+;ALn0bnZuVVUqp}nAx`qx&nUn5{5gbqQxyM+FCm-{P3+`(2!5z+a%S!e*kGxb~xgj-+Pdde~h@ zfu3{CCwb#rH3tk<6RU^^eybQga%V~hher32J;0w{PPD)mL0-P0CA+#8MT-`m=}|c! zqf9J69^sj4f$)A5(DKu)FK7|3`?%@1oKyqh9{PN}UBQS3r@bWIh|6V*7p-)-j8UAg zDv22iRyY)`wN}0PURV%6@>nUNMwPMs8Ywz#VJ3^(dAIwLQ9nZq#e9QmDrtR)eMIvl zDj7R|O#mUZu3nK`!cJR*&sqbW@#L(VUE!UmeHvo;1xbOY1>ds9mkHK&Ut|Mb3uk+> zr|X(S_`Pi_SO-_WQ=WY@PB_i`$BXqDVkLNBzJ;N{_(z0IiG)o~SwP8!&^oI_Vd_@8 zi8%`6$Fvv%haF}+z6xm9I;>yPTh2xu=P@3dipa- z4_>mKPA>JNr1aa$a^=zhUSQ41kK|`dNTfCq3skL+%qE3%ICI+NbrB{VVso8Dq_;|Q zh)fph9#!=avevB|`{R=R@91?+@!jh-H?HRHyldW#aw$ z?vg?aUuu}3Qlgn%F-hIDS@t$76MWvTmK&Ff1|R$E#U*vO^B z!(iWuOE5!!&lO@M$xi=71#a}(4iljUQ@Z@L>OF$W9zu07OXW@%+8>zNs9p};2`PJr z9p095U!Su-e;kak23zJYSSBj(y|7Z2*x>1c*lBV~VPN);Wj5GLP_iUs>cPW=$$o)w z-%mSN=G?{K$_n#e(lAxsuJe&DZ(Zx3Lo=qtLaSb{wS?Vs8;GtdC9QOPms4@Oc3O~S z@$=XtV1n*+vK4F(=uo_J>r56{HNLyd1>l4z-K}V&fhN35Q0U_$^J1P-^!2_IE{gnoyD657Yb{ znfD#pxYh>1Q^}`?`x(q)k9KTUjlz5}?nl!&l2^J$*Owpc zxpNuKa5s4w0AydgLmu)-&VEWMEID-H;JR9yy>6Mdr#iY^SBmJgIgXWoJ@WESct3k< zofvh8=PfXuB1oKN<=BCgoL4BlJ&wq$AR!+T=K{qdQ!z8+?zNZEKy6R+C)(zRV&))`M1mI4N~q6-7RLqUdA-&H5Nt`o zvqXS!)f*8bm}v6z@Rc&0UA6S_+1<;_VTXtcsY3U|@qBN=eR{)0`S%$zX^Bz zdv+K(jF|=UmG$sLLt_dfTb5Luj+uP{8DrlEhQDiruyoEBU%rIS?OGDd5AAf6dErpY55te=n?q>Xh_DJt=o zDjFGVM9$;fqt%18OOIp7<*h%lJkPZ1|MaSL{bR&Hvm#gBC;CpAsqxW&mpyQ7!S%K? zSy5o_zEv)tEW3w#QGO!2ab{s1VJRX+l4p1N8O7-+O*r`aBvna@qu5=(`-VR5^r|5$ zVyulC!ddywYn?(FEq_cuvy+-~_a0-c>QL%*L}gM<84}e$PsvN4qC*#}6OXCml>WmZ zPL@K84FJ{0-xu_BW+HHbsHij2UbU9yB%5<2^Ofo({prWzRj<&Ec|B8$oUbd$iXdU6 zl)gz}gPdJMqN*8}Y=>OEg&y*frpY(@X!huzyE)&cty}c<@~i@Ly_t1)iDr`Zyr9^n zgj}Jbp3|VYz;t?_wN1S>FAn+rV4Z!QeNf12ZDVUMOw|HwXdak0mH6{bHK|7RL5*JR z?Dz>)z1Lc#0*4EVwU;&quO_|!DJS|FMZoK~5;UX1&o(@j-1c2AHZJI>de(JsRkK7e&}z|Q6?3tx`OoA8u}dG|$_bwZ#gGa5f6eGHwWs#JYx;n<+w{z?#QO(7jSU(@ zhEueOqB}DznmgOtNQP+X_;s4?NOk;v@d>_NVse943jmdx+1r z(&~`oRr^iq((cX-QDuzX$Ja>PD@a>U=^lOsff$flF+1N=i8+tEJTfqntDLO5_TFdd zRb9j2O;{$+H{++ljgdzA&@5YXg5bmLV)dmsc^M#1`hke_RbI^8W5<^xgnP@#2n}oc z^Lc$@^7BO{pH2Ud`LZ*it8KKF{CJ-p(1i!{T+9(YZ(_L6e>HK?ykxYwCrPw<2GVEs1%6dJN8_7G=J-Yx&>w zbXJT$6J=T^+hm=c2mM`7XE2kCf`V~l%}>NPY}5PEItN_dckvg9U^|pssp8-lC}t{p zuJI7sGKJ`IDYoLwxQqn3-oikF<9}#FH(_8?YhR`s zh|8j7!J-mv!L{q)vXwr5RH)#C`_Yf4?=m^ZE7_I<<4$Rop;~UbRsB7*_7PR@wo4y8 z7h5DeSgy(o;rO7#_b`3-ZlryZk9kE{^;VFKKAK+%rrrVA19QsyFk9KON^wgRs%1zx{iUgZRJcw4VSdLA-uwN7f4F?4%e{rJPlQGjmU9sIo!V5 zaas}@8(&t3U1p06bxln+TvX7?b$omo*E1kV0eizgp2hllTK?V@Cj&M!U5Imm&|cZ; zjKX7Et^6o%wr&rR< z(E^94{#%n+o+R=N$xB17-GqpkSi6Rlq#-R=UN;H8^xCsS-{i(;bw=_RNe*ms66wvQ zVlN|$rKI?{9&43)BTo=bpLME1ZS?qQE5~ESJ_|FR#z&>PE=r*Z^xE>HT-${{t&2Ap zFHM=(`N)crL~cAkBGQJ;|NYIdPSm=eV7W?Kn$|cq&N-xLB-yu1bERI8v3VPLQEWxBF6(eO_@a<2J{lWMmchjwvVz^#r7 z4r44U5#9MWh!9J{NfIK$7uc-+oXotjZ7eOUylBFgn<-J9(Vt*-VKH@h*5zRv|EvxL z70I8pjaGEakDKLrM@NH3aDB1WWsN@%mi`-#9QMKIr!n8Xemb<8NVm+9S30nplir6b4cF4QJU0W+(Lft$$GOiU}IM3S(cBBj~7(x;M&C^;Eg z!}3;!!!nRz{qU;}B1iXdMV+zEQaW;757O74jN`D1(H9}4hlYT50_OT}G{Wa_AY1#; z9()3X`|4m~cNon8+qO-g8leDkc5~INt>K(A)awvQ%Que0Q05fG4aiYKF{!q{0k@5W z-1t`Cl~}HN5j3K39bUoDxx&_q)5a+bhJI{vX3({N&qsAPDw44yVaewZk+{A>`FGAe z3F)7$jc*6dxN6Dkhq<8q#mB$bp3DBjtwO%~B+T<}UBG2{sj=tur8`-zam?+S`ik zYpf*sfD)Czr2n7OwEL%y`YHT=It6T-;;9l01tNV{!qeuTz0p=Parff)$hP9K4i~0hE4n76 z1%l!SAJqy;;0&+uc};@<(Am;|5Klx6`GjEk2A6(&KLT4ywac5|c48IZ9DbPykVT_5 z;-!)ML3_#7aggD6f(?dbF9|TzK(dgp40DSac z4?Iz63< zFU@K{CD9bUi|p@ssE9#|LS-q;uxf+fE~q`x$d}ueH*dS3N+avqN}e1gPXUn8PjrB3 z_4^t2$D97p1O{niTZ>=gS{=YICO-2%;9cf&J-jz`wHpyCPrE89`|oerKQq}Lyl(d; z8WX0?i4^Ma{7B}Ld)W01CFvtl{nw51R*EMH*MOy9o`K2dg$>yZw*O7TtSG_q1`%unPjv$0hoG2UblQWOt* zfK|!vT79R9g(C%-EREBltyO4e6z6=q{VD8)%pz?$W>JQY-?4`G(;THAJN*seUF;>! zhi>`uq^xE3h@YzBCS)gMwi{BPTROza|Kq7W&<+_yrfL#abk1+ZY7M*AplAUzL%1HN z55E-J3A3;J$F~k!lXu>8os@;<#{i-^k>%RtA3qfL=FiqHh^GiBgL1y6q$&If zAf`;lS+*iv@p@vgRBr(C@m=~CD2c5MSm-tH8Q@x7qAakMAaJFTWJss3Vv%)WKRex* z`Knmj4djBlfIyC_&!H})AB^ompi}F0>xp!^xB?O(-sQyYR%1)`B2Aa~X#G%6 zHa6c|3Y_4JNV~V0T}E@hls2kUE~y1nW{omoHB#zNQ8GZno-?mk%Brjz;@vAY^jed6 z!>u1S;(I`pUm61|*TG4IL!fR3?pP_e-CK8~?fm*U| z+*=}F-jdE}j<}`y1>S2(u@gd)?&&>`eS_Hu1*Tg`lrP2AGa5P5r8C!T#h(1dW|$dM zYO=C9$JWPe?A105JBdRs{KuGkDTfK$q3h$qLU(z#E=9SP&5IP|dbFGK9SnZhKKs>U zJO+yHcF#{xV^X-48C~2OWfPK6%IQojNGN@Liqe0MHJW4_0y~O1oYkwUrk0co-^mZ` zd>J7Y53;D5u1gm2#PH=ExP9pju!;?zW$Ac82JrZW;LymWJEnC?=AZ%4}*pL5_Y>_ZnucAvvu;{?QSu zoiNwBz-nbikD9d((an-ppVkw~JW#@>L;uC`cyU`cJX1Eq*Xa ztO1icm9jD{k^0d>Zpa!z#*t8oiFW9;UOV78>{+w-&4C}o2_$rNqzjP5(&2`igk<*tz+b|(otqT>H!}g#YxDCS;z;xgB{iJIpCX9R2>IGaKKo+V!d+JPg+>T0 zTx)rG9cBk8Zay(~tx&1Fdt`1x!1`mIx!;~E%(Z$|bL^hQ-CFx+v+2ZzfuSsW)u~@W z1DVTsXhK88_n3jvG^(i^T{kW11B?QeY}KoF-{(y;Rf`|ibz!TMRp*I99!XLS21PVT zQ(p}IRt_m%@YB+wrR1&s0zGgq>N1+moTp+KqrLB&T$y@z$}J$ZYrI&k$7jxRM&TcL z#4=vgji5XsR%?Mn*X?i&EK?AdPh=kxiR%=0m~VE_3dvlPYktu09#mY>%F5+xxW$PQ z+j$S@T%ZG6nT>wYj`ipplD~;WlLD$NoJG-}8~6}U#b9yQd`n81mrCUxuMdqZ&xFfp zKR9gJt&{e6ucxefo8&ITYuAUG{g&uXc!Tmdf@YLo>?W8@&k#x5FiKlH1iiIp7D3*U%h}(TCcw0C0n3kow{hjrk~Wj$*E})96ae_4Fg~q z^XQC&oOuBl8^#c75`_2!g3Id?WrC;4S`C+V#5`NOdNQ`VGswJ~P~vHM97bCf#{KUu zDEcRS{XUo)3(&?62H(Fe#Rs~zbDg-2Wf>RFY4A!Y*;cjBTu)ylKJ+C+TB(>@dxdG> znV?BN&%9VCmgsVOif?WHZpvar(rFd%js7LuI;4#dNjZbX5wP;NsrAul6Z`uLi zO`nVa0gf*|ApqX=#cv)A3KxJkHIrV6rrKR%t81tX>(Lr_kvG*b`#DuSKkpJ5iU}8Z zD67`XuuRxLH@`1N5OfdlWHH!_vfRzs&8jOxq+;LKW%kEpzda+QL+%&XI1tzurvw}| z2S5r1=Qo$-wHOd;QTfjXBn-`oRs)>i&UvDQ0&BOFs}Pq^g)hWp{+bO{b?AfsKR_N~ zt0blo0YY01$LQ{*JzYjn@Z5=h&s}`MNRHBWVdQvMtrI&&KcWL=tH? z(9ON-hdx_UrY!4thiF`X=Er{byZb=>{-o#bvFLA}B#`DnBBX6P@aC*_%2_3AE8+ zC+reyX3$MGs=&_FcPjM@^zdF z4sKa`YioCJnCOg$-y&A!eb4;;ghtVd|EhNkMbHW>D_-y`$l@yzwbft>^b8JBw2{*p}0|x zgBT27rD!Qt%e=OR7=*}YF#hB)Rb%RQ0B)DoiQITw`h7uab*BDf=>#0K{1x#$3-jp8 zq<8Kynyc`^pww_O^c1mpb9e}M`~`ZPva&O?ck+g2k$<*}y zF>NxbgpLW;a7X`D2W_dIt5HzprVl2*tJ;ei7wMj;(Ty2_!7&u>%h)6N!-9t zU`%oRg#UIRj{m7Xs%k$3aKwj;R0>|%rfYnN?mHpzth0(ru$Y(6QjsK#{rvY;$i`i$ zJ*k;BjwN(GW27SjCDRHU_c*?yBe4F-%9dJHLR+*@XXso&>vZ?zrE^lzPLm>{g{|zX z#-%zj@J05X%H7idtV%XOXZJqGz<7yN7Q@3nJCUtABnj6f0@-`#7bvj*`Yv+mz=Qm$ z-%(F`^5?k;Az!1^7K5f1w0S)Vb8D=Uw3iY#$gB>Mcn^U(CCK>{fQTBB>dY=bE8Poc z4Kg1w;)Ode_Exo44uC!b<`}O7>@3H5PP93u~o9B-D+1aulM#i z{Q<6w(v*51qh9FqXFdPZx|k$}sG`~jEl&5ifBwLjB0&0A_x!7S z{xK#0HG2N>@c%C+7h%F$y3y^UR}C#Pq7(KIZ8(N_C>YpC>K&Dq4y zZ~Z}h-)R}#ss#urumAxQ9KgM&%EHMJZl6U8A_Q!gETZ; z+0sBi(jKo5a2&1ttjIVzQet01;go?hSoz1<3-F)?RZ&NYio&9Dg{9ZDtMtgBI){nF zw{JrkGHGpRRGwI5fBd+w(JTIo~j0O<|8Uwqn|KbvzY0> zRrnbv9zti%ELZ9@^Pt4i@`gCXz~urGImL+`X<|ePo`~OeI*EJ7NE6BhACkEhlG=eq!6_e0JaFf?PiJ7Q)D&!(M_+ItJd% zKpgh%#s~Jm!@iZe=Shy{g<)wahb>t;RHL)nd*T651}Y`OVK`np7FYK@XH}J^w#h9n z`PWK3V`EtcfSMS;2LyisyKe!zm*oFuw=R)zCOf^P%K4tdk+s08rCM4lBuvEz|0>Sc zb$R51vmh{A&M_YH$pmeTcO(Ab5OQ4IIGj7bMqcE;U6Vi`EcrMpgt_$!HLG^gh8@ts z%StzGs6scF`~^z%I;&;KRm7oQV~K;k<#5Z|Z!q|Asx56wnVP`a<^t;*uZ13F|8Ak@!Pkubf*A0#z%qa{Zndd4{I!~oVDs}vHijf)HFh` zz9r0E4<|_jL{7y%{9JcC%-I0bJ!{GZy<{tiTsfcz?HkPl|F(@2-RYSpi+VjGRRye^ zjHb>4nrL-A1MXCkEiESs8|7u`cLns{O!`jO)HSFT^Qg88-JcUfMqL)!$30kStENhA z-p7F;u_*qqtN^qn+B^dF_V$;S2K@6^P@{zN>jjo~1>IQBC$k3zmzJ(f6V82WPX(bq zQsZ0qNBtPn@VaSkem`ib*fFInAZa$G%R1pq4PH+}q@s@wfUs7tAptDsFKTv)1NNuQ2!*iQD} zgxx&9G*ge|jG;!d$LBRifmDpAd*6X5TRq&Ne&Qm6Q#N{S_hi!_!fcE>8h8+6Qcoj~ zij5QEUdW<9Vrq}b5OlP7Ff7UpkM6h^8)s|8S{+iH&}o`3&B6zp4>IUkyKl#Q8B!Rw=NkXDsG zbeAH^DiXAC6Tz`&o+y-TKR!a8Zq=^UN)hu2-%s$o%m`kvHXut6Q=b(sDy@ zW^fMP5_(e3`$nJAnoPTw7Aj*>3^;G!9Sf3AVXRFwJ z%-7NGZ?1k4>%xg!4MxF&Tj8*6+4$CbhUS_v^9go#vSGd5H!22DS+3rwxR;nRI8_lF zxQ5^CvMpUF^c3uJ=Ooz*x$OnT3rCAg9KSu-M0-Hv(wZ~P?Zc&8#oI8PZl14)pUHgm zFoA34=qK&ZEF8|0=XtpZnUm-s?lP4I5{lr+zwySt*}0HRO|Ns<`*;lb7wD$rJ? zFOhquBrGHEgjnH(D9`eJfmm1>$Fs^54SWTP?_8vjTuH2g5BoN>DlDgoJZ*lsrnrJjVSzCY`1Hf|=>uhjU#mL^V^fGD-Q9P1x>=X5!18QYrBpGSId4YR+A5e6II{ z$!fiP)~X$HLmk^vI@~T&)#gtosx z>L<-btIJ#+p1i3)tYeluxFpJY$6uYG&^0O`(N}bdGfu$wdEvbZ{Z@mwGOa>j!O3; zx62MF>esrby?wKK!bfwpZq3%dR}y2#)#P5chs^C;_se$*gVVjI()atgv2e`<5C9j1 z4XOKf&-`HAmYND(FIaZCCuq<@M1U)!@B9Jt&ld^3d}=~-ba#4WswY_AkLyrznNc>d zKBN|!8F*ley&fCo=wqRPFDJ`AhyS)*hr9FT?9WwZ> zHeT%Vrip!7wYuKjGms_x?;*T}+j)n3OkB+K%g59-V+r zCey|58*8zeP{PmNz-a_uY*HZG@4bEulk_O9g>`6D#JdGupmVT&UD34~=j48!39T zd6u<B~v)R1h0JuO+* zSZMAl0S)PMr*=saI@{RUAdL~$R=2`ttns|DMj<Ar#prEXW!#8@rW(CS8CKxX~S&T<_-=5&Y9myKL-lc zY^HCbZMA9`S0S-2M^?!kju_EbcRHAR7pO)qaOcl14d5n1nPUZ)pQq4Age*0xT}?B9H+r1_~+w zh#1gA$wJFZK$f&d_Y+Vvx(@G+c2KMYn)N$GzeBKE2eNtq2Fio2J8!F&C)KGNTL+#hhn3f#w*(nI6y|`P%Gi~dB&p13V5Yy? zIZge7hMRS*OhMuDjugnRH8GFRKl-i6!)h2%MA;;aF@{+x>;<9t3Sbasq95jUeCIuB z!&vhRt7yk!?yWGrrk4vF{kHUH?AV@oag=qGgzLj@$iAtiLTlh))0uP0`ibnt5=+Pt z>!^)U72YQv$jffRdqYQ%OXTNwUxi5%3D^T7y)jX`%l?awcum|LqEAG;-9<-R6 z>G?Un@Nv*6{WjyQV0dqU|29yqa*|$WPIu$gsC*R*evBIvW?q!%jDe(BiR?g%iqe~s zlHxddbKmf?6>5X{OzyQ5OUXPhT_*ZU#T)jP;9%(>?!Kxf8cFw^t4ewLp$_TvW|Q&{ z-CmDZe3+vGa}9Oj6J2~~9TnqO2QB74t;chunky6$)cRWTlG4-97ofLZce}e+xEJ-c zDlIj|_ZMuUmflfPdz5Z(rj9qqxO;hoL|$p6CzQTnLxH?17eI52DRkd1v4*R?O_d)< z(Ta-p;;ztN;P!xS2@!oX|2d2{UcHm2hR@UyH;}WeWdjKEmTU>u)vMD}dX{z?XrRFRk1JQ=~<|Srd%)xLK^) z|9msJq+ed@fkpR@FKr((h+8&ls%~Yxf2&jGD%|3&D(j2UB3XVx!SkwA2ycJLD^_J@ zn2E8hP4+scdgN`XR<{vQI2ZrELlwN+a9UHPV6HgBX1Fc~3ESdd=weh02^L3s*&DJI zB@z4O9f>oICdYrK^Z2ygNK9$PyX&oO1{a)wyA1If8pF+ggxclw5Ekdk{)iGF+j-eW zs`&~{T>RS2oMGC!znL-?VBamTt&E7BF#ZCKYi41Ox=(cUw2Q&we}OEe??NK(@=Puk zD{TjD7a^O_$hmdWGO?4$U!Vw{mWafAVpwfBc}I;HESkNrtE#tDUTmI>6SMaLyM!Ua zX1A}{;KmKXmJZ3hf1-5w(d6C$U<~kXQy-bb&hGYee}Pi-eu3%%@Q7VLQv4njAKb?w zSKmEPxAH_OT3UpsU5^#`t+>dgZ81l$I8VEggC6K89NX_n(&@j;3sOA zZeu-hecUUqg;bef`!YV_ye!RjGf`=-XstEnQs^*)DOS@x$ck4}N?n2#;3NTkOd}~L1FB21{NeuD45kJm> z)BJ8XT6H*Of`uek7*KDQ_^nS)}_-Udn02uwC z)|VOXoGb1!f;%s7M`Bx(q@B1@ut(s*2f8tj`tB!W*WWjaBus64ik?6-K_VT`z%T8n-%oEQSe zo(^5_y``pBU>u!nVx5&+LXQ^7j`|%%cjJ&QKTCVd6b&4Yzt!T<%aF{>H%$x zU_~&f;#AbE_o`4ZscQt;GI-MtA!+jfx-6R~7fs=x=-!JBaoxyg6?Hhhg;{@YgylBb z0o4>o*1%y7M!hLv@_F+;t8f#q2wGxE_f+O!k(KgmDT)2CDL9siHERpLS>h5yoE@>% zi{8PkByr^+(d;c-5kLZ@I%u=zo7uz7h!cI?;Q*Q3&_I>~QWV@tTs0wPTA`mBDsi%{ z$c>Q8cd?sr;?>}UxmmXMfF1_qEoHP(5ZbD)C_l_LyJ&CRiiy4yVp1+X%42SEi1g6c zj1iq-li{j>fgvD}8>u!AzTYt)d3V?pc{B3x3yy=6cbIPv<~O83rTa4bK00$h3=w9r zKS)j}yMH#?oi7c($7>8%3v0I|mVty4&7Xjvo5kzS^x8l5@ngv8?Xf&sE?ohHvD7*M z2_pfDX4v|P%*am`yLh3ORTV)24Roi?1wA=H1D%e7GY-)0+{1DJ6E@oUtVYtRhKrBe z+FiJFU)v2vlIrPMBxono#UOqlB0sk+^iU!V*|}p1e21ln>BDWI9eB}PuJJZ>j?#9w z-8*A`d2Y)qE+!dH8ovJ#V?K(;Q@n4;2)WBVzcUwa)HqR?PJVCCuFKfVDZ5FEJ)Yz7 z7-7>oLQ<%LP^cLdeTH~bbCOt(l^c@7o0f>%N77$ab8z}_7P1h>73BaWobzV*jlaFJ ze2Y8>-uYBY-fEW@JukKvpg0P)Bypau(WD)G8UB8Y@Hpb@S+t5cRg&474Ye|CBjqyH zhw?IkLWwD5lGO1DUMurPB8eCy=?F1@jLULF$@fLe@m*cqQ6rU_+In&J=g(JZ zbxbKuR`@Yy(AfHrK6-P+)OJRP?!JqO#Ih@%M++gxYO67-!WIg^7u}eoJ_GiPSI@zR z7a&hG1f8G}g5C%gc~^ALU}SthdMlQ=yvKL#b!B3=v`#uw!=m|TqIv)Mw+DY_lC$Itl5>`vbC3cG1d3FC%iDd&y?gI- z&fe$ry*+w--G4Z6=weZ8GUuA_eBbB&J%L64ZNT8a!+{evdjDIq(O*{g8GuRXJKqCr z;DG#@sIlmO`KIv}8k(qh+CGiAl z#JVhYM<&tSSf;4R4?q%P6=fy@04jR0vfjK7Lj^jb9*VH^h zI5`3L`hdos_mo%i4WlG(Tu|Q-=;7{m4Vdk$(2ijq z5w{r_{iOv$(&$tIDzet76Mp_}g+sOd>hcn45&lwdzTB@_GfzplqON+n#8@aaZdcBo zHT_d$tnngm|0yv6Hi(DdEtpq-?y_y3Qohcdel&w=-W2&<)CUd;Ag_&}t~dTju@nW} zDBeU2;7#PM7~&q8$XQ9A@}VmY-7T?0JZl#J36fp{SX-!ohbZMlZSwZ% z0CIGKzo}h9EcC%7%Zd5Y#j_zbx$4a~gJ|s|2XFPq+Sge{Wm0?}I!q42H4Uxm~TSD=y)Q2BA-F_`z zE6WiU`>wAK62iob6NvVi2)jDnUykIw`q8V-NsU4_CZEutPW6rn4a;IV^2U{54t~*` zwjd=Zm^mMzLg8GA@tR5hLmmSiL*6(Sk;)w$keL>BUb#bQez+IDNtv!3@555|@WQ#G zZac0U`VzTN27Rbh-zk4B;MvfFUEVQA!c^q}exFvfFNWylFE!EFx z#yct#B%S3|gb^@L2mQ$+aOx?t_PTyGD0+!0dQA z5Nc+xQJ!(C#5v)p@Nu(Nyh|i3 zZ0g67F&W@`&wlh-{?Vun&p=UU0H(V8My}j#OOCI#ujx;a)vT`-Y~@_CnkB7n#@y9p zg%~BtY?TXpc2A@%$>Q^Td!AKfeme zv}BS(4)iL~Uj;5R?}c{el+>O0S8f~Kj+VG@-sJ8b6@9;o=b4)=zI+|nbIIgI6I;N@ zLi3e6d20)f-&Ky+4b-smWseWDK6hXDGmf)-T+-{}(FyBoGNU(nVDBdMBNH#9a|Ivh z^lOm^kS)?d^8;A7^#g*mJwW?7p*)MaD(Y|rkR7!+|th5 z^|SAVdcH2GUiEGUxSx|ZS*+90o`^GNek;YC`pm2SAVKp`?UAF2y5p=m=GRr{k|Qd& zL7=@pyu?6%Sv%z#S3*TfyWc5Ri8VSwNX&1-Mru})7sTV1iRoptx`felr7z+d#*TiL z`U?Af^l%)zkd~Tb1irkO1@(0IQbOt0`&zKe@u&s6`D6M7RK_fFR*hqMQ7}!Om*NDc z1i9=6rbU1gX@z)qO++zo?%-#-DiHqF@JMm>>Sn}xWluibd|UenR*jFPyVot4Ca`~H z;^wjH9@eUxp87fppASIwfY)Z;H?tHjTG7&um9pDepotY=(o>sqD$NT~bD~2nX=x{J zBmraZSm?PgjB1V_yL_4VGQL0Z==6TXsy#Qx8yzGKJTIYmmy|N0YkV)#+0j~J)RUp- zy9;@?UPQ*H3pUW*w-I6EKzkZH+(_weMZOY^ABRi7(|bKXp6BoBVo>@=gfh{N>w>G> zuy(Z?1>Ql=RRk7X6YxJy*erH0Y#S?G0-a|bQ)P^6_|Y&41~++JU0w+KCD(b%J=>?{ zR${%{7e5#s^W>#Qh02!wu-F8(W}HeHJRk9^$V^Xr0`0N$!lqc0ioIAxB5_JET@8?? zfu3s3)Q{pNLACIa%H}}WPPBsoyfNWT3;=>Z!LypO_Yp+I<{?yjCCJA3F@GQ)Olhs_ zr4*;sgy=Fk#8bTX6b;CXyQ|?JKZaU4;dE`l_^fKu3DKOwCZxW6?}BuW@{uM5Q@kGr z({@9o=UURcv+Gjb9qsW4O&OY&hKE4qopbzw;=o#~3~}s-p0^`bTTyi)ZsFZe_LDP$ zXOt$1Fd4ON?={rO5<(~sp3M7gIMPa}DV36o$$nlm(4B8DflMqeJ!Pp2<$RfL*@q?q zavYGxUKS67(fZi?spbai^ zO?K`(FV^!D^s;Seu1?hFLb%5p{z|rFFMdC6@5{cHx)pcigl^8hne@IIbyWI?B|ZHK zCv!imtK3Ncv@ZMb;`iZ0CgWfS3W*Ls-i%Ie!7ia@^(?I|zPd^BAY)Tmo<1HC++000 zN7vLHR2mXfL`D~>1l95FNJT*tm9_=}1J$yY@f`+~i%+2-{8#!S`)#DQo6%~uK;K88 zjnlTlj!IL@$7S03yK+VxsxP=bXf07E3(8(~wu($RtKu&1&qow~4yTAL%OcHU?LHT7 z2W3}?dQ`6NVA%KS?mFW>>wR%8cpeC=$)7jZvlP43k`&MynVn(THhC9FovH@72L>P@ zaJJ|0mYEQnwff2I6qRqb99dy(-3dFj(XYwqal9h*3I={q@PBA!htGs(daeW~%Lm$I zhw9L`&g3QCKGBFVjS>oyA(GHC>D!?m!zg^IZx?v;hVRts$~D}E8t>Y5;=bSroAf&3>hu8lV0Z_UEiOc#x;47xV`^CyjjU9;#6Mh!5C_y`aNN4$498@ zVXHTSa7LABA7;0d4j-Qk;zm7bl0q`82}4o&BW{heh_Y!^CW5z7@0x*>`oLtfZ0^3u zVR^QWfqQad{N`;f9Y=7&cA*>6n0ro5b>M~QdW|LMb92)MOLw>(>Tcub-7(I>a-Gk7 zUsw~vhJ@9k?WL)t7wh$dtRr5VMkTG|H5Bjq9!L5b>q@^pzqOk0IUQDC)~q^Q{vJgB z#y`MOc-IoOOvYT5DZ#9?f-gcbH+xMay>`|U4WEZpL^#m3v1@Qa~rTzb8HG`6jyi# z+WDR2py+qWHz2V8Z!kS6gGI`aoCumf#!riG2*}`cSP}kyBbg8uIBnv7pRJ&du-^Gl zkF6RB65N)=EcoA6?)vv5$d(UKwCQI*w!8JWZu=qg%Z}xDwV#52_JH?KUtb38z2J?c z#O3LMylI{0%%1%0rk@iMotU=a6UrbJ-#y}?D7Ptxz)7+BhyrRLdF5}Xxi&xOjxm>Bhb}^fa<}WT%c0O zT2@$~yQf98Y&5u~*XyUKJ~X7Lsi}r(ZvH@1gQ&|7g$b)hzYGwKkO(whrLLUdjvtx7 zd!e~8oJNwB>>}=?1zFShO2^hV+Q{Qaw41C6Th6;$386I-b@!H3iOGJL9C(LT?alU^ z`YNIY!AeFF_6euIWj6Hpm`;wZJb-~l^jDzb38Z6HW)grC=x+LaF}fkn}LjWm#fyX z{)gJ8`9Q+lXgXm{NiH#aOVpiG$~i7ci_v#lm5GF->j)F$2#vKeTmN?IccU*2b|Rap z)|Ny!@H-;~pO2TYv-4ZlJmSapR3s&CiV{+nQ@Z2dYzvC<_#p;{nj9C)RsxiXW^t0~ z9P4J$!n|Tj7=wE>Yd>RP%t5<;-y#SPv|V?Wfu+5VWIx^su{CSZTf&A(nk&vdwcF_- zya>P)LX99C)gQz7u%g$wIvRmw3htmZEB#>c^~CDVzzB1YaG6Q{>tKL`8YM}&&S!TA zQ3XaoAcdvT9oL?o#UxE4TN8_US%i=4N11!@=A;KnM^I7cTO%dze~}QE;Na0ud%EX4 z_mE+5^HRbtCDmpGwrC;LYkM}B@y?K(Ow>3^9(K_@$b zBM0aplx~naGAmaXVsyucYM+!_Pg`5haJR9xc(bG6p+Q9`Q#^TpzdXD9rF*##UWxyd zzYGsK?UV2NZSQXg^AK-4st`{4M*GPcO-Tb&53i*Z!vashjCZ)RQ5?R1*YCY~Y=cWF z#BnxCy0F^^bV-Zp4t*XgyVw3Iv6s=WGxH)7hb!_bD1LqE{?~wxRfD|=#Kg#mk}-Qx zmwUmrUc~CAEw!mV1OWd;VoM>Wjt@tljyo+-i5o9yR(<1Gt$7-?Pg19a$>1MEarS+= z1zYdE{v{Zx-4Zx9_4$I>hyhXCR4$)Ht9GjV~Qh1H%=ZyPfkT-Z*=c}mE-W}J5tFWT}~ zv)ZV;9(W0hZu_HMb&0jv9T#JItoMi;Kk*^xQqh{J|cmnsxHZQ{Xw zKg}pbdXxYmk{=OYdbwZnLFl#cvOZ9A3!{0yQ_aL@MUcH&3#Ws%A>@D*zADzyiNyGA z4O+!*KCSr*msfOJgY^cTn=>o}uJ?4JMm5R^oAKL^L8`vPR~k{y^}u8vK4BPzr=BBy;jdQ+>@7qLdFY&D5c)!+v<65fBJ30VW7w zt_qL5piUQL9jc`By$~rHGrb`Judo+{ACC^C83 zdM^zUK|h5*h1*3mJ8Xmx8r=V?8&W-$^X28brFpuW@l#cHd14anxfk#VnxV5pAFkuv z=&-g5$!g{X01EQzo#wkfGpgKhmnrLaz*LiJzaWVPD%QyYO(ny{o$e);jkz744fJ;} zMy+NZrIAWC3sPH9^ilwpVTt;C9wWiWbxM@eg`XE^cU!sY8XG8|mh+LGD+?{5aPqWj zMEJbA&?LU!UjEs{Iga0L&Vc-`)~gulLtN9{R;mdnJ3IhMts~#4D?k2G-wuyTG<@fX zlqpVCUB$uf_^q5?pq{vEccO?Q`iod-$+JXLYIxNV%Ba*=`$wn)t&gh=5o{Y##Src! zh5B!NgPz{mTf8!3Ohfp-DX9B-Eo>X#Ien-iJ6U>k9Z@}FqwxM`0(Up7QbMun}mDobm2dJ;#Q=5XbZ^#Hk%mr<$GJkZTdU*ckc?7+f*Wav$PQk+Q(-fUUk|O%d&%$9=>Ak%6s}~ zWI|$H4vdm%11T#O|yfl&IDt`uA_o1;& zR=drVcx-^n)1^P(n#SDRW}$N2TsvZBDm0M9l*o8wy2HOu`?z9?p9X7xMj9|_tf+Y` za0y=d)d!7(?E|Z~E?^sdzEfiwjS8ti+%_9QoeOpMiFz0t>jE}&YnHz~iez`Y94NeW z-*{(W0P>ny9mRG#^bMyYw6ShwxQ$n@MUGtCT%1!AdDl7NnB2|@MH_GV7`3D(U#W)y zQ%TZ_JX_U2oz+PW95bKvnp^uek1+oG*E=oh+9oL$DU=dDcugN@N<;{Ey}x7kwh~vs z+uk2Fix&;P#ZA~r+7<`=Wdsz40;gkToObg{EV5h7f*>Dw8mGj`#D-Eh<3Hn#~jF{+c)4rnuSIB2`69B((-H`vM3 zn@gci5Bdn@o?oIc3sams;Ml+dXnx#RI@>NeSI8s}34eleN)40j@@5x|mW}ju^khBV zt6+kJUByh-ckg?mB+d&_?22f;hy?Z<#_n`RIBsa$SDg|D|jA1@r3ovms224J)4eQB`%YcM)Thcsox?+zHyd_ z2|Cawa3z%*`DQ_K9vO3*qQ5+kaV#8)?tH`O(hdrn{*$cK^$H@{OW{k6*urk2f||1} zuXwxLYiGvzTA?En|F5_E)K4g06#Y|@Ppm&XRsXX5EXN%edz_2N-stTbFYV>%Zu!tC z-eu1|N=YGC!3wL6T(GytQ(y@L0sJfpX2hw+aaq$^DV6l`%ncJ|V#9d`D_vb_-U_qk z!nPUxO^GU#_wr?#V0Wlwke7~NK(#WETtG~`;u$EBg*@-Q{{eZFjB$A7b6hu3EvAz< zZ@EJ5g9B-_#zapj(JkTb$qsyJ>4yaxQw^OTiFlK;P8Pr=#-BIH(|((obiCY-X-hot z>MlPEssnjHZOuMoZ0tk>euRYT4Qn*{ZMN;`K}v}&^5VmSFU@SZFkHiac`qGvyjeGS zy2Y6@q*OAvI@fJ}-KHYAK3OvsBzO6N?KObD2n2a@h16*C(c$lVw9G4>&wH2DIhII~ znMGm~G|*e1M#QQdkCf83tqrqj2MC7I$V{+RN5UJlp5mbNIwW6?KC2^>z(UNdDZ^MV zUW_}1-?L+PY8vcGR~8T$W{q51*jmA{C1b*gdD~Z(tvKPNisdsZf?3trG7hUT{nFG* z%Eo>nIQcBrJytFlCz^At?J)=k2sE0&2~awsUvM$Zl@Kq%HC4d!*v{AZHUmaRw?L>1 zNL)h{+t~82I|F=gO4Y1@C8w#m-RVHuK0Zy!~z0igG2M`HF!iZ*afmDY-^mA(!z zj#&%Oz}>%4>lZJvb0zuqs)(?eJ@iJ3n~8v}rJM|*cU!*UO9~J~;$VtL$u)N|z8=HPp{W=5m~eaB*=W~|PwdtShVvySbU-K<8(*YZCsnr7N`ErpC;Afva%(~otsoSCg2sIMZ=*}~_vpTRjf(a!T#q&i?6@xNkncl_ z%2UPoa|F_|-P*b+ab_rka@R7*mzOT18j|DY%^&^uCNGB^AjbS3cNsmVQ`uOynX4cvUY2s=1&BZop+`)TbBAFCxeIfS{MyU5U8G$~m1#NM@_fU{&<`5m!DCx#A@<*ORlgdD z>}@N12Ey+sZz-CXKX2Vy%pr^gXpimdt;J(b;WQWu)f3epB}U&s$iv9%c&%TKnT4{z z`A|GQR>@B^D>G22-Pt8KlOEv_wZJ$j=Y?w(miLzEcdiQ`{h-5T&y;ol+__?g&6=c+M!sb4vym**bG2%+r02TOkOACEVS1t= zZY6r^_^+9G(Dk;i7RI&dK#r}8E5l4MHv}%hloZ4B0@iPV^|o*1`p=NL)#r?W+%k8@@a|a;1w5j=#Y9 zx(*(cwW-(Z%8p6wA9GnBnZ$8n|H7dz;;lha$E4kQIR@fg=Q4x*6pYWt@w+06T34P^ zfEt`^beW!*Lj2ijGHCXguFC*u8DxY%O#3W z_;>2^j+G_1`_I38zfIWQ0|-z%<#WuQcD!_*ZT(AiskS{Ycsz=>d?vky5>% zpcjH9Q~yg~_Wy8>`1^o&47LJ*)785!5er3Dt|(QesD1Kqe>Lz_;3&QHWB19bDP9T6 zEEE`<_Mf1=tD`XI`0K93ACU7Zry$gK@K+_`4=7ub3j82@ynM6i|rOr{;ADz zfLFm6H^>$U@G6v_h=D*%@lwHJ9a+V{YB7pb+v*cZ}!QAe{-mHv+Qhi?ZLkk}i$SXaG<=lh;;&>e^G1FcWu zh@LcC+t&;fEDv>r#?UW9u& zB4DTeDj_4{{_~j|N#ecpvx(_9_LB^Zf{CLwZm)WWFY0^P06^P&BY^Oob<~w{vGD>R z=;=zXkpZW|T~9d6En>3mlGIPQUV`$wZt+5XbhCr^IvY8QvcCyQgaG%mKF7~5X_ftE zg_v;sdr68njP5Lbmtd@f;|F`mN(R@=awK;jnp8(^s@UoaN5&%7f}~;b?ZET>?x7TJ z7_QoG@qK{Ck~T_Lz$$(WLws!|@a3K>EjRSm<60}aTL5a~W1B7g_;uw2GpDbp)*rF! zBAj7L_#NLvC1~f|ps(Qk!%IC$uDH|j1*JJJTcYELWwPXDVW0rL`1&8~ja&%1Gv6!P z8>OEhAHE}`9MEpzZG;SNBl2B|1h)KGOVm$a5O0crVB*c%3Nf^muXUQ=`#}y!*^F3? z&r!Z_zoIuX!WFfNV=@ai>E_xYIlC+hcMX+T+VQo!jlQKDSlDzYBuAcaG`YMTGg*%Q z-H$6MyJ0KmFB!Dh_z5DAbA0(;T^W+!7lv4^3^!v(>5+Pq@p9Uasqxqi2#^9H>%#(w zxkV}ypmp)mbK;z{VrQGm0AId+BWz%*m2O}1IA(d@hXn4Dwf5s%?tLrLalK9l>LKFC zPNyg*i-G!IMqea=l~rEoA*x%TJw8km{Dr)m^CNtSD(k2;IjO7=zC0=zW6*TP|P ziOTg8%c8|PrqmQ-pYEc@`YAFBVU)eiUL8dRUT6ESpd!=duH}d@%cuChPT}NH5}1nF zFKhYPc@$Xkl)8aFwLhQIsu(wbrt1A$B+13i3*;nVXP9vweA8%s4W6&_t#z?kLQZ=Z zPeBv@!o5U_h9{jk*p$C%4`1W6Z-9H z|Kq|yfhz-={WQe=SH~+0t?lP4Y`2U9r!LkBOxI0G!gEpCrGVMTpAR{8LUc!|iDJvl zk(l^KIE-~eXDa`jEt<>ALAoTyJB*kQUeoy4Eptw|;=HQ{d#?Tj;m#qzve7DE+;dTu zAr@(M%3@{R=?dbrajLs)lE0rqe;hNAnCKeafgKH^sVl?ww5<-=i;Ui~IS~tq@bz?9 zY7*Xka-_v)Iz7|l!w9|Z$&ht}y~x1yH@OytIq(Fb^4xi96X(XRff5D%^GBo6B>4*i z@PETq7{6^B0Vc)*Lf#kV4TSjWaEZD?z#HrN41u&`Vkq6maV1jKggZ|<25xM7fQC!Z zpI0RRZHJcor?yS7OHUToB~=x1@JR1syK0iqQR*3zZlGJ{`;+F-gl~Ewiy{{s3G==n zs#Ci6-#~y0cM>T#IC_n1wQ}W9Usm)7Jl=0u;{RyF{wx3YhbGfMxZC`zzBzw2!uv;U zgv$Em-CWDSy8p6wTf7DN74s#{Zz%&YUw75WQe6bBN8S;{jDXsR*ZEcRdgFYt5+p5l z_lV010Bd=a<5Mf=a)wAqU=PG*&Kg*F~m` z%MRp*^n``xCqHqRV9?*>`nA*h{m$|1 zU+?O~YRt=k7R@SK_>!gAJ;X!_tNa2OkNczRXy4UR$BMZ}?+49TDRDCiCEC#+o7s}Z zB5SFV9x-LVY*6Fj$sW1Xu=(^U6>z6TH{epQm^xr+ohY{{FUcKEaQiV=58_e&pXuud6p;lkLt| zs<{z^yjuFQN0!tgOCWY(xRBsV3jhk8k3 zKp#gCu;3e$ZW1K%eP_vD0gkul#Q)=4e|`IZ#UCx7x@^e&R*~L*ZLEGTRj4sL_i-P} z9mPej@jf1))cRbT~>KS?!0H7}h;5rT}=2iN7Bo%!fY7N>t&2XOm&mN)dq~ z2H>gwEfh(@>auK)=KrOBb-ds9do~vRAvgX{-}at?xnL|$W4HrVu;Er^ljrJxC#62)uKCvA=x?`2xdtVheKM(^nyv1L2RRrP>mVQ0 zO_o*TzF5(J!WqwBQn5^7dNL-X7QA>#CBL}2X;Nm1x@k0s6NOaH7B(A7hW$yl-R%9-*rQ4y-|9WUFkOGtCNXP;uQ;wS*<#>de!p4(t42 zo5jdMMovui%DWib{694RK2e_-h2yX8)&I(Mj;(mWZVJbUD33nUJmaek*f_cy#-=SD zsdrr{+<>ltwQU&L2+R*3Qsl+gWT5j%6=oy|o&)F#tut(km+nZ8beE+?3tM|$zkgrS zX4flW9-}Fzw<&TJCxUOc+^d!@Q+%7+`ompBE+MHfav+GA^xZ5(1S7vTS|Fp_e(z8E zukY4({u93e=hjZ4a=KJ~`IebAiYZFb+>JT(Sbj5(rz{x#Ljoh~5I)+w@Y@>iwYDX< z9QS#vn+hm*wt&=*^P;7nbl~Oye!_{UV0?n?6kvq-Z{fSR^V(0)vK!aI*nCtYb(gfM z(muRWei+qBNUhI)PU_HkmBAc>BK7^f;j6_^hpylF5-vrnh}zuO5a9Q`OBRfBaPMn%Y z@rynSivCVAn|wO9N3-6%9dravFrR%6k;7$gE^T|4g%^SfPc#SJ)uR)xo?yB3*8qf1 zw*DfjK|Rj}i@KHows6;;-CU{tSz!u{cYSwThVTj2?GLAn#Jn!9e}aat#G1k!+`xl6 z*NT@%C%)U>%yRA)ohy|6Q2y57b++I*VRAW>g6CaTPlU7|noM1x#oQuETD>T|U$pLE zhvkRm6-~IRUR6mdwQoGyuq^s!FnJ@E@e$w;k2(LBMkm1Qj~Ynza>#sFJjM@o=8!OY zcs}~Pp*}z*t5z|V_i;NKHGvQ#NomgBd82%SMcy9VT|mn|x0&#eqmoaph)0P9gr_w8 z7Et+0c$2BrsV1-6K%bv{rmi|@2?EcHq*e4XraEaDyP^HNJ*s1)9T1oh`~=OCJqIF_ zi!(qXOLs-rEgCJD0W%=wVzfKHjdK?pUjB4#t>=&m^V&eA|1ZtC|7Q5ippCduRh!BV zbP2xD`ni=ZVtw5wJ-UMQ8$zH$bZy)c3MCA!FwmB7ZF#fcbvbdgsESb{hdHr%AN65V zB*8uI=1CB9^X5Ef|`$w=CW#NoPyBs9*}Wx37IjuxFC#>!yMV;9C7BkLSOissEMD z$M~Jb*Z?^0{a%OsPnQhP|4xbI@16bsx0FuojmX601U}{J?Or)WlV+E&SA=euE7baH zV4BN3ISqgpoyJVu?L>R+mHleWhZgEf)5_%A(VwCc-j5umEUst^{Fn@h_g>oym?@jR7fdA)RJV%r6y1R1SNO>1liNw)|Y*H^PrqDF|@_RrVY03Jc_ES ziK+Z_rG?g@w5}Pzh`FaFkZjCz#;<xZc5HXCN;X)BvhBlbvP zR<#eQX^9op&NDt1by;(x#BV#9czfdH7(O`JV^zim+!L4cNa~OzR4Lp8Q%Ynr4i@Zw zCpLGdNIQIO#_%{b^=30CY;m*N>`T@+{5ycG)WS3!R({COOn&`#S&@0Pp!2n}m`RJu z>I%`m57i7OCu+BM!R}k^$InkfwALq9AKcZs$G}~}7@O0ph%cqv@;sUlhu`y6+WJA- zRO1|f@dS)B<-k)+Ff8ak;ovh{wS*7xS~W}Yx=qE^X|UGb=?~!HWvo_sZ5@1h9ok~? z{GFNz^Qt!OfO5Fr)9{x9FlsC2$d8GBk{=aWgciQ`R{h>##C!be<yzHw1rm7GQ*PdN}|QIo5ZP(ess{L&>U=yyg?%3Kmt~L78h;?~)Cn9(#v9gWKQa zgB@ER0)}ny@^>XB>w4~GHDHd5=Pws(ng9Y3)O+(O-be5bJ?g*h;}*a6@#urnU;8-R zyX@CKUVlxj=MZ7*wZr&7wQeK>`$i`kH$Y4LYXd->q%ixdaVfNITnxJUefKhP9a{Rh z(|epa5oSLmIlp2-fRRUm=tl$ng&gretTPjh>*M9a^*R#|UMXGMw$L-OJP+ZMa~Nbl z?8jbRB`DLTjskzWr2C+Lh8Wtq~}*Ar{0U zw7MBvljo&%yw!;|)w+<`r_}y!9h*SkeprOJ>Y&r0;XIm<6>UB78)C2Gwu4D(B>~3 zY$oWy^akIQbOmnJ#A;{z zNpa!i$_&#D1v{QJjfrH_WTIMyt$FcoV7%*|p~ZwhkCj`$H@3KUYNyVx{QQd!z`py4 z{)XW-PB9N|hHK-0IyrVv-{`Cj-`(SI9`;q7v^78rC)ql%Z+gIV7v&?hDPe|*eCYZp z9&|<(n)UrkKG!kC)UxErr?w$Go1 z#>T&%s7XetwdmWSYVVOS+yP777TnzTWzG@FEi2DeVlao82ATzsEyVFe7zDXtSO}x} zkAQg3hIv&Q;cdMw^4H3fcI8gPJR|EP$_qkeVxj2oBNK=o19{UY@BEY3nt8F@l)LcP z)>E7oh9~zc8B>HmoS9=MP8!u8n;&-b?-m)XLF7w8>V-P8d z$2(m{WWLr*fWVv18QzC>~_rIB3SoZ9FmR#Dk8G?MPDgbdxUGVIgvDyW=dN= zwEj*n3)2sB+M0!NBSCgEK}ZjNdcWZngjR2UF#prZtKJD4jR?x*u*l2m306Vn1kpKH zk3feua>>m!tDm6rUf^xXOm`fOXhH_t-Q;v4`K#8JB*7Ke3g;trx5aIC0Pr)$-EG8Y zZ%3HM!(=%@s1sM6e@byMA>VAcG$U1=gnY7nDu{vKMDT7}l8EiFv%R7hE!9|NvT)|O zQ{PtPyX@SOHwpfI^#1K7l9we$7brhL3tQ7%F55D2+(R9`quB14Pr;baa$0(H-;zz>o!#9?s2$EU8R4>SM-ic5 zwrvjvs_>f(kKNtk!pK6AqM|(;E++{1NwLU&rKe7=XDLaPgldQfP36ZkRCf!n0s|Ox zP5h8PYWh1gd-oBcGT(M2)A4qVcL~SsBGj{daEnR{Y_xD8cVtooc4lo^Xn5bvf_*Q;*q)@Ki!+2BNz@`4phyB-F; zpM2^1Vi&1g>|^Ui>k8(({CgCH*Nbk{r+<{XwhOq3a17Vxdzb5Tv_^826f=}|2zZ@2 z3*8~g?FVYgb{=29G}J|}3Pw2zzND?o-kT3>Ey~%-t>^CBUQJ)uKf+jy`i6oZAVIEL z?#3lGbtJ*y^;9`cR<*7v0idkQxie}#kd|?XbBKfHA}1=2ccR;>Bo@|TVD(8a=JSk7 z_hK=@mkOLKyynuLJ!U-zAn9I@EeYBiT!eEm0;5wmF>CEeJrHy6p%9h^z0K zUHG|&b*su*Z)iTN&v8<%en+m4U?lcZ<3N=lu}31{bP4ilV=UF$wE^@9yF1MZE;O9}C( zZQ!FBAjmqB+d}z{LY8@(QFu61SJNUdB=}KEzwU10Ut@gD%sgAc*RV0%42UI0&cS>(6@U0hh*T^_j~mTmf@ z1m4u{ix2fWQkyyo#M$2ih<2OEC)E?p6uD53A8!|iJ#m<=KZoX~Z9Cy^F>oq#@A!{B zX7N*zT^*%^EI(@WbY;#9;Lw+VXr2*Y@XRgb*uwH!~$p2}9yd4YkGT0eT z^XOxbGc?F zJ~p5+j?d9|TVBx&@A5bsGGuEDdt_~s+X7WKdqgIl9YHfoaWH$gMafGO9WXfS4H4so zN;FW1iG_O_7cxb*y?M1wf~B$JazI!Oj}1F%d$-Jeyc2w;8cN!DUTl^-vdsSNp+fTq znaaE^a(U~!^zRbTVjCPK-lSKpN;M>PBI&;|G40J~gm4{IcW9K^n8rW)O2AEi^yc8} zMJARcW=%BFKHYr@1|7GuZAOl;y=9f$sw!zKpa&c)&mn#w$QrlX69kAf|J*kec&Gfn zF|1H~ab;P1!Eiyv)1nF{03Rr2qUw3&@h)Lem||Z9K$H9pb%C%^INgb|7*HX4t+#SZ z@GBPzHvxck5kT*(CjhLY1-KUf-u3kB>y{TAG8SNRQ7);R>dSiC<@YQ-xuYw~lPBW9 z{0)}gCc*2M3CM$P1TTLWJX=FTLu*P^hd-Q*R-RkNJM6pkQd{zlzm!@<`Jb8}K~cjQ7WP|w%X6}Nit~nQBmWIENJnbmPcX+SDKkSmcI1EiKY!_oP4x9 zJoi>3rhfZ0|M|%teXf@bP3Ovxx8(DtNN|SMCu`Mrw9T%eao*+&yD+`S5lD;bb?Jyq zuo}x!nJPGljbbEJ#eJq()FfxCwBbv;U^$_hyN6`3;Vpx#JV!1FH|9}*wPiojz0{Z_%nZj8OP^FuKZ zk9s{7uOJjs0RjUXilzIh5i#VKa^Yn&*8^D#1N*{jN*i+z4@`L~E41X%NX*^@l-xt( zrVl_tBQ#t#NC$WDQ?y#T!1j=ObaEfyv@9shSdk+c7L7lA^Li(U!5GJ*-KXC11*3SXm zON{{5CdZA1v)c?uo||kJ+4Pu!D&#yQr&1$!E#b3c)*(qn0~N(f1MfeGu0WD&kAPzC z?o&X0{1XJok6EJ;&-i_>2*m*DuJ4Z{S5{jP`~4zDtNTcIA1A*qZx%c@T?jP0eRNvJ!ZIz9p51P8lZ;K^#1U_uW{cG9rm0jgaqE0af!YAl!-r)cEhS ze~G*A1LAI=2LMoa7Y!e;bVUm4AQIN?FfXsX)c0)Av~moJmE2%d&F!zId789wVx&hz z@bZqjDESlf@zUIjA?H``Z{(mH15A~!mujgQU)ApZVWj@X^H?o2Hct95?20h;+wu+T zPHgr*&^ncvB$U5LCDbmnLq0l~dJO%5D2J1Qk2AvfiaBWKJ3Q}Y>A|d&-vh>yTJ=!` zeVTq4+c7s^BOXY0SfT>T@jpDyAErwRoUSX$kY=KKGB>xmF)_PP4|3kmQ&q^LD46;f zZJir76JZM1^Qdje*&PN=ohehHiiWI_ficNG_I@r~=kX2%nfP|R1b)<5C?LZB2?A93 znsmRPD0&z3X@LRrHD_m~l}pbP&$l6(XcKiyPx~)FuCC&}Uw0faUz3k3plj z#L3FbFSRWdpIE9JLd}CTgu(KlVtnI!{Nf-$W&CH;9<1cfNm+(e;&66vX%pP*( zUOOgP%cCtD|>OA6yRaKTHYY~hZ_|iSex8Ocn!+^ zZdBn!9F(HI)NXiJ2J*xj*XC*7#vPldiI(%GgsC>yIRVN9#ILoIA^__-h?u;W@G2Vz zgUvH+&F1FDshrr?bztL)yxE>@^MwZ+AoQJr;`Dp)_l;$rO6l(FF6>?<2HT%sg?;Lj z@_%W1pDopxCxVJ2KzQ^SDB~|I+dUi3*UdFS`y-b5wP~b^xuKm*A)OCCL;-52dxe&$ zgEt22bRlF5He!OSOGYYnX1L7P275>LC71(DD!lS2mp(2WJ!@ZxsO^&hP>)Gzg&iTn zq>FNah&=c9EWEQG=dL!|I`M0v#R7a5rbJ_(@XrlTgoM`lKI9~s!Y-+Wn$a9QUN|aO zx)}MNlDBsD!qj2LGU=aU@#Zw4|%jN%9#Hjd+!+(RlBb1Hi866g5<1#AUQ}zf=CjH0!>CG z2~Ey92`E`WK*P8t3`CLoGCc>4xl=fC3kLhA3r!~mM*F-`Ti-VIpNOM;|sGE89q`i z3^B}uRy?^ea<1qDe@fKd*zl)$t&`u{3CvRPWJqCspvNMhG`>DbQrw4M65psVT71jn z>ZFW`H!R!xeyO0It~vZkqZW95HJmH&Ab`}a(!{~sx^jiy(kpMG}} zN{X?Vtx+=0Ng8}PFW9;3s0-#|4nfY5Hrg+AICqg@OpF_qWv)MyyOGZb|K9EI8o#U0 zy9JwPAUjH=O^dHm!qCEA^}xI(ktkYrJA44~__-ohSM${xnVV5y*Qr`<9vI6RMbhK~ zn!=b^`k%dHMzcVV@tz7avjk={amx38t%f==pxLaBsKcAE`wc0!vw}r6k}VWb`n_44 zK6)kxzc@U=hjF3wyl|i*!+3(Uo{BXF1bPDqsk4Z{w-0!$0c$C3R|d;e?Qc*qkSKaZ zoV@cJ#28IrsIiM!y%08^wq)a}s5J z_+SKJ9qfDwR|oGlqohC8v`DdyCRKVSYg)5*Xt*m>QTW;$A#gw&tKd8x(|s5t!W1E0 zVF*ZOr7f>azqEQJHhCnsQNxKLV1*OFvM)@WJ?sgwq8 zdykiNOc`4Z@+3}Q$*i>{jteobSA_F z!>R@pPfo$7)8UsRgRj!`yK9|8c^@(H9Pwk-aYr#8O!0e0T-}mj;x9qn$`B87{Bqti zwj2xNzyJWZZNSS+nYKw^p(4+iUhUT45#=(NMl3=A>zGu>L_5ujpqNh#UY^vU9x~Dt z?mr4FoH%C({G$n5X72oHUID`5zd;;GX59Wfp@U_~Mh7?aO5rg{KDDw!_W6U4JdwJy zJ`n#O>o8+9SZ{*9rs-;r{`ua1Rj)7nmD27@0Xo9wQsI-WEObuMp1U{p57+vXfrUi{ zhSN0c1Rr+TeH>=m2D6xt4A~C%ZD<_Ag&YXytUbm|l*unm`_H^EFRV!`21(K^z9|q( zPObclq=wV9RTM#;BUaZuJ#G%OOk7v(*sJ@d&Vx4F#eEwQ1X zFmM~4e-s0iSDoMpb9edBlmuPQbZFMzt+6j6t?&5{Q$1cA%fQ6C zlDp%{ijC2S(;CK1LQ|TE=Uk{j!(GPSV-0h^HOR=IC69>qkR#;L8+dx6qGtV^W3Q%k zGiRp|5Xh57i?jnNSTENwC5$U@gUBAZiO7RM&jNeA{kr*$WLZQ=a?AVjjOpb#F{kc( zM{G&`&1;;9I_wtD5A}br*EsUQ?M(G0tncW@(Tr+Sel+do8`%rJ7)9@^++zPPiPehw z7Hc;&J^RbO%9DtU5#fmW@3k-ZnCU8i;0)kx1kEI#(9<6X;eVWPJu;r2N00Qb=M8CdZHd3d zVCx+@>1>U;dYwLh_zy7&M&d@l;~xkL8ZCWg}FuA$@PgQKH%8t zr{-uE#>2s(dR7!Co*Cuq<5HN3YjY+=J7&jYGC?T6-?Q1X7+1?;q41Cd6I(MaA=nch z_>2*l$G9<81-#ccG`>l(X!tN1Zhn+!gotyN(xn!C+c&K4L;&VfzT0ihB~YjsJtfcx z2x=tnE84)X*<9emye6vnJq`?2ShY}ypZ&~Gd(-E@@sYqpu*8QaSeRk!5kE7jZyBn^ zUGsY=-X~x1QB%ASB^Xc%&VmXQ3!rfr;DHj65PS(^DG6GLil?oaERA6=mEJTC#`B=S zXFs2DDowh2n$WYUS5<`1HL+H6l2$P&Ka}~EQL~j2+tVVgj{ZgY!TzvheR8>zMT>jG znpPu4`v|^>LHp`ez9`f%Y5&=~KtV*cD#xsXxP0k1GotuDR4O}S{0;lXa;qM#qX%7- zOTwNmf;+3KCTwPeDyue#LNq)4PVW003~eIdY5@H-IBUxD^dCfcFWuB6wz`LX>pYNN z(wvSh?=S8_jecaF;Buo^*RC61Z9SG6X>5vo!h#D^#^agkm7C0Ya=?z6m)%gL&gdzA zv`cF!P2uV9^KA)$oz<}GZ@7!z%Mw;*2?Q1TKeVAVPz>}{DYQPi5UKUH815#uBdmN8 z{!I;+X*H;WDvKyM0{&E;*80+_iZ`;GEN`(U ziF9CD=-qdek=Edtc4}ooXRfvp+N`V0T+3TP0_r)RtB6I##kIYEDB5vRF{N8t2*1Hm znLLTyTT&yhoGyJ=U75jWuAKXYG*BQI#Ppzk%@2U!v4x)CnZ>b=o#ZR?sO}XRD99Pn zJ$OR3If64h8#HXoelLq1NC~AcN<`LmOXGQ#Y1L0&KP%H;F_BG2Lc94fsV(|wXXB1} z6kFczUe1PJYr>5UpCfgaV&W|F5Mjr9^&b#CJk%aunlF^Jbo7-iSjHEV~Q%`7?Y@A>{{7Rh%_dnj# zi)~&Jqn2D{-@fadg6|3IGMGutN5|g+Hu}2#@qqU*>)#;DlnI%gU(Vz9@-9mS6#5Dx8dZAPDUqXFBTRr>(Jx8JOxsnUJx`x%Yx$XNY1V)Ii^< zmW4J2mxoVuWF0O(`D6G)>Tg8;=%1i$o7f#iv40@ zD3tfC%|zj7j5WLD&ZA)l!7vrmyN0_SP`z~>kzsM@2(HGw7!&*Y7&srT-ebTRSv`s% zCfwD%3@wn*&t@SL9idjERwI6nkwy5Ev(FSRRZ-}xjZ{m{x1JSdpqe%~7hLYz9QN6O zRrywwFVVe?xUY2g(>~7dj(F@R*A@xmiKh@)8L!rK^I?VDX2r2@9x>YxHsw9pi%+Ar zW7y!HSb$gwC|1k44{twt|FLTVUMQ9-sOj0=7C<9R{9g(ra`L4i3im2w6IC*bF=l11 z%6jc+GV`hj?Vvl#Lfp=6|$wp-xl}Vf(v7MDbDWK%F z#OkvE!e%yz7eTpENA7|mm)3W1^bFZuJqB4oNmH8iznM6giRibtv8yodhv@oo!Q7X` z1pXtw)p5x`z2b_UL&YvEj3}`9f#aQMgM(2x1{L;?W*)}s1lDmKK?@xmbLh!6ZToQI zEqqBa@c$Otp>Og8MU=c|d~Z*GwzReX2h8r+@@PS|>FcBGpLo)O8107!HJhe2jMtwt z9|XY4ZWHBY9vJxgpsn3p=tw-XSv^Ft4OKqPqAa5@&?$&!S$H&U*DXzQw|L2se2zi^ z!Zl)|bk;(LiHRjx-y3tL8K!|Ab#P)4m-CfI<)4LRGjOUHE2_mT@lZWs^^i>G?_XU7 zOQSfuW|zrtJ|8?)HFzs@n%DAkprev3wI257S!Xbr4>!oI4LKG-AiZq~v^nw1ZbgiL zee_k&K}U8u?p?|sspbrn_B-#6^N>VTJZxvWQ!+)A*Z~;5H-3YDI-vkO6IQ@YI$JmA zCQ7&r36pKEZ29mKMKH0nys+52S=(nfROG-nidA9s!)s0+WY~<}L>?_2W`FF~FkUiv ze(c4^%qU^=%Un$+dJzdC>|dX0ULIQ19*n_FUVe@mEd?sF*udN+Y@FwW3&2WeL|}fNZ;>jLUF{f2Zzv zu6uMkN8!TjFwhAN?sMV}c;6Cb+GTm`QMYuNi3g?1gfPCvLF)H=FRys)ZMf+SLm0%7 zThRr>ZwqatR2TJ@GhReT1$KSTb$!%maI92wxUc@HmG?I&T(_veYsKt0C>B3J#PZ=S zkAh%^R9LGE=ErCcDf1PMmIRfbhSgV@%*k)xhP#PP`c^b5=LL9kx@Y?AyL%IdSNjEc zoXKB<2|jQIlD`qRf`FXRI^1TxCUoO9)Wt&Fiv3NIw3B^t6`!e`$znou)xy2lB7N0= zKx!$#!Uk?>uc1eacfs|Ro%;)TYcCTLmaEog11h8dS@JEbLFxBjezCWb+}BHAKcX9` zMm#TRc4M$p3)!mySEXT5{!n6SO|1v44-salJe@{oxEX!nl{mSjS(R8))w-;xngdYF zQbuwJ!%_<_W}Sb%^CIPO#AkRJG)7ZXiY}8IGe0^tR-j^Smonu+KO>sPn)1d852$ru zSh=D!EQe7i47b)CeO?W!rpxdec@}K}>nZef08i(uKDN_czFl36jPy_!=aXU3*JWlh zi=ye>J!#2_jMOz0<7~U~?&lWQPx}qh$w3%dP}1^-j)>U*1`Q9yPv)hH+p+1CPXnf+ zAI4p7xDqM~^BpHrALnL;0ED7Qr}POWa1~p(p(Co+zPXmj8MjRBQyh)lDlx|#K;eum zNM*1EGizh1EHin(uMn#DV|6C>PNVzx0;)1*0qiJQ=D0=$=0q)GEZu4(e|)OOmlUV* z_tTU1w|lLyd6TV^$~k52_a&xRf`W}hpJ*<+pOyo+Iz-A zoEoD+wX&7k(a*@ol)3}R`}(jpTbhF#zngeUY@eE_4eZUfeLwN#kI?gdg`_+;*!uIU zY1`{baYmYJEu=@VkY4r5gFVK#ElDJfaW_fUF4UG9&Yu)@>;*H}k>DO)9M2V6`2yomJO@}CJPq|Y{LDD>h^FF7B)!SwvhC{ublFdY*x+*g;KMBi zahUWP7Vswruheg!v|U_ZNwyu&4qT;r+}uhXwyD|hhJs0q(Q1%LYYM%`)2I5(acXi; zrg(6f=OU2m7ZuXSK!@k$d2EQg`=!SR1Ly#2*aS>x`e7I=6>emJBe`NZKpEczP&h^J z+0e9Q{gV93tW7XweDOPGE>1K_THf@nyyMXtn%Bbz0^c*}=f@u|vS~C84-zHDyTqOkcM-gYr_^!Kg%4+Objg zHRV`_3f`ex?1Np(M!z8sU9d=F&d|(I3>}s(E&ox_KtjyLR-nMY7nR!q@pfx%Lay`} zK!a9?8LnQwKgH_}cWe5Ta=9Azxw*nNDue2NVCBttW`G9+_M{o=%CmK5Ppf8%HA>OV z;chGOW~1({ z0lh^>?5^LE{gDb#8NE96$fXz5Z1@tD6r(gF*57MaSw-gx^}g4Xw?l&70*cSvqb8x2 z^Xu0$BjOx#c#8w-rprUuCj(bBh>ss!e}mBAOk~*Lv&BZMvw*YriSxc|6vKfVJWK6n zIQF7++O3#CKy`4lpk20gg0Ycd=aKR+<9aW1E9Z?W5Qdno>-Jrcmq&cjL zx2MA#e!91OxpobjXF7zLjdI`6dx>YI?%WT0S_M$OF}&Qa>KHbUCUxUG6vMx#c&&w# zNLEm3=$KhZK>0ibXUjd=)@I^X5do&tC;f+c^)nU%1IeVEEYxuuu>1G!mG006tIHmK zy;es~D$KfltMPOYil)@A*H$S?XShHZY(B{DqYPV*S{GfHyaz(1`^dG`B8h`trSGq7 z&sM}T8p5)-X9bkWtGTxUnei^6pg%mh->i{a) z+hP*%nel)U6Kpe(puLwLBWEJ57+QWT85kKX!Jrgy56GwK4@%fOB1L>+BOWv-nG9&H zUg+(ER^(X`_mD6+!}ROfP!ZGoqxz0FiaPX-mgU9%K&ieA*eREY-0kahh3h!ZC-dcgs#BznUtGZZ&{%bPS6R; z2c-y~T*?KDt1iw^gyCVIxEu1Z>H_DUDC_dPG!}Y`IoWLJWaq(pR+hV)G+xbbL!&xh zo2JlB@w|(vkVBN|>fMjY=7(>;djb&*&&O#lmw3$5YP!K}2vx4YgD)@Vr7YFt7($3& zg0@Tk=LSO|gts%vBgG^1#D`mJ^Pmh-ycPyuo2ycJltr)sC`y8z)xD?wAxtMu1@a;O zI!pVNNp4n?@-$L|ohuUxhKPC0Wea+^G;zlTMIEOAS_9l)UBnlMc>$}_ZRkyZkEo7L zouAqXNtH?Ct)tgU*ZGWr`CRe_RbN_mM%O+HPxyJo?YM%8OBT8;)-yp13qJ-unVTbo z`XzMUXke?e1sV5v=@k5Q3Q1@aXoJsgd%A6NXoe&-ra1ftJ^tc*Wx7Q!oKRX9Qd2z} z^cy6n*G&^a`aIQc^_!y@_x;7aE^}%!g8n!{GUV59YY>HM5!2~bi#JY*d^+nU8K{5& zw_~X&04cQkqreh5b;&Z+Y9G#C9YTsJR46khy!>7J0j^m(6r1H2lQ+44p+Yg_b+nT0 z^ytF2ZrGzQHeJ>%vqONSW^C#|*)f0qHx7Zn zfOSd(v{7t{0X(TW*5~52g$!8X_m5WVSnf#fz&4HSpKE#y)^y>{X~I>X?`*}&k8Q$= zMk_YIu)npkH6<1_zfV=*7Ihz%<~I@k(AbVwy=J2LQB`^5KwT`$ot|%BLEi*x#4 zMc}_D0XkWqITRxJ$YoZ6UfI2*|NhkdIfa}9QhaDXJKijmabi7w)&4?N_DRT{@sVE> zf(>doOUW+xE1Y&u$(O1rld24s<;cz^bAWO?%RCOCr(kwqk>U!4u@MufKGDn=y}Tx} zwWOdLApQQ@ns?_qBY$|d(Jc`bwW1F*`U-RQ8s|g+Hop(L?$J$-!s}GMR3({I=yw5l z#+;lgQXeEj>gwYnpH)?Kc5yN&u>{65wscmk)a3P+4OP?|%!y|}%T$}Zb5M(2cR#i3 zoRMGJ&6jQ$S>)^MeItxcuc`Qa;6m^+HMX#QV=6hR_Pln~!3PUWaTq=RGHANoS%4Vl zGoh-y^KpMGWY_B`VL@)HA)aux z@yojZ_A3MeXBFfM6bg(u`GWI<23ZNx2li8jfeZS+WriDnyNtQwCgBJy<Q_aAiIDd`?yx@3d zC>l_syN4{BJs9^gy1@^1_WlA~Cr#y0$$1>VQkF_6Dxp&I2Q6oEbsTldP@9v}kH z1cU#Q^HpL>hW1fG>4v)HKxWz?llJZxcAo>kzmbKn9-{1vrt21Z&RmsBuEjCcYg081HWb&OFW-&$NDn-rK`A59hA{?Ha;d43toR_(bwz;K#lzL}`bJpSZfhV!X3=G_iY)$7ru9B#D zPBNF4^uA-RJ7eR4ejAA-t#r5)Gy2ZvNuPtSbpDS#ifooA6%-J+7kBXn&7*v?)?3-{ z{04oJOh7?81Aw@Ycempd=K&7w3<2}PJd5k;tqzH^G4;infv*jAOepJ-uCvTnR2i*Uy&;OdZ!=Q-_90= z((oKy`xSC+*>SD%eZgdej4ACPMk8y3_iKo-V1{6fdI`wLlzgWW-QX+s{?M(U7#qIc zj}bqQ(SA%TN%4%b5YT9QmUT(cYF^Rps-Jn*4d>Y;D7i;96{(TO*59kYymxkp2Sg1b zW75wU33rkf{3<#u!o8faF)YO_MGusPh`y~N@LwSzXf0^(z!(E{$-?YNToqkj)CaG3 zi--0uaT)wF4D!fIa58-K!$yjNmpR(na%jX^@7q|sx{Jx7AO51gkt|_%ZKX2pqtW|X zC{jLZk2?b5fHHc6X6rzT0j;~GPOK~y`(SYGH2i6=fYhE_EG@Q&MPR$Muov|n&{OoX z&&;y%C!A8t@%SzWZ*c#-(5;^S>WQ=a*G(33&`I=^xo<_xT?y#yw3+u+c-?3f&RV)rW#dEzcuBC}LtgoA9!oXx?^S;vLPBDft@QvVCfV z0_vMK*V}N@W{}u=#L3?^zyE?;`5v?Ln)D5WAX@#V?##4;@h;QE^}ZG2>&GEjhKQFQ zRBX>!w{0V!OU_gAigCZq;dZF?b`};ZxWCeL*_ z)mMl!G#tUdco|vYULg^L4|U1(mZV?JB=QtkQ1a!^HMHJizoQUE;RPBIksuw8%E)iX zB4Ru96%Ig_9fo~BV?yH-I|E?Cbq$T_~=@7QJ1(9)1nW%JZ2vXakdzr7MXtwv_|}Tu_@h5 z9+w>Rm3$Jd_~$-&=;PwGHcT`D-CeDSw-}V73f571P~(gA=x;q>R}!km?bW7Enx36yB}cTz|^>Zi-*_Ui(%-;XO8=!;!wcxU7W z3L4?GLumCa(5YOtD9HrRCe1Jf3#`UFAx};j1)UBc^+>BANx{_u^Vlw$XEh(c@*VN~ zii0Y5NsxA%pFFO9N=$6+&v-j=+gEcXeHOi+5;}WGecitSJ--NtI*L+ZmS+*CN|6p6 ziR_~%l}6ej+xT=y%s^=ni2PLE3CxJ^OYO2|C()HmL#MU9;0t(th`B<#hk9T{h8`?w z!H(Xpr89w2ZYXdk=k_hDCk3qo*|kh-Win#LdTL{eM*r#c^Lw^m9zQxJ9&kAiY%g;| z)6j z&HkJL19Z7OH28VVcr~qQrFAW=xxg2iV7~>}fJoJcx{rJSI@Ydwei_0vpHMc7v@O(q z0Z*wv6`|(XaLXNZ=@JM5zx)hT)bbF`okF|{2cq_c4?QtFq1_pJz5ygrZ6@ttO*kV4 z+N#?-_cE*@x68*v=<{THo)uF&%kdq=$e7%5J>l}HOQ%2i*fsNy04ubEYTYElr6GyQ z`4`n7{~&*_vvL;E1324tvO`F~InX1V&?(a?E>Y}SFv%(1p&3S$eJiw<@|gQ^Oyy-_ z6OyorLzoEiZC|hDNNQR8D&#;(+xEJ)%ZGbE|F>DS!SqvG>twYLZY!jz#ipxIE%iDzq#+^W1BooB%d9=N zGU!xi09|y$+;e-c=Hpg<*x9;9ocBAd>J$p!6SztNCB47zI>sl^ZUL}S`_KLErau!9 z_tM%q+3n;Tzg@-MJ>h=!;C`KK#j!xKVIHn{Gd~@_M*h$222-4X?ifqtmlby?qljuk zcE3eSA~W?yHHgL5d66nT)iqBBM+XQW`G4cYjc|I~h;1@B(CVez3xs70r<|G010RR< z&h0Wp9KLd}h0wXV0{X=pKx+n}OvE^@?)^_#-oCPZ+2q%|3O?|eamxuCsr{HViL6?24 zl9c!f;wa=MpRqLw9epHbokpgik=cB=P zf2>vkd|DMq0`&r%c8AgWMAV; z5tXCNFUgq~;qYew-t8fvha^Kgjdb=-*qLa8%U~r0a+D`{XCryvm!l#pVLZ#sj zmHbcr%(^`cqWE@AD6a12So!syg*flGv-oD2Z>;(YW!gc?(7I1-PmFnBx)ayX_+@@) z?u`&Z3U&{|+&gOGU^6F4-7(2ofm{u!h-5sUj^?iNj+XX~1$SX7cyhT4QhMInLd|IO z@+^;>ve|D>xN(nj#U%Q@3j^b%&=W~Hx6(ap2L~tC#sxV$tk6ycstT?}?03E8tFK#W zcal>?f=~7cUot*E;1%??CyeSF62poK0Y9(mKJLHwGWzKoK`0f4hD)%b?dtlr4egS` zKsS`PjJqT%x<+zGM}$!}p+DO*BJp*;9U}WkmDBgDc8^X8rAo5mz<$DH;?t5%%c~Wi zq$1O`MbyHz#zcnuT%9mwk|y1Q#&=^wlrfnsq-hyaMpi7C1A7Y$sc=qqL=D8r#kQ1H zhg9IY{XUWQhw*3^18`?1u4i(0qi|e)kEEumi@>tVTW9ll_VuvmE=}GAq!%2@bd;FE zoh<~>uk{+8o3@%J7Hm?M0lUGj<3b*t-IJx`r6T7aHL4CnnX7*Iz?1*15E!AH-;%0V z0=Hc9ElKA7Z&0;YD+6C|1d>pC8~}1sX8;Fm21-CxX$kC0d2Dx_0mQd zY|6}Ca76HfiO_oNd``D_Xi2p=I0i5<6)*N$SQLM|V_+B;Sg>nkemCl^rYzL6oqYXr zrNi{oGI^s)Epn<3)!|C|glj@#<4Yk6e~7&qHSGF54in!m^2;Ze9ZQ}ny0gBJt7me^ zSi2HFOeGn_i5aNF%i3V8j2H0Hj z9I_2x`9W_|8(>YMqoPnqpA_A-*7zxX3PaU8FR7=9R@HFboP*uT90ERH?*(C-n|eGg z10>$!-4iq!ME+p=(5cnKb+p*eQ1UZJm0jbAq`>IT5ZT@ST}RN#oj|}LKhS0)eeqE0 zF~E*8z2S%`_583_8)vcBw3q(qwOL7*vrygZ7ZXEPd zBRw&yAupXTaB|~ChSbj`D>QEhGmoD83Ia4B(@Py-sN0H-O3#cWy(Oz@oUE}E)+9Vj z_xx^IXEu-!EUQabgc;0PP{x`5>*M-N%d?Ux_gYO)HD)(XrnhuJmGl|9FR_N1W^V4E zAqcOlnee^eDukQ~g%{Q|$=W?cxt&gZR`mGx+U0_f-;6Hg^L-w^_LHqZsjpI;)xZuh zOp=Ky6R|R{s4M8S`RRJ?zDdJUTE^Gj0JVr_&eury(8Am4ooA=9sT`jE^cIFAaZVoO z6TNh4eA}OL9F|1;v5s|o4S(z~JVwQ|k#Bv3tJB(0B)@$Jd6F?OtMW()cCX=^W-e9| z7lxR<%mK!38(Fh4aP_hU2}zUseMA5S)=}H|^$O4h(UNYdsffPV_6Bohh@->tj)jOr zj(gq*R>xwu*gXxzxPB5;e`VSH%XG$}28b^ERzs-kQQz#D9lq(TpQh+vQTWb_&4wUj zt5Kc8GZ{YnQ7tXwVQPmijb)A_*NRR>UE^1M2?AzOOVAxh45k7WD>96IDT-O!X4N%> zw86wu#=zM_E{iZ+oC4+w9Hy&?e$;OefQ=yqIVH;!Xl;&z)(`Yoe7+KG?)w5Aur`V- z63jB5+g44Au2OqEf3TS$5gzrCr}H_?(E9Vn8@gkl3SNfL_AIkZHb2SkFWepGjW4ZW zafF&DSyQbszo4rkb;LO-ebD7y($>}B3tqcXJ+n?RBHi=0Vm#WIw+dIh5lnDiB_dxx zEj3l1;H@q?{BT$lVu}FJMOsrI2pH?s9at)2)$qk{fxF-g{Slt3Y|%X3N^5i0$`~yP zZC2UyDkbq86sk;bCsOWUi%G00@I0DfBu6tVPp+!$sgo(Xaf$Q`Q#=hLVSnhJ4*OtM z(A)NMKb2N(->z3|CjzxXM)=hC4O*a)s+#6qX=yn=U-td%d4&fKRO>Y$AA0h&w#wPi zr&Y-HE|bS8Viq$LfJ5KHE7O*r_M1S&w*>(OWvJJlNzuAWy8onHyy-o?g%z8J6`PC7 z)mR;a?0rP@P_jzQ%w~2>8?T&THh|V6`q?6VjvaF`#m`GP8L}2YY7;gI^)5LpEXH}) zsN2Li&um0;TMD}uU$9PmHF_THB288kaK`|B`1+#>I&a+%N6VCRc(NK+II&FE9qN8J zG;m-o25&QVBJkPmH_35W=h5gRc~ZimgAN_VLbg25-VRmuj@ed@#@H@K5`e1iGj6_r z=H1gh@jUJ@Rse)zTEx>#`$gbcW<2AXO6Z`PtUklF+EVeQFdlDATxx~@=we}EqJg-$ zRq0QSR?*jra8-#H(Hqs{q4ihR(%k)Dl$;}D8-)pk{cSg#f}JeTWh{o0OBj;@QHmk6 zZvC`hP8t~5)X$_*yOC>+Tmf{g+$=T)5l`K8Gy_33_Mo5A)!5$%+@)}Xds2oEuC3o8 zo?30`(<$Lc{mS?_838(bx$3L3-l7ZZrW98Uxn}i(i`hz66{yfJ>W;$>X@j2xv^`!F z?ueoG+G+^6j}A~lo5Fcyet@XVWKSsR)Yck`^#e)`hX9T%2x0dA_EAGw>sJD1KqGSVHJW; zJ@oWG0+n%S-Dyvto-id^K<9V1N{=_I+S;EOW|HqRXiJ$fy-#v`8}^)vm|yP{v18I9 z!${?;6F);WVJ!2oQk~kkdTrACYtPciekqZHAe5u+ngvkD{0ajECBZ*{roP*D(r*yz z2Jp(vL4cOh#Qab1>qF|O5Qug@D>o~5p4|#s|3XcgQB5?WI-lC7Dzi(Q)Mq*b8sj4B6b^muW|;8!oO=py;;4;PEr462zQ=#zJR#`1{J3? z99iT~FD7)-*bCUZmWjt+v!KKGk3(Mg)!t;=e;v7X35vBX%lxxeuu^uk0Y#kj?dK)% zn9ijL@svw@hg7m@_~j_Rj+?+7+jtH7H>jf=h^Ry`KL2BW`9ETO`EL;e)4K8@yGi>a zovU)}^7~*{pV-ysw^qm+nY;gFOZ_JnfW}{|nEzVQ{Nrc9BP|c)cTW_%0xb>xy}6SM zj5Pzo67B*1-6q6ZI);gyz-rkt2q!QAZbp;?*WGmMPlAutan-&IV#0`(Fv3oIi21hO zQ326?stttV{)<#55@VRG7euIJgJ0cF{Hb#f2evXv*u8Se`?5?QOf=!rVRe@-Pj5*R z?e_!wCmq;a1du9TU?M|zN4|KoBASqq#IzIwLVoh3yUKoA+jK_faHUrpY-{ zR^o}(-l^6e&UrsdLyA*;k2pr6u$7)i->E^ zlI^Jrq06!(DUk;qV2`fp$tqL8>&ra2T^fJ5R@t?#%Bcjjh67>7*5}FgJ+|i`BUCIj zi04tkY`&;D*I&;p>N;cZDQ*f)w|@6~wAu%Nro)s+{g59??k`QgQB#7&aHi04e{xt! z1Lv)5$B6qR80TQ1$;^7bX=RNGgO!OIZcsOStzVqs_T z$e=?*0tzZe;q$r^eNoZEBOVV#KxL_pq3-K!PZ+#3ub^7mmr2P#=5{DZ^mcT0XM{Da z2J33W-$e^3i|T*~;?<{8YD~6pJ|gG9qtgB+K7PFu7K^+g9j)FO*Ue{FZ6h1H|8tvN zyIRv^=OkaqW0eud#8}nMYfYMX)J38?evl^>Uoxhb^=o23Ftib(yylF>1gxv6Yi-x$ zfQGJnRtLDD+K{D^#K2I}Aq?QqfN_3<9w`3b&;8%?^8ev=V65|BJ3u9)m+C#wB>FDe zs(>Z5`t0a-T#`ZEq^WgK`qjFX=)f;vU<*7XDJID`DpI!9OS_v0e#`wi-)!C0Mp0HC zq%(1fZ>-zNIf&;A^Af<|&`Av97#NV@7-%oz1ZfB3Vv*<;B~D4hzs}kV#WsDnp-#|t zIrd9&(_4>OJ=bflo`1N(Gq-ZD)#X2mBR)R%^`7cUya$AePyaxmR_U z)R6Q~ZIYiW$>KcRv}u#H#Blmd#7W>_f4ZcV4jQ}kH05WvU&of9`S@F}qFWlC;nR0X zBfx!@Q#oN!A8Tp2A#&xwhON`;i&;qT%{vElbI}CsW>^-mnsj^Hr>+f~c8OdXM^QK{ z7qCX&e8YP8B9ZECV7u-8{LGhmsIbm6Q+%5`56TUFxs9*f?~JqJxAB$cFB;Q`K&wZP z7mG`tMc|3J6XWNdgX#@eMiZtAg^luBBpT)in^jHugSxF8Kp+enU%Rd{*f8?rQEd+? zrDb=48fXKFSN1qm|8@7+YyZ>fL#uod|ICe1d}0IF3Qr5otNvV=^}N{m%*sZu?~8i; z+_|~hLtgDErt$=9zwVznJZpFiFLxz z{Z@);`vcy-%8&hg2Hj1vtYZ{$RmX0kbQm2Qvp$7+i?sqj(w}M=3*S-UvY7UwmT(q? zI*l5EB~V&3@bh+qyp}Jdi$>Ckwd|jpgjt_E;s9YvZf>D3OV$eQa`Rx-;sIc>-B|}5 zMF>=DVz64o*x^@02byST&T|E-i*OPeESnot$;l53Ez{<>;C@VDt8qM=qscKDd zvW6Q9totT4a*g_KL>14z?%q3He3O~4nzqg?IhyDPsO_t1=! zlW#ryr_1a~S@!KcYfDB#^^&2Ytv%<+dSy`MLJ0nygI%3K!MmahR&NxX)vLZ}>G0d< zx;Fvx6lGH%=K$ty0gij6;yQ0D?NzVSz{`s(eJ333*{$_gInsten1}Z?koG9ht5vH= z$^}l^5#S7zc|%2#Mk;s!!CX_Vrtl|C`iDp8gYNWy2p=K~j6na-RNT}kwCw{=@xrF- zC?z@=y5LiIa63xo{wl6{qPmo*Yr4Y#26(%L zY)gv(Z`Y0924JRHs#EMFU7GD;PpU#f;xAbuU5q>PAJfCarr%*75Gg2nfBZK9Y)=pG zsr>Lf*O;xok$pH>gRci~n7rFIFsb;tiu0f|JykopIg}2`-;P#aQ;d=1%%0y~5b2?) zov@3v4RXb$3LG&mKNxaW;qM<2M1uhjGj3}cAnF0gx`)to!2VqQcW@<0er*)A)2I+x zqHnxtyjw>z?^3vIQg3bV@#Ga9=uW5v;OXKFo0hs~<6ReG+C`HYd#Yb8YNk}otvi5q z|0jruO{2Na3pXiNuVk%Z4O`{Ck%UtENxs#`~hC1b~+BM3gXLHJ1 zRLJfNFWc)b7EJVv@oX2j0=I)+YS*p6)KgVPy?URfJ$#6geu{?<(GKU6C+Q8MI2oto zfB!oF#Nni?Zo~a@P1cBZBdQ&=ok+O5w-DwziFo8#{5{ZY+T%`(OVC6Maku!huJ+A+Nq^s@W%@BTH)j;uFu;dpH`KlBvwZtD!9&ec)a+4^dGobSD9 z{JdM|Mn?UJSgH5bnr=nW@-}70LsZXMkyDP%n2j)8g&iI{7#+_4C8~gDh4+xwiB=7? z2mva}e@RA^`~_FpX?q~~HV2?)!*?Mif|{N+O|g}Oz!;T>pj@yraM_IO&0Q8ct32=g zsAk@Lbe(L%%c<+_b*{=Tp{5IG*g*ah7F(&kCmW3I8|FGd9N51gZ+=Z)>kU7}j_)-> z#}%2Ny=Kky6)mtH^fgd z`~YG!;~)J^<1Fpfa+_-}j)V{HZZH^|KM5a_O5 zd8FU8ok^bra5}_oyfC|h#USQKWn;a|P;%`R=yUaP+pQ_qib(b8w^PR1uUloQ95wX> zzOV%l2`Gr`{2fPH$_C%aU`48jCKy$rel&0wQ(`z6Kp@yhaC65znOdv_{!q_R0yRFo z2V%}WgB60wEJh_h?u*;50U#U9ZVK1H-KY?N>?U^DtTJK|A8%=()Jdbyi^;WdvaWKl2qW+P>|uZv5L zzIp+=O)KU|PiIpJ!4+IY5|91nnN$scS6_k7wsN829mO`ln@oy&Zv(z4vhi)+)uTo)B)Lqt`j@z}v5VWy6$Qeyj-yV}RiPnkzK zVQT5q1BKms;*MwWE!8uyC1bsu#eH)<|ibK7Dpd!YTVX1>;z64??P**%>HmW)~_TNhE?ZTlv_ zymY$jCZ0Z2n(wjA zFhyG)?+gWX=VyF4)_HsIBF zG~Igrud)Yn8k*{3W@aGab1WJ;O8aUc4FOeW)4zNnBXl4_IGQ3E?~$FZYZcWlNsPI4 zFm}loW`k(-rWf=rA+!h+ zp}4sVfvPSVv-x-RaqcG(>}rzGTm2%SzV;|vI|bBMS04US+hJ)Zi5{`)_H z_Ty|a(+KsAo{y0GWp?l;e=yBs1khv>Z@&BP_I3Hiz%F;UJ-tuT075#D@FKR;<`3l& zFseS<{B;vn<8ULo_$M;GOZ|e97m&gh1?)iKf#UyNzTlt3|Ho@HVEr7Pc`jY~)U+)1 ztRLt~E@i$sU)nl@9RmVZH0BN4E$K7x0Wi>kfTbJvHg7WRl1;8!2ivlsH_huT|60=e zUnH+U7&iD)I`W#_jd;*W2m#Gxb{itLemeAZXDF#$*!%kH2>ze2_;u3+1EfyZbuDsF zarg_euytgYWzm~(LYao()Jj8)39R!kyJi2XoAy7x@7#Lt;iRB z@y;**JB-EoVXuM$&S&|5L)LqTv*|rAw`EOp7yzzQh|$ZF0l;+~x;VkOEcYRq<2+X5 zry^sg`g!rxbm@<_tav87?+ZRZVlXg&^jySum`Zmjs!HIbCbP_117ct{Z`52-=(!c6 z_lcOSqOqSY^0O?*b}{Cid@81>V2tnb@3vEl4_z;HE92yfUxdk+Vaa>kXYv}`NQg=# z2uX_mdPiTM{;c3HD&s%@lCq4`GUB!mr>^6))|GMQ$*zDACR{mKyb{-ypUrWD19!N#}2n%+zmCRZW4u1>60l-Us+T--Bfp#O`fy zJ|M9htce}Ul8`e^eaCmoH|e@t^weKIqI0HG+qFnx!gMBm_7C7FeB?iplU`d>P+s2V z-=t@E4zr7<94LK-EkxZ>DQ~yJ`10w4z1@Jn4(qI~6vL0lHtDSHBT+mQwLIB*3L*)& zHT%uI?^_uIsB8|idB!bhdU<~AJKe_YcMX8vBz;kQWKL3zZ5vEty8W^r+4gbYS0s-+ zCaqM~jAe2_HVOV5Bp?Q|hykqv%I7SOK%t_Ov?|`1JHbC{9CWXN9rBF_+rV1V+iBL~ zjFR(!jmEogfej!y`(g(N_A#AxQa{(<@W4wuutPx%lt#hG+eTi){Au0Fo?O=KguL&X zyYfC-m;1T4rbnT~^2_#wt_BP0XMxNy-`Hk__9yaj)O6VVXkIRR0R7T!_K6=m|BJb| z4vVVa_lAd(kPzt{ly2z`MM?w&326}!X=#ucLQuK{L|Q<)d*}w~?ixyZ7#fE0U7qvo zbN21M^Lfs>uJ;eGp`11ASL+*}P%BpXF{X3e61V5TQ=coGB%Q$n8D(&fRG697(V5e= z7qMid>{hqkEN`^&$)kz5{d81_IM>)(gy4D&z4x&djevK=VeLq0d-a=dM|+hIwmx$_bIq-_j6)x3N@DzY{rVPVS*V$Yj^Se=4w0JX4@&miz0%J zQZ9|?({6P@3q>){BTRX`>a(lF^^cd8Nf_OG&W*$|Rrql|-)tmcSO}I6(s5{dLQ*;+ zRW*L#q%d~;o>8s4%4g?fq4!c7mC2JbJ52Gfu)?1uHyrZ8OPUyETU{O|4tYI~5HiMd z)vldo{ucJN=E``uFm87`Wrfu6Xir^J%5yFQPxm3{nPleh$Tx>2S_rQCE0 zbM2Tbr9EquL>8#Y0e)!HML%u?&aA{+fT$ro=`VIo2FvL2X(DT2!>uURddjHeY@|N(;)_^mb^W1(z{@XRt!n(_+nXmb&G8ZI2#@nFm&7kY z)hTDuhAK4%_4v>7G*!wpdotGi)YQo~&uRjuS71i-op%%;Oy1?|zGH|By4s_>Lb* z|D`TFaq!@VYRq_X{>8jh*M6t))ON(!z$%&3TYhznSS*0n(yq6yey4;4$v+ng>Wvzi3-N9TB@6C zP;Y#7H<3K9?umS}bE?i_rle1dYVytee_%6RXYkeckE1gYO`Pm_zgxY@ZvSNWLg z-{vWy&^qoAv69VBv#;)-8;c#uk7FTL$# zp~6r0W20vcD)v_iB@Tynjfw>-k~xB5QbH_q-!q>EWpmA!r;+i6uUO^^rLKZ0tMUo9h!7|1bt(Rac2%HVVp`er;=KSe9c-qNNdLBBRPb$Kgu_CwB- zCei%vg`vJetMBpi<97Db1`e6!fDRgID&EqHzSX(N`PtYH)s-Ra;@7V=ILrS z>K!5!y1sPd_O3)1*J+E)pX}y?Eil8~x*hdQNX(->cu+XPm(#vRU+qPyVkX6qxFuiM zIyIPV+P?;Y9~zFYzwoH0GkAB!jIyLte_dAY7?JE?3-YT~LJWH({EjE3kXtC|vQ^*MO85D;c)eNPEM4TDzw{`*QsfF+ zs~-A6D--)d()S1Wp;JpVNeA}>nCj9Uk~uO6SVn^u-lY)UdZ$|sblBVSVU$zXYHx~9 zdr8bSn;)t9#jW@IsV&56`!VA(q2JEB#1puTlau71UzFWs-`@a9@_-}^05sj5hxh#P zv-2@g?kO8_ZQ9NOHw!I9tXJSqN4HcZp_TcnzB>kmqVJt9>Lg}v9}tXNJS^XbobI7@Xtdz?tJe{7+JmOb~NA?mq* z>+lm^Zo5Ofw52ng67FT1ukjd#F9Ef4A_l;fLoD^sM{$@l-$eTg>mQMSGKFjI+P#5B zFX_)O%}X`y5gQsbi^y|e>$LUuO47>;&bstfr#|XeAAhAVx%H~%*i(g3|6Y5aV_^4$ zPG28d$7JJ|N2@%LF1OS?F_`UE^Tyzi?b0?%$g3y^^Nu+cDeah(nPY zH~XqgmnQaZSEWi%D~%PMEK2jTd>|>k})~(lE(PFZ@d!O;rovT1#wbt4)d>fIVpW}gg7AxTD=+L;B@B8k0yYU) z#>8I5<2BlsWpK120D)El`6Ko77NY6~vY#M>{EnM=Tp3pjsHp>u$kz|?D#WoMQB3%| zf248#-#G05#$z)BF=f5wPmpObppc>_{2vuq`7+i3N8-LrDlSf)DB=(lT{loeT#d3b#{{f&+`yIIddi-o43AiKgLjLz#+s`no z0MN(p<89&bK?yY(Jh_^_Hy0GXY+X^u-t;QbjCCN1W+FQ>oS%?#pQBAr^|mf*^mxBy z<(j_Grpid4VzJWA4Jv%=BH6~y8-LXwZ|4WJI;?p6dDECRRG^En{4P#!bPU;oY~1>w zpBn#*LO*@sSF#qCihw&)<%y~ef$`)<5OeUGlnzI`2j~W#vY6c~cLe}P@puah3$CIf z7q&lUO7*vnus-Rdfi)4 zcvQ6V+34ezb-g65pSGXi&7)zBpl{;``v)+c8Dm^>c>+Jj=ywPi@w1jc-c6CJ!^26} z-E8QzG}wbgv;Hx0QSFzofgQhCQu1EX#$Ykv1!jZ$q0LR!BC>sg$Agd$ig z{gU1!&zk(53#V|VyMq;paO-hzdA==4c-(a0rN%cS>6$igF-!O2a%Q<1#b&xz^FlSH zAWA~F%D~=M=1=td-ed)HHeXosGcPDwzo-tSx*S)|E;l;x3*PNCb-F2?E78qjr zBMB=$;a;>Xr_j5!h@9CsP;+YEB=q??S)9k1Y1y%zDG9HX|ARBj6l;UMza$C(puIXE z7t#PxKKjRR*S^9RCXBo*S!L!hd?=lZ?borA+4mtJYV*c;>sLwG{;22X_7V;7*gN?N zLVi%3Z*&B38|S}p8$L2WLHDMWXI!ZB+{O;cIh|ea1+}*_A&}!$tL_lOca}9a9}Euf zev5?ad*H@)nUcc8u3Pr$2hw; z9-be6I4j&bYaVoV-^_=!q_kD|xP2cw8=GDo(3o3l=#!M0J0f*^iSbqe#6Wlp>$$k^ z*E!O60^WiVRX=8+kLM=L3u)JbWgbYTolg2R^ng!zJ%BJ8d;BK|K?nYA3RPbdNaXQc z5BvTEQF2Y@x~e)rLci@=9vwJ;(bDgZ^GMWp=y+q7`$Dzu&8bK5vlzW#AG(Op)A<|tw5w5P!>j9ojUnYNBQ@`)~Zyp;mpK0Jk*9K&S1frI)_A62Vt z!dw02xr5Eh-3V8{v{$a5lbxf{BqK#J{Cmg>e;b3}7obpRfGUT^^biCYqe7#~5|6XH zC4+j}H&IPQV(r*Lckbss5o(@Fas8SBBmaAx{}7Q`*RwJ1YE}9(gF%7S)TX9^r2cP( zgbMG1dM_2rx?FxglN1t5ZPMZK`akB*V!qA!6nuvv*o&%J0m7apJd2a_A-%v>01oRx z8po8F0uSDo%56_z4CQ!_TMgBw0d#pU?OO&eknY&e3R$@X zFD26ofr_C(_nT7rh6}}PLBDNicgr`qNYl`59t@H5TEpxT(bm?NjV^sm3F$Z|LD8uy zFQ!jL9Xt6Knd@{=XS?Ph!&H=9x@k$ED3KeT&SP)K+F@kN^Il+%j=ZPNVrl`?DA$(f zgSKG^E7NGyr$JbR-L0)MQOCbx5i)Wix(k?D&evYTU}}`D0915dru35E^-?HfAa^8f(@Fb%)kPaaI)!vRi7m$*?ha5B9K13B7xv zMwz3UubU{6(2pE8!DyRc7vg$)iA)FsZjvz`Zt`o6@Hcmzmu&8KZ47(evsZA^5oG!p zch6UQeeco6j6E<%oZF^qg0M_1^Qf}iC!`sfs(Re9K!>8J?Ef?nfaXCWGTax?^(vc4 zzp`)q72i`qdV5yXuL+cYg1+s3-}!Tu`>&c0e~jz?Q^^sXLN8+dY01|Gh1KbL+mi7M z(DG*_OF;z}t5)r6HwtvBN4&2ZvKAod6vr|_1^=(T7BLf`fCJ=5?P57T%lib@^XE<8 zEtLmN+sp2=ZmyKe4Jj{AfxM~VyqO&?$%jOI%N6SXis-*Pvyi2vXhFpF#2|y8VW&e% z`%kg-@3Hk?fB#GQQXNpfgsSmyab-_%Ctt_SM~PGP$kix~mN^kVc!(ML4|O&F`n~=q zzIJLMX@=k^TO;R}mu9WbId3j_cvgeWhc%#LbWRTxfNX*q_&i4dLSG%p_(gXSH8NG) z;x*lWExo&xdhU~GsS$Bq-%a%Qdq9-XrFe-&mclH_XS>7<;UT!hMxD6QPiHXKm_zHZ zvR*UY(sA+9zg2jvK1<(PC!fo4fn|-f75AQFM;8O;ehej<#V~%hTQT0&sjBo&JIcuN zVc1|@+^w_L2ii@~K=|3w-R;F{frAMTm*&ft*oC0U{Ptt~N08OxJ7=>`o})j1}i)`OR?Q zAkB%5A7A+P3D2fWo`RXyRJ}yn^VcAK~Ke=B_ zeXdrWU=Y%)E^HZORFg*=cTCHMP&d_Nl!MG)^y!Avt~ouxuW#Ft zphn1Ge#Q<*0a>5jP2!$no04FQ1l9HHd&k0C5lcFJk?*FpOE1CjktJeO&o%En(8=L# zsXkj9xE47;rp^HSuSwugmX4+TNTltH$Vb?StIVBQgPjWDVW!we!U7*&3TLjr?R;Hd z8uleU1W=@vfP;gP>@e^ic)zsv=Ih#?h7lw`gvlhn=ei?6`rKQ`r2TMD4lbbMKoh3U zT_QwM3e*hs&O+R`9EKb0`J$4)iFIjRlxEEXbRpJu0^uIbNtXtdkFLBd2kmYFJAwvp zX5AXlTcbf@RBa3+Sj)u2Ylp#C%17k2Ybp?u?YHWf?!uaHM(35wH(vBbWZ5QMwzXmB zymJVXeE!u#QAIo|M2JoB`sqFqJ5$5U)PgMLNVFAnk5cNm_B$7fFy9cO@akenr!>t3 zll<7X$y1Re?rN+)T#mQJbxt434PTxXgG0`s8$Urkyaf7IY0S0onK*@%7mNL7bhEJ# zv8Ux6O0t+4;p@(bm?b|c_4YKbkw$}@RK*TxYSYb^6p3ynHpdkH6f)60St0*iZ$mhF zP6>b$ne|9lPe4Mu3lne|MPy@%%lmr4?2<=@Q9(##`7xxBc|XKA#O|#OEA&ba zYGybB2MKX{`QJ)%b+mCs(<3vFWMNoYH-A7&d`dRxL24xfZ<1zCycRi|+9gPCnYxrQ zf4VKoc{}D}8(PXiP$i0U$gXrmzWoVTH z?yS6f=@QKg=GR)LB)!Tn<`h;*Q!o|8x<#*aso*p)Lzz2BT6+h3JAmC;EFzqP|De&a zm0nr0h86Inoh#*UDhiw;j zrI6JS7F2&*9l86%8I^jQ8&i;}~bcpd4 zdJE`g%%-w5O;Uc<$Z5A-T)VsYC}8qLd8^t613S1FW%V@F6w&x?F?HVe&~__)dgKJ{ zx%R}KOBfZet3kgPr&71EO$g?j7EO;L33a*)Hy^rFn+OFdiKi4SQxe5bX+*bt8Y-z0 z?Kc=j8B3;mo@{{I^=kr)AU1|G57#~@dNS5EMKj-&aon2GZgHHykW9ZI?h{687Xe0D ztIWwy;6zB~=MR1FB`Z&9N(k7u^FK{*(JWHfc&011^wvWVtpOkvgIj^qPwZD3b}Jfk zq&=zY2eGsUeq=8V#Q$xP07S8SclifK&^U_QgH5%ra;DOZfCrvXq+A*9wpLY!L!hHe#*2PGZ}wm?&=Sb_${JQ0da=30vd5 zmhyT!MOD1-`i5J+sNAriaxdXQmy*TvfTp(ILv0itP>yrE0AldBQ8&!iaj-&tKo#B? z9X?sy-kf7sEMGiKYI|GG!9MC(=3GvKBm8uWju0dcCI>`Y-QQQUod6mnyJt1-C0d@M zR#fgTJa^~<7t1(PE}D*X`r8tui5eH9icc_j`Vt!Kcaz&!s}k>d};=#hB+* zZ=5{kw&_t{)vMwq+$R5$61}7Q?vv)Cx}$if|N6{OK}^sbsS^&S|LzuwK7Rdz46eKx z^Erxgo@Z06l|qDpC<8>4kwKIpl>U*PeAHXawA30p*i@1T!5g+=@(+9(p6UPMs1cb> zYsSbZ1ZnqWAC)x9iBRl#7fv0Nwx0uIBDKY+{Om|G$r=Q`pB*H_VkaVeee(MU(O>>EhwN(3kjS~@cp|_E#u8J8I1~rd(J;% zM5?+r1G?&~GUPiV!t`|0+yG&}jbEOMVV|;}M|PnxQkU_|<>8~+(A^d6wlJ^HQ!iMK z-V^uHga5%yW) znf&yeOl7J0_(6+X-^MV#NbbNb$C%z;K>yg<1fhkL0z5&D)B&)@41o110TNec^^WB; ztO;N*0M>S{T7RR~`uF0t{}m#hu3L8|-X%>X>4%}7g*Np>(ZiHe6!?4B-z4Zw{J)m% zouG-xco`zd48?Om5dMq|zz^A>;*Qicj!_PKH{|nsi;XQ+>N2V0C5IJl)1tH8{ImaF zo`CV?BH2!AtHXg@f3}0`Twq(ov5Qm*aB~O(3`Am;89PF{`Y3+@6Fub`ngjxP`gk%f&>(sUY6Wz zs+(Xk{_M}q5|l0_8oPtlW0Ee~`bxZD!VhC z5TZ4HP{g}2&uz#1ta{S+eq&kUU~L@3 z-5k9acZ-U$(Tj@kD$1~we)+3e*WWx>hnBS@>W#cQwr6Tw{Z=z@e7sB`Mzje&7vY)9UV0yAyEJp`J06*?J?UY*nN-5sXIbzwm znR=AB)S@TJ(b<%=LK=j@^ z@f5H2U%8`Ggl|cocu2HK)50yPqA$#pYR0FYVMb7LA<$Cn-I$Z9q|}|Yfq2)@1B`mV z{Hr}sLWE+8y3nP^1}aw~KoOZU5YT|nz&Vu(ULu^7e45740>25rf|fy~J|PGAf-Q!o z7%^gTi-FkipUDhya-zt3`~l4yRiI&jw*m+UzX7UZjw_HW5^tbo5Kt#`@dY$}#1i<; zBT+f=>D0dbr>>lXzUf^W+3CQ+5wTmxoaa zPk&zp#@V)1gKs8bMI_S=eZ=K)46o#4j+BVCAyK|iUom1dLCuXf3Yp#dN+}Kv=A0^UVB--#CIzE={5D5e4Jzlt`!g;b`>ZUDkGm6{4zDK=1*-l2#V>)4OOx z0tcLPO+>h)(zgyGu27{oKmP61 zqPL(v%!y-fNqF`WX<~=wn~_vJWqnBb;oFaUT_I{tDRF+;XlZ;ZKS6kFzk*C9;2gbWshW#PGln=8I8C7kQI1F)lK~WYK|_rYj&ASb=WJ;==}kQE`X2AC zQaDP74Fp6OxyZ1@^fT(omXkF{Bkey)8~jn|?Jod>zr1d^ECT_-yEJO4b+TT=Ue!2e$;vFVOu=5((Uw6bBs%rab)Ydco;Rt?FB#XX(qpj zU%l8+h(Wa#6lpS9xdh_J)Xk|-$j7+fnfK1?ZPOlRhf`<=_Ow(Hoh9_y?YVGEA60$! z!_iqRp;aUeAa`P^Kf<(@I!|cxN zmrUkZ(}%XF-Dh9!rr54g*#foSZJDNvWSCyoB}`R7t;XG0+d_|LF^jblGl7M30{6~| zY1%)Be2D!kaaMIz)1ztavQR|3iSW`>zbEk)FP~IYL<7+pK#C?~08Hey3pTugB!hHs z5Jn(#s4hr;o*)<0Y(;l3ywoCvTwYgHhf*2mS?pu9Nex5SgD~dFl)1!+( zBG2l^_xBmQ4ZK6{S9QAZOHZ~3ly|Eftb0r3A?c>8zl}9=I%dyWx7A=|B?aNJ9|Fee##mpQ&4ETy+-M&!4P zpg877l>SvE_|MhVPHE<-N7LRi7G4fD{kmUNgqj5I7wJm4cad=3QU6RXi!(e>PL8h(KBDy*5zBW<@^U#GN$e@&@w zVpH{Ag^Z^ab++l%Et+iQWI_>wnIC9?V&;FbEB=kMBTV* zkHw3ur_s0cK4LKWpWgQqEsc^U2Y8VUt7B;7;p;bNpse>2%GwWVJv(m<-^eRa!4inX09(d^WiQ0P2WB$#(! zLf1?(!)^yh(r0orO@K&s&h>tiz8J@AN`19SjxHH7=BsptQA4^~;5y11+>_mzW{fYD zc&g@ul!$zeG5J7E%bseuz;!CdPC4m}+d20+&gQPZ8(zATj}?_S<6`4y2L|9=1sXic z=HP70{o$ZiEM9Q3{srOA4KOHP`~OMB=C4#5{x5z9C`SFUIRQL^3z)=q^?LNpmDxgXtW&eyK=Qp9?DKf; zgHN@XxMlow63xpD)#YV~CkT*>Ot6hyK3_ux@VpiJmJUq*zMG^xyGMv9gR zJ0zIBD14$S@;uhjVyjEC{IM2p`vV8=Hf**|P)B&=>Bcg3bzbS-J@`#`3?y@wCDWdw z(>=OCU*FRy%ON7sQS(u}=1zg~I;@Gc2O3$M{RZp+-%NwX50G>o&)F?9)m;01Qaolm zMqdwKcO02c_vnWy1g**3wrM7ZXX{56mM+gJn{%~P=6;;7%F~i8zR!_ic+e+RHwb#S zKiSlDnld&0tjp0x^0nCg7`g0^9f0W^-~|~tRVGcdFzmPz!67l5Q`cOfFkZ41)1Oqr zothDUPX9tMMgsk<5<@hhY^CYChkD!8ot?x|4y&`Wd8v-zSmhLsj8;%(Lk;NJZGi`% zyRvymwA@9jG=j006EH-z;(J4rl9kzpPf0vP-=7?F_P%Xl8=}AfRM`G<7>N;u8B;;X zJZ?WPuhfH7XR23k$3EJnEjQ{q?(AdqX@7ymg(IO?Pc`VhT!3`B*7cXEw&Q8;f%Pwv z8_}P0Cw}qBsYT?f^WNfJTv&ppFf7;m`h=O7OmEfhldR$(X^sqZDl2QRrIX!jt_E+l zeif63Ya3!|M=KR%qoYSQDPhl~S9ZrsN5Bo*6Kd5-`k3<@Zt*M43P$h~%C2+yfxS<6 zjl*6v{g4#WP`q2Zs9_{9CcgzZ%p0jEX_8Ecsv5xr@EL-@zq%D))xY3aH@(3=}{^%Mkr1R|I!zOMp40MdVC1?R+kC0kJq*ceCXdXKi`{UyfLxyI$oUt9ZhL?arLvqT>0CzqmwehrX2Oy z1JBtsOGWOiee1(fSBI;`6JbfkBcS%B@j9?wgF7dNQapa0ki{Oyfn%|KzgvO+DI)jW zHk)r{DA|tX+g#3qvU_1YH%q1@;KM~6pt2SziuWMHR2C0J!B*-t;$2hD07XLCqTV3jhw-(Kv|JSM!!YNMX#?CR$&R~hJtR7hFP?12C+M9} zEi`pCTI=nnM(V%$2}DV(>rl`ujrsRiA}tZC+Tc>Ln-H3-)^@rQB>=#0q&bnJp&G zCVfVz-1QXt%@Ol&J(sjyRi%LdHem?G3k0xtF@RRP9499_5WwOWWt05^*iII(VZ>iM zd_0j^Fxsw_X8HINln)*6YHIwR6;YX8@ipOxdyijd8Db4j1PuSu;fS!JrU((D@$Z+v zNn%c*xSl^iejXO3kt5$?xPwclO^ViKO|AH`dbrYB#Ccx-Cf1^{a+FE-m7C$dLH$F! z0Z|J+zjEc^PLzJ!$Q%9B$jYrQ2f)e2rpoxm6D>o1z99gVv?j{*fcXW%cReLmor>y3 z#%smi`l|H3cf}=ZHf|(tgEI?}^)xWM!g_vzAcn#MaX;%(J$>@ho2;#QqqZ|Co#{8w zD&B_Id;2c71V_SCa~D({=wy?lu5W-8WJOZrh`(PAn${s#k@#Zbr88|VB*x};0Dmzb zHtQk2LWP|L$F=yBw$1Y_`ggI)o}Z^XH9OiSikV5Fl`W-MH-(Z|RzTL#G1sp`7M=io zFDwELytIziS6ju8wPz?(#=9c|5~)8>%FVNGzBlPk@Vpb6r7*ndNv_5fE4&r-=23r@ zxnC*c*$@n^$V&=Cp`uRYkMug&k7F-Th>?5VJ=blz>pWU%KS3c*l)!iFs zQ6QXUoa>KP5@lqF_FyT`?Rw_0oIRb@@+0z{p`B{Wl7^M11nT2eKq%Y}+~vzU%A(9T z$vkCerq1=kn(JeOqu=9Q;>5SDm+1ow{6wtpt`gr%I^=kyDb~~&6iPi2JZ7qB3}^Be zi1@DcDcY%?ZLs!TaGPkUGj`HyIaD*DDJ}f!X^U9!`Rn)ZdmH>x8dMZSWw z2D#1`(-=?t_T?Mc9h+X$BJ372r#H12kVQn;P41^FLlZ~FaJeyrpL~0RhoA3f~6PV>Fu5tG|I!k9IfAXinM1E zY$5mtFUS(pZofF1{vc?lU_+pyfv|^lpCFiQ&2P~LF=mp*WbcU3iyp0AKyTZGc?qW- z&B5M=PP26?;(KXD3(M2KOxKo-RTggK{iT!Hy?nL?5cE{Ng&jm+@oCfP%)NJ0<&?Id z+dFSMYwpjyZ1&Pjdes^1Damp;VBfM^0vV}&u|EapSqQFH{zYFl2MH}vQrEhgCKFk0L>=`D6nCRBaF* zb%O=KJM|q<_oKqW2(@a+wHZ()ip{M!%AG4^s0Bvap>yQ2g3H%-ibjLqu|Dzuw4>^} z3NK3l&wb}n+qYsWHc!{OPMt9%6I<2xe5C0;rmw8f$JSi#zIfC96LglEel~ojn~F+5 zgH(GOs9!bByIWH3b_;xIZ33FDUYvdV_SLG1ca%nzS}Z^9TZl5=d+SMtjhy1W_5&<< zx?XzdcDBq+r?J+ex~2}#ZFDs~YlNGv@uP*~Vuq=D>I!rGbJ>msHx6>m$Cd}bS;=wd zu+-xT>R3RNJgX>K008u0}jyuD%J~sfEuf(;i)Fc1PSJsI%PY)sznBEUqoDuB|Xo z;?7jjHu}o^u$KOFiKk|kse7?*iP0Pi{?{`y;7n6H~P$||vC6=O_h>$o%hLSsh;>HArd z=xY)+9|XpD-%n35LR}E0!W$z><;EWE z2nus1zgD4E(OyRB?tG$;;=^YmXUgS(4t&r>0@LpGro zNrfIV@;4d#mKV_pBv!?%k0YcfU)q}q+!XiTL$Akvit*0@$bgM{1L zqXusq4zGKBKTzjj?%t-Mkl_dqFJF0GwGJN+S{qX+WT`Fs_W2b_Soe|k#rtjrxx;IP z#cbykSUsIcrwM_BB*mJY$!d4}>g9pUAd4GRdvpNzzMy?Ssoz(Mh;T&?B74U!#}@Ju zN!8*D@Tx{x6?{OPuuwW0E3Ahjvmu5mfg;?(xA)d)ZNl1|pH!S0JnDlhCtIoDzJXCg z)o1%i4@q^%ebG~V);1)^Fp}Y&A`;~h2Nct#fXYhL)UBLS8+!Vv4&yuUr4LyuFoR?g z$)W%pg3@C6O z5h?uyr4QeQtSSP4$)hWoKd#Bf-Dejqd5b&lBtNJ>_!U=$>23HISEpL`Hq|3$@+~wF z-M8828^kCjUsnmKheCr+r#rsEU#DC8V25Tlnvspize%H<@NZJaBMWo5e6gxTJAw@` zxp3%X01j3f6L4fk0gt$~6G%IDHe`4!L>m@KeA$)|uq?5YZKeh91SSi`E{3hRzLgi*as(mCfxjMY_FUsYw@*nzn-X0w5K4_l1Fej9kxSinE>G-jokM)nA3_j|h+ zc|<8zPHa_8nUB7t7~%R#X}9+9JwbH6drZXxHP189USca$g3Y4o=$%maslX@>6OU(pX#gvqqbW8Y!{oZUbARsKC>NWsorP)SUV@s9rc zX4Uu zzMin4_lrggj1}Sr4g2IEuI2St?QQ0&VMf;*6P#+?Gl)-r&{>NmOCLe(Y)u&o4(zKmcD6~g)Ghoy}H z0*T}KkX?S0c~BUcKdiAm>S8kOe1sepEKbsKTHe=_5|k2l!(<=gmXfzC*#Qt_%;PK4MpU zS$4FL$b_8SO5464rdgG`&&&9cE~jvMRBJui!8%9RbwS55b}P< zZ|yWn6aHR#6F~@8Fk%GV6JM0UInCz&<0JZrHT65o+s*W-@u~^w;d0bGttet`&O=N} z`DEK@;aJfDKTiF=JgK$b;tWR~ZLIPSj;5h}Q|sua$_{T}xwXEswEquA5 z$@Xwf=!Vzs>vV-`9TUc*?=R*eYPR!_72}t#X1~=~E$VqEc0C6(-$${jBTWEPuL31c<}L4>`kqWlp{vdTD&^fG)Qd$06NaImghK7UO{V3W6dK{%0N+PQy-c>Mwsgz# zEw-|lMqvxxBmwle+nm?BeSiWMs`r{VxeihUm>zXIkEg7WdN4k19G9s@EfU3_6&u5L zz@LSG%-c_`q*!7H4!Uqks!K*^=Z!01NSgXvV2d)j4G~HQhlRju{5R|4?+5eMug3%w zL}{u&$$w@2M0&7z%*3rrg+k};nX3KQ#*;}>Z@C8VEM|@wREBY#dfJcJIa*vLxPLFEiICC&! zu4^N5VhreL=^#(C8gtjC(D(-T0C^SRRvNrDJh$IUwj}a&bGrMS`rQf0MMv=fT^LhRbd8omOJN17-!4Kq#q6qYzme>{M}D?fQc)(R!x{v- zt4uZp#6%i}fB=68O#S*NC>Mgz2E#uA51Nzv9&sq3xUr0kH&V3PM0CL@s~;X|RN2Wc zC;OY;gw_m9V?5!l{oJ~^dC)o2gRvp$Y$WJLQQ3Q)#b0b$->+qq8*jkj~&>QI`-qhe}^ z%CbIPxgnD6>!V@DDjxJ-8xe$NYy%@8(N0{gZ8LaL)xT9geriXq(wnf@KhN|wdY_^D z8T(3HxO=HQ5Ibk-0ArqOgm%!g`(4k zw})Cf%(3{9;H+Tkqq))PeO%&b7224rJ79cD;%Hyf>1rb?S9Ln1@`$%8;Gn4}2N*jV zL;?AjHCE`R=K*?_@3!(>L8$N1PDhklCF*X33F^K2UC8nc&=`&dBKJRi7SLuh!H?-X z2HtYDQ&rnv1FpOD!}IATm~~*-I2d`R$Bg(Zkwii=9R^am?cxVHE4zhW{ZT}%Uw0q3 zyhL!wsu5K7h2N4pe<;RzQha#vLkM zoXSkKwD{%>mQ48RpEny?{^>Q-QG>W z+Y;Suy8W!YYNBYPYJxihS{?tS5C7oaquln6g9J z@*NMB0t9rNl0xotBy$?ily~n_8Otdl-l|9S7833Iln#khC#+Kq`Wukn7g*G9 z@0~#iY5ih%TH6f?6`2;+eK2bbvAFpHQ%W*Rx2VLP=`^iLBNV5vHdwm}F89gx;6_U2 zs^l5Zd8B>c?U#QQn#XjRZGrs?9jHL#PXiF~-!~7yK>6ct207|CLQ6YK?|8f(xG*pr zDH7y<#jSI2_*`iXA6{r1$xyWP^0i|E?Mr&+=gBv6t1Tf&d0J_bsp?ct!xq3~WKI&7 zH&HUC;7M%0X_Ds2nsFO zY^K*Yp1rV}eqNc-z3KA^b-0Is3UtRAt8(088>pY#P2np^_}d_s4PQ>=GJc~r-Z6J~ zlA|3SQ4?2%LLaTQM5P2rL8S6aY?fcmM`obj`d7*|C;zbf_?JHFf4%BPc-V9#fGGuc zhlww0HqW1p@*I2%Qyp7_#?rjW2$iFBV&pKIjjnl-VvaV}l+b9>Vaz^`BGy~>uA6-CRcZs8mlgAz8LQC;uY9h^lw>m~z+UC?yBnEElU% zXv0@rB=Js{={*qVU1=l}$sGxrJ*!kYb7N~74gN5jQAbBoRa(4Ox?pxNzggXU;Giei z-^GYmBe{#jn%XXYp|He=!E;5Kl1FQe$z>hodVG1K@7pu0)l~KFT{?Fc*|v|-Xrb4e zlhX>8@zdKaYC}PLr4Xfm_CC=_hEa!N}eP zMGHSa^G585hcDYr9Z--MriBWm#zd_?++J>SnC4rRdV-({5v23%uy*T*vR~bn!r7!62uxg|V&sTHW@hu;q14t%|3C#kkoJip9Xn-Io&{PJR3s zYU5Kn$lt%gOPpy~qagiKV(N9V#i|ee5_=<&EB4u%;o~wnEAO$(7SZn&2M&q$2TH|1 zLDYcA*2Q_7+j*J1qdh=tT_nw;GazQC!Vc`cl~iaowM010FkQ8)WIE0=ZwDdO;Q07Z zR4)<3@Bd@(y`!R7*L7bM6eX(UjFM@Bk~4^s1td1ml9Plc=O`dKgMc7G$r%aVIp>;d&biNC`;2|Z`9q=08eLX>Uw3`=zR&Y}?zbA|^0&L=gY1Sg z!s2jNu8+OO5xMOSt5+ zE2ohoEDg#Bqy)W2hHI$xQt19Sq>5ZNO_xS7UCj^iR1Ess7EsWVlK|;T5i_D)=RwuP zOZKctSfa;d-6((f?Nu@Um59+UA*KD{`x!}SBQ)^HgfrTKwsz8dZ^}Lgd%eM$B{eUN6@@tH!KwT(UZE#Q3wV5k>383{-2EOb7KU78Feq zSIkPjb&?|804C$lE_k*)c1D-7X+Z);@b|RDH*Teav~I;fl;?%zQXcqS*LOt>TPxV_U@; z41U(oYdE#zMdf1H=}pnV04%h?*`AHtx>Me!fUhN<_0x`*byaWjwodg1#7#2T5MX{R ztp^}Q7rxk1#^z}P-H(lqgSCiBsnYahP&on1HrSUl&AbhE?ztFAfpj_tXg&9J5`kK9 zDR2i#yMCepw}xFbEVJ2{x~*rqt^3vCE|%PVLF)xbyeod7aNUUpl0BNflT5~o2A9-` z$_NPj7pwXH9ZJ6cW_&dNZ-jdVzY+KS^Bc)OUd#2H$7W|*jqg0FNOQY?rDz;Cy?719Y|DzzA4`cf~d&npBDrUsv-W8&7 zufgb>+P?YK-Hnt+`n?SmvQH%h=9kJMp}88GW@n-nNpj!Ec%}2jnXyu4;LZ*gWbnV&7Y!T}MGt zLLw)}_HEX&F?Ah%L6B2_*_#9cYnxzeG-T!KWZ5<}kU`2ck>B!B^Ljd|)4K>&-(5n6 zGJ3?`DU_>tq zo&I$26}&Q4xEU@T&HS~Cmi&P$I>Av|Yd?s-xJmJ&IKFB4bfd2TLnW zoUWeBH+TWJ%1?|vz2rzE9_1;^Z^N7s0JIBsC~_3|MQtQbtIV!G!)b4S?h>e8cmG7- zmsnm>19jLxTd)1y{ffa$y2#LYfQK>ceY|Y_Q$Gq|(0=Mi?PdR_AFbSTyYM!hbsqur zquZO$8lCx?|FxgtAIpXR@%s^bMB~BoB7lnV-iaHtA=pSG*9)(ENU^AU9Lg%&z2K1+ zotZ*y9})Ph|H)BWeRLNZAW360HFF4O8!!!V--68yRf7R__zHD|8%pCo^LZ-G=S{=M z#Sx;h(8em{UZ~A*L1a!*Y~-v7!rOLe=nX-5>X)SgnUh}Y2j+yvS&5eVaK}Rer4S~^ z&OHv*R7Ee4uFS+9H!k{yTU=ZMqQq)QEr$1A(D~!U;O}2P9Cz>d>0rMi3mBHqF&!Qp z+Zej;>{Dx!#gw5RDc>+H4zFd4)TM`75S}GyIegowoY$+hw5&ROU;FgAC=-BP@Z$d2 zyBA&ByQFA5jKw`4xgnd|B!W-xoz@Rdvwa@Reit{;j*lZ(txRnE;UKUtO=^RZe>^D^WqSjR7&OSRs2}3syy@YPuZ6g4tb+3Jq}rn zjO|5l@L?7&O9*!|4u?(zDJbU-ClmrGWUqG4EK<%WUx#|h(5#YUVd1tEgE=#|&XNNC zj_YN6dUqx&-{yCdy?^QPps(%tb&s3TX>QDwElZv_1Da(dEnv(P?5Sj3ccJoI$yUp)73K_l<*mp$qdcKhq)KOym18|>>w{_SP{@wal1w^E*}ZQSS+@rH zwHpxmsdwgjMSheVh~ zzj){C%DD6^`@lH5usl8wEZ;&G;b%(cvC4Hf6XTg*t6egNDuRs#$(XGu8vL{^N_{U;SKYU~_STD#})lbA6xFmXgvmdLUW2XOGIr(elo8osPzMnJxn}4yVo4 z@=>SIvwpN-T4hED2kHVQ610b-;GvV%vw(ZY=@moP(=?2jzBVBJNeaBF z^X%-x_~MZ6qV9?*p2^(}G!H4>LQX87QPH(ck(ZWgBm?blnD)d)N#`z~IWw7Hfjh#e zsw@>0>O3%IV6!>N$4BGW^(4FGy;+Wlm&r(I`3!I_;(wb7Mu(kf9P&cJPws%8$&McQ#bTar5QfJ%I0-+EwejMl1Gsuw|`7P6Q*QWnyLE`&rHS~?A zg2o0x?GC_2>^dn+PKTR)U*#3fw% z$t{fH&YPq>weR>`FA91%mrN&}!C0Hmb(D1dU_cT~)5RQpi!2;R&8(n@>3U#h-uJ55 z-c+Lj*K|ES3hg-x|Mb+ds^@tB#%ka z70&)ZAxl&w-eexI&;rzm;R94H0t3;2Vnsg7+<6}{vtc(7jx~IXruY=-%|e)aTqw2# z6-S6#Yj}MevBm11ne8yoaHc-F60cdOIa;(7=fqFx!)um*YyYue=xW{oq_FUrc{h9#h+~;bU565v7I}UxGo)Mc%N?Y zoZC`Enxp0^{y_5KjM|&VD@qoQf$-Zf;V#eDEfw(s&ArqPB-+OOYC!5kRKZGYE z`!Zb5E6oi6o@_ zKCWNk4bZjP9BlET`hTI~q4NGZyc%x;l!<_h*w)U)5tr;ePh~?iN=a z(2-Jgb$9WTCXyU-6y#?|y%3*fdKt@=*wG$t*LShNh!)#KQtT%S6o`Vk^Qa-LIHv>S z_CDUZPg^#&ZKQ!zX05%0!Y#IZF^m`V^Fb8U!! zP-QA$r`a@9%8|stPmj@l@Ly`j`;F!3SN#1y%Ci3_EJIG+e%0s8+HW97{u<7S_+__GX~?p&7Dq^+wnB;>NKhcHMEG zdE+{DE>%a@wogg5h}#0QHjkBcchRED=Li}KO=2N`aFCXGj{)r|8YK?4cFBiiI`m#{ z6AuZXD0yL$5FoK!L0w0qp_!TylDmS5Y@aX-Ti@5q-f2$ zh}6;B&FID1JBBp&_Vw&j0b;I2ro$6fEz$EFuj8jr0eo9z2Jm;9_wyeptlnE`m-D8@ z7Sh#elH-#8x&18gn9oqQ!^K{qsyUsh@L0o7C(o9k`0vT-|C8Hsz#Y1PmLKn?RoQ!^=J2PK|5uuv-#~(V$GL|sf?ePlXZr#MP&Y`xIP)i&Xc=BNp^B@5J9Evr>rK=m@*Px>#= z;K&0l=A0#}tJ@oCmm)X7Dg1_k@Yl|vS~ryHP)-QA4tkLJ>j!^}YB0Q!F%4_n#&icH z|CE1_!uOBW(7*EgZ!UfDF9+v8e^|Z}{0+DW=pa5e-P}oi;?gP+bF=dG;G>$0Vp2?F zW1ANsrdmrB>9?h9**?kBX$V`7qUemE2?1Xgbj(Ai|iMbTU_6yoZagf|wmK}Xqc>p8pX9++eA(ltV2r#_f0UQ;sVfZ5>1TY^AOI}mhyH?x8T@o zgkS6wQ;2h4!->u&l`8GZU9E_SAM2X4B}{?BE``Abw-I4^x{h%@uEu+-`q-RC@MQ zWpzMsUD!MDuvF~MZ!jf4F~ny7m}U8|?^@`;Su5F9ihiHRKi;ERz44I|BdcPe_m+U0B5q1 z={W4|XRMO@n*akS$^|Sr?h#oIL}gCBa9!D(U%d#Tvf9R4<{P-{2~w}teGm9(*p&vq)W(YSHoIGG9x=4Io2|D zKnICz&8h}o0ie_jE^O#uvpD7D83&)*#IjU!xH_FZVAJRn^u`D))qMKODCU-WG&$<$ z^lD6bsdmaIuz(}kiqKZ|zK|ASr`{c+N4q402?Q-c?})GZG-8_ap?6kqnhz*)_PBtQ zikX=nFXg0;G;5*9p1?W>i!6t(t67wZ_Q6k>%S}QN@C`>8w?w8}Hs7F*nR{?*ar#>0 zLid>wG{ZJ{Uy{l!+R(Jv_mA6pfAU85?0I&z<>kGo=s%QoRAQR2qoqHP zTm&?2PBY6wruespJXEeHT6e52yvH}90KH=i?yMP9Ogy8(ON^q5XhCeq?pKe{k zHQ>}>>l1|&sGJJX7T8>x9+#_L3SNnrO8dD&$M7YNcQVJ-y-&eYxNxNfr5LYt_C$#F-zRmlJ}VdP^ll-NUyy6 zOTgQIHpTyA+aDK-{}Z3D|Kl*+Wp*Gu;64CY&l5eKb^TAA!5=Tv{uAMTe^|x0Ys7DK zT#yGo?Iow+xPt8;D5;G`acmxSKsF^1bY!(_Tg%7Syjk!^a9&2#tbYx>0G{*O53CAA zqO+v9liDUY-!xkje|S{wN}wP{eauk*Ru^DPc_*A+^;%dzgXg3$XD@%}aOKV^iw%i^ z%v(DQV>@& z+vgZq-8k-J?V)$=ns1Cea`*?$H(mI%+zX~p8{j}wfTnbTnQ@kDw!~t~Lf4uuZ(>M| z4JL>w7gV%c0@X`>b79-fTp;=lFIL?s`bl^ySQSV%>_ow}e^bl~kssi_57M?02q`i2 zG|LrZ_*&z;`N)PgKy1_dVfzch^6Xdee!>i+Ej#IVg_|!kAK}b-S?V1h=XA5G!Kunq zrpv$8OW3D!j5!LTW@&wwGn)24=??aqB<{ec;iUSo{w;R(> zJ2L%xsHX4)UmkwT+V!MtZx_o*v4X^ITBcsGDKzYFtH0uM-dO7wXG8 z(taT*xPHYnl&5a~0Bn6SIg_4?Fpsy}c(#e{{uU~0W$`}l)eCao{$#xeQaWP>1G{sl zcTxvjiXU6Wyj&c;d6Ly4d-k#?Im5lEfimrGkGz*4<|^_)6AFY4*A{IjihEPHHh?8@B7r1%A9N z>8ceeo)3ZXrF|iM;%x&{z1AG*z2S_eL04r+S*#Q20Pafa5*>JmiL=D^FZ? zkuyO(4i|yB4{op7ex_hoOw!<%2Oi$aS?Pj%gxJ2fuPHz4Q(`IeiJM*B zLF2^eYHQ!0xnZvQ8Uv^~N31Kj5|>AcPM$H(%!=i_jV>WbhSG9M&Z!1Szc>C^UXHCx z)8Q6)dDV~iA_y5tQK+ojUs;9!+3BNt*#8;wL z4ae7G_Gtp1<_TC)Our`y$A_Aqp5A8!+tbF#a}KhUyyF#$A#~=$_*}}T_L>g`8)I>x zXlVzmGA6o6A&+J@oM@-Su5u;tg$%mL36~5{v1}BKEu~A^b(7mA}6zIjR1p^kzK}DMK)qI-!--AD7?j z&Rw5t`fyJzDn0V!VW+iqPu4+vk#m+=l(%tKT~hd1pE`Vl&%i&in!#+~c7Vua-eui& zPMQ1M{KOY3)w~@wLRfuW-OX!&~;d zIrEFD-uR7661AM|tX_v}ZVYA;w$BlpAk)M$bjB8xE`KF?`$=Y#qf0d1ldVXZ}>>p>?)f6-3Ekgcin!;rT!130)? znFT&i2qhU2nqS|JdI)E23hYJ~&P`t=#mBCDhFCufj9bf<5qWJh^%}hoN6;YLxiyI@ zNGNh=hfIDH89oO_K$Wf8op_-$IGjGoj!lK}faqyT@ieZequ_Sfg+Tu`0G_AX3)-a^ z(!dIDGP(`)V#PR+w#Cz%r=8Dz1rxby3nnXO!LJ`umA8|}+?&2*7|ESwe`;qy+oqk^ zgO74{(j~E!c1ND{+)Z$n75Hi{$9ztF#UDy0RN9$PNe(?;CNLjf^TyGBk+T^qClV)E zsLp%DZyG+i2wgYqrj4TcaDDS|FcH98W-)YC>4)fg|rsDSP~S@zoOl#?L1SMzR; zJH0Pp^|kbnU%VOvO7!F-9AO(z=110sT%RVBLfR*gKtwtg5qR`-Wz@SlU6ykOl%3ZK z!Utf7aIIDi*p+00GxjL;4lAB1`nO9eL-p^YuTLY`6Y49j`Y9<3_42D4z~ck+u1+D$ zWTCmNNd&1z;n}w2=A8*vRjAcX4BxTE<-dmwTfdZ-z*h!Z5I+H~hHqRxNmq`r!|w0) zXidqjyy1L#5q@|VW7%0wSN5WRq4kunjdLx^GpoC zp>W8n4Z-AuE#w1VkI*0O&<}L;l&xc_?u!XO&+28y(5~&+0T?rK5TjD2?T)2qqmgDLUL>Qcwo)ux0VaVfJ; zt@8aH7eY~B`uqSVcIjTKJmn?m^T#=&#|gq5IcN^T64pYjZno>mq}tO?0_J+9_+NGy z6l8^`%{Cs+;Os!1KB$$3(J6e^Yb9Rvx!^WmV%)^<`V-TP*ofulw$fA*J&# zi@dB9q`bzR**7)fconC56D=$R5#Kf#vokU}%4k56tu}gg_fGoNhaJhZAqoMPEs`O#d6@Bs3d^0*4!iSLl~@)E~-kskLdD=Quu3yah4fDXYY-DG8V zMc*GxM8pkvw+!t&U*8oyR0pUv@ErdVC7lP>Lp;`UcY+H`6q3`@Eq53TOK{HpUL6o* zCJ1m3PV0pu;nu7pKmhL1t;vwF!kqgmv0-CLyN1fi8{IAD{SI!Ne;hxTFa3++;h!jf z{2$Ol{i;<1^a~p4VG9L;7tqD=$WKg*DxN8$GF8W9nK4`>n**Qi#ESo7%@jCo{$B-e z|4ai1t&G=MSf#Pn34A+DCug=UhsB*n_&LN#uTyAFrpo*o=G(ujeE!bAp#!kFRRA`p z0SSg4_qv-!U4PGt;Fh7$pNboc`*4U6<@z@u-PtuILVJYjQz$l2c+fvnoTlXst~gl| z%x&(XdaB2P!jS(NN3s2j6;f$YSU6)&WQ^_zFN`sS)7SG%V5!^#FUxF>c6fc_q?gay zYA@%*(jlF_HEAt#$1N(xTVB=lITNs4haV_Jq4D256y1dj@6OUzls|%e^(>a=?-sgs zPP$r>CRbaV^n7tJi12g*YtYu%8db`g-zqDL*V_Pu$WX8f38{0?DsYAtji2e-9=o`y z(TP6|Urrx`)O~^!?NQY#O>+gDBBZ}Lm%nujC~;rGw=9?z$7C_C$8@3)Yj;+^q5Ni9 zCt>ZFT~zOXQi!_-nZCFDs10c%fl3Q-ZX%@IRvoo16h|Fu-KoxBdKg+z6k}J!$5W~x zqMl+rm?0;%iY}bmaB{YNzL-WXXY25ZzAm+|TX0{DF4U>JsYAFx_FJBk4sXPzS<&2{ z&NQ@!t4*ayi|uutwR;hFty{f!f>ic*>-P@Uk95BeZ`Mc!btK?q*>Z1AH>BYQvaR1q zPL2_yQb;Ly(W=yyPuG${p3cV9% zQawE(-@9W9`=A0lg<9kz{lQz@+H%dbbMZT>N!8drYt@}a>gIJo%$R0ODiD3Ep+HS-qzIPlXyn}-$ zd~y?%s<<7OMztUAa$SL3G0P^rV&os3nH@p!offfrYm|+rlBBg#@|V~8VA;Nz(jqpJ z;OpwLeAu+$2T^l0<%X7c#;4R=pH@Z>X^!V9^9(TnuNx-jEnMHg40#fArp^=8M+%LG zxj};L%tvxcq>{x1sk|3bv@7qL79yr{9jUP2)__~8>FWaSTR$Etr&!70V9B!cAwJBo zMY*L>Q`E!jU*eF$*_z6Aw3it)t39j5*~?tOwgh2F$rmH;2g0@>wknKhDOomxtqS$gvtjcFM53gMGM!I7W( zROd}?j!4-E2`(eZM#Cgd3qXeWh40p}ob?+Y&H<2@F!@DD3YqkNPUvGIyNow-+@YLZ zwWHN0EHVb4BfMqDBr`Kep>MZS=fKX5+NafZhUzxq^o1$0h?+I$wXK5bGo%wceLb*b z@D<|EL~PV#wJ4%My16D_#fX1-uJhSEqsAR>hd|9f|)I@;BcI9~6@ zg>)F-YiLi+x)^pOeAN~Tr>-fTZ%uveF~c~3vJK*tt{*u@obL_>Al+SA_^Mh*{g%ds|pJJbslpY_9&cCe5$n zUc%F9?<~H-TY6#4o?cEW=U~O6LiY6etg*TY^&M;Kn0wFJnRoalQ2b)}h-qSVJgt`! z14B!=-6Jv1GuxmvFt}1qOb;(7KMfy=pc`JY`UH|;{)Fkg63{O-UdI_ju(hi+b$V-N zYa1HbqX%`-gRKa`Wwm2gv-7%w9uc4`&gS`JMIi_<4UfDJrb}Jv;|jZLU+<4s=e~7f zPV)L>VTM}$uJaMsz-}>)v-DSdI(U7?QB0H7R@%dLKZST~f!GPM$pCPmj2 zo~Zf`5p*HE`?OkBPX0BMME@JV`pjuK#G$U82E+~Dn9en0{z=nKrL zFLeinyzp{}wu@-HT{FjrXfpZ55BpMc#cszIOUz88p_S*wJ1bMY!L3Wr)C>hmozxZQ zYGIc=fE$<3B-ltSSH)`wZ%4YFrO5MDHUer3xn4o4eXH+>fT8C->##9S??SnU31JRB- zZ(MXclv?cqc;8m+6QfR$(0awev&qU=8|r_y zn6}k3jbB%(1g-Okt@b|ZA5;{xC@bM4M-=Q?8#nCrU#3bLtZca>rp(XWtj=Z@*etm% z21D7o8%kHEr=}$y2O&T=JM-&7c-MO@Dc6!V0;;?>kH^vdxP`^%Rz6jqs95N)wk1lp zwLHzRX?;XcEjo8v6lwuA80;Xu{x&jhA=m5DM+6e^#WM3DY#or}q?qO3+IXS3KQ==u z>dSRjep^^X-_iMq{z;VN`b6xSs8X8Nt{T%-u}2XdC4v^AxSm~ey`G*wd`6+5p8mx; z(3pscVRKk(t0XnMz;g*0iQ$x8ORIpaXO*OOFJO9tB$ck2yOxHrK2dzA+$IB8kYS`I zMSOW4LRagJmYhS2pf1Dq6-I=Jf%MABT1Ck*BD9g*jJN-;BCDm#+wsn%24+KYRgZew`#z$q7=k7UVhUuHWMw=Ara*J2>PNDJwOjUg zw8B1r7zNkt8I^dM#MKR#kATP5@z~ppMrny`U5ZDf#bo60vby=rX!Io!(UDS^?Fm~5r&v|s%ceesD`{(o*PVJn-)>OFsP}xURx=AJG&v^F)ftj0RPJB6KVS4 z!5y_ueFVXJiM?a42jTEiji7bOE5cIgPJ0@XCK=WB$4##{n|upzp*>8R{fQ7PZzDW3 zJ0o?32U*i++RLtO}*@g_U%3pPdzS;erGvT>Q~OZOHU=EWfD z2hu{9>i8e@%^kI%Jy+SlQm3*$XEv|*xnvqE^RRq1lU34?@a%=>+StiP9{BYbHv(Hl za)>^bV@8)zUcx|`ttd`2MbnKocs_be3cqeOw+ZduA9WsGbGIlLQ&4D1MrS;s&-sz0nZTXU4ANj;!;8R2Onxeoh zO0yFAE`F_ZG?njWbQ0>1zU|0Orkz1cacmx-VevlG-bky<%IEMWwc=Hrov5}fGz$2|Qr#7AT+2gPe>K^!q zOZC=c#3t)`RBx#0d?(s>;nfZ1nYT#Fv@$VzOpubGr#2%=0QQMRjE*}#Syk&%BgovT zanmg^s@oZP!8~MF#3Y+vF&mfUrd!;wDOX=(hDd{t3F))H1Gj-mvr_Ux7-|l&Kik_R z(!Jmjd;J_m&oo<+zW5wIs4<4rU(6givEE)j)wB}7PBeZ{^De9UYU&ZRdBKn-7Lv*| zWKzAKl^Uvl_uK>V*7$X^cvD1HB&{vX)<h!cmV`Cs-7yEPQGHP8#eZ9S=|dxZO4;B-f=Ktg3KCZkWAurE^4A7xL8t(+XLKH^ZKrRp>JgiwqacRxP$HoG;mC z4H7f_Qw(V!LuS=|jfnSS%oW11q4TLU`SFJhNxaOJWCP&h<4ssyWqmJp z+sqZL3qgpYBeQ1nx;)L!R9#p^5zQk{ zdBp;kY;SzCnt1=rb7ytP#=>FR&OzpVjP5v`DFc_4Fr2YrEwf7WPPd2xAu@f!*VB+5 zO`unrIJ?go-K|sAFW4sF**)e^1bG$OR|AjjPI}tx(d*n~8{63st|P^Je% z_E7e$A;CGm5sk2k?98=@#b(^X(9R%o&wwE8$1E6vgPF*T-kH@p?&r-SE^WP z_93aR>cq5hH=9g`$EaMF9S13}v2}lL3vCfR?lIu0hFsrwy^I0y%`{I`YeoG{%By8C=5uFdxo=qJRSI%D4Ovrrcbah^WzGypx zD{sKl+oAftVC}khslDJoS6`0u+ z`YVU(wWdIhhn_6@E=28zW^*L)2yg83_)Rk{xxgfZ;w)Jrd4nntUe%g(jEf5xixBFH zw%2L78&^ipF2MV)3O2W9?kbWmi+rA7)h~2`_jF7TQ{DE#e^@Acx9DEeYm}WGNafSb zq_g)q0ZaT2!paVM>7bMy%)1u~#~sg`7$}1AOQYXst_;5!bfjZN#u{|CB(JS46CcSr za)Y!_<&)j=|N_%MSq|#;~Yt6KVbA>Lu)2w)lA8M@P>0Oeg z%k z%x@gq5^wWzMAK{n#8p-sR+4~+#=t-uPm^svGn_{nM_PZ8B+s>z?qQLIABZqiuR_vB-{Rjp>i4#Ui)S%c-ZH=F1ftP9u}7b4Tt| zZJsr)Kbf7l5&vY)H!O!`et_$+kf+1OhQDldHdfwO5FF~4BTJsQSK#~=3_3u+rk{`* zZV9;Z%0RQkJ$qO;e*LH>fBl4&XYHsXNf_}`-aibl%5wpi|1_7(KK5{Top>#tP?j42 zNAIo0rv-hrd!3&>Tdm^FmC{H?aPxRh#yTA`)y&zIdo(j`g&N_NTs^Opli4lsO)$LVKi-yP8kAgC%zAaq(_b~p5kv}JFjJn2FE-_H7!Pc*blvTCHpMQDDIQh?rb6Q2JG!x&v*4kNdG?L z6nuAh$8_DQXU8Ht+r;0SEn)wv9hzxYQ(hd&su(Uz$M9)P=x_y>8a#+eTIP`5wgl3x4QvX)`%C)?jKx8<%XS4%_2#J&7 zPRBWy*l|~+)t-kJtO!glo=|S4BVSul0rU@2gXYR3SmmZrJF$X6q&uP%iKfP|+`L+b%p9cLys zo}_PYKW9&Es##ETyd+&pDS|eYIW4dP@saxn%0qMBQhbZz*DJh1iLw2DAi>2!b>k!8 ze*6Z|1AiTl1xSHpZa)Ri2|oqSY1aW2Y1e#+iGRqh`;!*bU#A}btgZhlyyvfbWdFpm z$&15*(#sE&AQ76;j`Eqn&EzC<2jp;i05M*G+(#()A1uOx__89A;)-kJh8ZV&y7n*)B*BZx^hZ7BI$sWB$Nlzaen; zYy6J;10`0)`@q4wr5NZi-2w#N=GHfCwX&d+t@DzLEB2pCtHjysJm6ZT4gWxCO1ntb zMKbT9dw-+)fkF?o(wDyfYOUtSt zFQ}sc7^2?DB%m@FT09&g(ij392atyX#|b>m=DqQj`+;)Xd(GDSm%9SN!vFA}cbry| znmEusI{n*Wp5Bah{6HDp@Lo_v4*c>g9aU7%6&FK8?;DP6-*h^+N}8kx~8^b9>oOc*4OJ#MQ$ zi{PMmZfv9ypxt#^A+L_DGq&na2XK*FZJ|$ZLtMqsIu;&r0?7F|V`F2M!a~K8rN;H` z#(jnx{a*~p{QuY?`OU;*yu1P3c=i5m*r}#J&R<;reGaw*{EvT}qLJrnbAWK}v*?XH z;C*VJFq5FtZTB9W`Z?^aS1M${2xk3l1o;|&x#tiN9Z(C@0nq_pYF^`c%?@M6|2Ne? zdYc=1uOI)s!lp#O+EMhE!>XP2yAx#zu*3ag3P}BOe6}jmBY$Tk|L1UJ^zOKS z1!>SdsWB3r{_1z81yLt@Sm2iD^5H2lEuJqf4Iors>O{s2l6X%Np8Y_1Df}xL_wV?! z{+DkH%)KP~u)%*R5_?*^^O2cAdB2fWVw^{9ef~ZJ3!kG*=dEBpzp$g>iH}~xT0)mO zE!xUkiZTj$nL=7cnWe7`+?|7cTvdJfHNrBz{G0$0?Ec;*RRmI7|LkVuR9M$rzQIxw z(c9_a8S1oqWAc<|B@G*?$PiWm3b!SkG;<~@&rbT5FiTefT@8%2AvSS{{)&##gG-%s zk+4M7QN^u@Zq7^i=w;G;&@rp1Vs*$<(VnyU?jS?dxl!WsA?|1o-zpx_s<9*kW-Z``8Uf5%w zN1hxxzI;EU!M0FU8x+hs*e8C#ENL^()Imn`1XhtEJ^>ysJYf~l73ev{ukw}8a_-n9 zQMiX@^st$v09aaa-q1~#1RWDCZ%-8ELi~D#h%AQ_4ETU3l}OlU@&~2G&$~?iJdO$! z2UvagBCaik_DY(V7(cfnrvrJv=jGIki4WLqeZmC9QqP2_I^j^=ZPtRq%^- zvB7zoE-A)FPmSYgmVK_aXAGu0=L08O{Fsx9(pvi5*qJpg+Sd{=fVE1fu_z>HL4M%Rj#^lpH{= zOk`8qDJk%TDnAi722QcKOs&t|oFlFAYr3JGvk7_0q-<6xeYswp=UeT;g>GC!|@pcUs8Hpq;i#M0K|ngm+X)(*D=(hPVFvt;M1T zn2I%HNYo{5Ud7OX`nnM|=t888_MyDbBD7nvzRKy2Vt$dpgpRWbOWcEd*kc2dOh5C z+--DU{Is=Wtp*_}=BnM+?8N=pydM6EE}r`y87)eGH#utBKKt|G;*&J0%?US8SVsnQ z8C!yNmo8X)f#lUTt+C9Eog`;xmTP=?_T)VB{yEd>7RVxHjx}Pc?t9!3egc@%$dqOm zKGt(ADCzbZeRRZv)KWlpv)r7=b^}WMQAcR=F{9**z=6Mo@@0*bY@Z17?H(g)-D@@P zDqFas1+|Vor6oPU4N0;p&G%5+U-w4}XSoGtP?>iC!YH65Mz)%=V4eWfp@0+Un`)Uo&Z{X6hE_LN(T+bP0PjL$LKy@@@n z7X<-emy(BQK+jR%;qa<8;qx-d7g3oJ`R>Q2@B z3J<2xb;>w-hY_^n$2yWwo(G>3--!BT)6+A=&I!(uv^|qbHaa-cf5U!~Mq8<5#rn1R&dVg7MwsCB-d0Wf9L3BKsL1 z1$r0G6GJSVT7#IWyWuOwfw@LMBqXQHjxWKHvI~8bVFrsz#LXSNNnhoMVjI3iSVb#> zPQ8nZY@g^WN7Of^Et0v%;7urty&;lo6w?&B(oek*|LjdVU7MFPB$iZW%G(!0FZ^;y zmEwUX1C3MQ#_4wMcaK3IB%i`m&eWrM*-9wW!md``;W*-keZTPwHLK+SaVyo9`YAh( za)7ae;C!w3DIQ;IJb<

    svfkV_Ky5Y{&d)a~>RQ3__}gryEH;sh@IXwn&B#uy5$N zIv2$bLPA1}y|oB}n&jSa_nj)PkXvg&j3(Q>ZjP+NVO6AW>4GlGAA`KT_kLmEd({2uUg>CHbSMdNaH1F?I$noWDMjEGl8y$I_ zNT%3PrNj_$I}!9&+EOjR*~M@_me3~ z&CoJh6mFe<2L)vZv^AmcH*1>I+j#)u2P@ezre8*Sh{ppNkefd<(keQ-$A{}SN`)5Z zI_JAWvEg75!>Y%5ACo3e<+`Zj?USusy;`JIWQ#z__k?yL_Nyl|BE37?2S(o~K8qDD zDag3Sxil0~U;{GVeND#A#pnq&>D6c=AY2#sZX?D&Gck?Y_l4TM(lGmgrJ#}C&M7+C z@*odfR&bj>5}EOe_8yaA=nfKz+u^ zMibGpE?f$tfg>YK1i@a*4)eaHjiXNxKwqQJX}Kg48iwXZ>0I8se99Ga2nE|Z+Pw6_ z@pK>NM3l_Y;~b{O#O{JKe}Yo-e}WqMeu5kdP?vz7{W`i|8~|8tUt+zrZIk%odw*@o zq>p9Qsu3t)aUP#c1a-=KuE%^-WT0Dr$Ws%&c3KAQo-gl<^pTnr@Zm?fC(H+x=s2-w z9gF0=C&vQCq!OjxGlKU<12VNh6j{NskAClcq#DvjhzfUEp~X|pzf!xzi)*r|?gRrc zz;#XAb4y0nlwpgy2;6iPJ}H{wm2#9~dldjXzFP;bMQK#Rx@ZF_=vIPvh5C)bU}69zr z9i_J+rI+<;h2OpgLNOo~;LIku3cpHp2+ugX{S!2yU2H;b2EO)L9Zj)#GV%;f%eeYQ*Xe5LgY00q}qlJO#cpp{1P7nmG1P+9PGM(1tW5r{Cs1LEL+M6GNaksM!Qb_c* zaseiusnC3A7r@524L|UUy}Up669k4PZ2~0*o@n3GGL7~9tI6m~>SOqIp>fb!r0qg? z+k>gv@bz#ol}8$KDu|-vOk9!@wBk1Qem*{=$8{31TT0vIUjO6*lIy$B^0jPB-3({+ z32qPh%F2K+xzGvad0yY}8e@OApMi8M>J_9+pg#F@>m_=rE;4ydd%5cU0HY#dinK5l zwg4gnS4>(kRP0{9F`O&0urF^0ErDF@QB)KKDpRqupGa;@>wGXlm9KG@BiVY>X$}mH zi3~V!c2hF64Pxle4?L&|) z3{G-0Y;hT9eZep^3#wQPDS1Utxk@QKgs1$VzGV4&WD9Q7p4K6tcf z%e^B(H_KIC-eS|2QWQQF^`zQ*P(qR674uVtS8~e(64N~>>~6H+G?xVZlBZ~2;is;2 z)~Pt%wnV(HVQy9r3fg!~QbUkaz4&*Gsg`?dBM>ObvZqF$ySIH^`z@F)(O}-t{;eL| z4IE}i3de48;T_hp{53c!cs?rI>|kAKA~(28!{JGBiSna`njwGBb@{%ng)end*invP z!4;W?Dbp!m=`s@&6VJ&=L9P3R)b^I+FyA=&j+gIX9nq~H{EE(YQTp)Jvs0~aYer_u zQ(PP;AX%zWL1KwDQHZuSOKbF(QyNya7uN zBds(w>fKu7!cP$CmCQ7n(X+aH87I7p&Ek_^tjo@@GXqqD@QQp88&lA7VPgj?mv%L)HbhjXz9a&U#DE zAgs5l+kwmQve9gylf2xxe$vjqe4nedr1Vp3%iL+o`!y|?U*MhAfMI)T+~Wt#7#YaK zs|bIfOj$9NaRF%oWNu6-pacCYfU3U2Pf*^Ep}!_`bMt>xef92!KQJ=~*$*79-`KSu zKh*&$)!kf)T@z1%wkW_6_eqJ_G9k=5cJimw? zI=i<=c3Ou_dYoFY1#-kve7mbfQnhCqqt_;hgn4=kR8A(aMLN5}NmOAU9x`}MtOVB6 z1-+DZzKsdmOBbaE85u6W7;!2vBAgX;4zNfIdD?ShQs^#s5jP14MDrM&I@#e9V>pRe zn7xWl8%4cM(7SBlw2jV}`GGcx83+3dAvvp^87i6+yX!(@sGqFigyv!%Ow`0w74~8E z3}AbVu0*y(E{Eis3@}dH17HmkhV4kW2%youTXRu-zsze1G*3&!+ zSxR_+wSPa&%*h#&D+d{tIeYj)hMKS+mng?c_7f2ys3`Ll2wPh71n<7ml%-xR-jks{ zEu%MEZx~)RGEvayGaVHl>)={3w^=vyjYcYT8IIfY@Lxt6$VU%-X^}3r`SOYj24n28 zE$%8}`0=PG)BIKha~~EymNfzb3m7vz{JiMo!$Zf3O?PkRfh}4ENsQ0V_BDEie2ZE=>yzz`DA9NGjPKqsa0)A!F+8ZE2Mv8)jiZ^? z>1ryuh%a3fdHXy$FU|GT15Ef3CxGICG}BG_be5ZY_HC9EN-UXpMZf4!<*haH?td|T zY{a{%dc0(8^RO;daZkcfl?~7@ufgo3qrNZYy;)TgjVfqScv8u96?mMKUpYBhG|c>v zse$g;!Y$#}3L$1bdsSqcWFD$r7wOOstfU6t6KO5cqgBB0e0Vqle&}~(Tw}spRV+1} zjzLx{yU>-@*FXR}zV24}3 zRRTBK=R_$-BoW+WBZyx#6M7hF@jEYYkRehqh<^;|V$?}ag-lw{zP(t0@NC|q!x|7X z4_?P+Z9yuSu8MQ^_vz<{BGyfdY_*XFDvS0DG>&owe|bCB0?# zoy3>Qo1&ql(^9y&5jPelfSBc6d+%V$5_D)IlMp3H@hp zc)2S&Z@DY9Cx9#86$hv}(O@F-s~G)9^LeY}XutRBRUe~jE9d%?LLxkw!#^16xOch`}PCKRVf zLx1!045G;f=xN_?&W2yHn5~uXsv_<{%+P~VE7PwIDP*@_FVz~*ZTOpg;P&fP0;mT# z+Y+1;!5L)+Nu~Z5>(aupqOq;2w5s8H=Q2>&RW|6` zWpXhP7SI4>Zpq*5Ub~{zeuCDIe?I`-G$TI66@xS*2)!vc+JEtI@w1pG$YDY;>ijpf zG+!}406hp20qS~E$I<6kNG3Ficl1vX?H_L)Mp51{rP96rN5OKpbZJ&j%1r)ncmFtq zK_RP&-Pc^J%aJa5-)9BS)D~5@TI#FV20w(ok=9bH!6YX?$+Kz4uZfgglg(b&wQ93h zJf*+4&=O|9KGc^Re7t9+y7pbLe99`h+I!(acApV94h!)W~0KFVK_8^ zog`}jWK`z=T`r zduDWbL*KhSxUbgW6K*dj-*72YI$fz1hO8)ch4&Z=xv6um6 zIl$s{*?#Oa@ze^?s%rGjU>iB|Fr!#%j@TkcEJxo*srUDqe9f3AUhKb)E$l4n{KgwO z(c5fWCp~o4PxtCF?9V)Rd(RNXK;th0;*XFUO7>4@3>5SqVHC*+DX2?RbP5d!Y}RF9 zW>(h*&y1~M_sIW;!0pW29P8{Gnzs)CO`Kcqw7;C7l8pOTtdXqC{kw2NF)#pKr66!< zPvd4#x_x~PiMHuQ!drg8;uSOq=&!G@siJ|@_)-LrKKAQL! zAcNa+w5{;M&33JX%$I&}Zs!>wmsl(D%dm^`*kQMcjyPg*Z|SkL7~3i zaHU_pym(tU4gf#aYxm#$cHhnRU1Y>GU*A)o_Wj`{$YPTA1b1DS`anvceU;#@E&yXW zTuK-uN!Hd1pXgnkYSihu?`d_Ao5a1M&T!F!{$gn1Xt&*)+`Y2#Spy3;)VH?PEBQ2- zu|910>aRd;COYMt`BrEh>%VB_)vrBB&#+oog5T3??QKPzG#M;)Q>fwfbsx&FQ!Hn1 zU=sC{3nZ?=Lqz1>m&4Vf_O-_aya;74f+Ia=s^v2es3VHKeLFr7kb?irYHEV*d0+mZ zq-sgO7I9iA!tP?LA3tokIFy~qxrF7_6~H%N@_m`4azadRO_Fdy$$7SEf39r;#`|${ zAR@~Sbd#4rm?`~Z(J!aVEnxAvvwh~zGwG{R?qpvy*LB*v!b-MAwly3_=)vsp@zq-b zZ%AYgT;Fr7$2)Fzw%TSEIUnw0vjwLnpltZi(@LaEekhWF>oU;KwdQbVP7iE+@9lyb z@{x>RVQVW8ws$@A_vmBaGsj43IA4Dj6WAw0%=Rxv5$HGdbb8u1lDh&-=#+A{qB7h5 zP7(3+e5(SsoukFMW(Dj8f$-s+$T>>hPR95|QKLSmE7>Ofzr-6VLqt9$JruNLAxMjE ze4D}P1Q-5sB^e(UG-Kvz3$ybs_u5)-x;-Y|6 zOB==|o+Q#(fGd5()+^FXjWN?LYuACB8Tl7G+?@6*EZ*5)-VRNhvUP|G! ztipcQL9+FFrbinJ7>;>M-lityB2|Lqn$P~ zzU|or`B~BDhhi?6m{lUxgwmkP_2rP5m+SbCVbN+C7Y_y&!bNv4 z!y?2&lw7Me6@D?(229BrOj>k@rBJKkVP!UW$Z$5W1;UX6vC{yS|ks>-5+dOwQl(#CYk z@>jK+=H=c?Rxk-yOq(b8s4HBL3`mU;zvJ+yx` z+Oudt!5GaDJCzJW-{ItVU!Ssjrq-nFAn^tLVxV)~FF$yGr!=s$j{vKjqwiXdq)6tn zSyEuTtkr{mh>v!6_jNJZBA3+`t+LyXwhO}x^{|11;JS4BgSN!t959ZtK~9wv1N@sp zbowJl33K}aPfSv}0MAnIYhlrh%6z|C3Sy zV^0%S1*K|p=FmKL@*?}4BDQ90E)L7umS7e{zHF3kuGHWo?oMs&x3?Jxt#9hHB_EW2 zKGo6jr=5PBpp>HH#cq){EIyq?SA5f1x5w~lPqpyZDAbXT_&;Zbf6EZH9(ZVk$b30@ zpuKJG<3r`eX|CJBu0>LL_YQ^-wRzalf=LAT(!w(r@QMS?m;>(}`UG)0-lmujL(f*; z3S;UR)82UB(>odmNl24aH-s&o++`b)T($cNntFo-NYj|`>onSfI_QoRDiEM0IG{)C z(F1)@rnUCZ#uMUWN@W=9`B9RqAe2+VPKCshqMhDXrwn+55JW3v=u-wRx4Zq=BLGb7 zn)?YV#8@Lh-)X-TIdF(vemE<^^}`#IvAR#-nJ0<2t--I;>pBa2-ZaX98@53a^8}%; z^~M7;V6J4xq9NIRGk9-Ut9@BOsCo>I<2h__@Ga3>=M6t|xvyzy)50?!W<6Z)px4^` zboQbZyL-JnlzWCO0w>V!v3Kyf4D@>?B! z(=Y10RD&qfqYvQS;Jj@U0yN!-2Pe(x`LPs3;|Kiwt#eIt#EX@i-muR;CMW~R6FOfY za_?-fbsp2>>EKBy7L7T_s!s!2vbwl`l|(u=b6gZ0KOL{JJ_x3@s+(V{YQ2uR?M^Gz!bhiLT}zbk_3cM{*#v{=wH3&x>e8ba{=%2V(50?+Ao0A z4PQ2NG?0o@&W{`}D*HGT$hNv*%s;!^=Iz2*@*}GKvD4d}6Rn}`_Cw99XMlZz5#LXc z9Q+Hm)<5xF|AmLqZ`)0T6vPsfx86H&Qu+1Fx2}n<;Fj?56Gh+)MKRC9Au0+C*R&=a zo?PhX2pn_^9iX)iHsR|frR;u+Q+yRGAsWfru=-HhE%95%IT~xkQIb$VRGg|W9lw1l z4_JvWEzjl+C?Yi-Z-~p6U4)@N3s}hJ^T$soFE8ZPSMPtl0^AXeg}hr1b+lo&r>Ly; z)(roC>=IN}e@~P6XyWP{rvk&}!dlL{y_l{t?$KR9zLa6TP^fICYetlc3M?=C=&e8~ zc2xlOVd0PD8Gb0yk}aQd9x8vAEHX0TCuj~iTn)lFx)&Q8i<()S+R0Vqg0UGHctDxa zX(Q*`I*Jjr)nUzNDIVTj)wInrvl^Mr3KliVqFyifczJUIFe=}#RygAmh|LnGvroR7 zG_63^5X%%4y|>icO_txIB413=EX13ocH7pUmxx{yHIk*M ze%Xcw?aJB&N%n%f7!4+?+NK}4CH1YYX1Fc`fTDM=yXH%z{Z#5aD!!fZ$Q!)K80B0j z5+zBz0Uu;LZxz@(0dUlYQH(F>TVwRr-X}>8$37g!&);xM$iT?0oYM1omFZnFS^+Is zZ)Gp+PLp$LUm%+|ru0BZw3M5aPgM;?MTk9_tnEsObOK8OJY;^b zvf?x&0O&5h{&<6dQ^+$1lvYK5v!rn~E^7LdArNd{X&5u0Cye)&bkSeG1k<~ zwQ@}Bjc#cI(#AX8?TX)i|H7+@zKVeoK^NN5alLX^92plMB!HQmo1WEk#fhB z6uQ*2aD~k%$dr%7vgm8KMx}J7vjh2JHX;K_hs26Lwa+-bUv(*&((JK#9<^(~HAz3y zgafwbFyoo;CgG`W2{Mz)Y_7Dj!{7K=DL%;5>daEQgJwT)yM~9b1NN0WUk0?7IV?wB z^Hv4fk&3pv&n+|%$_Z`jk);bZBI7QMlU5bGKv=p7Z~oT#nNm-QlPe&az*WqP)Ax;IUB*b1VSmgonX9~HTJ zEop@Qj2=UepvN+HokmU_c*PH|a_}xI{9zO5bb7;Dvk${>SXbnHa<^M5cqbM;tyzH?Rn0UB%ZCA>G9+^mSt}ow&Ku3n4 zivY`_K7QM6GvmlP}< z>&34mzCG|EhICOX_S;B+3a@T)fc}%$QWjQnwVAdR;ADZkTw7TGgDwcq3lQu+wU|0c zWsc~Lw&B5$ob8t~ut{Bp3~awggr9fhQGOCMzbh#6@X@-ft>nfEYd}7#gQ#A9nGQc8 zENo&EXIK|fvKi)MGj1NHo60L6!Al{(0(AX_CHy5%lK=aCM?huTs>hOH?r0s!`6l)@ z|NYfV2IRSqAK&Smhn4XB$3A?(n!}CjoYkKO$XGc6I#Bj5Gtjm1Cv4lxKbDTP#ab2i z@Zbd}dHL8AGQH1yyQVwt>?Nv3V*V}F9OhM?58jNPC+jkxB{lr|l$t601&smh&hner zjX2Rw#doFrA-M~f>o{v$4XP!p({01b7eG)fdKI0%W=Js0U0FW|bC|R`Gv5EQa@^>~ zVJyz3n5fZQosPa!3$71SUCw#2B1cR%+IbWug{EQCk#9|rnh`K!QlH9et*fgtdU^-) zp10&xRfFZa``y4)kKF0V^pN#dQLWZ^j*|RIxC*g+r1_+2dCK`psEH*O&MwSz=!4CW+B|$Y$YvBa72~KPS`I7o=IEXXEFg zPV{eZ?@B$)Xm(q9&j`y{>id?-99*$Tv4qIZWfbY?b@v%&ODp*0AR?~Cy@oVd|dk35tDqe{3l zQ_YxCUvG5+9k)#Sa@Tc0$TKDvCiyK3#e{~pAIlfnvQZgR7o&}ROL8mip9%**&S0(I zx8JZHgQT@T9Sdb>m^!(XB$&6o_Z7PycDQL^dDDcQFsw*k)cLatbgpP#W_KQ|vS?c* zAy)uVTMOGERC1M$H@g+zEl5?NNxj}GsqbS%+do6u_eo@7e(|e<=@#&;bnqEos@g?f zd#)8+r_c5pGtWZoI5AHH<nwodbWhvB(?q<=`pH*PvsMV=5&TsU^s*N;5icdm( za@xYWM=TjWn$?9p*VuVB#xthT4wE83(cko^w4VGffi+?0U}jw&VejHWWZ}TMW@Td` zr4yN@K#i{oa`sM7ZrjI^`>GZsIL_do^fvPVXk`xi+H)137()h6uMV~ZY1aG|CPz3{!QZfJUMtywnzX@ho z$D(#2ZmO7`A*z+pBhAf|Wpu&fogSjkhq9SK<}rh7Eoa@7TRrv!-TErAK)rHo?DAI5 zlQxIbldAI+LeAY+fQ1_`_-psLXT-hyJ2C>Ib3;56@MY_fX2s2|{%eUNO_V~p-gZLb z{X}OkD!Tf}9QWQH^Hoh^#~YH;TJ66F13vo70+x^lKS7Cj^?+u9+|^@RqW14VG{4ae z@G*L3ji~vvrC*lbR(L#reN48)g5cWAGMEACEH3;UZFGjVB4ni=rmF-b(mu9C_6Ro| zj2n$HXSeQ3JH!zk@pQbD7KqI9^w2qYTx(Mt(mV}Ba5;C%a}+1MTq+qk>7`*rN?zvp zp)~;PTP%6-i{COCNm(=XNs)ibqUp3|X}U&d^ZWJ27G!`k{p>Qys#D)@qrJC1^nM~) zB9XokAXjpo?hzUPcmdK`$3t#MgD*lkio(AL4qg2&MpgV>zczO>u9^?703vgNBqcp} zw%TM666!Zgt^|HdNRPt>WBa+|0u;#)?J`ADp+gsE>J1I?=6CShWa#NC<8rbRJ zTbEA<2nOHs;<)z@1LQx&qw43`B92Y=Pg&;j%6C#^x{HB?qNS_r9|qK~^6r1!j<2Kr z6BPCg(KOwD72xkvsxk!~Zr6tGJUp+7iEbS(-xp+G(jpxyzfzkt=xRaPu2~~KNuSkh zn>)tzWw+q&78MD>VPM9;5k-u|*>_|mD$V*UpG zlQj&OmRx)X`-su?KQSEsk?rvN?|)=J==`Cv$?+5>%?YbuYW8ZiW*RhX1j)n?^ zU7%Q!MRiHi`h#BE>g@Zy9C}ROPK5zXLj0mX^F#qbtS|`*3HpOM*w7N=OwHz{ak>fy ze}L~e76XVudHmZ!bD$Y3TSZo$W2Ah8f|2;`LKT5ms{*da{t@SeuvzsQ^K_DAEJlVf z&n7~7b63+39dGZ(d;t1BS(OZ|Ip}olO~Y=#G&PI7S#WW~=&Xn9mI7FyuW@z3113y9 z?3dq_Q_Ul6v#K_Ikr?5J*7Nrb^%P=^@-$1nLoA>3^80sbZFSz;^abT3d}3{7H}k6# zJ5B+f_{y0i-6(IID))$IyP2X`Q_UD0 z>r*`tms)TB#J%oRd(goGQ~Pu~t@8OBQfFsJYz&w(@%+Mw%dMV@=VyeF!(8)Q*^Ar@ zs%kNv{rbsa!fE?{a${_bCOQ`}Mh4Oz7@cmoE?w(*)L|65K7lf&HDn*ypH%c>GILk< zz0vdo6v<4`(p_WXTDpq@4 zbj9W%h*mn17z;WKtKBKF@Hv!QJpwzx;4{mXwIpgL5dVn35AXlVPtp<3uz5c&H6Xo> zRth!+kW?RLx9AMEh&@yLu3$uYeMK8iGjf3O{Y3}Fy2^C9vBP6GjS%V~% zLgq2#=DaaLKbJHqhckc%lcX2pVr+h?HJF`OHDD`D`X>7E;B~^&4)Z-db4{uQ8QrG8 zBCzx8cKUxrSHt#}I7=<-c5nJH^kdxmF?`H_l2u3piTrF0tF?6#2AM_xy4>8ov!_h#z zkT@VgZad%qR!kguZxtSH2XWnYI5xN(X5Na3_?&Rao+7W&YDCWJCHWJ?2t3R?XHk+{``u6!D?sRl72}6p!7riQfFw`GJLtew^tIIpuoeBmWGZGALWjb|}Wko-{2 zc~*MS__S}#v7b&bdIJ0-oEcL3t)=evWCsj`LAtu8YKs*gpKlhcLG@kR>^#d z%+{vv;G*2n;+rd`iTsxK>M9?L)~5P;aME+aJLb17KD>R(srvaV=88*a`3)p5$5U6ljy14tLcHZXJ6+0B;C+N$@YWo!>P;cnfE(=-{C+MMpp5j;M?fA>W1$T861QVM@ zJ?xn%I{Um=W}~m{4|IZD_fb;N>MMaPeZTzPIsoo=k;a8)Yl=P)(1F-o)Y_{j?HQV#p9*|tx-gDQoN?3wg5#3c@4 zqLi)1!brL93#RT?UU_Tp;~%Y4uK0l-edi-|w|+f3 z74i%G2GE@e*=r&7@P+Tx43^gF1ze7hmiBlMvtCrne`FTIjDcbQQJm(_kq~}+A(C#T zbD68_mIFJ842>EcAm!4qSJN^Gx4& ztM^R07RxAdWlPx2Y(nu2!yQO-^u@cahQZN{tNvBTvqZ@!7@$yGNe_B}PRpQ>T3>UJ#1(VYZ>Lal^ip^ihy68C*Gym zlM#|+FnTnUPWe<%&v9I*1m)8$!W2Ru!!OP`F_?SzF^stb=GWM*#IzjK$mBw-zz_=q z%dYLuExtJVwABv6%U`C_?)JX)lK8(tPE*Ps&~l?F>d)jiLna+eq3QbvaHxK98IniCn`* zx?!A@RXFpCXvbS?W+Bu$yo)Jkx~DS{+W1@}0_Q;_n%qm$Vn^M$gA5gfRYj?B4CWZ$ zokqp`OD$t96$FbOXNC13nZjxu@KT&gjr~3@LU_>IaQ8*4y}+pKQY{geLQdVsaEn6M zLY100mfpGxMtA#h!?Hvqb~k0&r(2Q1`I}2G7R*$uhnl0O3P`85w6fL1Yvmi-1zs1M z?tJmB&04#fH2J8e<#2*yfL*Ip=q@nTwM-_Fh zPJCFJ@T7Y(c#641bd2o#6YnOQME%?vkI(MsGEFo>OqpU)cSsvmG`BEQV|Bi|D zabSrud&&O8Ne4z}xJ@{F7n#{u8l|HL@dT?^lG*0_3;nU#ngRiUQdwrKJJsSM$Nn5U zOn1pVN@jpA-c|d?8;uA;S!qBNqS9BmXv~Z8G@~#_ZD-!4#O3@|kxIlTnCEn;DV1+` z>gsw7hQvs}?O(#_|6R|-AGRHpM;nuli^&}<7>gqRPr#OC@#=ht&0qDYVJx-sVu7 zv#>hnTMr14eh1uz0fkkV-a6Oi&1Jx*J0z3fK~@+W^621YR+x<59+%y&-D|z_eTzem zEUT|2mwJPio%5g6zNX#_62kqe;aWIUGA+bbXCoW&V?-mboGDAT{>^c5E4a_w{8SVM zmunQfuk(yN;-k@Xwhz)C#CYAMB>7uxqcbDHf%zSzNkKCJ8~@ zSNDols+C$sT)l9D`M|6(#@z5Y0g_1uvHeNf-O-(`ZZuuovl9JTA(l8Enux zI3_9}xf5Ad=(lPTUFjKuCWR}e>#1?Jagd8`htnL1|RYE7SLK0gW>`F!&5W{v+P@pMGyN!9Z`RA^qN&aOCFIEI)Od+cM~MVG>udNY~!V z+rxSTAEoZo=(xYL>kmTH)6%j_6-gzeou zd0<-8JD8JY_6a5|vv*Cf_aVf$I=3UDls!&{P4stSJkhgi!JUxf&B`YubZKg(qldfh z8LiE?u|&-3{aZ+`Qy1gwd=~1{Gqx(15~o~u6m4hK5>642CTRNdbCIaVg6-t%nK-So z{C1qjiHD%#J_a2+zp1{fba^`$Wu&V{iv;;ZZsXBLhT6LkwoELU_Y~x-Ku;n5qUEP4 zemvPjjItUlG_jDm9^w@*UPac{H8nNjJg&lWly`2FL>*NnZta=ze44)%b4SU_ftz{O zse7X(MS3Ij+b#Mx@KU!)&GXfP=?RZHpFx|pgoWv)Q_;dA;|ZFPUjDQbrDe5AZ3KA1 zxNN_2*7ix=Y#rYM{5fnvKFG+>+>ErtT7Rb4;b5VAqUF>*R;A4_akh$C{?V znXNsrG*WQmo?N_D=b0U#%*rjz@*yM6^!CCR0`G-`sH74DRHE^eK)8>m_9mNaoh~&m z^UICc%9R+H)F2aSI6;WpLiA0U+$Ea>#_9OO(J!rCHQr&NICZ-OaXe<7#}95nHdK?< z!oyTym+sAVOLl1`Zo+C?sjt`KTGP-pv+lJ`a}ovy!%lVSOj8`Stt*&fGlY{pA7-`tI$} zH3xj-CF>b)%M{xqvAP45Dqf!VL6&qNFQKk2>Y1}(z!=8fp~vIQ(M#3GHC=Bpr$vFX zD4l?MZ{Xoo=Kwl%$|0Ab6$^jVMyNjZpt@rrU#3q4(`LNv#Kr8^2k?H#w!vb zWj4r8oEH=D`S%(a6{1n9tD2<(t+Mb{GqA^GPE%-=PcD?5HTabt)_5P5rq5b^i-ws* z{%Wgw-*~)LV;ziy-H~@lPBP7@DKBYF8QeWXsW~s6mtp##w?)Gsx9juRUG70Nsn!kY zjzv|Bn-$rV0_?k4jq!UITt;r~D)Xr*&()orzx`)=x7E#~U725pA40aX)q}>bX--uV zsI955=X}W$(*q_Kq9#a|6>wNZ*{sf-+##o}+i|IS<@)@Q08j^aIVprzm-R=u85!)( z;pEGKZ7&mfAB^r|3sWP0gjKKjaU46`vC+OK`zV?G{)m+H{8j=5HH|`Hsk%hpVDb01 z%faiB9F<2k66M2W<<_Mf(?hCz_FNs|J;2-Ux>j1vynRi450V^k5Vcqy&f@M(KjyM)7+u?16f`QT~kRrpVk z;%56;+-E={8u+fBh<-7vnhmuEiZk8UwWq2Gd|!AKe_{Lfm}O@35L z)#I*TU9Wj*A5Bp2M!P)W?fo0mm`A{R^o|Dnip5`S>=4(&PF$W*$p=s~k6z2=YU+cA z9d{PYRU4TsN#}P{wH!#HbwA!n<%|A^FLIMF-8QkC4YL~Mm)*hpJOOPpX0l8S^bQGF zqekcV&bpFo^U{ctch;6xBQb6bnnvZk`I0W}w=q z9zW`{;K(bnh$W8`8AU+Qb(B&P>ADo*B(G^wG7PiGS}_oFJ44Y zBGZFG>L_JKz*(|Z6%PurxfnUVKkI(s)Lz>}cw2gLle;8yJ%kloSm0CPJh*OIpmmmi ziI-{s)+Z{z26GF|qe}Xk?NX0z-3`L`M!q!klsz*6#IW49!X7-+41E~Jyx^^$W95wZ z^;Q&G*_)_Pp}@yI)vmmDZ^L6N3vw+2@%~UKQ{ZRX+c$J6Y&4v7Ui^5!$_JucWW#OU ze%Fmn?`=z0b3b0zKDAys%A;lM8k1Abkliz(y zO-)Tp_L-kA6-5L3_&P*e|0i`}3jMv9>+Ela^Qx!f+Otlz&3BqDcOi0yk;o_0i%Aq+ zAGD?)sNw;o$^|lF1$J7C*19AF1QEW+JrshSdQ&TS_UY3EIoXaSz+%_PK{0JFR%<++ z+3o!Y+2`-xm#txDJhr>(1nV`tIbKbS!6qVvHBFk4xl;kwe{Hj`A(=Lm_QRhdbE~VO?wd0Z=M)7-1UT)o+5Q@o z|8Ix^|1*Z~zl;t4zqiNyR#w>XB|QWi3)HJ7EI+XJQo|<|oSPrIl#^~!U~q{l*;Qpo z212%R=s21ll{G_~uncCydn)rIX>sxCSb9r8_~v^4V5)hgxYl1PUy=?DqC=1>@S&|s z9htd{JPF42GMeSv}?*6Z|(n;(8Uxj|Y?Ehb%-ROVZ{NVp0efA$E_NJ9p^)Yxe2U}3nGrU@#M#|O#LVS3iqv_75Hst7ymGI_H6B0w?T z;+0}m)rY%P6@WN0Dc#!}m|8`fWr+NPjj8$IMBM6ajb|vW~&G-6c|79^atB)#|$61}% z1Y%5;2N~HfuI1b&a4t}O%TRLI0l&s~PW5ie?p~I1f94WHOy-!j8wTvwzY+G;xv|>( zz@djMarO|^ZvfDbLes9Br0s7-CsI2ujS$~xTl#oa(2~HAItvAqdH$(;ga9RT0==NQ zQuqn-=if)m01A12Cg_lMVkkUP6ex~>Vb=u$Mmq8+0Da6V*`^=H)G(5E`PNz^D>DqJ zU_LZs6_p3PLcJv^ZD;PR<|B207k1^WHV~TJZc}<=Cb9NV$Kqv46^Ogz;4?{-ixjXt zuigiUQ{R1%OxLK%_H(l6-~ECzhraa3_RtRConMMzugu+Uve+nsCpmL6k?n7?a!Tw_ zv)ElDx~VN2+ktaoUfuFrF~PMx{5~mHw78ux%WL!u>Oys1PF&61^AVfjm^|}0J&<=M zIzK_5_IonU)>Q!KAbrW-{fI__Tc~O@kthMR4GrrwM1bVL_5IqR){CxG!Zj_1cJ=-I!9=}g7Ps)WA!(6vpA^t$5F>g$3(kuQzZSS!mV zZC$R+Mghp1<^iw|r<-}}TfRDCZMi5i(KKo8Z-^Dk0Fm&jC`5bvv%uSOdr0S@Xn2rpCB3(%wCq@j`cFdRNJ6nID>@Nu`aKiZAFqf zO!71g0XkhuF7dyEQl~I)n>5j_oK12R@H`Qo-|`^mXdJ4S?j#q=#E<>m&;jzI-{1Ci zS7_LMcVmh#!KGk4bU9OKe-KwXi*K$%U{g|M&8e1ss^4B#6O`I>=0|ti9Kya5J9fCvSk^sSi zyLNyOf?I$9!6CRy;}G0kg1c*@4K&QH+4mmH>^-w*pP6&c^PKxf@;psfcU4z?U)B27 zdf(rQBrOqnd}k3!f7N_L-~;3?n<-p@_0kC79c?Q41thf?BzD-D{0peNs_nMVW1+DS z`MgSS|MTsOw^G*mLmteY$7B5_Og_i&=s5Zn4>B4xH76=>D2C@PVb$j@O96}Az7mW} zC@ERdAV9wZdJS#mC;(#5ixs77cn~`C^#bhNShlmxD_`M{g3k3*k15Ko`I75hubRIcbS`-F5$K#O zh8obrWn$og%lplNl@@3GIxO3&BRovi@|Rv2b#Y~{U(<{u9hARCSChO-obWK48QA&+@cGntlP z!^TiOMPnh{J$NMP-sQr(NyY%$49<332?-NgUTp6>L_uh$*H=$f@pEM9%YSwbdR50 z-a0(|wrc!4oLRkW{M}e54fc2?9`DsUn{~;|4&`TjBwd2BKnUCMNmMkKtP#HdzwtYj zC=f!D@aBCclB5++T9~%<@K|xeRQx1Nc^lc6iqB>Dn!rj;RG5nlGW13NQCO`IB8~OJ0H6mH1ms{L8`>Ge$ z^4ErPxBbT)CVo>lOmDB%al&Gd&5kZg-R@)?MQjDO)GHsO85ozCg(P>`8o;6;r{}f;y43jtbf8K z_n+h;e(y6>C85o0#z)LIAG3Z%EUW`n1w`MijMyFM4@;#RJ_F4^-*k_#uHTm4)R|<3 z55KX`)FrhA9oe4m~L{YhcT zLr?eBIZZE{Y%LR@94qXf*n{xQ)wjGwIhxGQmESa2u!x(w-&4tcNyGG(AA}OE1bXvc z@LvYPKOgfyDe$rJ6Lp94#O7rwZar^uR=A-J|3)Ju7zC%ZR>ZOOZzdEb6^l!3{>Yuw z2mWkl7F0B!QPWkBceiyosgDoLO4;}+7gF}$;d!&y>}2nUp{F_dKp7(fp``3D zbCcoap0i`%L@J2%@TxO^R;wG}H~k^&*=SBR)ru)EwiPQSk~suS8S!D) zm14`K&-L8%jrJsU5JjOi8Ko{;^4z8f%g)YY)Q)%Def6my7qJ^O({%9Um5=sA@vV&+ zyoMWdgPkPZ7WnCCvN?5gR?Pwcya6>QPsqNzCWBnWs~X+pE;7iRuV(JrSKO&@BjU88 zh}2e{oWNTe1QgO-$z}L`71K+tu;XnQiwuP<`vZ{tJBYYaMhxVPmdqlB3o(6^aUyZ8 zKH~tj{7@e^zYNxz3H^YN!oSK)c3H!WL31b#0z$vN`dsm5+0uOI+#@_pt7`% zi~_vwMEPlE+Q3KEzSAT46nYO~{1T3%1J2VWsjGN%4pR}56{)8(>7tM9`-&U76&PG2q)RpXiQZ? zWS&z#dF$#ob$jpH!s}BXQC>r}u6aw(+A7aBP?Kd@`W58MH`~0-0(V6+rq~Qs0ExG9 z7BL1&n0KqIP4C$@Y@sGKwC)294I<%as6DYHnIt5qC%41dA+jOkQQ}>>@9KA(-Yyww zma2%;aKc|MKxq+Cm0@=tn?kX3$88=(nljfia845m7N8_xT*oHE0R*ELbg4PuD!I^p z&Y~_NgnKP<#uOj@MLt}v5nlk!NleNB4wz!D4E-8dvJ5-Cv)!y-lr@Hk>UUIqP?1YO zqvFbCpo=WDv0R5YHCE_9PKKPu@&3C9UhaLm>Han zuMH;~u0uh}TCid`WKxZb5h6{qkxEC0$cMKC3Xom3riNcQd|YOm>~vQosc za*%4e48@f2%-eRIQUT}gu$eLPPM#n2mOq=PFW!9PD;c4xr05Og?v9#2=?oM?@0Xkc z!THX@!!aimYulSJ+idT2)hij?w+qAUD@*V19CQjC>fKFAWn0`kgc~Z3p3Wk^0nwaq zaYkva?DZ>`_5Cc><=Z!2RY1q#r4*}O!N;hbqK^=xcuL|&ab-D{GuiRJJUBtkRXhr! zPG&QI2qi*5=JO3WV?x*O(e-=KEoW2%WIR(<;4vGjLHj^&E{L?jV*59 z+=*Q>vQre;+zNh-$|4TOk6$6!=hzD=sf3yt^yJL@?8o$_2-_-s!ChsDiu9!D9dBOC zLwMe{)TQ1=loss^DZok-ue4CfIc)Iy_qv!;-=ra9@5z=2fBzpg{NsA}zbsq-WKzI~ za3Hd5_uh@A;xF{G6^$QpPs=ee3@JVA{t>LGGR>ghyH)0_K|Ehc8dssSAO(oTGi6y- z(*TjUQ32|AG$Kr%p&7lQ<-S~}8&wOl(H!UC&Ra89cs&(c!=5{1G@3(dbF07!Z)FQL z+yAD=^xyD^CY~?Lm28sP>v(ulcJWMYeNbpq1R8i;ruXzd55sX zkk>8fbZ|8F*cl0W1?zv+^$B~8w6o>qOh#$nlWjcy0F}U%s8&a`dC+@(B8tnPRzp; zmgJjU26xfBh-70Kmpk*NnZh_Oxl zO05`*7hl7K*Tdy~7o|@rV)EDXq6_fxomM%GwJ@!)@IaPmH^#9&cI#CH-fA-5xNOnZ zl}oy9ex87Z4K9~J4RcMr(Dw_5s&`&I2;Zf7vE|eNqei%a|J{zJC=066rgXw6^4H?( z#e0A=JoN2*b~l2KDM+1b5OA-evLp%1ML_i9E;t2?`zP_A3ds;%C~-9VSI5jk**FwvNZb$yh-a1_w=s@#d`)8)uUkQ$s)6FV7Ay=slfTiJ1A&G>9y!(NCK#Z*|nsXLU}`- zA5P!d=*%FN3HHT9HeL5HB@S5A^WYN^!}hqk_|`+BIZFfHs-wNo_#>%hYF;683f@Kf zLI-vV8TPp{G7R^tVT>w7h5`L zvrna{(zioY4yEmAo>!+3jb%>Srd8LPj~+U=-tI_a;0ouyiiIL;!o&qN%0g_fZ8_7;y9^BB^<|@lh@2IfgtVQ3 zZ*AYKW+v98rAN)LJm+!5+vs{_aXRrAbkV3BSO$Cu76(=URHtR{#YH>~_9$~@1M7PA z0{6E$=N+#asq(GLNqx6(%;)*wiMstKxxR&t-Ii<_1;C5o1GJjkWG~)+NB#5Xi zgnv6n1`eLB{5Y;PH&(uu2y7-(&&KV-8I_@!pvvv+HYsZw!O=pHK5sQO<9yLhsT*4} zId7nSK?;eIL*=YhU5bjSiJ5G~Sz!j6R(vpPMk)ZApICF$39Hyiq;rJY)1}A7h;nBO81maka$3FS$#PIYZ(zUMuSOLowH93i%H46t8{||tf+5+&_Gf_( zl|ZHz^S0x%Y2+s9yrj~54E7eV)gD?8dYUzYT&}{}W-KoFIA;f(j@n0A30M0+zn7El zLACEhmm?Rk>S=gVf+)UN9n*3PWeW&FSR59ee)+aQH!w=a6?NQDqt?AFW#r2I!>YG= zWBV7-!7HGwy#v~~1B zGyX2tvM$QK>aj;n@tQTx$7z_wFJ%(uj*}Zq?vkU$Tv%y-rznJbJsjroY;PJbR+EI) z$G$ZmczUCAmJhG*>_D$XTYrnT`Iqa~KdNE{AI#wNX#;SW)j&_)rv8BmlG^vUA}ic)nQ#?a?+Kv9Zh!9_S4d`CcOahd8eNyjEGqrTej zc+yI@`%TJ>llgkEI&L%rF0qjtou34H?X`RYQotEMHD7`CO8uZrYq5Vlh0izumK?zt zEgr6Rfshq_JNX4Q`wx;Z|5n$$KlvS~v43qBhhLBJ&c9HJ7StOn2Y7`|2TN@l9~kBI zeRUo5_yt6;{^l={j{;s95};m!NNS~s_Bp)a)fZiHyntY(Iou0ccAb+lM_G!SNkgvD zAft&B-5F;50j4f#ojRz>*b6(;{)a^u4_A7@teYn9IBWElw5R6rlRc*EMr?~_zF!A5yY9Cuux$x z<*;nU9CXan&Yhk>1BA-m%$e``2nr$1$d^ai18FjLv;M>wXdgkF#qK4N8SnXnyo`?) z^i`=94euc&&dAiWG_AegnT9DTm(*JdGM_S`dlqPkgaZOecl9xTm z-3H*(pOD5!Gi-F*Du{^h+u2`2(FbgcK8R|QJ*jY+&m!UsbyOThS{?1ml?HdcgmZiV zdPNV#+gd}dA6P_#TMIy3U@=vzD&Twc`8rZ@E9>iLi=G=EStn2IUdF5GF6eY#;6=L% zq2CSH4p;O@)zR1N_yvUL>=edhONbD>WH8!-@l}ro7&&0hbzv-&AbtVeP~P4f&^&~i z?LrpowgHa4bG*T<&qQTtApiwA(iRtoYn-U}H1 zeC9I$(#;ABl?a%phkerGH1=aE+XliB`c|KUL<^e7TjrPpHjoKIP&L(WWTq{ z{sWPjBP9Mg!eNJ6Og&NiG;jV*S#v_4uuT_^tq={E(U&Uc@F?7CpomXiMSoVp-HNAZ zjZ?{5klUf-DLVMxN9%w6hb+;WZ_`(=s*8_p{e#M*D3_jbX z#X;DrOrB};YHPKQf&J2xP(SFm-|4r@=i-v1-feBR0D|WauAMq0btihW+jR#CuFJ_f zo4qFwVSW;yz3wtQR7N}+maxYkiTE;M7?koHfXK%dBYBP#0;+ett@b=RVP@2Wye+*< z$K(^AzshdNNhmAQn{Eb!u2{e+JDV>nQk5&N@%BnHhpaY&yn?X(dK&Cz6=h^GennLL zwbSbNrrdx3-v6c3@9&s;`m+=tSk`R%RcVE29q)?jtu{GMA)qY8|8z?JB}KA-+#NJo zmJJa(hrmMcL41hTYp$)X!~3RWK@_~Uhc6EDv8Xa%xC#Yf?i8@JC(538e6a5jo3^$7 zCSM>QnH0*E-n~i}`?{9uPIlD11K->CJm!T(IGVR3C;P=q*E9uImHIlQ^A#>Gb-d_^ zBr9t#++|$vqtx>YQI&odf(S4ghZ@^} zTX>cMJ<>viXf2%mLVuh{x8~GaSeCdwhUj(d`(UO{2ifTIT(YMiYEhjlptK>VPI2y= zw@n)HRM(QEeCFi{A+_p@r!S)cbaAId3Gq#Y7mKL>5}T&-c;)50GioVix1mHimtcbV z0F$9^h@mi{xUB)FIL+CA=Me=2;r~P1>A(H;?>(+mN5rWn{+%b5!sz(#dRr|V#RA#W z$IignsV|c!Stu{RR&?&!RiBitMa$jm^#Sw;oBcCmAg4;e*#1}2UjEg0{Vf!xe^G?i zaD8V6lJSk$aWf zy`!-bMI4p*{J45}_oR!GYVUizB%r3N^t!gH5$(#K*(ZerT)dl4E)Mf;dNea@h{|sy zSy#tiWNKB%>QNHK>Z&Q|lWtoh;cZbd7^F)%wF!YhT6)V%dkc&m3Lc{u5fA9Nh3(m- zk2Ppe>3GkDm+CH%s8^0NGNZg^3SkVSyHxr(xb#su^lWta_XjfZdMaUq71Q_>1#O@W z4gab!@p(E9VQpZl5!a9E?Va@0K#!xAKq1Tn<&H;(Pa^pl5j^_3G)J$?m zKZk!*od9)gsW=1O(tBAt4Gx5~m&h+5aW`1lNVNxtq1~+W?>rM{$Q`+*M?@$j;8#&s zR~QAA7A4pC9euT1PgJ>|B5H(Y94+(gUTr>01wpd0xln%Cr3h5SXTyWc;9>u>!JUHW zeYRg;M>rl$1#{cpmtsw1L%QPO3vX%b2&DH2d3Arqc(u=HgUS#UHSlg~@Ghh7m*OJ! z;&+FyhhiPAABIgu+IezC=f8YrVx8&mRkH+v+6i7Eo9R-~Dmv@F?^X6lQt`d-rgXI8 zTqHS|2@4dBEp!v7`E|7GI?Q1R29-L+P5ShB16pqtmt%9Ax_)`!0?b%X+2P+4mHch* z{a+S<{2hVEKMgSc?wJ3-42XNbxA2-ew<%i&K#^*CyMj>o_DsOCMTSa`IkTPi59A`_ zpag48G?N}5;1PPWmGWq;$||P}5;q13!;Rja3R>5r_d_wtIqW3cKT)nU@vjQAhWNlT zEOgHDb#@a?!?WLoGisHvjhbkJ-nTqs;Ab?Oo8&krsm%gx*+uT>{u|fmRZY7&LJYO@ z8{bxR*_cyd43_Wf6a-7r`2xd(PL<{-AC{x>_#{a2l9mUmD zR^Gx9Ab#>e9Hjx`XSp=1B0&5k$IY^nKqHg}$^Ro@ARf@)6%5aD=7|Vc{)DNYnFOhe z=&uYKUS3hxCSH%Edh%Uet(l|gIXP(Cyjb%Zqul|THLyzgxsmpn-=Y#sm#r<0v#w!Q z5q){n&tX=Zi#K)Vsw?mkt~zUAvAN-`sRZLan&FVzY(K8QyX7^(3S!lh$!q*?xnSXw zm8qcY3O`zcZM8o9Os|x8Q-n>E)i6!vc~Mh$Q4>kts33A(CnMVDevg+v@*9OQ1oL2i zdVf~~6$BE*w%jRMk)JKO*HEi#Azu)}fnpg? zqSj|?mjao7;}BXKi}IZcz;j%lTLr}#hpE%wj9+Rl0t=_&!1aVlF70Y#Dq-5Xtc*t8 z3Mh2R*-4X1nAFjRLY|fw5o9Bo{BdJViA z-GzmgmF_8^mlYbkoKzJQSs#hYFb(T|EKaE;mUdcbcyGbdddcuEPZ;vZ&_HimX6*1? zty{S3$;ll%IT0&MHzti@@YZ~ZElcu)@-rRz=^>eYPoB{Wkw@vZ;NHS&J~oS7aj!nz zEOK+E?|WmKojHtwREzy$rnT`29PXGvl4^tsj(Sx)Up6cs!aTNJqT*{?!(Y0N^g1Zz z%8lvpMi_$!`lutrK|K2tSBm-}TK&RS&Yo9iVtT!0eY61q&h?8EHV-uE7U-4g6Ry5d7JB$h1P4owy{JCF5W z%j~i@R^JprrIM4}24&UV$VDEb_BsvuU5TuRC2xiv@UR(nNbn8i;VC+C_P24Y1(<4x zD?YHMLg}zX5{~n*3}GA2TE;(L_?D1Azg_KmQe(lvwj5(A*X}H$o|0qZIg)Fsc`Fia z&C&LJPRks-lV#poRP!r-nGVfu7>7i+9*kucFifXJWY28Rm^QdfLPkDi1arOzemZJP zDxAaHur1_RXRAWmHmCC!(5`6vu*^uz&AR4EhUURTx;SSS5e>V0P#ZnOVf)oL|C_`` z<7@UPwy=ZKYuR|x84~Ga$8~5I{O&7Nvp%Zgz2cJdh|rarwOfi2#vmUvd3_t%gwM{H zCGUcDho9#%lbce#$Iq`R3BBO3J(|)AyA#`Z?6m!SMkoP*?A@f5mtjOja$zpb0!h`( zU!7g|0cRIrqXMj5`AfHKZYk7|skS-?9V=dkrhL3{(nK%#3m4tNW!!A91nlnIHsewz z)F%(6G)150l&1@ZWY`|avhx;Xe$3PG{sOyTNGRr8#X!as8Q%T?DkW>hz3B~Au*Pan z&mZ(PFfW^6b^Id#s7N>A_g2SyJQ^~UPn*lft`iVV_;qb7PbMvQxRefDx!Ap4FZa1I zCY%lM#G<@msF5{3)nJU-dkSm27N8qBu-OP&j!|n!M7FQu`pH~MP=^mUK$3F;Ppt$# zOeQL(qGe`wybErF-${p)A{oWDfJa)`FCbGWY4SNBZ<@84Pd#GYoT=W(VcIj_9;lDm z-@bY0lsEEOADdKfww7n~%HrnTyQxH}azpdf zR%W;C1UD~JFFEy1Ak{}eW5NgbnA)$srZqqoyrJx?<4bnWM~joeMxVbj7skef%&Pwa zk|%wcb$rIBXqBi^Ztqdz!2y5S#go6K;~I#(yMm)nzqogPXkpL7e(qKFDRezZ1XK(K zW>u8Xa@P%XyZMTBDog2sV;kf1&S+`+&rWvORb;7F%~banE-tkmzhx(Jwq+i0Ic$pKU;JcW9hI|l6^hw8rd$y{v;V;C z-AAsnz6hjUs`F_Pkb&x>qQM+_oAM(3@#&WSd^dJJy(*YFttjc!n_A!HnlPr1;u<64 zT%{rs7`Y|Hh}{Bxop~*U&v15A99y(8u6!luTyMTTlBLwhX{Z=o@R|C;>z)tO3;UN$ zm#5d^g-tO7?$3mT=KFeBymw8HML5*qG>KQH6B{wPKMV{kg6p#Er3HK9Va~C9cLjcE zKcF)9|BjJ(RoBc~c<`;F7QCNo67rSm4kiN{;jh953_mOkf5U8A++wo^g!QseK~Hwd zhWDdUuxV_caQJXKYx^;fuTbzV){cjob*itjd^@~_re8f$iiMiCs%MIt!=Nccr}Rg* z$WR}^w^=$4y|Ssu^I<~73ezsJxf+;-nUq((^&gONvWVy-DmAb(F~P_&$SjgxTD&Af z0^YgTxqz%`-b}V5;*u$a3-|`M!`n|Dr$a{V2yeKoBpk!<6z}Dsno`vQ7(dyrbw)kR z8I`D_Bf5sF8f9>7n8>Qa+Z8Ba%cgth2o}sHH9u7OQYcY<_y+yK{gxhp0c&S9WSt~U zTjBF*fq|8KIc<8a9qPe&Ynv6x@vP`j>{wG_vvm4WU^F28_CgmLUwc!ty9 zJpMa2v&1OYq0=)Dz+nLuoqQ%!VtGjIvN2J?uBE=+Zu(LyvP{~oWA3orzNhZ?HlVO? zkJP>zk*wFW94`hvM+7ySd0I=9IN{N@9ZQ%!O3K#mV1!|(n7rk=mTGd%z5Eg|)Y~Sq zJAs9Io$vb-#r|f9Mm`gwoTE0?e^mRa;Xj)byH_R8?5~ z{+6+_kju6>bzm2pYx}v%wxE(P2i`ZBL%e433g&u0$x$3jAR6A_$YT))@8>!`&*5K7 zkyJ75GxwuBy-l&kdee+IhpOIOY8gNLYA3_LQFcqUGwimzLX){-{+uZ+CB)1aMa&7z zfBmA3Ce5Ah)}X2j;XN?F`QesYAU5d*oO*1CT!fA7Ia{sqyi$@4hGVz~1?cSXK4Mn< zh&|TimUD8cD((d~`FLf_GthI)VImnNelqfX)p^8W8*dYSOovjzY|k>{{IKCYcQ2OR z``0M%4vaX%g{ES608ML^ZA24u^{DV`+vTLF9BrP&>Lk3?x!L#E=a(OdXCHd)@79=Q zJEVw?WR3a|dTE{@4N{ou!UM^rOBOvRwE}2&#U5KvlM~(X zr)?Dng`e%j4>xwiwiC|DTQNqJv%>Fk++#qAw_{+)?PdsD%|1zp09C za`~9hdLTBhG7(YQQ`i9?3S<~%b*5HQhm9;Xorn(B)rUM`#GD0Vu}^kMjb%PLV2K_( z#Z&rT7c_2j`q)d8eUwrw=p-+IU2%*m%P);4sxCAljz!vv(x`o!x8BG~O(_-}y!$4ok%4}tbV8~IZ&|aD(8%H?23q>8h zhcOaFJUaEs&7)0S0s6|q2gMEgGR!7}Ems<9G-Sya+M8~S`|@T9Wr#`>HjEM3-0p3x zipX0^=1?3fekaVCUv*&C7}%G1(F1#XY5cm5zwH5CB+k7b1c^W`hs~;kLZ)%WDohmq zdW028>*#ssQl(7()P?2I%V@haudMy^TpxO9j|)Qf7mz*lhDir#aR%*Sg~#9c+CopI zhB1VeN`h}GYDT>{bF5Mr%YaxT@mirO(QOf{&VpWsF7gfw(Hy(Prmv2H@PzYhDxrnX zgQM89>V!Ol*#S@cTqWD{hzi|K4X&tYQ~~z~cymU4RrYp0>0*0vudLrpE*^!I$HcOn zm!Y6RraJsd#YTYGcQZO|z zXhOwkU!~z|D*UP#T%oY+dJCBDoECx3R}X=w1Uq0+%skxvlQ6-bTp9n>z43d> zzidFJQt@&In#n+e0*@=-C zjTI_{@SO;lh5cBz-ZTb=Bg5yL+j~O^Ro{TL+W*Ic{FUJFSO5DP_(K27aoB$#j=b|b zU4^Z}qTya*nbkq7Sl*a9B83D|e2 zf4mOo#J*EKd1b6@&L)&NaFjR}4Au`ce*ivqTQ2Ms&)*@!%esK}=mjRwr zzPCHQqYw?%QGv*c>T!(T(3sW(PT*1Y+HqM7U*C#Bvu>C>sLIqS(xAtbQjwaotf95O zoHvvT?z^q4j*^;Yp=|EK^DW8pxQDvVnyX(T+Dy2ioDhARI_T{?hkhlGVPIge4EYG? zGPsG3BI8afZxkox0*}h#B;L(7FOlNQaGu=V;UY+f$cCK0fYSz?DLh=$(m9@A33mio zdGxQmqJ5)I7_9BP>2m4(2TXNRt8$*&=35C_p{0D?Xs){(ZhPZvI&$XxLjy65+FZ!)NGEn9Dms(rD>ZSX+aa%J-z3I z^_(nUQ(xs_Y+0Saly=68dcRH-Cn~KpZ)IN8(|#5-RL`_|u_ImZEe26rznBqLuRp5_ zZjg0`rkSf+(33gi8Re%(lFHcytnsh%W4Cy&BxlZB2^xMf8s+IjI6popTCnoWelK}F z9M;{7&-oGU-nJer&}njXPn24JzB!(X%`RNq<-N_l1TIfU-vb4wt8saEIBAM=Ic;86t#C1pr$<_uZfW%RRonFs4v9v)%M4|YghT3h?%&100C zQ+tBe_4_CSSPx{qo_-}zWno^?MD{kH(*T^o>3mxfNqV^LhpH!fjD$)e_4kL#-#m2i zbV&17FvV2+Y{5fm!Mw2lXySUu7~9E=f=fjn+;m~DP;gNX)_v{dS!5;;$EA>|h2m#Z zPt*_CI+bD?dfclem*{-f;wSPnHK;+u8$Bm`)*`OF!{Si^URcN6$Ud&TR}Yy@Cbq+k zh=_ENB~t?;WI6r5-#MYa-pkDB{GHs|(;nty9@6%b%UI~1h!xNbR6|vLQzz-GnnT#4 zv}a$&m`UoJ+s)!OcUxR#`A=`RKardE*e2yNMs=!RHQG1h)zCa; zzV)AiUbC6{7jCI0>sXG3RbB4idiOgZrP@@IEuW5s72bM!xE{E_5F@a0x{+5`(9yNX z9OFK3cJlpF7>HNS%dd*^6x_wXB_Tj-XCa2a=fw83x3A5k36|xZ_y9&=>rl>;pNsZ| zNz+&R)52g>0ST+)39lE%ay3Buz!GglUt1)pFQ5!+cHJN-oplT}TnSft@`e%Fyp1zO z$2aMG;cjXx)h#ha`nti+>ffyBxt@AvZ;MH zAf7l}Z<_Gp$uKnNi{M(>XnkVh1wz;U9P=J@g`xpn)^nr1{ji7!2v&;;ZXY#1|M754 zl)_C`zO<^WbYzrL2)J_l{7d&=D(fwwJXHPh4)hFPyrqR0@ZDlAd=^&slBw>m>!~8K z7VKZ*mI%3Pf>(MB?jgS@971T){3?Cr1EjAkfb=ye^Om^lSDmKa8qbw&sYqQ9CY9mi>Ih z5kK!I4fg|r<7i)ci{}1V^XPXX6VBNv|Mj)o!`ZiUE=Bvs-$kh>okb{B#!tHsY)@S^ z%ai9X+SS+HF*d$~mMextED3)8#@`EjE^r4ERz8w0GAO+FElYc7xUQYq3C& z7O6n{8^R!9ue-lAkMW(tWCj|rcQ-6+j51J(7wjsaoVETVC76Hk2`iFx`C5#80Z}}w zgjKT8PM5Mf5P2w0X#CpR|I=6`%Ie$(>}O0NfTY1*1z<*}kN(l2#t!JghGGU#Lyk5> zi!%M&XQZps%KR8CPzcEQ2d7lY9eszxV~K~}BcIzZO$$H1dV#fk$$L-{kjTWyZ|C|1 zFS!@ramXX$Hy0Ps3)(M1ukL&C{Q~l<5kn+`CZ>=g*$Dq@zH5W2ecI`%vK^A<29x8> z2qD^a2L7I43X}v{{<5ujoM{OxJi_a=4aL{i^fRHab5ptTn1BpqlaX3H|xn zg4AFLwIwTW)h?BYHkU`oon%XXvUArE9t}we#^<1X)Msp6I`eR|fKx+K3t0s?P4eBF z1nXs{?x#N(97?~d`2n7S#KAv4HQd6?%hvZ>1+~T+_`ZG5nX#Eur31UPP$q=SQ=#-Q z!ZmJb5pM}F5UNuzDzU52j}_q=70<#<64o$r93&5CV|u!H4F8QQ$^CP%4G8Jl5@`d0 z2Iza#lnP_QH%+!bid1Qz+qfLepxeiUp7s>!{ADrg)87f?EOj2ECRWy_w#6%NUuBIB zWh?Fyham^GS6%pZ-G2ZXE6jB<=m*CQYl}La-!lho?*BW^pkHxy|E(?Me_b^E1%JcW z>@+;)MBKk^Q-yBV9RmxTUlB_Tw7z0{Jj}OAC4Ux{4jdAu{#(8%uDTF=%0Pql@_MBu zR3GD`#Qg5kWYzhEA9|Rkn2o^)5%x$-!~R>6zlQhuXI3dkP-=t?0S;nxW22XVy{#R8 z%Cz>OE$C+Fekk5P^fyhEbhe~;JHQajfvMyjs2wdcKQ27qRa)|b$^%F0MwQkNFknI+| zZ5>lC_Y|6S+pMxfeX$$MzpZ{sfWIwD8?-vImsw44(an_5$kiV0k#bfb?NwgDgP{OE zZi*i}5PhPVo3{N#RI{!r)m}+;jP*>c{HBhUZx4p$Owh0SE+o0=J9(!PMsSQuS=D&q zT4l4JP>6--sROS6pzJQ>aaKN9XUMwYL%xE}z&0#CJvPnF6?}wLqKPo2E`>Hpunug; zF~*Ev6bQy8nC0EX?+;%#o7TwZ`n;(((E}KGgIsRH8of{ICYZ#`*DmW94IA<_3!YqS zgKJ%zn%y*T*yuZZS++ED7*>bjuTMexMQ4_DP2_ zPZoVI^rPSN?QvoMoX5?g8zDKU0YxEsd*)}0KVZ|f&pYB-}1UlZ+w{d+$`_4 zjfOqmYc9BI`~h@C$*cLf_V&N}wT3>Ba-Mj{RM8wV0E5wyV#sUyX zdqRRW4YWim4s!a0n)U~4-+$_4`-9K?E#|@cY936@?)fiSqAsEv*j}|=UYjLHw~(i# z+F@(2iZkY9mZxgp6^|i6i(inB{^vVn{lQQC&1or2ecmq7+Ll8s`GQsy#;ONBs=cCcz;Nz2j3bo^0iW684H)jOXM@eO--_@4urVGz#iYQitAe@)k0B}dDRF&U> z#jDYIt%Hgb2xHtLE0ex2Gf+3?XO6`4n&kVKI~gy6(S2>xyhQu(i(LTr@n)NR)cL$u zy$?&dY=nybL{}?UWQD~o%S6+-=;mx1ERm++KyEcCg1nR3{ks4;Ml#&&eS_385UcD8 z4j~ymG`h~6KToA7oeKwTxSJc=99DicBOAmQVd+T4Gp64wyoGK=os2ExwzBudUWuK^ zb>-$Hzy86iz#7flz3fMts7;Bstb+2E?v5f#3)(5#RF*E1ehgyDH*@jH7ASTFFM!hn z48ysOq^bNdFxKtIFc|30>M%Y4Ql#yM8&c`9y@P%O`FYtpm&e5tLhE8e(c+9{j8QQ$ z11_%-I@SvfqXs&+?333CivdgdVT<=wm~Q5wq*mVjClPo^p$TVKB1HVa$R0Xo1*}G< zF6k?A>^N6v4aSXbypS&exhg@4j;@566<*O-P6_KftoG7GcjZQ8?&MMdXn7RX5krj+ z-$z=dqC>4On<3uSI2>(DPp*Z9-!+p06vYxcpfgbJ-hXU5{v%WJpMAd|-{QQk=tH$D z+`anPD3Yvz0NA`@-HSZr863X>M- z&R{;4DF_2p2SUx#zpF99eXS2^)fGZC%(_35!_|oO#RYCU0H>F$JW~C=1RAQgD9t!~ zW^>&LPlD^>tk?MzemceNEY9`b0R;Sz`N)aG>5Nn$$ z1l%CsEYB@|b%T`Yx0k;M+#tB9Ah|)n4^jlQ>A4Ryt7AQ~z43k5d@A`X`;ItvMPF;H z&Zti7ZhHi`GNbgljhS7eorjr-5Tr=WUq#hZZ0wc_rug!ytr@g+aRU%XgHfc3sVCYY z)uVHI-BA)m@ve^V^92|aEev09C%L@%tVvA}awc>ZzA1B5b+hs1l74+NoWyyrhmxvP zM8>|8C4Jc0%G*{x_-gp&r?mpvr~7WxisV`@bbc(BUo1bzt~Ec{-?eTslYYmf!?}@& zRIAwAJM~fQ40r%>q9|0`g?Z?iZ|G_n$7!ybFDOo2ErXE-k2`wzot}jS3LHIcsXq{izc&qidD6 zj*rsmm^k@>S(RNyhhum1m@nsJ9)#UaheN5YxqJ6F zd0g-&PXv(yditZ(3=1{Oi{Su^Q2|xP4>Z2XHFL5LWw#SkX4}t+++6hEEHzdV#)X@& z(F!}TSaU8pThHM-cNbh$DQd9TUhGyU?T*#jV(G@p$9sDGMF(zkVCG-B^$OQ0kn@SAVn!Q`lcv&=gE$`8p{K=BD_N z=o6H!P_QUToFlg`7ftAa?y_o66+rvV($n_-5AgD|bBN#hu%wPaW~3LqyQs3ucLHX| zIYl2)puVnJ4Pc?`Et(pI{97UGs_P32b^G70PR9&Bt`qlZxqaI{qqOoil&Tw}Yk;zk zx|_(1bUrf)GegJv&`>vS(zzU{)wGfM5Vj1=7xQ$!!5v=xuFIz(zA)}-O z`FA=$?D7g0bcm_o17&pfp!~rLrEIGw4+PugOwgab(6qmUk&F-K?@{gsn@_)Iij7*d ztT=0Yif1rEpRoSX{HubkBi0>VcX2wo4}Q=6B+!UW-$HN;do8yT3lE*HAmvd~g)cse z8>30P64JR3uf>GM;(mw=`*KHHo4SQJ_b;r9{SA+zf7(^!Z|%5t=RZnA^uqB=ZesEB zr7&ikakiWKOl=I`^s#qB6OLRS%gz5-`ub#sJh%WzUeeX29yVw|9rNb-dXHv@M6zRm zsno@@VNjC z%T9q`>CvLE%~_EWc-SaHVVrwCsAPF~Gf9!5AJ>>D$whn`SPit{UP&CIZ z8{xOX^5ZZ$y|g);mTi85ykCuu(fuR;JhB}-tg5s7$hUr`TfuKmfT-{-*NqKHjastTYZrYS9V)C61YOIRPI z^Re#=zbn-L!6{rk?7;Hqu-ykmP$t>*o0WsbP!8`kc)99xrL`ZHz$@Opubah|A`Be{ z530xSYfLt1@K)rvQOctB7&EH06X<`+doS0*EIc`yt-YZ9A$k(N?sMW=;h{6@Jf=(8 z8|LaR`E}foXSIjJy?(lp%}VFOLui^(kRxzkSSi;n^1hK=a)RR z&`r=+*G>f!u%k1_hm0{Bpx?vciu4#-@p_03mK&=#7w?Id4;36e#yU;+#VudMSTQ?J zU#=8&47heK+J#f9bjddsDAe^7CnGhEwi~#)AB?~9@JQ28hkfCSbVbK7TbLj8w%mMK zB`_PO3SgqSM%XyYr>tQ+7LbqhvGV*c?NuR_rU$v(&Ka6BlZ!Shhq%EQ{xS`OS66ZB&Q_Iao8>)WTd z-QIogci;K=V=Y$Af`YZioMVphlLOdy1y>j0{48R8`8Rv!AL&eHVpEW!>)JpT3E#xX z$J4v-=-|=cZ*%5vvN+boaFgh{QY_IJWVT@hWc2sDpgye4{9mO%LrrZ|g?ISX_;G=t6x^2==nX|tMW?UrQR{ZwYh>X za17mzMlJMTf1jz>Di!SZd?3DLbs>EBj{1@X=tQ2z2q7e$SgqZSx_Y}9+D##e+- zzoaQUX$5yoWh>}9LJbGvwHh^BA<$NPu7l6|iezG^PXyT^>^cT~#XiN*+9^e%ob%3Y zGrvb-saD>hsdiVGjz6;EO|)1YencJbFz3`}NiXesb(|v<=EO36^60ClqnBnzJ^&p| zjJBCDe-LuD#YJW}74}pPOs7enzCvdZ7RfvgT|2{h&o|E(lO~Dwl_ZZRlF(h1Qdd-2 z@6Zg5B-m5sI3!;su(oNv+* zO&;cjHhsX=v@7Q+yUZo;e3zyC>L6BXwZ^n9nNLS(pVhIA2|+!Q`VnDxM;j7>?-wkm zTxN!h(3nYSQNGZh33JbE(?gA>mCK)#>+6VFxS#0{Bu)s?K;#1?8U^Uf=0>6%0?oG9h-|ty;wo zVq&dF7VLKh<}_{iVa^D|6&r`sm&0#tca2M;JVXE*)hcwQ%4Z@F1AK*55!oH{8=rn7 z7;u)l6*@DJeRas1lvc`P!q6Ds{~Yly^+UmDjS+#OnQ*6Xc6MwuhpBTdfsVsRo0}## z`-0|pwzCAQt6rx?E%_SbXA~9kdWJfXMpw;N4af}C*7THYJoD5}9$dWds9>OH&0hX}E) zXYZqZP<3Qi^zSN{0q+tc?P)3VlA2V}cc_&~n1aeNfivORPi0_X>b}&6e(z4Ur(Gyz zJ1cBFo5nsQ?dd(iw|V4BTku*-1aJdkhS^l)g2!F;OWnLgi!*A|0w*&+r|01nibf^Ll+@oYRku6TAXwUBxo)Bp;g46bpXO1uF(X9;)KiksuMNM&#Aq2Q z*{)4$d8E$u9794D4GsOf_>zh~Bn{um!5S@WZ})^H-Yr2{fq27XfGNgeF_)2Up%?-Y z<2aB$4Ugs1-L{5(e+m)&QW<+GU{HrpLxBo(X_Xg}_P@Y8UpNA8t7}Utxt=S>*6CMO z$8f>x-P=U3f+3kJyJS5h>FhmcDgo)%DR0J{fNrB*TyNLNhEHF?>5}oUF2=n%;@F#b z`O3Q9ZMiwk$&FJ<96xxwbMFhu50;bzz=!dMI@fR@Z%d3mMs0FE?D>@Av|O@^Q#qm9 zgZd4^WQP)W#i6b&D(=SG(Gs0`15s9^)D6}Q#pHmLlt|y|gh}QG!;W})7RUe_pk_U_ zRqO>V4)e{_Rrf9K1IIP*$wLaE^pI1{<{EhC)l-Fm)O$quKIsNi_PpK{d1fE*{39Mc z!Y%Xr)&MA1(k^Vb;KL+MInF+`2+PjNsYEM(8DP4Bq{F?97DKnmnQW`!u-r0ZxRa#i zlcP6{4plkm2#1i!jysM6-&8O5%)EqazGZcl=J9All>;HTus}`m2|bmL;clZa0xn;83Ny{TFk&`vw}HM6MVr{$Xo$)Z zdAm-C{QbTJ=crl>i46Jk)(Le1MYUITEfOo#EMf#Jl;|pcWXr!3)6K%)l3F5*teNkq z;>^lw?JM)N5l;ivDZf0WL?Rpt&lYk>xztsKI8P=>7wBGDf9?A`mj8l~u@9UBgR?PZ zdodt%cWaXD^UDEi#0dAt{|-G29Ycn3@fzoRnjpUlqnAm3PxTBkwnkfalPjyo z%J@5H^dO6tSm;_>(xAz|)tmmsVBkmJT$mtP;Eb-FEhvI&txnK>ERC>j+z z2h&0}Me`T~x~XJv<(rppIn?1iy*npR8%08die-HeVYC|2BTdm+7W~@v_O=ny)mIe& zCPulFQuT?SgL$!(y@Q=zT-qto^Ayyb`RA^k3^LE{`X5cBZ{;C(iJ|`>G9Y@Um*{uUx5U4d;z_U#U%Ri0 zEFd(2=0h(D7_S#k99uP}cFD4i#G`y#R3v|}C5j~qJWylY`PzW) zMJ3GnqA8h0Ky@DdoM@ViNrMy|#9PK<|# z?}5yz;IHs(OvOZzu ze!fl~YVD6V(+^_c2SugeNTL+7uskt0H$nRPXa0m=8H>iU!T}IzFaRP=zWB+UhXLT| z2IMVKk!|T`WHCQMic@iufBL@M{}pjHE!Xa^4=%|o34(`vX4{n$1ol%-@2LB`{wmS6 zzX>q>(Ie>}s8I>D&Hx6t*Qt=_^^=5RZWC4RncChht20gA#sedLB(Y_n5}l^%t-LRf zB)#44&rQTPFa$0?R9z>~VW%ZB>06Rz#r@D|rGWZ`=03kqM~Xx8m0^^R=#6gfN5-1E z=3s_##59Sdc^ytCkzlkwr!r6Ar6VET0*z;b{Y{)W4&VYo{1R}1I6wXKci}HD>HW$h z^gn)&{#|44oMb_JsWq<0dD%1gInnC?pPGB^{#bHUHaOi}8}|EPwc0^0MoDRq2GFnu zI!r7B7~V4;Tu977hl#nrUjpbb6|#WT1JF@$H4D>$E>t1rrAsO*2hh|ep8>T4O>GcY zw1m#2#Dh-%MHEMnY^a|61RLOYGolJx`^E1@;R6@}_}zSsUG$fcq`*xARsY_n{+-wV zEdheUUP<1YXdqn|-cjl}ZIF9_)XI6Gs9~n9ly5hK7wN&RJDB+ZaP#`XR^G36u>Qr2;xGNV zB{q8qfKj2CXZ>aXU{qAq!g0O=jEc1qnpb_`XtNJKcLr#Q^%k2}U(k!$jhm{2wuY?$ zUIvj|_Qwu@m+?3@0-YuRJs%P`;kO*qN)|@V@YaQ!FProyNXu-HG#v30e`f$Ihk+mbtwq~O=MQbDo(Zrni zFngjF0mKBUUvJN%^7TW&6EtVL|NoMw@Rx`v(Ykv+a!n|H0!X6GE?hC*)%)cGE6Tl) zHRtq;EU})9S-)Pi)oK`f`G3g}C`u#5 zo$@Z8-5JG?!*q>AuXc`$H)iJATVGPccTC#LyjYN9lFYQDbT-)FZP=~ zm-Uj$=Z>yg6iM4LRz4M6g)!WFcXJGz<{5M&E0-znPrN&~1pgV`J&$Yc+56E+T%lvNN^w zrTnPHq<2PU1%EM23`W~MVsQU2Q9S%DsS>BFhl5wV<5ohQt90Gn2l@WGdMF1Fb5AbOK{XXKV!katK zKz8j%(n;g#fR!Y7+=GVqkU)N1e-J6yUUJa3&9RugRq~JQF8!gebgobfQR_2xZ8Y;) z9cCF;2-+|nJ9Ko>pzKDG5Sou*Ww{nV_|w>@zrX8$J0F3cpMvU8I0Mvre&rP4R*B z%uZM%u4I!MAtpTp_->j`Lfy#q%93mBx>sF#7x1A5eNib-?i;+CK-LWDKbSAQEq@J; zZa>qTu}*{UeQ#O8%6sF{Mz0NZ20t-#wte{he}NqEZ)nk%?1n!hJmR-_f}I*!ACS)O zupqd7BOVv>Ph0o@`vLNQyNSOX`wuOm0EQV_pi3(G$hG)ccr4u4b?DCMb09yocbGfH z%n}}n0YDiKKDlejz`Y{@wwBejl*j7+!rJ8rYP%h*6@g(?9~RAeqxU~SfD;VBK{D3k zxW$S6U9Zx=&t3oIIp8P{l)R?mmh}AQ{j5x{mF>l(-_EVuogBMG94E-)AXwtz3Wjq$_cnHPz|KYt?C8QZlYD00)|ks(Qf3hP+TP1MsoYp^az!#m6Q{RRGxS&}RRs)%4%6iaw}d zWmK=Va3#J+`i#S*F>}`M9`UhwV8O42C5R>N!Wy70uWj50RomYR%xY=;gBS1rCm#8? zr{ym@uKAMOq~SzN3e=W0H^X)lu0QF`4PiY=%e8gLSY1sqiq)_?IcG7Hg80sM@(NaYp*+q;nXf=wZx zlBjH*%?58n#kiCw_9$MVw&4WKVbSt!$k9%k|CY@=z^ebux@*zUl*GjLMdttYjbiGv zVDtx}L_^XKM5~Svv)c_}TpfAakJz!)9_z3bw{_y+cs$EL7BYLw8iU)RZpa2q3-H3+ z3ls6Ad0WG+&#Rc~_VEl`;yyGO)j?Aq*<~F6k?vG);CBa3V}`j*E{~rNjPqhchkXYa zosI_Rq^l)H5Vf40E|coNp%*@iQl*u&g@`*#J*ez%Fq^8S(;ej*$XjXTs!eBoh6aJ8 zUd|4xgT{@Kd7SPqL8=eZO8;ZJb%t8@{>OC7pQdyROt){(_S%NI!xk;a0X6x*2!OWc zLVc07-<^rp_r>7lP`-@cC&Ch&(#In(MpC7E)NV0P4I_q@ql=g z()jS1C98cDv9K9{PU1jPkEiZNit?0;a;{D<-c+$U%O@BC+DFR}ug`Ko`v~dYb1-fS z9y*ZBaFv-`sA+X16`e;LSzjedQx)e5^@ztm$okPw${4O4k6Sw^I7}so!@V;LSfKsE z3G2UWs-4kT59|^lg?$;oF43AkUh9`#V!Vkv2qe$oPhTYJ*L6pEa567bU2J{kX2BuI zW|r!GsRe!*g`L_*<4=Gm*Veb(w9=W5%WGgTXh?SK?1l8no}F#1BxmDsh746kHAjo-dLesq}~EwccI4IV4d8Dmw}vvz_&eFC6I{tqs1+;El-Mxqm1IoMi& zjQx5fez2|-^S$qI$XWD}Cr7$b!n~(+PuCo;#cR_q7eQAG7j|?(BpMeR7$W7pPaVa~ zD7mW9YELW~${2JsI320y`E*Q6zA&JR;{pUuJeHFV;gLav^JB0Sd)s-u!u6 zp=b#6n!q%2<7?e@eG>uo#hc-^R}v`EZ6NtBDuQuwU{J0#RQ#7gs*vbpV339UXER_> z>PK*NXSDnf$Y$)nID9#_@En$;FQw@2dJUOqH}$e+KI|PvmRrQdGvgneO^v`2eprp4 zPv+p1T=87J@PUd`-cI~(s)l#R^5N1h<4SFClgpwMq=cpFoqFZC(%L-0&JaldjJ#|6 zd4P`SfyXiCLq*qp^+P_{5RSV!B*2^%J@d%6N2%N_QBcEvzA9PU-1Q`9YGC;y1IN40 z>e!>1c&>HT+fY0#zrAkh@zDB-k?a0}aA<3&nmh@aRv^dC&01^K-q+el`^x7qPTQ4$ zFQy`4px3n*rG?;rL~x+-HPbcF-<}lNY;dRshtP|x2)e9*%@(QnLXH;jO({zEuJrCO zmIuPP(b&y0ngCiNfF%9+qb8l0C+JhSg6z~uuS4fJBD>AvZg0jiu7^~RnK+`JhHBwC z{G`}(a<58MsYkU&mb0qH4TFotA463gXwy6Fv9xVrS6;ep`dRY8bz^OCIoON_Vwr>k zq6M7i-mTS{fwA&SX88&F&pM@)wr|oe)8eti>Ag(y3*90wh3_oKowZ^w)Rteyi~mUD zP2(oMN2$t0s#Qk2$o`CanajWYcIU%E;lq&Zkbr#YIcmuJ?pNJv8dfj1uNKMx z7WAmgpQ^C^W9C)CBH6|Fej4(#2Ir7H{Zv#LdIFdk5w_is``-hfz$>zDrFGiZr4SjZSs++q$ z8>d74%Z!on(30MBhSkqp_Yv$KV|^^n+9GObiL=bNKDvZ!eah~{gR->ntMtDCJWC?b zhd{c2AW{9dB&h#q{7nCB<+=#~@U!}n^#xPb?yY8kkUUqk1#S1iyu>b9h^t-yi&h(B48M#61qtVTF>Z zhX+6OGjf0Q*fdG3p}L>6w9zFt*kBNS1{UU2&9na`eV?ZIQ#Q9euRy3T6zuNDu2n%_tXzxfV04-s5|FGKN^}&Ggi=&;&E%~lUE#IL zwVU`>N~_z96zq&laorfff5;TYWly^LXq}D%%h8tbvp@SB{gd&Jv^rZi@3;DdG zI&|?Bd+!n!IZ|Z2mbcDQks_J$cL=NOt~a<2j>u?DXOgP-C4P~ymH+ID|>ea6Owq)3>@v=Lfux_qYsCAc7|x zvnk9kP~8y_ZF^xK&%D!(6DQBqZczCwWZhQ8($v9?uzD>mKf8}7O`TDt%1Tpn2icL! z3;CVm)Qu@ssGAek6;-2%=63U5@h-Adt(A#XJO$SA<~zM2N;fej1EuVQW&PW9hQ?HB z7x70TY7BvXreBQsZmG5;@5hF<`Gh>f(Ecdm@ls}jQe*m+V^M}U`>2R1Rka%wi;Suf zMGLc;y@c&vN3FH7LXZfB!*y`W-ny?RX=%nF&n4=Qq`Z32A+ zNJl-9yhMk@oy|g5D)capQ^A`Iqd{e61nnnVyKwS~rtJu%*r=2}(U%`8Wcp}>WbW%I zKf|`!03X0+-4$NI%;0S-{>SY%omfOB6Q_apj(n(*q*E3wH$tr^dvVh zaN>+u?^}+T$*X`G&X`Z~B*lsf9h?f;+IrTo=G5+#_FZ<)rc99JEcQGKe!4!~d_87) z&JeMpJaI}%yP%xtT@S7dkmC8^{yIoli;hm@G(S_wQ6Wt}Yi{2e-dO0@J`pDRLiN=~ zk~QA~KUegWd-a?SVqfovOOj_>4bgyASMhrrTKZ7)H%}csL`-9b*9kcs}9SaSzfNp%6YmBvu^ z3k$UOwh4+@)ESW04)yQO&tdbaGxLx1U%E9nxC!nuOz9t-rO=E*cX(6j)|0*`#}H)e zCv-1As5K;~6D&5u)YqOj*sAQX6phFk&sfmwzhquQh55fYs$26s-_$vvq8ZjW* zI=%tR6gJVj$U1(kycZC>-ebF5?aiGbpWS>qcRJcQvA>F^|FZnOKGQemNNEa3A4n~( zzzh7CXy~a{YT{xHN26JYOn{JPDH3!gs;g~?(i3On-6_ruDN&gxZL)S-8M+NIrlF# zZ=JLXi|7@%GFpyf@QvnknN=sHp<^l7Z;M*SvM*Z3;mQ^Ax7E%xNu2NOR$j~FleEh` z=s>|yHx9WP`9_9tkNkFJSQ1sl=3y7z2a55FVi0rx*mfCJ`*;yYJpgIB1#%D(?vUvR z^~qoMtJ!jz?^%m}6IlydedKrYS{I}XLl z`ojt1^rGJK7w$<_um)GZ%v%uE?AS=SPOAo2eDD)E0g zFZv0>*($RVLd`9l2bV%~5d&__ok*^7qva1UkOk04X{%V`4gq%&Wx!oTYwm6oa2N5K z8n_nQo=-jZNw9nseqG;-eT);M49{qd@#X`qXg4OWZj&@jd zXPP`9gYiGNshajzTr<}$97m)-wt5xLfmQGOeb(!_o|ZRS4zFQl8}+@h6hzE_G~_+> zU1Rt5$wG9K$md!|_dT%-V>g#b_Dwg75$w_Ec64Y@oN|5|$IP~S`NJb|`0-L1uT9r< zc5dOAuVuV9*BnQX*z}vX_I4$f(Hr#(>lKUX#-JOPjOcHZr%d+qkI(8dt)gFkaxF&* za~4I@-C*ZYbb8s;l>Tk1<{4(b$a+O%p*K8mhYX4Y6vc=VR4KfWd){d%zJ( z@*#Bsf##MbI-uzJB_-Og$xCbFdxZS3#tKhNOVWa2S}1haZe#6p$%~jY20}d5=IG|r zYrdVDc{t*lw1y867ua=r9+<8;Sv4f%)?n@xPy`luSRp||*nhGcrZIv&ueY#$j%T!vx?{$=m{Lb=X^SJk=D7emE_z2-7WH5PoY{S_gG*)touF_OY!zQ zp8&7@9bPH6->BOQ;2G}y3FPkbSu1$@vvW3Iz{NX@pp@fi0gIuWE*k~8+j};VoUX+4~I0gA1WZ@L) zA8?_*5q2qw>Eu(j5kk#2MW!8ag0eE{fBKq;PTFG!(dkdIR2s6{+x23ro+|aSE+12M z{wkyik#)_O37IkQY=l60q>b(aMq#O6{ifbiU$I$V#ZBhC%PDfL$#0Q)0=2w%pR0FT z0OT+QPV+ZQO7DQHS|d=CO@-+cpilyKmFVm^kidu?%co$)!$G0Ap{?|X3Os|ZRr|s` z*3-lwkl4Ezcn(gWZe>jLi}OjuRg_W+3q1dcBGdet-PGjF6`)zyaKVdlpwzfjD9A8K ztRj}+y#6feGCa}5m2uxh+A2y(uzoSXwRW8FXar$z%cCHwU_> zODAuC2ZjAVFy_B94%N3cTe8Gz$`cA3pm4*eQoy(;r|%fuEhlUygA`ZZ!6aHx8qBM+ z4{m{-@`Q-$DY-=Wu@cIU#d0Z=m9x^>e|Aa{aip=FuG`h*_;8ZH4=$hJ>G@@xYc%%L zIAC01I~@}+F7_}*#Gzhmy6UgMf-`+o zt(@pHQ&&OCM!05`<+wM}ZA3{c0{7{t8yybT=HnVysfn<{r;B)?Udl+!9`wVOdYf`4 zMTYU=;n`C}SXd8L;)?iQ$W52=*ZYF%tqt>`iuekZTT{!v0u>i(8uYq%E1nE76*i*j zI_#;Wlh#vbNvTN&*5|mhdaZMSycy5JF1hmVtDMU%`!I%;K8h%k$8OY0a#we#^?nZn zS{M#%;w*goLs_}OQF)hC+P)T`FiVy+&q+#hg<$!Mvax5!FOIB&baE2UMM^9|mDFF5 z!vJ7e?pEw&PO_(LB8PfX>%vbEmO|UOYFhmnW(0?bJT&`b z&W~e6QH6ZiqhtjNENnaG^}yFl^>m?XW30|!1dsNhU!;MJmNA#`JDn@mjN@gFk63w_ z-z6a^tR9GxqTR@s(cE`GO0F=&fCAb>BJ-(-7%Gk^wRl?GF zGtS4y*W~r?<_&yh64U=7hO9(yXB4~W?b*$zT0ZRYERa}{rDeg1gtD8aj2CIZ zsQX9JPZ!?uS{fZbid(vfRx~*iHjml6hso`^Bmq99EJR*@sd;7e_HGPnPnOEA=TRKX zME;=P-xL-gtvzN=oQ~@)XD(s*4O9EE>F}hBrLCt~RQkEJN!f%swmrcm;a*8;X2|@) zya8p?u*>_eLVh|S3AD|VlP31TBp-K~MyevG8WpyE5mcw9~-j!nW&6cOY zjzYeO)kVRhq`gf-?%~23_;u?&NbuAt>Nx8iSWicWHiEGx%9XMX@?OJ{bD`PU2+yQ; z{093h6yiRy={PfF`&Ht*raCfJ3LY~YH7V9)f4?fTCgGLm`9*@MM*O(idwRclp3H*r zEv_j=U*775D@x65KWGl(Hseg0opLMjfp)ybgG>;9A8j+oqPiVVDc<0#_uSi9WAc&l z5;gF{afSbCzZ9R^gg z%$n!J6Q(^@dlnv})Mo+xUm|2A+v#F<-wadAWEM^(9DDk0vR*yH{+6fV(olC(w3a(E z=SNo!NZ9QtxBkm`mo>AWpqCf9_G=EeaTx;}dv_ewBh(4CQ`9C2t`6aSVXG?zC0gx2 zL7P|mAuci3o$)8uXO+%_L<8k9_SgJu?p!iTo^jI>lp6n7gLaSXBAFNec+^MB)gu;Z zTh5Jzf#o#2|G;bam~L3eUjLMEcXIV7h`cyW)bd+H4B?UZ_{nbOzDIPC)02~#8n}d# zQ*;wWv`Eu>dR5y*$gW@g!ik6-P1e?0bw;Dnp7mWYve;M1{oCf)irxBDDmj?7phowy z(o@bTc*V)DJfN*&`RSd?%(aI;Wky42Ser*u@Uw@>%pFdT_l zV|km3P>e?FC~q+Xf3$$z$@OQrN~LV9i-0-3whuOKJs_3gDP;6RsCaU6s6*2JHB0sv z251UYskj$U<0-OCk_^&Ymu}Ik^@Ix;~w5 zMEmDv;S~@0uVrA@>eelu$txIjN3viUbb}sPv{>ug5~B{|(vMBhY@PIqi~+1Zu#K2( zJawW!9clkYTD7dq_|mv9E00RkcvI5jcMG{{9HYnp{rbKt`);EznsA5I zR)3Mf>Rd4lax+c+k>l}%Gd9lV*-O|w7#p%i%W07$cSr&0864D+KnBMn=Xd$&7%_VD zmc{=B-Qm7H%08!a45nt5|Z`6^p=VaUFo<&Izc z`I0{KSDE70qy@_Kk~X`!^Vx8P;t+P%nikcBa=zm>kL-Dt|rPB z4P$x$<;9w*IV+M{I{8Y!G|+E3y%jJpQZ;0I{eB>mx=AtC1Z*|k+Kk_j2X+j{o!tY| z{3dwmzi%%HJGJGhyT#VRGCVb(tM-iT%-*|OaOmh+*`?H|hg=Dos-{%R6XaMp^wfu2 zKS6V}h;|}y5aVH2q0(d9ufn9-Va~27)RG}rdfoHn!CgXtndcc?T^YJw+tF>W^L zK8CW|5HK@|9u#o-$QJ-UCJE^W6|L3Y=_lAbkrkJX!B-=~uCtb+g2S8!Y=p-~Ite`4 zb9!rQKfHtC8zWDqz53@vp`v)BYp2ORMlYx6C#zV{s~ei&Aqfh7QiS*U*6nJLvxS6aHUfwv%$fR18x@ zGYW9$0R;tt>=1?Iy1l0MH#kAx7`W=qsl93-wK>YHZ=}Gm-l#6J1UJWf1#hF}Mehr& zFnS&3T-oO;@*e3em|yDCq4(_{ks4ZG5;f%>%Mv9!njwa@8z+ z!uOEG_1@uew~Bl^D%0oa9a@v88|fI{+-xcM?(Z}Lv|Y$okG|F>@>N$iG!kwfv-BM` zzN-q8DQe6FUs3wLi(N7g?kKe?W`tbo=x{V1)?dZT2E-FTXfCK)11^CR`q;epfLX=U zvf_`-Ex$E}u>XebcKN;)JK*%z zaER6`Rb08Q@uN9Prieo&s13*A6L$dfRB%-u4<+);an^aQ8rZH$)yHg_Mz9qD5e-rb z@FhX^j~{?==tgFY;Sf8ZT2XA&;v{2MH{ZAb*1664q7wj%I;+eHFrrY&%1b|?r?+?_ zEicfVc*Ga@mAn-spR+(5v31J8@1ZZE*2w8%?8(Kap1(@izN(=^bI4a$gD|Yj(bd)I zVpY|j>v37f^ncHX%NaMobUjyTSbxaT(RvVRvtOipoV`^mp-iHWsSsq;+=ifwN=R9} z90#aXM@|}-aN~kS2mJTZZg#Kiv#$!pN6Ih7AY_+z>~B)k8XZG@I3{)WVS2@U+}_F% z-u<9zh@Sd_gJ{;6Og*v_7Y?d2a*R5gvrNOm!-AL@Dnq!?9j2-A6z1p4$Fvf~5Ltr6o$gxw^9D)_%GRzZp(lsT#JL{ZQrZ59n6L&=5xS?BUPuLz?!86QfhR>*EZa=fh9_k za~WgUy6WPG%46zKJip!kLTCCJLL*H8FS_@*_9a(-1Q>;i)IwE&aQifQshMo513?%O z^SWsU(MgBRz~4WoD@y}1_#AK1!`gXX%zVp&5u~llnMsunyHTDxAdy=%S;p{OX(kF{Ji3hH((kw8cZR<$N-;_AXgPaD zE?-zMPmi9Q@ zzG^*WLH{kEG|MXZ2Zq?oI+Wc$dhL5E(GoNb;;*GGHDg&MVR1h`Fk9A`<+FRVuJbACg+b_A3G7{e;#ib10KM!t_dic%f z#|n(0{#8`eM;fj0I=a2`9;pCyQt^X0cdxs54O(}ovUj|T6YVC}jY`Qz4BkWfjl|N3 z>?a&Cd{zan=gWJ9>B<$8)tFk>34B84U4tC&-qpyB#g$2-p)3Yq(@e?cUa)ivMZj(o z&9gdw%G{H;1isk(+CjXV=FO<;p zb}d)7yF#_3nHQfUo5~IJW$o!WGEw3}Vm_ghqP+10D4~!2vh=KUO&lEjighku`u?~U z2{=5bYU+W|kf5zIKSBb#{8JqSB*M8W;`mD4c`1T3Sf(ti>KC&p65~STb`(5x-ep71 zgY5nHtMSX|8%+nx?-&uG!CnM=m1cX+q$II+j~&grJLsMT&C{ zFj??4$C>}QDwWz0Vj1UM2-MZDPxy8WaM+Xq30m6F!AiS#$=-QN{M2(i9u~7RS6UeP z7hYXtTnQ5=#C86lA&0CN_PCC3kQ56!ZBJ*&LFj_lGrn}h9T!EyO~fMJwb!lv8yf<5 zMBPhz&Qn#9F0FH|bB=L@GW%*l-#FO|AKoP(32Q=?x*-D74ZGOP%<*lER)TGe6l6gQ zokEJU1E}eKs9&9bf*cWDEimpyv)u^M!SA3L|2IgC{*VE8k!J}kxhz-Q-SNnTq>e(W zt#(>>GT8+2@mI|^L2UdTp0}NCc2C$sr~R9`TQ&xy`ly*q<_`VkcAS-i$clH8n_lHf zTH5BK!sMe;vnhPGgQc0Xi0;243_`|0d$HSL_IXh1LQu;scd2#JQ$UPjkW*=XsLL%r zJ?JOsZSVAq4OuFnKEtCx+|rDoY;%!Ylo6Kd-`FdWTtsyw3@L=#ddUVfu|Sr*(A52^ zw)n|)_YPwqFFOQOxLjrGh1D2I!SNOr(1*y+#&1MlpNSH6lM6e}%*wR#l=@>fQW^9j zvv-#FfZ=gIIXbbbZ7jtW=YDJ7Z!sBr^UR~x4NvCxPYe=%5t{exsr%b}`&S$Z`!8hg zz;5SM1b*@Gev-9u1s4b_%F#K6y;TYnjQrlqad3DQ&@}l8BHKLI@>JJKQa7|K%^u{~ z$($t~iqZh;Ta< z;2-=!*9Ts@NR9Uk6U}9JJ<$oEq6O?nEt|iJmLLT?DzSXY@Mqo>3M?tj*4fhaZgGiQ zed2(U{9->Gn0s;1d+7qWHGvgWJ<3v)%z{Qr!7f3$xeod zcFVK+J=%~NK!~1C_Q4mIVMRXSYe81U9XHKg3!Gj{9i%WOQnp5cZsDnPK#W=}GxtY) zk~TbhbSERks$>tB&D27LFwr%79I6)a6iIZ5BY=qM@nLn>P<427zzfsp{(EEyZVI}= zZV5EO%eo$dvg#sjnFkfBX~!17ev}kw$>abu$*qrG9yUU|Vq{7UD! zu4Ryx%+W5}a=b+=-iA{`8##7H#3aBIBa(GBJ0y4a;aQWhfJi-kBkSEtvYoW_FH}g{n}W|a2%icEwNxo! z*ECOHnwQC+y`#b16(x6j=>><*7YeyoDvp1_C9$YUT6Z;80A&-prYt)42%?sYxMAU1 z=`sedgp=BHuoY|Kpo(M}4?5hA;-3-{)RuQFw-Rq-lS9Xyf9!S~M!Pl*5+5roL6YLh z*hQ}A&#oubt``;^N54t8Pt0#=8u1d`onKI*y+74CO%?L0htn%pj}VAy({W}6s;9)n z939UfY6{WXG{vV|u*Ql*(5IoVQe`b(*SD%dA`SuJ^PEGr)ui-yBGUPDsmXiAkK;08 zR`sE@5&|S>5nsmDAM4=?$ZWD8`ovnGzGg+dLKg+GD=vgyI*jl+bLzp9E0&QaM$0c< z85eJPela*o@@a~{s1MkBLb#Uzxrqu`jS1)o5PYrQdS(eIPN%uo{sbYd{{*d6XFcVN z%_bjErk}a-TZdrP;H6}GSld@p&G`UEDy^euNkBPsd5FIwN*s~6-iVv13BSMa_*MGn zx93{#jwv3oC_mR$lP*&L`dd)=JSPSIJt}&{(=ZH1nm3Nhv}JenPv5mCl?M<)3iIHT zZ$K7YPbIj2g6@^riVD1FvK}(vx0~~|WFU%V{22Zu2j;p9pZA+mwU{cA?O30>`5ZU~ z8(Qw^lXzjL;?YOR4Lit+(W|e8pM+voLP(;!6$+v*GMcAnQ&v#bWF@7o#b!JX*PqN z{04O~lPYV<@{oI=8OF*(VV|tg6!MtFmYR)3Bz)=%=FEiF^EXwSO1RMtG27#Oil=i1 z3!~dXkK)Kay-(muo@}15P2SGba@#d62l`vRA~e4NUHT{W;XkKlR-&c*6C`^Px#X4K zqUTXmdU7#SV(Dbhl_PXaS+tv$&cGf0K3UvwQhqBKrdV;)VEsL$ri2bZ9<@dT#Foepr zX6kzO+U0t?y%seaW+kRL>?&pKaHXmwgBEjX@Prl@k9YO$KUNj8k$>ZZBh0Hbufm+9 z=|l9okrkZ3nC5VQLN~pgU7ZYnaK)mGY_NSKcRZ(nlHjJK&f*c0%ehiB&i6Kr^OP5+ zhK(VMP-{-EFiIFW6=q(t9%ip5pYHGTHDd8)`f6HmF{AVUkoTTZQFYt4Xb}{YWFkii zihv?HQ=pP1OHN9VBss@IqU0nXL4xEgl8c;kPEzC|Nv?e~3W?|siX z_rBI%^AD@qTCTNf)|_LGKKkfgFT9CXlphL#y$vI&3PraUGO<3iWU96L;Vo9Gzi7Cy z1+D&~Yvo$>ja%jcYAv!dkUvkG)2 z;TgA3iE?Iov^nwM5Bqzg(EYYd*d)bjrssew?!8oK!lrPGQXUDi@zQ z8&Orgz%rxvx#je8$Q-8Qbk3+|)om6%b;Pw(D^!wk8>JsI}zs5dte1u{k$bIhxv1#)z>hWf`fYnhA2lz}rqt@v(i42MuMwFWm~k~-kJ?Hb zUD%R(mNY8tM``qph=}E@Y(}UL|As1QQ(3WC%v1^|w`}SBvY)+FEu26XqCGdoIl3=Hi#CH)@abX-TgpXI?>b z=ldHirvg*#y^Ap0)SecKZ*~=`>t}g^AL+*t|G$gSSh!Ae# z5s+)38 zPH1Y?_yc-g5`Q8cla6E?4f7P@P3p5+N?4ekdKN?@C78co&qr#x3O_V%F+<;KzkVjGHYdp(M+`a^V>2SOR^R5fA`|}jw5@3Cki+c;LET4O?-haAWe{-n?LYNnjqO z=9k}IkS3Nm55S7S5(8wGDSv`qAj>1(nQrI-`}a2KqSgml`iG8%K17v`GIH?+eEdT zQBhrY;)s0FJIaQVCxkxM<3Gx!R$uS!+iYCW6%SfA7^W{R`tTaPUlU%K7tX7Ek^?pG z48O4+Y5c~-mQk%ZjYNGiUD>lb$I6w;Wl}&5W!~c%xyw-EMio@4gxoBfuOSz2)hbeb zb%bAc=xAB9Tbm-)F?(of?X7Iv^KpkNAu%7Q(po^;MOJr2f+cB|OlmD&Z1eb`Y#rh! z?(K1^PVg=;y_$H8W?JPjE0AN3>pm5lYkKxoy+N>bU+xU>_Dz~u?a%7pZE3u)IU~4K z5)Gq?>R+-vp4g;rXJsHyEqbq{~buCCdiAdeI3A!9gvdG`R~aWa3@ zM9}s02h6>y7SR%sqs%R*Fp!TuIJ_QZFR|^zI^(TsQ@Zs{#}K95&G?Y5?aNoz?S4s3 zTme84%9DjOX}ZwqQrW!hY{To*m1}g>Y4gZp)4|}GXyWjJa!t!bc}9n?D75k0TeI}- zi6IZ}T>Gvld!86_9MP%pNW*b2BHJ-E->N;dDp+pba;M(0sLs&-`aKuC!g94QRqm)- zHzG*q<-a8tu$kh8M;&P2L^B$i^9n)+Ln&VSvc8TeyVCfK2AYm(XNCL8lrp zRc$XT`lAD+xxn<(rK3$CXql4(CC9TXd0&8K(PsA(WF~`txODOe1!OwcTCNgYKdSB( zKt@`+LjLjsor{^UH&e^C!JF+E?pMKZ5kIC0zj@VKkfSms4tTifPFMk)we3hcy}6{o z_$wmrqoq#y0Z%wU;kd-)f`R8+2JZE(OmiFF?o~XHGb+w7XRt7`slZoVANrv^nq!ZN zl^;PY+t;?yfA`2OG=; zy+0zflM?=Hs-(&*uYryVxv;mTPEprf%_E%WR4r$VH!Sf&X01_NELPH{fD=W#+hL zmwZgUjqXvjqBGYgbw$`y&!YyRUjura@@V8~1k5pbY0>P}5R+;?s~8_DWv2PFGL7=? z5_i8|Bp#e)^3?5-6JgTRn6iWeVJEPXRd9{RGyIfwd}Gtw-(4T)xr_=14SePGtgcwlR3XNr0Nv zom(KN?oP|Bydm0}Ph&Ge0kXelsfWs=;42&gx~7iO4@H-))J z?$$lEaa|derRxnZYnCJ4VEGE}J6ccuC}oNaH0h(zfrO@XaOLBB2~Jpv)n^!tJe)dk zzEZ*{{FoGx$&;4fOPG|60v9P&Y=FqZK%hm2XEUJ{Epk+Z^Ml*>6ue z0%p}nu*r23gvHbkbC>+7O5^{8k~l$0_u&IARa++eo%tFqOEk!C(D$y!UzkArA2oX* z-JmCI#97`M30}MlZA4^*Y}RMURRQ{Q6K~98VfR=*B>+T8gILS-@?`{cm9bO&l7a;qx{B1qtPYUirm`H&Sr%<7D^p? zOClq@QBnqOJ)VtnTh?LHif+SDI=`mWaqh)uIK#66xqQ@O2Y|}e)}Nr`2Phz3U$ciG z`4nCv>^dS<7KsEp#P34+-H0q5*0!ei)?a3lMSg93+S|J)LEA*y{}a?+hX7yQXa-iG zHhp@u;;SidQpAqj*Obdwh@QaR-4*JbbRXe`+j#ZtVIUwX(;40S32M12|8gZ-UNQ0$ z6m542saaxgK1eDmr$OxJBLq4<8!S_#q60RXNh*we9tbveZw7Kwkc?i9LsSh$(CpDb zo8)NfN(-74;CgqC0%QhKKJsnws|g0SAFZTs4v!fEBxn=<; zDH85_T~|yExVC9Y-Bf@xVNuRE?wk<>2(g&wZDFVp^E0(6#@0_|uEN5b$G)@m#Z%xg zM}PdhIMpD~v4s8n?f_>XpvUWxrZbil7NOP~XSVh;dBY_c(=J?{Zi21UdY<|fcG0Jr!h2u>2jKdOV0*ZVhRVh^q`sEnY139IN1{`CiU4Sy;GGxh0oUlCPYs0&*gETWj`SHTVtiw>JhzXt27!-!FuN zFC0F{8R&bfuA&x69I#?7625tRuInnACi?zEdKV^ULS%eT6Ufttbc3oisa=e{i=U3V zdDQzcUA?!2|CqD;LX@X1J0(H-+=sHHKb?qwn6D*@M(w^dquzSUUZo@wL7um>=VL z^Oyvfa(^Scoe+!6?|^kTeEA_DPFo#yrZUD?Y+&$iQI#C_La&m-sXe}-a5W4RZZv%Y z!CJJWiVk&w)S}Evrbkrk`THpS_ax>Vf+%m?C(LzxR`Jqa(E&`cHe&}irzhPuWO1T7 zJJOri`~GRlx69Tx>k!UNm^A0#8Gm#K54*wc&JW$5s1sTy1ti%=j>ad6{s(G-`Z*aE zP^R_TYaWj%S;BAO+^XBsABhkZSv9)tjfcbwvU8rPpLvrmVl9*czkQX3>&Er%r4pK* zVuqRj22;LZCW%?gx9Z7v8&xf}!5h005y)-D^1N|GfZSAzj6A*|=7yEw3#i7xQHlWTE{B*CY`F9$;g8k%Rmq_ECa zn1;FulwB8gAyw~eA#x!_>Ev6aIJFg9X~I70R-?6;Wyp#jrkJJ(^xoH)jlxs@Nc<5i zX}SCZ`B(JIaZx^p)kya48d$r2ZK|0$4UsW2??g?t{~LD*&H6XtDah=c5|Su#vy-5q z)OkDc^kP5Dj>WGs?5>M@9;5Ax&6wX-btmPH7Bs3y5-nL-zzT|H@M zV(lBRNS=Z9oat6kkR<-ooODk*m?06OCA2E_S05M6bnM)g?)v(Ep?PVSb8ei1Us1)m zATw#3O-~da9xqmxdn(+pWktdLWI?OPm#)4kqT{p$Ur+bN0okSz7nxb?C+H*5_^Q1v z!}oCKkWM3NXE3o<4DW?uMvg+M2RC5{2gdP5P>3|E01X}1YwKZ`3>^1UAV0mgi1!tt z8Ib3bj-Q=)&Uf!|0YzBzno5AR2rF}MN1Rhs^$vyyv0qrQXi3L}Sc{oOMJq*)y>0+x z-zfQ${GZkLu;IT+yFIUReKQO#kmsH%SvOv-XR%fjd$X{ciVQ>k9L+(D&y>gB>wRN& z&r99Te@xDet*vy8?-mLQs-?U>xnP0_7Fb6#S~K{TE=%VC@$+q4*5o zeqtrO2Yye*`F3>odOmm?t%TER?Rsh>crUVLPTkiQ80Sf2Oe2>>C9`*e7U~GY_L_0N zZzmcNh=Q-mi+_SF&rdavU_U|G9ALBenbD^7oJ(^7N9jr)Wx_{o7;Ypu%4JVof5L{h z7l`=t7GoY-3c_=W%sD0R+|319!mkkwbIWeFpn%RVlVvfUmq`A2x+IUh=d}K829I0Q z1+%5@nn{y8;H|Gkc#YzwKSh?%(E++?1tn|sQ=G3-9eBd6jqSe^iJ)0e<%4Ykzo2`pdE7U zY6h^B1+FqA9&NmxtB{8>?%Cy68?>bZ3(Gm(*HClZRhBa3iDJcxMU{I-nr41P-e;R)D^<(#+SD$hl+ylmyB-9m$EsGnZQ}!@LZhwrA&x+QCbm6KxR)HA|6==I(eXDH)CwBem+oh?q*T?0Ms!_Be z@%yaVA^6ynY;*TYqa`A4mP?nqw+~1-Xe-R*%TCtbjX3EWMFDr-hEz(`264ReUhv@^ z5?(0v{xNgs#c1;N!#4Nt^P6}yZ<}zssn!<*h~pope{#WXnA|Hd?}YQ%(UeyXx8XKV zvmZv;%k_d%KubVq#QBZruJyYJKeXsyvM%{k=3?bp3rUp%z}V3%?6@j^O7CI@H32+p zzJw(B2gCu6D~F!{>n7|8lPzli-51je&A>!|1uXS`qkVw3ivh&kFNHpI1kGWDDxSwG z-6k`A@)`fJH{pv#(@n~)_1EQm$JacR-ygS-x(jF`cX1>XpDVXaJ~OU$tcE!x^Ol@!FBQ2XrQ-$OP|wvcxbS^ZLA$V)ILC>h*W=M_e@T&%8GL_%Ixj*TYvi zLom!=tGkQ&YssQ{W4-hAEXNi5QI~D;cgAtpYmPnJ z(iLb5h9T@_WHNWypuFEbesaFboGoz=lgaR(R^N&Y4&29Ap3{9Wi3xm2$h?OB(j?NO8}i}U zi-@tUQ8o96>yD}K0^AyPU)-#HPo<)DoRx5`A^m0qmcB+tM+@l|pzbP4o70sqDc-bV z<89?Xu_s&T89Tz`7w2ryE*aT+J4&J~z8e_#GEt+I5%M{A-5!HP+NUt(FY`;%{@re7 zZxe+m@r|#mq?x{N-SA^Wi=z@ee6mm%?2&47o$>C5^UB19X10ualNOXcy*H>kq_-XX z+`C*L*Q&zxI73n%e5okj)~!y{4LX@~dfYjl>H5|-Ki*Fm1R@PZ^mK?be?yK$@hOvS zCEk*RJrjaK3eZmg3mA zfp6aKYm%=seE)u!D^}v}wyNYxMwBl#)vN0iXk~K`+GCZ1+f|9%QSncAzjHJ+tli97 zhEVK4Gj_+E;0-$m0!E5Fs&#th5BNG`dE0ruvKsPpQnd95mBqI=(@pQA)fU;2u~p$J z3a(WZ6T55NQs#G`K2eAf=God@jmN6txF2pO#Z2HUrTbz-XcF>IBFH zAF`r3EmszlnA~IeLbbF!yh1^3)LMnK4ntlVAdmf2xQ|o!XeCPK609&G$vRV8_7JK` zD2Y8wdy zm;iq~T;qlzua^OOqbIa%M{cv_r8(6}mTlj+U#%h@_=&q{^WmW^$wMY&0VpC6tE{Ce zIy`+v)4}dEy6QY#+IxQW#>O3D@poDUX!X%|ZxJ)$X!YANP{>U~ZT5m;W7b2G)Cs;3 zT6Q=&`hE+d9I`?;-u?M1`6>ZefAA-RM;@9dH0)jqoTm!SrJ19Op-9f)MQ~tBc`&bF ziVpenB*n_eH0;gY4PLcDd!E)lurBD(ov)k<#kqnPENTjCPQSPom71TE!uWkX=Y62+ z!O?*EV23%yO`a%+luti=AAqX`N5Un$BTrX=Fxp#Jap{J3KLON}mb_q4dKz~TgUO$u zx8Zg-wZET3FDz4B8g$Rc%9um5xQW*NkrnmB#DYW4^gxqj2BHPB!hFezmV>NNVn!}y zKDF#%rZ(QqeO_`)TctbCBoRl+0Wo%FwIyme7e40u&bO71=_>i25lm#ysQ(AVX&rgy z)Ic*+{R7ZGNjgnBZ7#pc-(2hyE5G3GIMt@6W;pl7%+seGIt@8C6CzFv^S!lE;U$Z( zb5GmStIwy88oR{p5^$I^ScUYta5iwnDh=?0_y(G+Y&_Zbo^FlgZ98iBTq0TPA{d2V z;)93=7|uP|ByrbnfdqCEkV)tWUtFN8Y%=4*wh@SAzva>Zj`L4S`2Q8lWZl~i69ifL zZ0cQXUf5$Jq{QrACRuwsTH|P~##PPXLx5xd7iiIckJ}V0UTUl&W0VN}X10|u zDaR;{6+4A>U(zHZ&K_?vojvJK@C1l0q^l9r@rwu$n=)fQ2cUR8Gvd;~4t;?01js7G z_g9-hUK$!xeC)K0?RtBfr?BpR4v!>q?h@5JSsac|tT>5_Sbd+7(35ClYh4a;PAYv} zjjI?ryV8IX*R$=0&o_)A&dWm2B$#Z8PZ03Nw9#Z$+?N za>BCk57(|X>G?qvxH$1Cpp#OOZp^*O{plG+q2Ts%bc+CCop!C!RO1hs?t4?#(TFOQh;3GAeS5xv6;NROqCvl(&7T z`#?u1;#5VS0U#D0MTjMsqK|_a(NtqnVjGqF9SPG9{H}@m>ymBVp35`Q){r}*<|{+O zbDbEbac>c`l~B?62kEwNsd8>G6%0I*$o=?~!_Pcm$Qc>{F-AL$Z#=G9>ePLi7=gb$ z@(yF{w5=v5_!DIQeH71vc10tc}B9yLkD_xM?6G^eEK0~ zxNk4XVXV8zDAB@$9D zBY8W?H<;e9lRP&JfWM_0={CaOvbf9|w|U}{JS8o?fsOCz<#R53GPfr|7b)c)oP~s_ zHcak69OWay(z7J19Kg}D79NT9a$2uY>(5?~AXBe(b$seRWMP#->O!zn9-_EBBtCpW zLi*gjn?0XxOq8n-$20C8JK54&44{-$ExqT0NcoXktW_;8& zkd>;w)NuYPk-Mlb{rJkLjpHk$9j3vAVBbSMCTG!Aufh}Ea(Ko))Pd5BZK*STh|!$z zJ1_6zgT3Cz==p-jmo=37TC}leW~~b5A@$26IP@1QQ@^hxP?x6fjrEAw4r_#15wR zD!OUUP!D0QU_%W*=+dL)EIYZOvZcr}HE}&o%YykWu+ie~0TE*P36e%4wK|HIFX>4} zEbrbCEw{H_b|hpGlJ&6X##u5X2-4aYujLo!VpXX0>0KeK9sz07%1#Ii|~!&3E=$f9|) z5b{C3SIO6L8}Y$+RXY~wuY(gWN{*W4;uCF(p5o!I`AOh4i~%)K%si$Y7`;ICOMy%4 zb-)WN@h7PAYNf$wBjh#u?% zHr@S=wqNTBa~$y*Zb^Zp5E&Rb^8kRTWA+pDY=po`qLxToYO8Dn)DOYm8p~^7Ig5rn z>J7Hb4rzp|W!FHSn4}_YtJ83oTqE5jJpnnJJROxQN;y*7Mz5}m2eF8Q?sTG=MnFeM z%?etgOMCS>jKf|(ryrxoYjTs42B+@za_orwcjUuRQHKZl1tf%9Dc2H{@|Es;Mc-27 zCGrR)?7mg(m%%@}78~Q9Ng@;XA_9l02>Y3T4<++5Nuj8E@g{v39otl+&2n@gh7mC@ z>`dSVXMcO!kdh?Hv!stIF7qwJz*CdRp0&BfCgo1ynTWlt@v0_zh4I{(yb1yGnKNmN z;{gwHt8c~EIJ!QEYB19l$4k(rXZu*@%_?{lEJ#{f;x8~C^)kJn%ZN&B7F2 z+(cD$+k@raCbWNHpyU{I2N6434;(969l$w5yd9R8ZuR8LlzwmJ*f$$rx3pQi+b%Ch z`6jmaP1TxzZFsl9|75UeKIhU+6G+>IGgk<)G8UR-~ZkQvj#U?2CW<9^%9`{k%F zjT0;DLmB=y-di0NvqT#O;N8^%zUWN%sPidE?WtpNeGfe+FHr8*e*;B!fBs?xO&I>1XH6CFVPrh^ z?(HGA_xE_0!A7nQ+u<(y2cV7mC6r?GL!e*+ARz;Z0I(RENup7}S+-ZgvC+_67Yv&w z<>Z(Ud?7`vzy-9)yc{=&){mELPI6#6yf|2oVCP?q7@uW+v!mgk0F(&2tvg$+45&5g zQBH70r8Av3IWV73vzF6i=WmkpLUlI1;~#R&y?RZ2qYvaqVlxSBlSFoxVB|H^8J5X5 znMdo|=vh4x6a9?jDB^pL4$>Kg+S_1D%t%vB!zJ&K4LFvqZ(Y7_f%-MNgqu4*Hl+($ z8w5v!Pw~=PA!b(77hlkwW?vTG_ZT&eH%6}{EJY+9z=>J{c(14=(w|PUr2A-k+}0+O zT<>O5b9+sv1nS)x0{LKR*yMd9cjHeS*CQx69TMgGc3^N08_bSvuzsE1pq%S?LDy8| z-q9v-_Xn_R7^_BY#&x^YD_7-~AQir;`e{M1!VZNCnSg5N@^B(dpld0thTF(s`%cz) zib8Q75p&t7?4S||WEKUYzyaB_;>`Y@O_UmlTsmF4X<^1OLzu3skyE?bv#ad=41wX1 zfwPKQJKM%-t%#O26U4)-;GCbJ372({%PUo>e~CWuJ2eWRK;c|b1M!jl0AjwQ1#a5f z@X5A0P@40dsw^BHnm5NOD$@iXgTvhD?7%Q6hoSV+$|dumM`{z#>GzsdA=r8MbqV33 z-|X##e#?q}aj1Svoc`CE|J${HrH^>u<t767>7X?@I zH}>~GGM=(S#d;9;Av`Fc3H<+N$x;8H=d*|^bj+Kpz|zxYI>%Z0*6emI*>q^LdGO=Q z#%j!i^AJ+7KcASFB*O50j-fk!Cy7)3*6C?nl@^tUwEL5|0wQ1}GGf z7s!WzAj?F{Ih&slgjQz(E$742{%y-MA^5$URZ3K$g9BD%d{)@3GW&*IhxoW_V2|fU z^Zfxh_#S2$!#rNjD<;<{W6rCoCPn%&V=G-_64Danitg=iXx4u}@+ReY2_?@5xwtI~ zKqHXj1B`q&=1!y$CQ$hfWa&x-2*3q6Ny_0=K^J|*(TKSoPM@!z5;1KYD{ptHG`(4e zMegotFES$RKJYFD+Rygfn}H=vmq7DBJg%B~#4_*r(zGs&Y(75=C6Dd?7|IbLeh{Eb?_o9 zw##er_m<_fy`KnYMbD9>2*UWBGOAy{9-zlv+h5IU#rycfm!b;67l@&oYQ9TyXTHbj z>4b}jHG%cV`q1Qbu((!-w3chT_U%JtI(uGf2Q)V$+LxGX%;vGl1bm9<;>T%3qGp_& ztsq6O#IP(Sm}|%lg7|0!5b7A+<1v6D=@L3Y=J#h)!2@5V0^cJM%T@jP7R= zY0IO=41vAW#wJwvUgO@d!;mLgmWgGd&VXfso}`O4TS6S=DsdEv$}5DFQ_DZT$2Inh z>)XOA9^SXh^({N&mfo~1c)XPp!>+W8@Wi#xzN|Lt0uzB(7-np%!6-#RSDai1XWzkN)@fke~t7L3rnO>>>a?CcCnCWpBPUVg@b&yciH@9e7Jp@u9;; zl*U=isXX6LW(8KB!+d7s>mJ5_7ivFM$}VKmgWCGrGbYF=VS74lUYfrqeZ?McK@#S0;9E_&mcL>>fgSy5kKM z*{-t=*28wvxXS(}4f#hQY2B9%{S!niDr1#4Z!{~HKgW5tSx5KsQF?%L9OK$D;aUt9 zAHd5)a$=+gz?Vdp;0uS^-{d`hFM(|r#~>vpOVE~C+ox14r=>R6GrTO>W(Tkv?Kl!k z0z-2mZoS|fO<%0Snwg3A7O0!_rZ4uE2J#rOQfOcC;?DG$=5(I6t#M4IY&QFVyuGiA zU|V0=(mylifJzVXtTl!VNt_D5dal?|2SvVXr*$2kUdZLAx%=+BimdjehpjT6tLOWa zfKKv7eAEb%_+qmS^JJ=|+HUutQr}Y8M49ri^y|5?#P;`9(SS7LCeDB6&g-Q))4umn zqVK`?4X{7}*q|IdhQ&XCxb&u{y9x&gC+JAsZ!%$ARFjpkD&-6=D$WFg_^Qli_Z6$0I z%&%OkiYN;z=|RT)NTRYq`%;bZ5$xGcpDe$@Eq9=L7i!u)u9}~=OoPPqmB0Lxnf!;4 z<0m?^niyRM4rdIJm^dLQRwms-{-{0D)2j-#xU)`@vPQ^yxcA3(4*t9|>7UfyELL2l{cIP8Wk$({uS?*vST%_11?z zSgPB;$yoqMaL5ELHpZuCG%LO%3!UK1no55?HaxU%?Gd7@{_#(& z%(yLtrTGAAu_B_^YxWDZ7#A{5xCx*ZnQX0x_zeC;a%Cv6-1L*6ogTi#Jgyo{``g-# zh-IE90tJ2NMvh10#edi?{BhwSjSi}wySl3}=F&pC*i^EpHOogNCiNLDspoV()-gm- zH9>0mD^toQWJU2OXi5eJ02Tow0DRN(+ZO1L`>)LLcP*7w#Uk`o^9xrg_d@o_)jZ-A zswEcp&@Ub0yyC1!fT{D}v?5Odrmh6qGw8cstK~H)&Q9T=s4RHu>>hJPE#a=t$Lpq! zEl?&)oqJzbDkGe>{)zAYI;5S1*vNv4uGFcx6|hiEs5@rKm4-)uze&V;^|be zqGO#tW~plS-;`JIpwod9+}q-=(EPB;fhD76|FmC5kS}AE^PB>G15jit1RpPWXuxOq z@NM^>WFzoCbak%0h(3)3bC8S3pnZ-h!O2BuZo=J7aOIno?pj(CHdR;86zcamA5^jy zyxV64;pe+DR~4egtFRVS|6uWY?=N7qKmS~d9d385OobA@5H|x@E%NqD&QdH5`aC43 zwX>aATfx5ElC&C*E%(4K%f$@<|3V{A(WYn+Z_%REmZ>gQYbxwTn`A3MeovnTn2Rq+WS^9S##S(r&ste_m(zr2Cd> zYLtA{`q=nK=}FJ0SW-g-oInzvT=qkGG??3^947W zg^4{FQ3?PqH!1ruHe_ z{2W8kLYo5J0ZaB55-xJL3fW|t1RqjfuVT$4G{Uv2+*u?T<@4$ced0nSzPPXP;a1q8 zbjdS+xo+TJDbW5&>$|Bm&B1bRyT=FQi(h#Chtfk9g-4nCwj7wpsI; zYXq_-8kdaabcH!2#^>$Q=kIJ9eb1M?B!7QWYAd^MlBCvjEB_N0b=OUFS(?*PA6tAJ z_ow9mBdLFRDE@Sa^vg;wmqMGVf|b*mC-|s8O0R2b#Ep?jh&+`uQ(2IR?U5D&U@)N5 zYbgvekLexrZMK^O@YWtzpMWK|)H%DsKkXp=J#=7=pe26+CEzW7rN=0+SH#EX{`#@%&{J3T5)!6&1feL_UUT!l9 zAq?^sMiae9u(lu)C2bt=#gx>{Qb`h*mv1PnTeV##y{e?8H0tGBFvojf|&WAUfhlWRF#XZ5b95+sw+(7Nfiw;Xg3cI4qa z;FX+}s^V2DuTAYuQfQ#yKFTjS_*T;K*XGyZ(2~C|Ct@a0oXXuzVzQdxN7>4U>W+C!0hJz{0Vdi0LyA+jEXUk|Ywi2J zv|?H>kmezLJNeb4Cs#~r^)I-2HQg!(xBdLIgNz;GuKRSk+h|VmUW!SRhNx84HiYWA z%dP6%gk0EG{sv|I>3_Y3wpq1SCaiL;m{Ta&o_P2vF$P-J zwZ;)we;J!d(M#Avr~&8&4DdBUI>i~{U2}_+O>BvO>{cb`)V$h>oOpDnxAo4A{_NNE zk7b8E>gH#N{Ks>ucdH>Yg=-r^i+7)#S<4>+hX`?3u_QfU*m$XPs%QAFm=x=s?{H`( zRkZI0#!y^;4TzcfM7rBHKvt5$7JKyFi78=yxiHH(<{2v6R594R{>mz-@1B|7nG?xp zh1FixIrk!%Z-#mPv(c^7#M4+FyGOXzREe#Wt+ej+2|DH2`J^r9ZVCqw1Xz8&2w4eB zpl`w?UMcHUp*}A+d>9yjix6DLqchCw?tYFLjk1r>s#)fWk#-~&_Tl_huViceGFb4<<2B)%!M68ofsU&V3OA%RbQ|=Z99*D@IeMtRYI|r}?Y(Pg*@4_oXPV*k zRxQlk&y2LQ#(L1-`Bhw6lFlSX*75`M9qwCqij)l2vLzV&Bys5iH6ESV2rq8g8e8s{#X9n4Yr(ELE#hb%t~Er zJT%l}CcmahNu@#X=uN^+Cx6+ksA|!}9$aok(NG#yK*5cHt$>m_epF3f)v}cSnOYiE z9MnflSsCTAYCg#vEj6=dwD*p_a(b%8}^k^13vYO`9euHP+(=%gI?LGD(#GtuW*=#==f9fwN|6y4L?E27fHnC+=GvVHCjT6&@&K2fC{4&2Sfqq3l*Rn z0uHZE{79MVt?0;^uU6e8lnB~_2f46&D#injxd6t&vZ?=?)`YPU-zJ5?R@)%uK5>|pq4XmFYqD8rQN zu9;jgyRGQpU{lytIInO&Ze#teoS_arjuYv!JwP$KcTEFJ0;hBM=+Wyf$8l7K;Xund zy-=r4TI(F;g;Rkfr_rdz{XC%!!-HKs{jM5kH@jo}KPq3g_0B zkChf~_^JZFtEz29<{4;c7PQbb30Vx5aySn#N*_pfODjW<46y968RnE-wxC#2SPSf) zl-w6WZC+)d+ISkz*(6=bCJ1k(c6M;;rS4;C{tv-C>%=R1}Zp3Ki8|~(@a%E6$LnuYN_KBQJ zV1RZT%P%hGMlZb!LY~gA(A27CCUNHN8*2x`ZgMVpCi^)W7tNk$Vnm#dBsA`oqfIzt@6O4qA?pei0(Pv`3mHgHY#3TZ%-%< z)Gd_x5$kcIAGV48jSj+qHU@u6IZfOhtzis3GO|2CRMlc)n}7OLIB<*fsw%Fam&thk z8uOc&bFU@A!vItM&bebL--IL+O@9AkYw_lmHQ$zx8w6Y((oH27Y%{nKIy?~& zR~UyJui6>>t#E=A=#N?ae}k`yM(i8m+yT}zkD z#Vl}U{@4FHuk$>P-+}K0loj#XbVjyTE<T8R?2&0`o6YRr*1&UGc*_|wOva5JwLHku-1N9(E zRg+Hr@~O^qKS%`or#=Nrm>s3`&auli+jozM0wAr&YGBA_Vy|_{HG9!Vmw2K=%b|1S z` zuV&uWUwT;XPAcfeESD@halL$(F3UNK*GQ@w@N_@eR`GY3b=_N3t(HQ9H6(s;HYX@v zH1-OW?yP3Y-;SBmK(u~3&xe>nD3s9gm;9DN606PkwTds2E;3JR-y27I?_Mq`RKliA zQgT=;)Wqh8T0G5jPYjj-fKaTq_l|qX@)g<14L)66o52pw?paLp?%Rw~yLorWR+dmN zmCk~5>+Ny7lLZ?4J&c!@aCq|0?m&C;JP@&b_XK4=C1>o%rav}$c`$!;Sb4g6;0(CC z&aF|F?~S&N$;MF+k!2`?+FF0!-E7M%xsq(Mu#Fy~wbC2^`%4LHov>E4L#C;aoLUuU zYcZ|57Fc{^@bB&&`LiE0(zoH~Ga?^T{SbkR~@ zQMDms@MKCDFn=ng!b>&{0&RoGDvTc#2(c}Gy)r)Nq)EPU7x$58PA^kV+h{4dfx556 z&cJpW+mQ*gqDvqo8o)t+aqi`#TD!cGOSgQsV=$F6-oG4+zcu?l@Kh9wJTJ8>mj9V* zwT3vE-&6eA5*shyHRSWpPYMb;RUqC)%|94SM_GGhNysjw4ziL84oOv-vK8SiV-KzE z<;v^FWIiVHapvQJwg((Ke<*lO3==pMv7DtFtEV6NuU}HY<1$Ld5>2Sme6t1DC$pV@ zsOK6i8F>&&g{d~H2!cf&8GFQc2?xlhc>@-ns7akvsLyz7CO$oMYsu?(<=vW%DR8&P z%bb-x`yc~{?2@k@4^~lKJmPtP{wfxK8Y%f1PL(#5PPJqn#8j_+bNoaOTOffO*6J9O zsdy&?skeH=U)Qf}*pf8pk-(uP%=Az^c}UFEtbqz!cH>}^63f+S`e1JJw^jyI_lb>S|6$*{!z;XRGUk(6`dBO#8AWev>erC>fvQN2ni zKNp@f1dT6YkSo0Iw&Exd-NU;Ky2Y5bSz)r$vd|$7UJGZ=93~LD2D^Rf{d&teQPU&6 zixkC(^gLueq=%_LkS#Peus*(RFR+(zhhQ*cZEzvU@PS)m2ELXLKhl4BL3SlO#IglZ z7%bl16K~B}Baw|CKa(T#vVJ~6i7{g%K;-qZDpi2oX82vPATcGNd3|_kf|NRmy|_MP zK@oYy{sE$`r2L##gM4x=hZu^kFDe5Jii(!w6?o(YPv&J9Rb0fX?zac@F`@|zm2s^> zNj==VE}3A_5FbvsU7asYHinncw-HweTQKa)gO4J~x0FC;fFv^({YRmElnL@(-$xS7 zRaIF!s^$32^Y-hKU*C6QYs4ms0E)C~3#V+WlC1IBk=(=fY;{jM^OP9;?zRB4Pi>0Y zgn&I#dX0rYjUQagf%B|L{HUs~Wb3jj9K|R?zBrOl#=G5?nN!kmH^<+RqJE7?36sx- zdrs7i`i+uLP9wAa0Da*Oy5-R7=N2S2@+@BalnWQBq5`j}`*wPxKfG~z-So0jOxKrk zcm~}Dv*C7(o;;>ktbXqyX9YR{Vo!-?pGof3GAnAd*dd;mQLOpl)3*l5R=lZqQjm3p z{i|(6$kL;)e2GY2h0xVO8@ukU#61?8qQM~k&zl6ano>0J#U3i1X^=9*ZISvWYuoZt zi|lUF!;kDT#r@gji~-_G#Fi*LaR!wCPi_tZ}d_Q=%`W4FYw8H}1 z{QzGvAI-q#y>rhLMhqCNa2OU*dqBJ8M|Iefo!bGQcPFr}v34G0o($2>5(cKovr6+% zL`?%kXn$E`d4G9)lH6J&gZsvUNIL2+(%J>fF9Icns}f=`%?qf5vvIVE1dZM`E|bWNHjj2 z4C4sNieBzI)F6pZ&y?mDvLzbnb9m?zCxr7YHl4bpmE=$zK#{mjP8lOzZ=wtPn}%9Z z^FeC^9SLnsn#qHpW|v`a4NT}YotMHj$^)2Y-xmkkP_f@|mJe_%j`Rddppm`Ng)ec# zPjR{96>kS!T(Dw2VkuNStt`?sC>-C{Rr4@w;eHahp*33mK~x1K&6Z(~CUjuTy&_I? zpm0-AV5F|yFyV@oeGfpxhfB#V+7r9=1izIcdhNNd#0V(SLpGw92f6le>yas1Fx2o7 zYHkBre=0G!;a_&l>v&|>*RyL`2T0KV{Ng>s_Hb4_YN27-gmovcEG7X=>Unh6T7NPl zl?%1Eu3oW6TaW(jFZ!@>J|haQ`|6I^v_H<(rjkwd(AWmZWuDA$#TCVP+JGh|0rU}s zV0=%1xUt;&tPyL|2w8f`*#AS2|1+@-F2)acy!^?_uy+>WJ=)`4@L)Dvji=XB9JfQ` z*ZiYUY;}O-22vuu(!|CFw&J4KGu3U-O;)%&gArF16(0|a^*~XTrwOzj%61qNM=YWj z%*(nLb~?SBlVuwEm?@Z=n>L<>u-d3ENoIR-iQUJ!x9t!3;<_09!At%1M| ztzD4eVm=#rRu9V(Z?9L3XZ_U2NQLKlhgf&DZ3r>gtpVkkw@xAX`tfRPkkK~2C$EXO zy0myb8RP{1bFco_<|S?hirq*KfP6;i&eG&!1EbnkfgyQ>-}@bTQjV#;xbFqb=usJM+b$HQ=RP07TajqxGi!`T&ondGuK#`7#lGz z8s_9%C9Im%43e9LsXr=>qHU_7dY&`I@9XvQ_1Ho) z3fwur82>)OC-ll(;81RptdO0XLE&1~p85f6eJW|FD~H>FJ+>;OVB5`!P#iu-W^DED zBF_qC2*~Gff`iy}pZn^{PgU|`d4q?ZKZ8e;s92c~&cQb~o|;8H5etlw_blHkY&|rF z<_=;;7h5OS3rgA~Y@CujzN?{-T#}#&nLk<9WfE2zGd@j-oZyFK#c;X9w|s|Lc@lG5 zcI4#BO4w}Yv?5y;_TeVJY8wqpQM5;Db^cdoz$7K1iS@e#tNy$(66*!5I>;b0?5Mt* zfb>mQu^MO{aj%l9r63}I=~&?y=(*HUK}gOvV>hC}9N?9@y>*iMdie8s^@rUQe}F9F z8$T>#e*ls^3;qD{N1~P`uN;k%oU$z%fPKE=tnHymwZG|wv0G=2e|BaQ+>&Y)PH#+1 zbzzTai_pEQRWK5h6RGrR?srmIO%a6DDsZgmF^=(@;Db%&uW-*6hU$)JBGTXIx6Y{u z_Q8Z#Qk{*=t)Mp#uvs1E=&l1=dE+ zj|?XC3^wXdU}kT2Iu@y_#ttkeDqg|wd`a^j?9zR|p5W($ZT7K8RH$M_< z4V$CB%hpWz@SgebIs+~lH)6LOtdP)hc?Xb>TY0N9^vOTfXYT z5;}>)N>|>gjcuQ)+NKPgU${xchLx;2BxQ=dFe;kZO`BjkYPlh<7nfbdUamA28b7%BfG+Emre!LWWURPSElyE!4$U*SM zb3pO_r>(4ca0PMr`X&d(^cHf@7P~&%j`5i}{!Ny8wWll{NzW3mBf9Y1EL`*OjvlH9 z)h{4mg7_q{bd!EYB^jUHa+YD~p7`DG72r7XqJu0vMeNvG1q&Z~f z9^DfhhX*`&N2<#71;ehHDrMe`ibfM}{nj%fF z*KZF}cT$FUT6Q0hZPW1GWLzsa6H)jOZe5mc!QqS*tbW@r8w&_Qi!UrJ(FIMb0pjVu zeso)jNQS3DYTH4*;-&5 zV`go8t?SFf26`~20|cE&_cl}tN*@v}QX+3d=Pp7{xgPLOhU2li^ObIhI>H+1SGT6b zv;kEjoQf9r8VJCVCz%5~7R+ortlxsa04!3WLc)(JY28O00gX!A+h$>BS62w63|wP4 zTV2tmiOjvpBItAqL-Q%23*!Bzo&8Il29-oWBk2$aoj3lyg0GnqyC~M7R?KnIl#k;K z+8gIWqS#+YQmr_7ZfPM+R$Eq)iK^p1>LnfzFFABJcPFbo+_jGv@4QlGFP@K6oNMuz ze*qZT_J3XPvA_J%N=o^|wk}CR_0HS)|651TZ#-QPU3WLJiM zX`lwT0SvcdAINevOP2uR1IgLLArj~B@G1qvWphj&a$tIo`)i#Bj1d1)C*FX2l1W4| zdlC!h7Qp(u_o2+$MWr=el$6h6y0xCjq6vOtG!L+8$w%>_YkoV}J*lB`OP~}dnAI8^ zeDYmzzMj}Qy5U}6ld#aod%rC4zr3{PC_?*iKr^HWp!dE56X#X%S1}IXQX3KCipiFI ze={2`yJK6wF&K>wS;);QVn%~9CbicF)aQ?GHJT^7;1G=^C@BhNiM|?%p zh|K0({|x#dp)UbzMoib|6OoUt{gZh}`}`BfmNF*ZF%xbHAS#)n7l_(@hiN zYuc6Auq9j$AGwcK_4R@%YIt=PTiMGF^@rhW(G&AdEAi8KUBOx4$+lHbpxDs8?(|=w zydu5w2VVhw>8rJY8DI-t*pnhR`SX2}!mmqfN*idHw*4obq%Fo~3Rj4|mM)w#0{qmR zsYUbb?(nzg-i)61#1W~P5$p1)ptbMBvr}w^fQje%A?{6}%g7C_KX6X}rZG$f?P|Lq zWd|tm{D5h0t7j1o2)dX6a7m-(&n!+B!1*9>`hP&bg~3@v*_Uc0*AU$ab(%3MLfmTO zMyhI?zf>!+`?AZ4!SmL*+g+gng~7GqkM#odOE~CH(nx6b5vQ^LG#L0C#-qFc=e>Ww z>S}&I_Po;ry`b`81Ed8Mv8bq;%Aere7zfkAPTfHgY>Mn8j?X5ij!GDLU_lG(r|5Tr zRwb^$o!7c<(W@#$z}* zQ(3A(v;Db=db*O&==7+u1xr|tKL#w~cK0gs?KB``_N}s`rQ17pyFI*+S-)1`dQK{m z1ud`!!c}fJ>wXG1hbJ=S=xE4y^|Cv8WnjB+8FLsin%U`DyQSMqf)C((6K4`$^_Wj! zjQf@25IyEryB(PBbV`>#DNuO*++|9)P-+KAM8gqmlh!*p!z{L>+#V?{xovZ3g2MK{ zq6l7T`2#c~L1JJ-sy4FT>ns-4mEg!8rL+&`RL7M;-LogcitIkTSB3g|WjZe*J-4xo zmDwZ;=~dn>qNufGl^7e~`L566?tadBbx9NQNT+l7rMu2(R3qVcwf%#AqjRs_5!KuV z@WtM>LAllCivzARpIPe(=1@Va>#yC~#P8bYj=6ih@7rZ^w7qrRvwRk+*H&XXj#-3F zAgV}zSI_XVu#nL6s2jG#ZS~}-^F42ag1wgWo%#he+}+K7aGN#wXzt&Rfb|!=s27Mg zv^=~>5h@-Z4UM_psm7j#&5^7eJy;TaWw%&C{9`*Y+j{~N3E++WCRg9s2guR0 zSmaC6C97kfHcl=EKgXI^!}DhgZACukLk<%MS$K$ru*g=@EqpMV&U>*jrVhaa&8U%v zP`cBDj7_M<-Po0>pxQp4XZmCJxYm5_#?=EYH5rud57Ewseg#=PV#etatMj>TsyX{W zHJl`LqggbBnX`WSy=}z(SWv}CEfXwGJ` zzf>s`?%FQ^m7U7uX=tqP+l&O{?>uqelb&7zO zQO9hf%8nb%o=G#Hv+v`(KEnhlaiwk(kdH$1*fssi-aQmG^5S5(_R3q~&DdLwnqGnu z(S^@gNkS~N$|tn{p)DaayOV}1Wd#<)FO;i-ONorS$=f|UwiEbKS{!%p7P%uqd;^u8 zZ46uRw^~Z?GAQOeQf1{0EKjZwJn60wXXYN9%*y7*152IDS~qVG6nF$p<_O7q?x^(`cf?)C6E_v%k0`K`U(SBA{=r)satC;=(5jV`Iv z%tDu00$I<)7@mAI3B_;6Q^G&wAb-PGLSv0kk)L8XjEV!p{c<1{E28&YAQIl`Zqb~b z^Nq)v?{0-95y`Uk3h%SBL9u6$vfWuM>4r$#MUL@0U#V>Y_sL{D;~~PqSRQhcXFQJ_ zUg9Tpx^1+`{vE5-u{yqo^Utc<3_yP+uBdRZ`Xit1o>E3j%GY%0f5r+pw(XlQ#uG&} zu6-n)Kpv>JHX=t5@XOhO4KSPJo_g)P0wJG+eZ5BdG&bkj=aJ`QaNPfJT@@!k+6d1} zB~hGyofIlf^H!JAdD&$5=-7ix8;-c1H=U96H=QO!-`#ZsY*&>QtW$qy(WI`bhn*Wg zO71w;JoFDgNuRD@2=b_jaZrmM0kSv$;Z31*5JMIx z|E^|hS{ogNdjeHX`TS{{7JMjF4S`b^{7~f%uYW@$3GUeOd8M8yznoSCuVhJGP zh>ShT^eCY2hkhwtKG28qR!3#4P1&DZjUrEN+tOMrh4tiBA-aaZnk&7GjDK zn0v2e_aYrG?%1b) z;&j{HgHf~P#t>_CwYnqJ230B5E8!gcOTMWSVeP#*k$z+PGB#`~h2uMwk*e-MwTS`s zzKP=an7!J)o7hQ|V6erjge8Jz=FHBjr}V?EhEw4ULt{CV*n_t7eAX?g#9DL6+OF`6=HZam3i-clV`&w&kt!?7Zb;xX?g+ff|RP_fi4u+Qo!-)Ca&rG0+AA zMr{^UI`k*)smJ7q8%c=j)bihgAHhpcM$C_*(Zm%xm#CX9`4=NgRSS_3Y{HN_EGw}- zdXlr<%IZ(TIxHa|0wv;bc4PX;7qrBb^51YS8c1lT%WQ`n_;Rm2qi`1Oc2%PsB%b&3 z@4wKv6OQcFZ9$Cmru!3cgz0T)Phg08F*6DyShdQ1@jUNmn92<(5D^(0eT?B0nHW!08lAk9(QNq zO!}DQsYC2(VyWNDSaDEc9=|OfyR9`8+#Hjk%VxdYhPU8@g-rjbu+n(aqIPTmk(pAv zi*{GVps$3Gt8e<{j6+cncek&g9LQs&tN-9y`y*08FQt>_VFO}peuxwL@$NJCG0vWs zu%}dZ8f%WvGJ+a=AJ+I${HUJwu|rLLLU3$`G>bcaRI&tlyQm(8uf^5o^0br1V6G() zwhEmCl;{p0%^WbtVZ4h9L;$6Zv!fp!)9C6I#~nlpQ&=KYivHLneXCt~^CriDmNwCF zY&aS)KU$~ECKq7qrkS-{+KmhJuP_;w200`skdLT2&g(*fX|C#8cGz+kq=7TeJ|cg!a_*s zb$d5$O=!Arz7HAV6e!%C&Adrxe^%~kj|l~N;*(z8?W4Q73suey;1-izfiQGY(rVT{ z+~%%lYx1PPdKW5v!6ZX1FV%PF4%J5Wo|rIHo}XIexa(Zdi(?``uKRJc={JsiJ9Nga z5TV{aNWX=7mwh9C^5bRg&~PC77Vd{tgS_oX35@Ej6pql_{%N1!3Lk z-okL3)Ri*_yTT%ExtQkzx>2@hj)99USrMQ^UuT^uKZdRPEWB2Pd|g3piU73S$fTF7 zHb!W{!jUjk!flOAGeF`@y}--aBjWa9z8?ixWyP5}Yc|4&ENo_mL@qi`!pZ#h*Z3H8 z^ej2Z3(R43Kdxu57Vbtpe3tQ+k>Jkio%Kg(UjLo2@K={kr7qx%PAFQXy+Yf{zLY=# zz_p31&l|x{EQS)`57rP0z`_aqcR}>`~5!m_ou(nn=rVU z#$8NbeRzDdNqwBv)_L)=__scwfcwAYsE-4Ph>pmGIu=z3> zPSpv{e^e-*{~*jUQ3(18+~vn$W!Z^h^`1`BRh}&P@>=m`VWY>I)T)PgQt}Cn6UxyP z>yg9*|FHLn{W|^wg(JBAzO`iYMb@K^VGjl4i1WNQKZ8QlM&gmKn0B)?2=N*LjR$%{ z_wH5$6kwK_rOG9?FALF4l96s+x;a~J;YC}?$&NRJ9$LhgtO1fqdbzE%`0uo}^C)fR zCNJ9(#_LfZ(MnG0)a}Q62 zNKMGMg5jhycR&3K*O?}}7+Q^>xeooF_|g%owi(1$kxH7?X#SD5ay9R*MA-M&*;>3x zMY5391$*6z*D)r$TeYKwvh43hFWMt{tAm4K8=Bc>5&Kppmpk8ETCViZx4ictm-a9j z$y!>b-HSat@JY*A{SBA5LDBOsb6t42n6&pVNKFuNkzBigNWnMra_^{lXnL*&39~Hl zqDiqz^Gmh1q@9O_(3|8IqhDyJv5CpkYwJWo%IpocQ7US4m#M2&j^&N7X@@wV~>IuBl+M5>w3Gyk~X^N>mT&7z40)ANA8=A4&B??U24J<15)% zb9#nk*_v_bky~c#N)FdRI?;P$d_gCCJes7F86p}kd@+^i6kdNpZ?2@J#n?|PaC_>A zk|@Vo&y1$+0}I%UYFpa$j~}@aSLg>azqJ_s$EO02ts&|6_z#ft6@%R6MVoE*A0X

    XU zvHu=nzRI0Qj*5!BhvVI<1kW@Q!|7JtO#SwMVBG&m;{y}A!_=sbFGg`EqP$t!l`5!j zrr*qw-Ld9^!6Bafy(N&D7C5gvPK)Ow;-k9aozCV=V8b2nXvUs#cc1WmKPWDGKw?o@ zG;p$6ll;0rCyN}i|IwM~>?nYS6a>Ic6=d$x#eELddJ*I&Dp|lTuB|7JNIKY_$Sw>w z7@|e*Cb9^DQav^Ea)Kw-PUL5`a3;XgEE!fBUr#j~c&<_^e!n8nQ!umVd)L3|(<5(j z=Z-xqZ%j}6YV5_M)_Xvf>cI^GTXk-$^Nvo*aB@cFW0Sl{Kt(MIY_mryls1c_3TnDU z&}v7=#YOiSlfHEyB}Ng_h}~;Bcd9lsurRwFb2RyNPJ?39XY;+4P{(f(C4b}0$Q5dR zsmJ9t*>Y)oW#E^-_tml2XP(mJ_RZ~%7M_DuH(y0zT7V)AeYMSyQ{lYORM z(`MAFo7zO_=1;PF0+k)xBUB&fU|+jRM&z@dXoLJ&Yvj~`1fVi`feBc}sXtQ@=xm4`0!|lb zP1Df?xN>OD#Zglh!J`3}h)9KWWrXc>VEjZ{0xaWEoKa1&vy*<6lXFto*o90)r zDeVmHEgCEg#!6~<$?-v17W4ul=F{c;8Oo0skwo*mhdz!X6!pJhg@42~P>&Ei)1S4L zU_iVnDOfU5U`%_)=8V&6LNxdOf)c-ND1mGlr1Y>(jCO4&`nhr2*Jjm^Ln}izV_$91 z?p5YB=y60Q9TR_D3wokp>Xp`w1cs1Ib1Sqr-M}z13Cz{4Z<{vKdt>%2^i7b#5=hlH zp`=~r+(H(8n>P(KZ6Bc@7qBDSE|P=L2-~BbGqDcy41;E#*&ZC7UC&%iqbRD@RAu}L zJ$mI4Z<0z?&8*#DUAEi|Wu#krTev=b`g-8b8{yZ(M8H|CqJWs{q?CN1x8=1I=<120 zR{({a1Wi@dO?0eal}Gf@Qwk0>Q-7pdtMevl%pF=@aPKsJJX)(~Sv_8xy{ocADPx&N zX_zNJx|u3gFLU>*i@@tEdZokzt28lEUDWLqjjz{o!K_9FCu8MD*m2AXZn|1ZBeY$P z>WGnU{z=?UkK}endy=^Yu|!6sfgZ;i_BN^YLh^izJojR%<6-R6Njmr^1iSm?=DWsl z4uT$!Wby}6yrQ|KkLWg8#He(cDt_EUe7bL6S+c;~XC^xm|I zn|j0P#|J5ExUsG{?8u@{5rI@j*r=qx}86apanS-HITO&XW9xbj8g>zC+k&6CA-%!o9SqP@K2n_IwcBOGvJ~ zF`nTA#rgD5d3EF3TVuFES%k?i4_q-P?One;^9K)>8e+xGe-G5X-=YpO%X$yaVxK@! zAXPd$yQ*-!_IF;!Djn}-lt*KwVml?x9II53vOd8g4AzA9(%vhMnB5k)n=?uf@03qe zZn)C4C9DOdD=i;qIDpYtjTUQ)txT{F#1t$@vb`mvT`q2CSULvrm`_)Fw>~kTGGD6$ zxzx82@CR1(=We60n#NAO%TyvWDegg+Exu7OVw-a2znfoo?L$uhGn^Srp6*$$WR^_X z79BD0P(pOPM@5&Cv&`t(&J%$cW>a@pV)s>+>lFL9{^z8BfX1{-v#a-W(5`u5i1}6* z{mBr zbRc2IDSq&3g@Hj!Ee#dobmYuHIm<7nbic-g&HamMcv#v{)SA=BtzkCHEcD>}>efd0_=nO)1uY!ci!3JDpKVbFovcL#;v5f~13pdX?g?2d~`TGfp<_gTz#*~>2-Kkkv0^@LqmwvFYyK8r_C!DbG6IlT^da;%?9L-EGdX&R$0w z-D4Y42an3Ldz^(Jz5)WGd8uWGyui;tgot;vzng&CHh?M5^k#|wo(KrwA$F)fIAhB+ z=@CiJDF(kASL3&6N}Jk^P+}N}HlGb7wK~Xb6nA{ljFr2S^{5v4e02n$H(Eitw#hqc znH=K~L;jV6JMsNq>i$Z11LV*$Eul?{zW+Nhsrpvx+J;#O!K|lRzHW2WJKg>f`dfo* z8y9Nhds)PXm?^87m{Tvma{5ga8txxi9LdzZxV$OB$tYR4`efLZr zHM3?&GJ7)!5!KjZJkHR;K;5ZJSz)-AGuYD9&CJBG%FVOcK0Z3VFp&T zX+#oc{rXU_dy(akx|~#UT2~(p={33Gksn~h7j$p*v zu2=lw=Q00J0CN5I`$mqMU6gw&%rfcP``mYNp&0$+q`j>lGqR`yMcJITZUytYbx6EW zOVE!yt0NQN6*C|`bKIxASz774kHnl@YR@LB^T*hNkyg(g>W-;KFs50 zeB5P;k>wn7`dq)}6Vh@u8w;7Rj}^PCqKbj^+TXsLpT64{!Wh1w%VOD2#}VW-mlR4Da=V3lwy^`6szG`q+xrqU##<8bU^Pf^2iyAh0Z|-e?xRLFzydTc!dEG zUY4lXwh9w0k1&-(oe*&O4?z>~xShM_b597(Ub$wg4k$KNaow%FLJ*~#{SF|&7yAFW zy8J!L;x|H!U#N+drGEki|L*_`v;H2?QQGwTjMGQ|W#A6hteS!uu)g`FUlH28z8c7d zG3G7a=P2S=QuKiscz5XnY`zomJJ?+Ee)dtwSwxyVSK*MJU7d82OFGmLyGHYYfj~8Y z`}>v9?f2Ls3i7Q%6MS>j#vKOKIs>zp1BRZ-=iKa7t#=t%KL-chx(qbdhFie>Df7*Gm#JU!5F@ZfHTQr2{-lOoh;xFGwdfwMs(!?|*=}jwH~9SA>P()705O zjm&klHD1-P`J&+4-d*>!1K6H@iEzQD*^$n)UHr0n$DDAIE|WqdtWe`@XD z+~9NOJkup)Vo{+Vf@GicX(-dvH7Wfd(ll|G2@w0C)A3Koy5>1BBhli2Q;#>w(3YHB;U6JS1Ylp^+{@cRSQ z$x%X^eWi1@qY>kLUKFG^KPO7lc6hK3qD<1(rh|OmF0D)~n%$z%&Pp%pUZ2tHNJc0$ z)c1`7-WSn!Ti-LJlFg+}e_vyxCjUXsdaH&X^W{;;1 zC}#P^=`M``LbWJ7O|*F5V!Y1k`JhUJrh(Uyjlht{27m7*=f&@7vyH9w-{f?JKg!vwc1Kb+lh=4b;@mAL*6W{`&k3%TQ)2f zc9G4nWI&mYG6__Us3_Vd@rvRQ$ac4PC#dg0C{{nRMUM)eiocjhLr@tSr5+PRM%E^M z(3E>Fl2l{dZ(;kUxi!izVXTJ~o=F;;nis`;`@5eh_wfTy;ul+L<&D;bOUVUed0MrN zkLCK$l)n%7#ag?r;uzItVs|sGdkFHthNu(pP~4 zz=;d|fff&XI5{PhM2@;`-+24^h0T1YV$yQbcAQnX@M<$2JUkJ`#l%1uRkVgw=vOq4 z4+w033QM4t(dqC^l=w=Q^iGPw47L#?lzCX^4UgEL#TwMBu&0mkwjRw(eyqWx81+Ou za5?B62E|5O^{G0}^&*wY9?m1jn^?Xw(wR8tNQF5R0$*`XSPFWddHgIsBBFZw=03Nk zGPjOmx8akga^8pa7%Ss@ratI(YjJEj?K-yt2CC!RF@8KJ{|Q|71dLwU zfYB=hFnV>sP*Xe6Y3Usy)}2gf|>3 zLsH3})cJ0b4cmGjP<#jXmpGwoKlM2}lWFe-R12-oc9{0F#S1S840>MWnrlrHFe{Q> zgt*oI78D1-{$iJGB=A0^Y}7VQri`eiU#ENSn{5_%eR?|YIu{(LD6Z|)%KQgNb5qk}{M zc150y^)o^m0|+Z~_s8}jbtF@47JmKe^X8XvqUk>W86GLki1S%Bo?AUqy=HPO#7u7< z%PHwA|5(XIYOY|!QKG?+#5zeQ`;MQ0IycGf|GZB4Z0$Zc}~G(InWQ&opb+#p-*+ z3+x02Y~oiQbV1UX1-a!lNk?4GEIp~c-bkL2YN-c=$t`|XrG@>APgzDr zjSSgtsBN-sbQuG$XU3=GCl8V%Mn?Hx@_Xwh6D5=9I^%TLe!d6!4kDxsP#gxR#@b0q z@2jh;zWxb*_q#FCZ;v&a0K&DxC8VW1EzhEj`#hi`jTDGylK|^*@jPB6S9+5(d%{`$<@deEjljSog;q!0R*}ublkinPUUJFBw zm?j%PT2mwq&_!D`SI1bsz=-=;ofwtixDUR+wxS!nk(wX-ENfI<(bj$+LT@Y?`Y8W} z;i(WhV}d8+l)c=u74kAA!|?GCIqUqX)LwlbZiG>@1ry?X$Q5BW@AK!rbU+(dN!Sf* z$x5gns3^rHQVOTHNbp~7>F7)fXj%@!>cdT=d6a~-LD&iE-_q*j_=$j}YYzNR!#Maw^V>p|T=w4Z;VYEPl$)-Y^)vGr=^67TxQSG6VuLJnh&jZhB{E$f zWUsE6nK_G=>$p2A%eCwz);>IFk1_ScOPHsPU!T45(*IZ2Q&4imcfa0p4{#>Sp2%XH zmlAydiAFaIn ze)Xr@iU5p>=$6fYa-Qv;Cq-I8qn!?2nN zppz0srLMJ+n%s=k_{J*EOt~lFHy-oONkZmeu#}9M>Ga|)JJ3T^s=Bx@BY`Vv1fB|nZ28zD1Q zzinve5R*Q~91blw9p9RoJeme`(|bb9bsjC_VRb&%s8KkkpKq=_c~IPIHCujTuNq+J;A8?s%0gFR=PjcGjQ4poB|`M+*xdl(=tlt@W*+dV(%Ym8dv9=c3lv zCjkiQp(e6wj}j&Ag)yq~WSf)gnZ=~Q^J}1M@>`k?ICIE1N zK|JMmh3IIDi2DEI1qinvm4 zsc)@Lkud76En~M2lJ0y=w;Uw#apHf3um4j7=zo|~TivV+(2idr?KLMzaBBx4SYiV0 zXLVm1x*ST{FER&m1MV>efD$7>14w67e7+4u-u2P8SLQ;0hVRU(o<5r=DHXB6p>$ms zHpotez^ilskp&P5?gHjs7YHSg`+Mg4Uck?7D9bWAP!Q7J*PRvvk8f@3B#%=yGu(&0 z{s7^AH~lZuZTxPt{qM)_R_=Xlu1lLdA}gxTqyTaZ&>7!#-hN2~jDw{s!F%qDL>K%5 zS0V6)RQ2=p)XZ%5>?{{0~^gO?0J*$ zm0$&1XK?MeI&S!$I*024FKc)S^in@0=%t3gdBa!*-0Lz2;Bcwejg>#F`4l+-i)BRX z2EP5}OBe80g_oNt9C!*pa4G!9CHJ5G8a;bLOp?K5u+)k)dj{V8fq*aK*uW6`_LusV zZ@iHE7y)I`?*xf%-zz{S3)`=botF9UM%z5Q5UBYQ1$!;cezyM;@fwc7Ry=Ej$Funn z!1HE!^5+u3<)~&pUK!;fZHFSiVSaysH<>4(3b_Q8j&3He}GEdubxF_J$ES@u;?8+ zduscME#N`vFNMkf&neCSVvA-YH9&kXEOJRf^mAsG_XIsU73GgIWcOt3Q7L2VaZ>Bm zFy&7+hL=a6IE**CQR_HAzBCRbkn71${FC59O{4jCOECY$`slyYq1ZzH zjSVFR0s*`if>A^0HS?KZhKF6R)*-1QbNc<8%#KhG%(K z=~m`+xg&ZV!rXPCDro<8#0aW;l7H!fqOu}qd@gMCYC-QF;?R(ZExJOJR7pc1Rxf89p?2i@aeTCBhO-sY(~Zr{|=cG~UDpH&D(^uuPL3c2OX z(cp?bClg4=x@L;geM|PMrW6$_WmR1O#UZFSzVDK$_3Yi4=7zL`6ypUibYNz7oxwK6 zhJ?GgGN^R>Uz_6mcV`d6{JbAm`p6bQ(R$3tTHd+P81DB~v#!X`_&Fz=vEktZLQXcx zr;{#wP80ilWet^P(O{tTb>g4Qx?;xCR3$qzemu>|EqKiR%pZn8T7^e#R50Fedi{&31C?Rdj##P3m^5oZG8zLL1UBIiU$%`7o&DZt5np- z<&uwwG08Y5!z;G*Ps@OoPiD-&qbBwV%ymHo`6YldVQpR~#B|4x5V6tXlA^y6m~W%| zD2PA#Xh1kqgFq%|K#fPKxA7xRDO(cIhDMiCPaY6gQG?OCO{q>)?pBOXnLin*s#H^& z1(uDI65lWAEnt(>1svAD+Vg)Ubb(y8lPZz1vVc}I{-&jT3vQX+G;jqOlih&y>@~d` z=HZP=B?f-~{euqNV`HSV>Nh*wMfkTIQW)-5t2Im!u*W%5fk2-@I+Ys!jFeaMc)JLryT+moo=UmR6ZjDIVi}u}m{xEi zqwqili~)c6Z-Gn|DF{EmiN?-ebg7Mo|b$ z%_9jIAdB5@RYL$NphL+w_d1Jn{5sNg?MlCkul}vSm-ZhZJ^Rm=rtP_MJ#U!d|Bb!( zfTwHQ_Q$sny+?^I2%<*sHc9jd5xqul(R65Q}c$-VeoDxS9Ru>$Ko4Szpgz7~8*W9MX>=+IOBB;>YSws!`G z3?AjwkL~?&O=!Q*yh|vzYZatSy4IT203C0^36@G>5p}0k9LI zk+rn~S9NTe)u)>f91#Vdu(~ekTR%fDFFrMiTo4=OM`ILvj5FehVG|6eo0^FlTu~y} z*3ep;wJe#jslUI(eLH1%YeL^#MNaUxawa+XVrZoz$rjuGF?vweSBA)T{IU7|Bzi~5 z+m@8}(=542n(0XN;N51rDcBf$%SUH`{4jCgYsY+VZln4oU4OLNhr97{g>5?8j3GG| z{H50Kwi1MGD(c>3Rn*8nP4&aV&%|Cr$}rR>LJG@rH-9o}n|`LQ)1>`~Yanj{oMOK% zd-owt9hqT6zeJh;lL_LgU)RI?BQzR*QHw$CSG2SK+cmQOCp_(czDG(#{?aa%N=pJl zho)j%Wl6!ukT=1NI}dczI5Yca0Ia-gxp`Ot_cfS~^%dS-Na_~g za0DvRkmE1#BEeq`p^FG~z$;km2QL#grkr{vhQZ~$EPUbArcEVRAf*MJ4$8uSfWz9M z`;HiB1zCPVg-SPIwhP-7b+hKb1V2q z`7WsvR-@dv$e}}O`Tfo|Ve(u8g)t7#ZPuBUs+GDe6 zj*~%gog3qkO;v9%6-AByCCk|MNruU|d8Bfm5zUa%dzN^;PFs33*Dt;kIsf)Kqcbr~5a~thmq0PaY?hAB}ZRebmzKVT&Sc)OlpFrxE|` zh(;G*WbzF}XQNR?L%m!<{bY1%^x}j!;QUlLPDMkvI$XWDn78V3w#kbsA!(Hp&nh{^ z@`Sv&aq9UJZ7*K8uZlDUl(E8v6Cl=cKN*woiVG*2W#$qx=7~GZM!|j_)F&qFC`24s zD3zB%UQ#WcgJRihrEk|GDZ{LRQ58Xy(X(Z5=;imc;;drrTEeRR;6hq za(_SC=h978==k%q7#qQw7M;UfFW(IAg8*ZCj}J-3X}k8RPcZnim_AK41Xpl^1Ux#1h9d{yHrePzXG)Z znhM4Wd0J9M-jAyyx9=t_DttU@HI6oodCWe+?a`nmbBV-c~3N*6Bg+jbq78t&;585INak0|7^PnrUrmiZqJeo7e2j1geb za7+(ILDqLL8}_t=Q(@dmQLVKue-BSEeU+O&d-@8lc~bf$f`nI93NsW0a=uY_z)LS9 zHD&iCYV*?8arOS^j<59%rO@woQ{Ruc%@6nk?0BPxi?t&5r z()ZY?Vq31G#&4E}N`>DddrAIa7$b7YRNS%6^@h+k&mo@GjHb2~m*u`)72eUy$WC1C@1_K0LlXzK| zav-at7#v`0`3@v?-omz}PQ%rgX+n$x3>5DHBK=O-ezyiWU;MVkxy|7GxX9B@t~c0^ z*KV#|o($l{JMD)~A3RQuBfr6s#9DkYXor`YSES0DSM-H8^40NeVws+cO+D21`|szs zqtWIdFL~%8Ux_Csgro@086gvo-^(2NF&gQkWCaqrqJS<^PhAuQ9^OH>WM%I?E_lT-JWs7`TKuuieUid77$@t`uVyCM4ldU{UA zL~80;#nzUarjX9Hp1kgcaC(y%b-kTZ*c=dx-Vkj6S&ZlZi)mi}{||`wV3xBnsn(de zlHMSDz-iQwJqNi#dISzEDA|p8Kzt}*b`LKts?I-y!(mbA8yp-T`opjJSu|qRmsZj% z@AdbCW#XyI!@_&f+gLAD9=~~+k@7(Z4OXTXPh5=I+-+s4zfgE+iC_e(Q39o&vQ}+O zt&PE-+!%OzAQm?^V6#M3{zSF6M=K=+c&M#g!8VA=yScEj;u*M9^Kp0 z0M}0f+Y=dDli0}giLLJ%Dm~22riuoWKKvJYxV;1kHZv%BBFdZ1=3ZxsOv zEO2(eUUd4w$rxp>oipOkR-VBjE(#cIRd~T=bK12?**@Bo4r4WdEUlVo*LY0aQi`4q zPzcVb_IP3gSshk`5$I# zx}MqW9_%{xwtO@vn9GD|y&&1VG~;{SwvE>h3C_HzE!3wgpYWCu<*^3iDt>yy(FvX{ zcuO`cMc4Esv~*YK=$>O?>An(B9mRQJio&v%c3W$b|N0pspJtsW7E*?77jG-@ZrG~4Pq7+a$S>GE*zrgR;S8(X zRZtsefT}2ZJzJ1ABHpNa{+~AKopt<0Mi3q<5Y*q$fJ@~ zf%NfMfI6@yBtI%p%jwB0o#PBe(%Bsc2=tA658{;_ys!g71ZkIAr8y^PIV{D4X;@0h zOai-};kTDSZNpkPqDBGXEwg_;o&MpMXtZ*#K;p)yKweJ_UNJz3l|gW^3Cy|Fo0Hiq zUJU~xg;Aiy^ueShyWO`z?ft5$NC9p1sS_A}&>b4uGQkW{njiAq@iF2D^N@&ytOsdD;>z%i^pwKtc~zrY zUp|$wTZKIVY=^iN#Q`s8>!=p*p^ZrLn$J4 zCc%GDzVZY0`5%P({Qtq3DNDm!){LP{mq7sW@|epyK+yvmKuCjcK)zThT?*)Hs7d^@ zrzf5-%X`o)z0-B)sOfXzaqg)^zW9h`}5HD|CYc1kSvB@Ou?Q|mwL6Gurt)Y^pII< zN5k1GYUu&O99kuD)3F5yyr$a-l#5RSvCLeG(Cxx_nBAd8eS8DF2LGFOMhd}ltk2Hq zhvjkGNZC2_g8DaYsQ<^n$Ug`y{UzMlZQuLZlDqNQy5bY-M5*9AHJKmr?Jj69$qTN*&XG$M~@G0qUvvxT$Z z)5h9&)ybmRt7g3v4&D1;kaxIsK~X88CCw}eK@SliKGam5#8J%g!1jKBFnzecTF{z3 zW`c}M?|d?7BCE_<(TB4n<4JQ(LWfoe)_^r#nGDEJpG-b58JvW>zV4RkA}#W(`D?$P zt@FQS5%|yP2ar}@(tCRN^&ACaF)?*R&Lm{8>MbqRXl*MSQ9gbU?(Apy>uxDfp&bC% z7`#5xd)U<+Xv&yD4;hx*dwh%A*q>j92q*oa2dtO5^;j(<(^46zDEN?KbqG`x*l>T6 z1S$#|%kfdSs6xzMIDS&{{O3!c{%PpoI@f-9^1Bzi)dc(gs7l_7>{^hyzh(xCtsFhe zS9>iv74D)|sH|WMG~ydSggzc@*$_~ft>jNps~vGc zVA~Sh0fF5CJpNebpT(YjwE1D5A9Lf!bMYVJ(LdINA8W#oHQ~pa@MBH*Q-02m_3(e` zdWay)-L%4;S!% z%tG*&Zdr>(k~31O&^&W+nJbRii>0Z`NZxs zYLR7_HzctiU7Ur+Y0v4el=RuMvY_*~`_p7H_og`}_%=;jKe};;$KyWFo%}!*l{+U} zaZ{4Fn7AFLxGl>vN8&i>&jTLKG}|9qen%(nDbEXAW-5Xivg{qSSbRxJe@p9>uDqtU zWRkwgSH6+ZakTH#^C4@|tMKu0P<7PTS4rFEX4}MAAp_^FC7wB)0wT=qztr#RzWihX zUctY1n)lBKb!fS`1+O~`bo$y5Dtdoy!}sSlfMJv!?Tnw9I@4a;@Y*hRw$8v&>HD;Q zG8AAGPE92vD^ug^!*X0az}fEq)D-vx`1dbkAn?~y{>9cmUH&(1&7*oB^g!<+t)Z=D zp1Gy1SzdJNfExO%py&4<-gL_Rm{WH%6;+l>qL z&(-eS(|{sc2YKVd}wE5Z)a<2 z>r5+%$@$Rkg`MLwdqZPW&PS%Mmd2(kG7tV@f=3Tk|2*0LG9h{YV$DC_SHVfKPpZ&p{{zHwo`>Nuu6*YKTVXK*as>%{z4Z2W4%xvGDTo3kV7cKYS!5Eh8%@|4da)T|-k#+t|d^%-q7#%E{Tq)y>_*GvHNV zQ1I)J(3se`_=Lo_Ny%B+?{hxn=H(ZZS5#J2*VNY4w|8`Qb@%l4^^cB?PfSjInVwl* zSzTM-*!;S+eQZn#}{oB-wK z9WGQt$){+B4!7vIU!oH|c=N8T4TGLX1xjq>ID$#Sz`K0+;JRx+_3Za`%>Q5N*RcPMERhD@+nAP z%fuy8aEbw>HLpr?N7_-AjP{1jGnKMYw!6&}b~Z&XvU-vnoLIWZP5ih&Nsuw%4#hR!)yL8+$$VO z2SjMOu+x~|lFPR|YO_Oj)2L%zb2)p6fmud-??w3c>cso{+8r+Dyw7HzgfXaeF2_7F zjP92~0c4+4s|NM9H0s61XQG1jwG7=XOL&c*8l}D6kh^1q6lvu9f`p*Lc8>VHr7Chx zR>78VU`c6?*0#2HvrGKiJqMH&`TdA-?o@pAUV49?U!tk}9a;w^>H`QSUy+vDM1+_q zIEKSoi zY$+6l9=$pth8RGmMBnB8wXoJ{n74yVi3PcIj$?A=)1sP$r=PY1lq&8>nlh0wtjx#9{Yr zhdx2_$*}p1&FaT$OWFnQlyMFX`!(~tEr3I7S=XHg@oEw03ba&$hhV(sD+l(SfeuTM z^IP5kS%!Zt7?uqFgIk4;0r&dt>4nk>B&d16HPv=9|$GJ0=YD+4oeAWbT~;-2nHGf`iv%_nw7Jz zKS_*X`2)&eECw(QYBa!8769r6SOb6x%1l6iVIA_b4o3Y_tCh-@o8H0`J9N#XjeN@# z-|jiB&*^CDC{Qd#+xNex#FrT-=feY9j5QBlz5~W)#^-}+b-?h)>Zrl^ifX=o_$Pb& zY(P3@*P=uNLEQ5a*vBgZl!};3SD+P(zq2_Y9{eviv&v}!W|8jVg66Edbvuv#^v;E1 zqbtcE14Z{U&%pjY%J5?u59sOGE)RPpwNGHB?~CHJvJ#CA|3B`_Ub;a|GUDT4ECA;95MMYDZ zKse*+$E-f~`mK`<*@=p(B-b_M0DsS=&uHdSjsi4zjc7I~v2*S+?FSDD6Fq1YYt*Cw zMK}!*(n9t}C}+p+gCU9rJS=yW?NQT3%FmXQdy&lrZT2#wej+Ta(XeMWH#1)4R8<5q zvu93JX|9Aa07Smqq#zc6u^I#-p8EXnnBVe8+!GpW4zLU-_K+saLwXWQv6G9-f#^g; zwnBUll3Mu3UJy#6SCDb#++2e-R!^$v>l+X}0@P5@W~dk~iC6DL917Glg2< zJ4B5MEh&W>cTCNc230D2oAw>q(AE7S#TFHoBr(7Zh(ypxuq=kah2Fs+t2ONzJ5&^k zW1;|^tihj1_p8Tk<}0yRnaH+cC8%-(kH29Jzeb3RE{_zyDEa=*$hL}zwfVaohUwA$ zOt>F0nc33GmX-mu?08UWwGmqCcPwV!v7>`v1i$c~>(7QQhYW2#8^V}<(>r9HFZx;u9RcOy`oI?pP@eNzhhmPidjFtadbLvnx|fEwWkD;9+BniZ==` z>Y+!A?`cxtjI!n3MZE%P9H0f4@_uu0qH0b;Ph!k?dW24}jCNjaoRL*MU9t4E=fB!ELISs^L7!)Ik);`Q1E&dX~4i${4{8=CmLQrlSfDXNdR^otMB3*k<%2d)0O7rMaf z(zZ~7;=Cr(wla-JDs;bN$`O{UDsAyyL~c~$K{kiBK0e)==`a~RSst8zwc+B@lO3xo zovaQzSs}{lU~_9;#)Y{34pOyzsx6s{>dUqwJCb?HWk^K5Oo+?3GMmhKitqAR3fiTj6rJ?d$Jah^k zGaLmzA)jjbE|G~R71e$28Vmi_A>8Px`zB3ga>DtNy6vHm2Oaju?)%x8b(jsk6>W19 zY%~$od#o`X$)M6=*cC|4Mnh$+@zyd$3*qpGe%gk_-RK!f%35q=ygLK;v3UY}#H@8% zeR-q0RII9j`h&Kx3NQ!dqrop@Ng%V5{mYg zz@Rx!5c}xL%Kg{vP7Jf<6b>^)xWa4W1{Fu`fYO!kT{)Y4EA5=hRmB*r-ABGBTcY_g zZ*eDX^0Y_aSLNWD^b^LLc0$N11wnSdU4i6tvRC)vV^J5B-xn|QWp?TSo-d{j@X7HN zC`V=F;1prma~bJrhZxVqP3%JOq{xj2MP*vJ`O;3!;8muGc&HGCibfZ+mAGay;0wnF zyzEl@40nQfPkEZ=x_3HdKDY0ZT&1@c+-Mx@uBVEx=}vRq z?9%5wzzeLr)SsMG6yNB0dO<{ZJNo?_*)`%hydk8X^X_lSqfnBFWi4@L%TbGT{sTx@ z6%O}|W%!ttrI+!G7(7msNFu|GJT;+D05@JH-c#sinDeE1YxTlCGaYNvN`Ys=_P)cF zG+dhJ@6^$9=lKFiJGJnFoUyv0muvNVb+?qvv$cCmnG%E+_S)2lSnn`Ev7Vc^+*}dl zV1qv_@aC%0>M9MXVm?NvWJL+>elYC$(y#R_+aB#w;C9bbfo>e!1-wvU)chh?ln!(% z`0i+iqQX4O*O}K$S`P!?Kp$l1_t2VjHy%h(Ee18Z4(^8rfM?m)x3N>BB7q1{&tQz#W7W%lg2R%VHynwoWGw*@zJK~ka zhjt_tRL4y%aoi@Uf$dBp!h0f6;pA`4Q~=4FD-0M$`>4yiR-o^ASO!+fk%87OrIs{b zX5vyBXK5;nd*XxcK-?SoRZ&+zILy>ufg-t@a)X@r33bl000{;4^H`7Pgr9?^xuZR! z*yj$0iRZUG<0DHAiBICaYFCe) z*)aE!X{hApPWlO!MkKPkEe+UU_DdhZ6+BQADWtg{IZ}<*e123;ISxk8jOwWG32USv z_i#Nog*KKc*4~(0vqSPpkYu@du0a3|dzRQO&IauY+)o~Zkt!xHz<8>~=QL;YuRt%( z9Asys6cKgZ``zrG;9zmCF>h#0mgM*L6Xh!BBeE#eX1ctV`bUqymvX}}0Lr@x1hIG^u;v)R@6gN|v;eA+iNG5d z(X7~T3SV@y<(Z`_oDnCoU|-G%*2YcZ_Z?4Y*NM?Avt0WWL#V{GX;ymwGNTcB8B74j z8Znt{6v@%k)5{rmoEIzbSo6tJLs5uW0ya#P;n>cZSo+ieU}^!k8v(Y0>Jb7v$V$La zyz<*}zu*`WA3g=UZFrl|G&#Qn5g!?}D#6q;p!wf9Ve-IP(GfEMDm??PY#um?IzF^nY}vSIa7h2MYap*N z2tfibt3%pzKesFc;y|zHFRXz`rv+m4f^!Bu)mP(t1xnf5?e;RR0=Z^jCn^BDq{0&9Qt96boSm29}FN#8^=&uI^XXgDANwm=0sA zbAYJ>V+-|LC$sEzk{y2E9eLgRt1+3InT5U>le~7p2$qBDuoWBmMR^+;?L@7L!;jB5o+l?UIeFkaUKiKrT{5>;DQ zWmHFx;!C@pfc6E!+ObBX$!cnLZ+4LT)>ZbIy5;l`38;@os!QZo^`enc;XRzJ5rr&Ynl1Dw96a$KS#WQ781Ukq zYG-oXZBz^S_3Ax(M@QF>l!uCrVB$@fVKL0@h>Mh10`ifSznqIES3R!#9;(ks^aXx}4Y9r&{B`Y?CeuayTm+@EL1+73Yi zzQ!~h0Zao2&>3GT1SB8?i#fYna4W;mi=0adVbb0GY-g?~Qzs+RNernhACVbij~TN+lo6B?3MOBpPdWpqe6U1wlzL40N?Sq-M<64K%%GW<#IF^MNedw^)88! zx~zP8VNL~GhniODccBrx;cxNmoFFy~HvZ`S75MfN^mCYHoSmFE6mQ80gu%HOUfl1W zNkt*}D!u*9A`?%%`~a`Lkc!_&ct8UxR-Iv=!&nuxF+%r7Nx2snU3{fjZj~lzR8MoR zZv~82HRxwr8DCl)HXEc@L@!eAmj_xULnfXbk)RBmifTl5LvC|h8OBn=3g>m)R^KTS zYkNUn`rf@~xjM{@ofksj4pyo{d4p4YTq%no#ANmHr*EoftQrJsyUjo<;0ntWN|m3c zc+N;qM$XEs1!TY2B}JlY9y&agS{V*<&|aMBDuwZ}SE0oy^d_8qxjWE%f0uSXm`#vj zQ|wzkFg9OAU7+V!w|6oRlmh80BDpXnk|XN7^H6UD;`n7cM7}MUkqJeRS+pBwe?wq@ zyj2%tP`;quwZXMLSQ(z-q&H85)Mh2l1T)BAm|d{a-t;f!*gaYsc5t(%@5ys)Gl;|U+0JHy zppzr>8C&|6YAvQO_fx*`>sTzAXKv{g1Jlyd7nt4eHKmMm+!NdR`Jb8()Ks@rhw}C@ zEUWpEF=XWP{qpmrC(xCF{k@@ZwwT8NYLhm2be5EbhKD^Px*25&GR4k%( z0O`H?xPgHoq|YI3@UD)!XnxkCK&85P*Ri!)Oq}oYzqBC?0~P;J0sS zW<-M8V+Bm%4NgEVU@TtR)zKQuiqR8e94}T^60m*1P^6St8%WXMB6bb623`P=`R76a z?&fgbKPZJ{`H5<@FH$T%owBiU+2!f*%n)k!OM7+SNmNJcd+)e#`7`^0|lCq&Jms~T0-HX5Dj_|4U} zWx9(x^1)Cux&}a8E@TuAd@5U|W5Wu3T2`(9M#n(sQ2zQHq>ay zf!ehSK^G5RWgUqK zhVuSp72c;YpOio#42I7rl^RrT2O@2*2f<;TuB1CpM4PxGz6V@pQzCugwCOZvz+MZV z3R`J;Mg2&+xj9hN&!l#=WH|yn<3UZEmz{4$i_}M}?pTQ4tOcy4rYzr=&P;*zWNt*W zo+(UJs8mm^B)D{oJih-9pmx?2X|9TLqm%Vkcr?!*t5qf6?*=aa&1)%siNrGz9`1_* zFshDcoZB{*{9%CY-wAyGF*&WQ{mq>Eu&~y2^N7Z~Hka9!7gdwr6T)A(CnEGG60yq* zUQ+N=zWAc$Kn(IMCLV^7cAbMGMFs4NVZN(Fm5J-lFED7Mk?Ms=VVWimpZ(Dp@RcMb zZmLc2u~N~s>+GtZ%mCh-M=}sJ3}w_V*4n&C zq_j~$mI<+`m`IC@IJu@Xwp|5h8NSar!AU&5Qb7j8gJd#Z5}FBj2mjYU@3Uj#@D<` z=X>+;dH7OfW5!VBcSSp0=x$$z!gz_D8kDhWqGYpPPL*<*YCf59TL3%iZGy}l2ldeH z4r2g(|2kMYu=i!R-Api*C@xR2lp}+$Ld>oW0UmQX%Pwm$*eSNG)nN@$P6@xq_W3@z z>1ogoB?yZSRriGN$Q%0pU?w(VzZRa`i_D@r>R!70cSpR@2G~QdSaI1R8_Z`)Hk`w#sI8}bv!G5llX4e0=HN!HrP{^q@d`g7k^4e)jl+)*P-5ZSFdcyiZd@d^L|BzU)ippGIv)+v>+dGMwgh3*655K7L4`T1m`YO%;K|3>$lG@h+cPTVU=}8 zbw4O^rx)za#Lf8a>kdV&sHb@>HR0)xvX%0Y9apS>v4rm4Qeei`!(g)7LB9wQq)>Y1 zX9A6iaKU+~5hi_L5LDtVP&AA+r_+A=bY9B-wS-ShPxt!Vdw;ZrRbC{w-@fK@quBB+ zO*jduKHY&$*ThM%lM}D7Ya-5g^eh9Hd@q9?`%5>o2h&W*e!^C<@6p> zd?dQhWVbK=bvA9$E!8-`lyKaa**&|nnGvQ|4D;&X>BE6*1M(n@C1p8l`5TXVKlOtS zCs1A{c^qLzN-`kNLY7IdlbF4L%yt-%*iI4!lRAc+Q^V!1K)$^D2&oct9W8H<111${ zhm~8kCvJc>N)1%&v7uMp=3H+S-EutfEkP8M8Zj0Hr9fAG!t~LjPqpSQ0Dvo{2EpY~`naO~q zutn@G(z2mumdJj@r$q+b8s!=imFG(#7)%al(yg*<%4j7(IO=b&Ljw)l1{_H-SS$i= zxd;!_LyZ-i7>YL+e`kI|F>NT5J~c>qMo4@!)_u7;H9QiRM~i$voHvd7tCnc2{4l7ZMe_yUVD5~eT=TFCO(L&O993jXdxs!>8ET`(NxJwwyWsS@$s&a|A{~>3 zwgt3Cr=$pzH`%PINR-bT?74N28E!~|zL)-NB4qz;f?A!1SL(FjTP#jbdKS%SEAX1F zSP-2>fnGFPwE71IQ*_G?%OB|}wI$Ht!F84~8gC@J)_8s>Ub7-8_Tn9xo4q~%A%0@I z*>vW;0A;kuXEY%8`ZGiQ-Mnx=?KHrv@!zQoD~u9WZGk1?R+NFK|ZFV54|OXJ?7n0_bhtw7&#I}rJ%K0UT0uAI>KydrN6rbsv`v_`sqoFhB2 zbC-FX>18sRnS$(>Pwx^b+n!)6{sVAD z`ik5;h1dMD42o;yLEv?l}@_R9h)7D zt{fP{#9zN74a3F8IjSHan6=9I-J?=F-T~{7wHZ?HD8dRr1-_5Tk4q1r&IdsCwFGwo zL~FId`5o4R%s<8f`|V<;KS1g0r>@i)1Z5m@=tI6(13SyHh%P{M!2k|HWr_H(0L-W;wr z=T0Bn$Ciafx3zAM)|uNiLLficiO-GKnF_;*wvkHUB(ocrp%cJKHf?t^&B+9ZA16;_ z;YH=hRguPv9Dj;y|X-sy2b7?jO{+4 zSm#X0rjhJz-*ATk6)6Xe48{D*RLzJ4O`0nL$c1>tvx2ix46XK2Q2M-Jk|2V$Iai*i zZ=6K@+U4eZOgT0rG<#d?T>vGaA*h6eP*dnB$lEdQvEAJb`^c>$EA#MjT~Nf}HZAol z?x_2d2r`&}*5$jgM~%?qa8G%drhb?XAAiUuR(Z;BoCcF6eTJQ+zKp=jl#Xck+-?NX zxUF-Nx4??mJU;tKwwEmLo$Ly>wrm{iPfx<^?CykGKJF)BLb*}o|4iANi{1o_Bo2h(0OLG+Cw`YyvZ&cJ4h zDR8*$Y`8h4S;IFG9WPdsnsR?uFXy2zSrVajLV(s+A7dk2jfFSPCv9)kbJom5bLO@u zS5&!UgWNZpj9e9~pqcH3Z-Wj_P3wGlt zG4VpfXEw0Z?|Jt|(iGtQaII-I<|-e4oym$YW^uW=C_E_<{V<}QDZ%N*g z!?cQ(W#mfb3gu<%$9nJs*nXq5iktfAzWi*P!l)&;rCcb8?CfhR@YSDS0-CF>#NNyoK&Cr27gY+ zIM5ZI3V5b5zFu8fTO||qYyf?=CV}gs`z(Z&4Ed8vkGKv!b*0n+R-2Rjn}>ipC2k-6 zM>VPO<+~wYLGyj3N$`8ca+$NN+QjeQiqVe+UIWCi3`*xcXE947bZf7xudF>6u4($#3E= zwg4}iW;PRE}0P;5+TC}rbSyIAVSeq5$*-*&_qF#$;?X*2^pfX=}YkM`e_Ga

    %*>5W~s)U7QE?j3)lfGuEP9u@c3G0{%V0hheC+_uq zNfdl3AVi9>@dnUcyY~Frot|ESR*8`My@B0X%g?!%32`eq>I!r_6E77GUJmmyUy5oUe5NtFUloP7 zmFV^@Uvqk&!zjT+RiO&qsVrV;URp4bhUj-i8x%PvVOc`zKfC& z-=ik)S|@!NbCI<%NuFOyP`g?Ut=;nUNEx_GnsZ z2>0O%{7D`znbs#CA9^_%+m4ClbW*g7zRoO@mhN7{#q{$GjhR}h$_*>AdEram!BNH* zd$X=NdDNo##p-R2PeX-uP+wKb7#XWA@NWz&?z81Zc%ofc#wq$0XjHwFxxv^vYx}%1 z|IWuzUv{}X{odCGeJ{D{ddV2DBLkXzZvKL)Ab&fAAZKCIZXm;9uh+?vs=L(eyrp@YZ6!N(Ly-WS1@n4jlb7?KR1tA+Fpa7>AM&prFi z35nS~)k7W`Qm|D6$R%cPE3Es3X_o~L;~kkPPL4uzv1%y3c%Pw#ZbFtZV1S5-l+zW6 zz0d%`QvW-KA#?P3ef4P3(m1F1ycZF3?7YVVPH~A$o*hb#)!3JmmZ6h>PEgcb6Ur=mYE6UPJ<0cSCAo8U#aL=MB zY$0OIUTJ!oUH0m3M1L2^73q1fv$0h|8{1r2n-NI4IplYYf@8*i%v2Dkg8=zBz+`uT zT;A3G9gn*pG$Z`GU7$7!0&~K~g<+!dBBktuwxlU<*BW@1R|-UMFR`Cb-q!#P7@q<-yPjYW+I~M7#3BMtf ztD3Uj`6iY7YAIoxVxM4cpu~zxcz~cznSJ*?8f_cs3_pLqT6&QgTeY{{j}9?2$+vh$ zY-96oY&LF^54(}O)XX2{`DlI{MN-ynmanGI28%h{6Ziq*2Z7PK?ej*h!~J6tEru}K z{KNPMJ-DiYcK2?%BfG8+I{z)DIa=}+$ZQePAqTO%4BfmCKoD?N_>}hBF%4dr?Q{Ba zHQ0$br8?>Bc^JA`f3U7M%e8j6VdX16ZiiF!weO^m%eGUY@)Gu{Sq`T^czVdeKyfPC z+X{ecd)ix$mx0;;bwGG&kVV*CxLhyejM~geZM0QA&TSnM8T{JaT48)goI$q6{?iHn zj9gVs1B-mUM_ast^8yk*S>J5>aVZorxiW6Su8$V)Hd3UI@0mZJsAyH8En4 zHrd@@U|BqB;C7Y~r}4>LpIaq#;_j)-S!(XIEqK}5r?fY5C*w=AM%u@n#m!CIy`&yM zEIzb#3eI;~;hsn{t34m|rPEg}Vk#~eC9U)M(GbrLtAOBCoSIC3OpQ-?n(WG5VztBf zF-@gqE&7(ryH=|miRpK0>Y=BvIebS7=X876i{Ch#7=dVGcut+pG$c)zTFMtB9e@>- zDdfZ9do9uY(o_1SO>3OSfX|`Z-!#W_B;~OdRgQHi+N-d+!Uo~q>tJ`gWJUQD>KITq-`pLRpGeW(5=iH*DB$;|hMp~%)?nswaRie2M zaeTdpho!M$qnc%r`?D@QEG5#{C0}&o)n+eB=$#{8_T3;{t_Uv#Q@CkNE#inGEjJjT zxa)iEV_4#U!}u^uww9t8VXoDfv)C9vMBPJFHgU`rf{E=Sf= zYA=tnQ@5FtS*J*@*TF0l``#0$f-l(Y`=164E{;7a-g))oKc`aTrzHg&cap1X636kXds9_% znBG+0v7!Vb{Qma~K>vRgp8no-{~Hvhu-WtwGOs?&m(*02+IstSvc#D;Hpbk(r-Bt= zP9lImTwVx+>Hnl4@E$+V(F3)J&j$7}WaR0kF}= z5N89(Hela;{R7m#bS&Zt1)v`qKoX%H{0T@Q2wfXzxK1S1vGo^vqv1wMw)Ca4Z1>v8 z-pHNbDER@R_P~5P#i&g55`@I7$(Lltlh}GFsr^IaKZSN+EgzgyqQL+sa~k@SlL>-* zToU>-12^ITMymlSZifeOzB|;sz{ve$LjsdW32iY^z)zV^u7v_eLxAJ(8XK6_T@)}a zoX^0tgrNU(P>oY`5QgFh2oL&gX5|M6O?Mx_ivB!0{bptUGQzJnE_v|-bauxFqqvz` zpudx(xoDg0ewq|-{TzA76*dhJ1mk}aUGEKfe_bN9u{qfcXo*LxLrTmTbe1wmx^C;J+n@QL8_3yJEW7$7N7)R%!8{DFF zC0fd;r3SBw>!`5y5lc;)mv<)KN*)4Y;9MO-8@fW@GT8#9v19UUbh%70H{g^7H*!LY z>y|6{%*!R#_=1dalk;b{b;$Im6*dfeA@#wkhx1Xh|~89o}Q6g!U`I)h}D2-{VX($Naw*WlePw z@MdzQqK#XWHmXwdj8{@jVB+};GAg2g_oJl8*f=ztEz}rcnHlP|Xj;ylmOMqKxR>!_ zo=w}F&;78MZtrf!jSz0U9-2kwsw4|@q~_vWJ6rPgl>t7jmoNOE1lp;StB?e*HiF5F zdwHj4zpB!Q=O{w&IFYmQ(MfumrMa=DuGE`NH5q!X(kpLVkn1a1K!n2jw|sjPE%ERm z_XJ~l@=c3QkiM-v_84e%Q@GL6)7`y#86)&k=Gx%8o)zKoOhI|Eo~|CcSn0>OlE=mW>w*|3T7(zn z(aress@ft{&!@IrGU*H#I>$Fmu}5cpT@0b=2a{XZ;EO;HE|ZA6nke7;(4sy=(Og9= zNs;|jIGH0f8+x@i0;hWw^?Dir(fgG4p0mY$UYWJ5vKt**S ztJLR0@!05H@g_MC+zeh8=LWpZ_!XGp3yztk(k0F>3(<|>NOx~tpDn$VmO-d(8OrJO z@LUYYNfw0D3~_O^R?{&rH5^W~tY z7S;K^0C3`qCDIehQ5jOh9dg&&kkv=tah%Kk99QaX#^ZB%&2pYZrz~lMcWYN)d@B+T zO`fQ-%M~|R(tc7lUsAiOiE>*m5n!gEt_oB|Eg4pgE$fdfkIGjnA+xJMbPoxFoMX97 z!907H^`_Ej5PUvVcWk{*4Pld1!Zk`hEfL&?p=Ny8Gq$hgNzwJT>--6JIG9HH9GSQd4}}LgfpzoNf#AW*P@g>T&`6Y%UsD= zwFX?0?QOp7JqGf_cy$or26yWU3Gm8{Am}j^ke>yVO?rUl4duZ2wfJRNqw&O$!*)9` zCmFI?qfQrl(SWSF;I6nqdIL^2Y(U(CC8&?Jx!Cw}(8uub3Cf>&rT5(5%K6Oc2S{Kk z+@D1y2L+Sj2S|@Q9$MQUFckJI^Lv(eKZjsx%4&7b1i%872Rxy@1|fMOC3&VOJ4exO z9UVm^H;-yxy3^Yvq6)JfB2aEzFqgyxG4{nnVk8_`10W**+j4MBz!!(^&lFtda4^Ui!IJ!pNBj$$nH%-A(X2+_3MaJFz+CF*T%@p~vLA`mw2MbH2K3QU2x=~>hZ z&H|Jlf=CsFwZeQ2{*k$~X6t{fXHV$dyQfMASr@ludMUhv22wP>at zIz z#N=D61B4eZx5(nr<8#haiA)ky#h?ycxHWup&em@7|PsO!j%fz zDe-o8IQ01P;p$LvZey_Zg=EcU6kp%fWtTt!{`S=-E7W5tZGboT;JstDw6QQt8)J^T z^VZ|6n1H^hcVa(4`Y}pW2ZUD&v_W@hLGER|{2im6w+327hnVk3w_H>Kxs(z6%w}t) z2RaT4JOXpQn74z#<8~KLiXktMA^-kl+jKe^skT+@?jhrt8;8L>s;@H@0<)l70DKB? z6oUXM*z07&$Ws>-vthjUnK8_%*o4|_JV&~N-&DRYH_GxUoJ|}!hsUs?f&F;&-8P!& z+aHP#6zSqJswJXbZ;W`!6)uCkczX65*TQogm_*qGGP2@=l+d?sv|i$8`~-HK1Hg`3 zx+(e-K!-JRP5S<&LPmIYm%nTLjy~_^+zb=tVIqB6e5Ep$7VfeK0M?Q$T68<0u=glj z60fa(XA95GC~)jht9l*~%Na%1=mHx5nfLg|nn8I|se0#53g9HkX5ssCEL1!}ms)9M z2kdu(UhY4`7uLnx^Yg&u`C$J58I`)>0+5;I(5uifzk#L0pDqC zG#KL;I}xK^9eshF&F;z)a`jo!6)a+WmH@e{*e3L=(j=!H=PCMjBvaIt@mysQueO#c zHtIkCpiTuFtBotmY!n>1O8ikXp?kyt9PWN}d~ka1@6#>LuqGOlRYrs08E(z@A2xj` zq^aQh9=`IgZgrYQYU>}&MUzx+#o*^Wa*9`BU}a$sAQHUO&q91C+n<;5JW%@3sYkxB zFv;3nR$H5ntLx2`02Ou+f$$D9sTBFSZ``f{+WxX62ihi?|_H|+g!hZ-TQQ>@yUZ@6KE2qv6Zw*!dGy`$DT8YVn=|&*^kRN zoQFBNorOHIx1({!;4#tAe;I@5=mY*aL=FJt>#z*7P^8y8~P zp7SwIA64?xOISk&k34p3YgY!*0`@^UrbXS?Yj5N?^1Z=od#e^rB^ z#QMg$n5t`CTSEgv7jT%rcAltt?y(1L|9WcCH$#l)F17bX@$37!L-fKq42IJC5O7nc zPV{jZrTjc;A~3d;n{gfEMJ7Dj~}c!`0(3@1HALZ=ozd-4!8%O5}a+}ix;>N8l+-{KQZuEO{kL}&Fn zApmqv2pseX%GzSjPJUK5@PRZbYN~F;K#8wYL0_>X`BK^xT`YcU;}qI1RR-VyJCPb6zg1jmg}xDyTU zeQH{6d4(>SUPok{h@>JCR-BS888hA&&CSdzrN1%UuI!17SLrC0C5~4gpDNT;TYVv* zo@IWDO;@Wbm0Oznw<>f$F0B#@7(+=> z9LfG)qhH7nd{?CXV2!&rce##!UZ z+#I}I!3#C7G+9o?PhBgOT5I1pT~PWojp=9LRj0uJdi-xoqn8{ zfx&{?!1oBIvl-kR-!on?!>bisD0Mv=nH2k;NtSO}nTxo7T}s|On1Vps2c~ehCsxA@ zUme!HN#=+ts>N<~!BA+*QfdG01zXw?1UvJ0x^zdPxin?37a7s# z>qgvk!W&qXH_DJGohP{*M*TY*9???`9FO0Q#8C3WDc$5wa2+#eIKfNLoyHfEr{EP~ zN-XW)?mr~Iom59RCDwm%eL(+DE)Ug-&((=y^4=$7LKhczk(Y&w-_PRUaowf4_Ig%u3(FGtUvU+%ag$L^D4 zB^x+Y*7Q+mRVNMQJG=_(Ds1fQ`#QhICavHnsA)VW=VdQkvd*vWC?WK+`yMW!qDJ^x z>be|DkO{S5D7-Fth}rqf@f4aEo6-xfA)-E8*;gHe0R1XXW+_dRS`T<{y9e3p$j&5c zViMmWezmC3%LH~D+A_b~H5P{F#1^})_{VfYYH~t)JPA+jy>N0&c9LD%ZqK^Yv3W5X zi(1?pXp0KWWzdb|p-R7;EnLims}mYgXoB4~>@!&F`E0!%hvGjHPZ?C_B0rHQM17Fpe7Nv<(cnhp({T+eZp9ak%eP((5}WapGY)^9gnV|IH;WXCdd;?+Hk z7>SxMF_*C@@x?ta`kMj!z3K16X2vA_O;cNqn_Gz}HLl+K-A+OF+wj>jL5XGj+kx;n zf45DjDS)(fn?*{8Ar3rPXBv;Y@z;tR`VN4aR8acAvnPV&f4fuM_-%H<4eVzNza8l1 z@3+x$4V-^24-k9)xjaB_`p>85U&8P|U#|a|kNtD+`RCUBFA45HBiFxV2>)#YJ08s% zUbDpTt3OUjlk2lTix5b+t$!)Skd*&6Z6WdKF$E+vDKMQ{(Cvo9hC)#AZ|fUnsf9m4 z!-=sNT<{OjjS|8;e+cG$_{ZRBSBfJECb(zPgWEzhBBx8gpE9=vqlZ`QiW)66f__5vNO!N5a{R2e0VIJ_8YR_M_KmUuUe9-ktk_KQP@z#@-kB) z!J_@&reBe8svx@e|4{gb2KuT9|Do}n;TZUb$TxkKq8tNRN-a>bP%QfalIH-Jn;3w( z+5gm+0|2<|FE3qOnSp+PU1&-v1+`t4TUn~6mv?j2p{Ew5VdcF|4Q|fNC=7D)#%g+g z9$*X{u>Y>TQZ1Kox}e58h5Q{6U9ERo>+BRowQte6@9_3(t-kx{G9z4i)mGF)Gj2|z z!6NBCpSF3gzLaD0ilmn+|D;bv%O`2d^4wke4)zlad9*3!wK~9*zWj;B|A`>^_2L^z z2W!F+{VqNqQ8Z6Ngt(Ea1pC=3T9TZ~gn`~Jz0K||*ANP^5ly^~r3PFzaAjIms)0Qp z4|$yjFTANNSuO=-DFNX0FaA)msE5MPb22HFA0X)Q0p?*zI-BvRaE{o>i7L?%wnOq> zEdg)RVsup+vxQN9CvW%-NDM?u&JiM$k$l5FN4n~Ir+YC+#%aJK{O`NDgPJp-^x(Db2w$tA)oa?B^Na@}Vn54d8l5t+z`bm&G zJ)$)U-v|<~)IM_~P{4T}t3T`NZ`S57TK4tfzV{KFo#jS$8F#;-B@1lI{STFoRW?O+ z5X4F56+AP_w0%ZQ0PwiE51=*DJz$fz3zqXdD3h9*i?kA1le-MzYmsM%Q zCggjpE~WE0VJ+I<5w8cC5|jR{g$LfU8B9ZB?cxT_?>0OefMvMtmHj)oBt{s^&I{ZI$K)QwJ7qfiWhGl6=VFk z#~vqmz1FoM)^Y5$wceV;N_tHi)?@(NKk~>lEzYe;Po~2g0O-=<%?5Z$ch`!c>X=aD zsMW0+rwHTT+YiXIU*v2sti_oGEoYJ&sjWE5Ty)R&2B&OdzR%66=NePkOOng?(u<$1 z4}5Opcq6+l3t*!DfnOy+!TI0+oTI0Yc96+8p&^r@TdI!olbm%)8rX%(uL7PC(6!UG z3uhaZ{TXErNXLJO009CI|7BkrzLq~Fs9%BiggG#&Wj{4_ehsnz(c1~0wD6+fET|=` zbK5uMtipgqH^Bsj|4vZ-zdUw!gTDj%p&yIIbW$Aec|cE`yQO?ODQ2RO$dOMRR|j-) z-a7-a6QO=;N?P5x@dIS|@&08IKG-d<+RXVzZDDfweXKw^F_uG#!oT?&-S7vV#(pk1 z#@8p)rEp>4S=J9m$oceI=VD=*N6;lJt%vZyR@nJQfAlDsO)}~b)Ng5>CyLk zW186VJ;xN(B%k^eJP^#AXdcRKF`7KO?cPuSY$Zfp>_C=5w};Y{VD>wZxCC>XnUc+5 zf80LzbI~A&Gc(HHcBf0#)=5Rg`br;IUU!Bogb&o84q+KLcrv*td21;E~uo z6TVi9UG3c+8st#odq00yaB)X;D#brT=mJvnh@GsAe*LS!bH5yyc$b)oNDp|CVgp>U zeno}%L^Efn)(YNJp_Hm+MgI2n-r19v$2S`?yoLZdNtP=rAQBbfqmr~Fezf2ePc^^K zCtE<{Id^nhhq~ee5&V>GAc!~dFg?dgtVd3Gz87xQA;)ge8YSgctp70hR%OfNLN=i0 z*M23QzejMf0S0aN=BZxMYmyeOG_;+>=+{uknE6;m1xC}8Hs~^F;6-mEB|?G`Q!6Ma z5z3>Zzo4_A^HxhVi&Z)`2$f8hwGx=v<1?AxZY+6!q-rU`Ab-Zu^`P7{^l8n7V-P%HU+2%u5K&^Nd%i(yq1mp`_izFz<5Z_;A zByGRJ6K&~~-rVSt`J)4lsd?iB*Y?Y%2ZoCx6wvFzyvucHX-)5YH4-@v$&9%5_`xXRg zNYyae_aN0N7SWnDTM}4}g4;H0#d6W929Xv8hGv)lMb)!SeilVOlI1SaXVQb zvg)B{46ZJ)J@ftYLZCMxq9_l22Ywk+>Gr8=HXYWznQ%MS138xohVe@tSjWAC@OT>S zw`qVFbPirgao8^p;oFMP%%*P?_8IWPh!Oj=wlw$0rLrqvwFGyn}DE<+=Dwq8}) zC;2g2n7Nr*^Hn#!TWOt`7m;Ns8<47u%j9z{G{GL1Vl*o#O~~JdeeeuirUp0&?sr*zB{nExstKW!n#w)HPfK<8HTbMPPdfUq+vNHiJO(jjEf!(6_*KO?t6e z)?bLN#^RzRAH#E9s&l7Kr>1Ty^kf&zS9gE8P^1*BHA@KK!&PVahL>*djhk5NKgOqT zhvYM!?p(oQsdQK-;b)PlE{JIu$&zea|)n!Kh8|>Wp{Ju?$#p*+iX?}OGdsQn0*Osldy6RdB;N-Y8jrktVx`GIaT3k&M#k9mnv9h;*Ijv7jkJM7_X zMrL}{7In|oGYz_@WSF-NhC7wfq;Af>DUaN1kb!y&S1)ef(OCavl*whiak8!JYn!`d z_w9SSil?b0O7_2tqxOd za|GLFPds}7xKl7Z>HS>5_-+{D!Up1dlwF~p3i_MMh77-Ck>zV(s##ABn@op5ub7g; zxoy6%@2DeZ)IIVuH&1fIIPo{gC6>Ht%X{epB3)4c)BIcw3mJj{jq*jvyaeLF4{T@`N5 z@1%F+>|SK5>DZne`F^-vxTm&J!%rTl!p;8t3PJzOrd54wqyeGLQl(P3)2;fLizgE% za~dtp_Y*VpdCb6fe}FRV_zEQNP-0D%#WwlaR2bQt5<;@e!-@Kk21C{JStR~?AwM~oP99Jh>CY<(!!qFBU zj0IyWwjA{}qAc__?o`i4#p3Q?QND@+j8LW|mzRKrE8cB25A;-wD;&-pu<9LVm-7%< z&P?X+@mk9&ql5=?1Y-nH^241Nn#m^KyxmX!Jj>%{Q5}eQG8VrKdzc%GWvs^ZPmi%m zV1qj5Ccv=noV(sN^D!&2lXye}VUOo-&hnO39*51SeW2`&B3rEW*#Zw zHgCd#n!2{pn44s9J23txL#7Dg6dQI?r!?gT@^+*;j7h)?^Yz0*JY6DjQ+v7Z6B%S( z$Q#ieG+Pk&hW$mLRP!tOw4l0A9O9+P`eP>xkZ$Iy$3H*}M5)*8l-+C~nBkW#7C6Cj zGS98CzbIUViPI0WM1an3M<9l;ZtE-(qIUgOOi5G2T#@h*V>^NWeRBOFFDMv5q8Jm(yU7qq@+G2ZzI~O60_q zjW{5m1og7pqcC6IRW*%S&tdJB3E_Y}-G@^a7wq`MCyO>ljChq^F7gy7C9P`t<30 z1t;vsWwfZfpHLE~-MX46i&c)uCUtMF^o(J>x)fzCX~d>16FdE@dxB{zu~fSaUlYtq zwkx*JyVGcD1QG@0B=D4G+e74*mjj@LpbcL<1kEEvYZzK3-zKgpDTS|=*^y(TULc%A z)A>}fJ!E(&Er#zgS1Z+eq;+bR1OyQVPuZ_$dzq2Uw!V$&#Yk)ViYmmeC3ojWYm+I) z6c+MNn!;hK749b(Rns z4jvpg%a%SHTlH1pWqF>)7)C&6&fka49^eJOj)JP9OjmjFffvA0krWpFl95v*_`ouq z=QW~^Tr4hiOXsO%2niO`_`$5T;+Ufhspr^}n)2F6&6W$=`g*;#c#YcYg&|J4AkdWn z>G+5NgnDRIE0v9|fk?AG5-@atq>{cH*`IBn+SI~7d&@*>H}OBY+V!Td0p69W6tFix zGvvLzp7_(V=}&i_;r+A`z9oKh#D1(Z8sGE_hPtq^Mi^hW zZ{c08jJwPxp>KV!?-sxe*0}@vMOpVZ0{;K?rHX&8Lu{c9SA9$ge}Js#cXl^yX()(n z4=UVem6vvAP58?B2i_B4>E~QEi{v&|!rWRSJB}6O z4Rmb&xZR+I^P7XO=1Q~Dg9(czOFyFfx344_#V~qY!M@vhx4j*NEK6$QLcY;(1%@cjd@u+5nH+kTgtaF%lh&lu3}i55nsl_wIso0 z!}*;Q1JCi_bY8V~a;_5Olo|O}zuPZ8;mNya?;^d`>FBg`Xlq@cX`BJ@+y|v%zO||H zO99-n1d|h4oPS5o_}73B=_w-eC~r%mq9kb;?qhA{IlkG1?M zS=7~*W$^Zt+1%}#l_@E++j?SrZ?G?Lp(IJzK1rj(sD^}yuaA%thj@C|Xg?eNarJb? zy>{6h0lMM0F#O))W@UeQz~hQy+9R14A}~D80Pkm5CVBdpn-kBzxn5J(ZBj9rQ?E|i z*ABa~7nf~LNsjx1L_h)Lwd0A`n{0opr52%c?n9@MlNS@~Xv;&&%$4J8BG^ZFTGH&X z(v$4B94*+H^1NbOb6fDf%g1?n?B=QwhTL}!A>th3yLD6Gd|&&@mXO6&FgEIvi$fuf zmn6b~@%3|=b(cgoJQk+v@BVaC$HNrglV)pzy%;w$U)0Au?K=-7WeIA>i<4zw4DGz8 zi=iMTLFE`D@{r|4+t*mG7~c8tDkok|F#wnfDk&$$zZ?rm=+FCDZtuuqw zcB^h=z4j5;(XuK_^1=0|WVKnt{+zAF(V|`6PI;@`)(*K zD0+Ss+?8cAF7%}wKY`%4h6sb1cPedO^u*~Il)S~i`4psh>zU|78tkYtxv>(DOfsok zqEWs~uEFC#_HcreL=*-Yy@q*OF3|!{4K*S=tsC~<&^$G~}UD97WC&UAmC;pW1p_W(6(W0FS-9ybK@v|zohcY08A&&K8^Dmtf zraqNImk&B?Q64#qvqpAXdi4!SBBI=o^~4ylc(Jvf%|4AZhSTC{e?i;t-6ydGo=XoZ zOiWHSM^ePtPOWqtNPR6Lj!_G@qS>Q^*a8OH)~|ct038{Yg-8UKEF7Yq^mvyB<=WAZ z8yRmS+9`MFdWY8=qra_h)b;g?VMp0Ahl=Q&1Iq0Ou8pzf)2PRs1!h%;r|*+&Ui-GJ z1oFqfaLJgcE=HC1DkH2zrKDY`22FNbZ7&3S3N6%G`3{=m@%yBnF?p{x0KpBqLiPg` znGe+dljmADi7w-iU`|ZxS&P->QpiHf8O&H4sAzRHs0X{Y`;{(oR}rt?=^>J;U+Wx{< z{wNQaG0q<}sh2H`@K~g14BB{Az1C}K?gz-?2T19#<_u5)2RGO4FQgsQo&x<#N+}}d zSl3JHua5GDv{jcnj0&^2B-hD8aj1gs%6q`q*e&whpK9P?<)E-Nm{=;u(YmXRKR{$W z>35SK*QCtSdoG*jdDKOb^0#q^i1m0|r%a&oR+v$b(FC7R5ZKbQ3rHd+Zo{il3$lXfw~ zL;WJAwA4`#{b!K8B0@~2GcKE6?_8pm*PQlFfx-ny(`YNw*EYw~;7GA@f`@d@&8M%p zgnO-1d2gS_U4Sn_&$7`c9_#z}p8*PtjvodXEYsA3KR^#|{Zvl;)2ZgCJ?vlGp?{B0 z|9|rT{|Q`teB<9y5(f9M3agIexk10w(uCH%f zmPzgc=$rO)O+fdAc`;#u&6pMo6xQkkl+Q{DL?KyW>O>4$!;!Rj$Z1p3^=&Vj3SGaU zWc2zgpL3cu%i6bFsFISZ7{?|~i*@oxP@9Pv_PIIllEym@ZdmWIzhzW+J`w16GOA6p z=r!TudyU3+GWzlfI@ahzQ|Z|1_(+dp(TeI15Ln!FZ?M3LZEk*h$`nK|=} z+bOD70G&klEqg%CTxIfCM&++!sP9oHeuet_C{}42&APFzCWKmaQ9n`Qd<^?Q9p0wA z>_7$y=SP$}K*O(WZ$;u%`~Zy*Xv=VTYF%`j!oz{=Y0!}wsUF5HtdB;THQcG)*KQMs zynDt#>MTp!!Zvb3dgZ&j#AR}r@Q@ZawThGQofG=byNy-;&)2X#lupM$FYuoZY^M-E~%B4F)5OEby8-V05Lha={ zI+Qnv8?&v#we=YI2u=fpTj5)sVwWEFFrv zDy%6gs1Y38WlAx)GJ}>Y_B6u{Eu7oo*PovI68^&6d9bf5QIhBxPS0cM-H&6ww@Pi9 zY1}f&wokq7zZ>kmfZE)bXq~n2WaaPOAUj-+CvrONEdhC{M@!uoFx;KZM05DxPVK&7 z*5J8^mZl%do1>byhR3lZW?&TzDqjmPX{%G-<6ZG}Wiij2y0zF0pyAM@*0V zGw+JF;G=AF>5h*Z;a~Iejg4#HZLr785Q-+bKQCVnkYxm#%|o7XyQvlIFEx{& zo!)$M2!I9C$2iA(m8PzmzK)GVi#V1z`F9u1_SJejerK4Q=eV~(I^e&Jyj|c~){)ZF zYPm9`Mc;T$vin?+?n9cQbuAa-ZHkP1O%L8Q96)>oPNC^#5)t5)=IE)2YQM*e>M-S- zqGLfmOcx>~g913_xD)L=xhLxuIb*&MuMfUlQ|nXg7fNAhA*K$$Ufj(WpjzmK9TQuR zm$^hl#9X_K@OTR=O0?SqXw<8ayx|%3k-Qo&mP6iI{>ZT1+PrI@Yk;rlRoWJXN$f*J zYmXy=@7+%ZI}*;(yuzmy?=8b3t-0-r2bAZZyRV7g^uqy}eiPcgfJ7`3jWpdCn%Yu@ zSJm3Ox!sJ*ZLzh8(H$iBz>%W(Cc-&!GIYDwc;rB+*K-@I!us`2d7x7@$cXV#h5k9)ToOBo8bH>`d zxFju*4_|!9!xXnFb!EkvzUxL=m~iytqbH+|bSn*Y3s+4Wp1d`(_8R`u72kWi5^VrS&JkC3iA8pltpB1w3C~$` z$Q+Q#GNmTshG-%}k$W!&DmzJHIcAEr6da-o1tphb9>jFUHc04Z>q-`&1n;*@ai3&X z2$vQSf8w1jUbkUSw*A`wkZkkjckK#38|*Jl_o0QiTR#<>GW&w_6l=AD$2LBHwyviC z%4$A}d&_^D-8#npGfLCh%lYyXaw+T_5{*1F^zVj1NM~d)9$s8COFg0%`wSi>MSwxO z#Nm#8(J%qZ-{<{8Zj!$1wEnugI9FGx3VXat$u*+R6y{JgutEM|)_e*0K9^`Q3p%;) z&*C~KL>>2M;i7UnQ#``=SSu#UZ5>7}-)E_$2&ICe<8j!$UE=eDUd5UCT}XE`Tu0vz z!54#huKCtZ+915J`k1}j*H2@x6IbfKps8~RH zhQtV!pfe@3biLjETeNnPZB_;-oYlbn3g3E-R`6LJ~A{~@7=|MdoR-FvbL&*&>D zL%;UUx2q?M9i*LLmF~PJ`DfonBP)Rnl7u4d?X#9%YO~m! zp_GJ`}~sNtS8t(XF1W)#AfnAqI1+7&%Rebx!BC%C+$R2wlD%Vqd_+h zHy1A-5vjS<_rx*t39n|JQk#>>f7q9NYL%(r?-e^#PP;k2kTc^5@Gj9sg2Vj!k#;#% z;6%rxgQGJ&ph$K^%J8#PR-I+RTOgpP1V^PBEMhjZG~ z5Bu-WpL5)ZdlJ;(o%JE&Uc|rpS&9GMn(YTv&Za z%mjCgGPcWgaHA}}0pN%1+6u^rSpoWe3x1gwib!dhsZ$5c^FwQM->fw;sWhzl$r4X+ zmo#aQPV{mJf;o&!cu*N_m~TW!-esVIB|wRvtru#V`Kj|-^HDc51KKPM)u}VOjDRJ- z&K~7y`Evbxg`I$jz^kT8tZEA9yRVKc35Hn%qTemW@e%?gQ_5DCXl$6SSJ3#gPdK`w3?-!|&z7*+?-5rrKfC7XUcL4jar)Yy zaYt??-Uq@oqp!||zs*pb+80OFvrI=vj~Ca77iWCXN&9RH4OuHAx6{c!k(4%3qn_A& zR7DMEy-p#GEm*nk26*I@wP#4dd!x_tRKZhhW)ZrWwPBz*A9A4mxpLfri>Hhw;v8L9{c0XB)qLNtXdK3n`B>V{ zSROg|xV)~pHAB)z)pJ(gGOniZ4q&1v%eg5zWY`5IYf^{X8;?~_d^@P-RC_2k!$s~^ zok3-pp`ZiidjrbWLubDfzuj!HmK?k~=}WgNeP6S+IsWUQVto>$u+gphbhfx8>$dql zlKCs{fmv%(-|(_+C4x);KrQ>Wxcz}yPJQ?XWcfONA6uZH4$x2j^BGDDHPwwYp$Pf1 zDCZ)S9Dl~Qr%{gE$K3S3FNXh!*y)-2&HGGuu=5HHOcL^K`K1iB0nozZ?gj2_y&!&Z z_F!xd_9LV2=+H>vq9RV1p>Fjkmqi@M4gZAjFKrC4_*Dh^w^7eodHsDR9|Ik2qR*2LDtyFuRCnv*AfVEHkQaktbyu3|G#ztldJ zE(dnPd_0J;zZeXd19v$81X~kqq1mAANcBBa@MQ_cI`sz#)FXW~TkbEcaP4bcwqcPP zPeDU-^J1pO_2IdXp#rpqZ>}Wj4Dz?JFm(h-Fyo+>Ix|G7lD0a|t8Tn@zJBW|aV(Px z_DeqE`t}|rvDK^*3=7IaAE<))T!=n7YOTeLT&7}T@10>3eHRNwVC@&v!wyq7mu;(w z4kD7hdn2np!Y6Cp^0*UA6OnoKs$muhKEs67hQ3x3#9SfkVz zhouaW{jWZ{)Qh|brPtLEYLrh`LwZZ2o8?M<(|t=Hs;nw8MK^wlPizH7WYY|rxyeeO zQ;}LWxz)iVX#UHrxi^ec%+^N$|FukTbJ*xemMM94n9?SLY&0fsRZa1l%omZi!+2E@ zg4sd=y=963g4Ki$|9jui#vh?Jo)E1djjFGcHsLt*bU-iRNuU_ZjU!$n``FdY2or)E zQG|=Sfm24Y&hzA}Uaaz+Yy}#f9!BEZOBQPJ(vry7iQ@}BwrFAXYjOof0>qFc&g3wP z9rqT&y*t=r-;2wL^mbC*gYE6hfRe$S#9N=Hxr0jX*3_h1Q1>Pt%HXw9!NqEuf1^G8 zCA;{4vs^}4!Nu{k*2oOP{i%Ga##7fMhB%r zKd|1p6?+vf3B0%J6uv?T?$_?#!x2)VQksvF`2}{#y4eM?2l^SpS)Q9+Zm=xJeM>ns zy?zbZjQ|TQCt(*5${KFh9eWP%qou1rblBa$SO2=|2WlIqIgt6DmW`ibRkKb zfXmWYX@UKO_GEDqZR!Gsk)*_S4$1WPyd)(e@-nZSFbij6w&&98ds)xSGB>q_8z3ZQ ziHKKS;I2|7CG?zAm=Km_3E8}OEYfi&@`KvF&wc}av2WV07i(m2MvS>#@;AwOtTaBi z;c;M_7HH*D~qUtmJoeAQsMc>&uYqeD|O;&mLx$`sns-3Ezz9di-|rO-!^* zsipz4dT;VlJz$mabI)p_W&!MmbAxUEfw+SG>{O+?q5U-M;3Us+>E_$%5wvmTBx&a<&Zt6=DpGr;->w+ z)jUfn!k0`z5U{Y38_w7AA%6$`W{(nML&X)fpuT^kKC#q z$vTK4UWgt@Je{Q%wc)kHFF+@ z#&+)CjE;KWnx>rx$}&g0*5(08(AZ~Dsin#Np3oP&KmqZ;WYtk=OyMB_%8at6p@#%}rtb)ID7H!&EGYuTPY zK0Pzl-th(pNjc_t)-7~@Z2lrTX{j&~y>|_#3nxU^)j=>&f-LSp9RZ0Jf)D{mDDS-X z3#T$Ks>Hk|Pg}eZ6gxD+J6>GVn8XrG)w+>J_#8vYqvN^jjdznlAi93KCc z(eD&sBSeJPNe(y>iaxDOo27;;i}r@a7J&Yim>5XrPd)gj%#=;MZmZFR zy%*diQ#zy7^nNZCU8p<>+kHxbv(JHv^YevA4EVbVd~sgSuoinx(**Dng0=xYV3OEc&oxZ&!8JgM`5cP5 zpG6|s-9nA&hFG=d&H7p)qAGW^TJb%t**rM&$G&bEdr>zY@bdjN+$CM=*@TRUNrwQ?+s7;j#eTF ztV#?boJrjOiF-2Xbfin)DZS(KTPM>*WpiA0v0al11d)2f1R8tQSX4doYje{WkK=Oe z3*$qnM}-2)*z7SX-P(U|dfdL!WBXOHfM1^4IO`$A;ds#AYi*wXc{q6yaiCl~-WzAb zQN{S4k%5Tsoy5fg_{XgE(7!W-E402iW*_ZDrc7uXo4&EdSjO9P-e!KDj&1p-t?h%; zx|3{v+Ge>M39VFoeW2K{jG`%>a%blMjK5jkqrDL4jVJRV*1jA{KxQ6!p#^_m6x_C14A%Zg0}L zCLl`6pN0V3A3uP*{6E-x>!`TaH0`?xBoH8wKyVKhoZv1YL4yV;oDdv>yAu-JAwX~l z?pnCJy99T)Dzpetd3WBK={4s}_jJ#EeY(Gy_5Q(P?OMBPm+bxQ=eh3d{#{h#zdJZm z?8YMu&4~+n+%6G}^4cjNH&MoM&vEZq2q-6s^dQkt`a8MClGIVwLS$B1nDpvl-#Rfj-f+xEdw{ijH*V9`R?R;lvV1o@DSqaRbQsB-09SCmoc3F3$X1@KkOQ}KEi zb`)Zu0)XcY$R1)VSo6FyKaQeXPl7Vzr0zWFHWt}NkN|fkpkiG5+x3KUk2LgdNsb=@ z2-)l-qEw^+p1rP!Oo@Bls@supF(E;_vjb=Sg)7up*6N-sOz$(v7!y7ha@nr@CL~`x zvHg5x;0z4Cpn#uFa4iupD>m}v*juwc>(#v+LmX9#BU|-?0)+g&_`G*bJ43wGW4&P= zt-lM+ef{9@;!I@Bnsdz1ddO(USrTxEBF6!W2exE-swRZR(1%m1zyf#FM$pByhGo;~ z+ApZ10FzYlz2|}695ud7boyZ?`M@twyW0QC87E8(m6>| zs-v{3J()p7ZOoke0y8VOoItlXZ{b~58-lAQ&{ISwLFB_FE@_SId*N&SIPB$v1z*LP zFG{FA%THo^uJGe|6CBLm&g;wCl+qedrgj}0JNP3Zdmi~dxNl=N&)uC6a{Ibq07l{^ zb-1ijAeb;1R%A`swh8mI82xPM>dBJb&cWr-Zb^zO+4+bxC{vfv39}eI$_?eQyV~HR zmq28uadm>Hoh-Bwj}e)w6H5x{r{pUedf^%pQv_a;y;2ywnVlWky|p9@DH51YX9Qab zvYqJb3uYxXK(kk%X?qP@*|3r?bmsK6?;W;2a$?>PhvOjb*2FH*)aCF$gQ6O!YFO0<5^dwCJ=tyjdv2Ga8~yJ^`q$0YEy zL>B138F$hS*IIZBqdh8~SfF~WH7}`7xD-Hi+76^Ms@AIJGZZl zdF}~{vqBU(fJfEcao#xfFbwCF9~Geqif@iDx(1FUXPRXZ z4M>I(X)38;#MQ@1YRSFS7+$tN3M3xC#W;TM5vewccLi+Ar3AFDF|c~xcgfhB46IKn zf^<((EQ@|E9qPJgZ|mWXUIN3F&`vz!)+{SIto}|gICVSb;{}S=p={$93;>-pB9~(S z#h;_pVO>Y!Ep&4puX_B^TP2F!^2T=qhR-BHmurgj$TaqxT5EQA%}oZpmNF~yYKPef z8U2A-p7!@ecP3A_kIZ?NAmGYa?_l6Tq2#ylL|0F9k@{TCg~Ge!LuDyjqR6;b@9p`{ zZTd-HzMX=LmAQp3a^dNcCV5of*$zwV*`2rb^7==#8yGz;WM*1KtbGpE=ecy;O zx{f&`j5(cmSC#$CbFMumLG5Yki#I%|kNoW%)ly6L6Sm!31Io^adAl0|D%MYk%(Zqx zx=cD)IHe_+G@sQNMKBq$aq?^}?x6TKt+HXlQ&|f(*x;{LI>||9POQ!&fx2Y^$LPK9 zThCUS@mRGOh{^}V7s_`?D$&Rx=2rJpi+O5nyYE+{Rdhd<#-n~sh@N2!WbWLT(>1jl z02=V^Jb($xa%dHzao^k82TC&7Bf7AZzScYB)4`MvpgH;syOWlNR6HlO=D!wavdp5v zC{v%3i=73xAtko+@8@S=SxmO25!5sUKzE&awZd2{Y3~kwEE<>d7<=4Yq-9s%#q)uX zd_kr0e;cmlPa5anh(qzkA#5%#(1sH}gUV z$VJmQW9HiWm5auHrWpd{qQ&cTPr9O7Z*;2x_ebv=y}Ogpyzcsq8{(d|@LW`i=GgZ# zBC8r4>`(CCb$}d)C23#yBwFGr)Z9d^ygnoGU|*o`Do2^CMP0|C{f> z6&wH3f01`Er$JYPTQk$|XW#Xg7CrN}3l<#=OY|yo1zoB9wer44&^mT^$C#)`;2XUChwo1(qo4MEgx)0k5taK z8ZDC|>^R#Z#zFGc?~kYfQvFy+pCvXRR=lUc2*uDZzZNwn&IDM`@f0q1>YS~nYe_w7 z)IzVNh-hy{ELWUd3QufUoW^>b4Bq0Yb;xLyaUaJ`FBdg8L0rZb{00#?KG?gHD>^!~ z)iVX$-PKn9DU}SJDW=G2NJOLD{(t^WH|{>upp1ClgTsxU^&Jy^yM=uBO2G8vhw5Q< z3dS{cwRqw7a$+fAaq3LGfuioQFM5kGb2pExJG?ugi0A9ypp&6+)%7XQ5g1^r=`DzE5iC%_FY>~T4~<<#AL!u(k3so_Inf91mm_tojj zs=#5f*7CWjhv}Ou%f;%fRoP`k^z;leT$7dNmcT5#c`AJU;mb z9=>|5x4nLPxp9={G<1j|LUk>MjHGI`3KB@#^(NU%NaFQ72Lvn%x??s@ck4%CeM9^x z5ucUI%o7syxS){R$0ya5H{f{+TN2(LJXd;p`bqAr(s+(Wl`G>T5qH`7>(*99YH+X| zMUY+0Rsv*JPO!&$b{JxPye#PwSsl)UIFPHh5*aSL5bTnwd%>2xCCOXA6qX)$?_$?- z8F7C>z|{fVI&duzP{bAe{AS>p^ii^l!P!DR;phN3vyYs~!JuFXKErRtGM&rL5)ep1u_-hC|_B=r*MvV#xAi*w8?36O}ZsswmifAIcl+t3J~Th<|_IR zR`MKr3bUmN_3LK+#V^Vio(wM)M5Y__i(ICwR~Em3ONN0fu_fh(@}E4&|5pd_U;FH=G1HHe>YZ${tvC_ncJCcazB5i7Z;5u8A4N zno*_}+Vb;}&Vc72D^EPHs&wEUa=> ze$oV9a4m^^_ts*rW-c`!kr1Qb$SX6vr;S!>{UutUB0%UDc>P&jKrIdu{zdcj=;)h9 z)|(gwJTYXN*bBOnzcJnBmr85`kClYLu>8HUS5EM+lnDoW!(qH?(GO8j)sf;VI-d&{ zwVU{zC{D4S4=ba%Tt=w*>VDI>VvjH;5~cRa|KJ5nP?b$P<=htcE9qaRs&@6qNziGqRHlcHDsC?cl7)Z@HZo{n#i*Cf98% z4*Fmx=Hq$wji);7_~7`)03=633n{s5S4%(WgGg_QMyH!KiTgu>?O>>wqrm_l%Gy)_(Q3#Ui_KbE zg|R)Vf2md3hjjY>>C!ab+8uux9C{8`b`hTxE1JgRYcKDR?XK0`_Zx#+yoknQyA6(8 z9s!}RK@4oYCtB(Jx(oZ4IA{>IM+cW@PwHsY*<8`jM{aChpiFm$&oMkf6@ZuJ$NOt;_hDk6Xx2qtb`Z4V@0^|nogSI5V2BZ&gQowURLBihsj5^nD z2r`7i(&C1*t=*?J)iqBp2oTVizU#T9huI;soU+-n^Mjniyr9#EC_xrbrB!{&N_Y|t zxi>AAoD+hHB;I|hPFejF@!Dn&fd_LgqDVH*>@>wfX4;?iG36+-N6)sTE+bQaElGq- z)JJ~x+EOZ@+;+w#p{Vkf`6meXgDBcNhQ_Jw^ncwBfR1+RQphD?EGe}>3SSLAQ*_Q6 z_}Gp@Xh6Y;_fEEci4rA}J>=NzTl2nSrYz&r>4fO>sr<>T`{>FttU}9A{$j zQ=PTS${r5WyI?Z0`%hx{7VHFw@uTljcNUjMFNf6+%o`dRYP}baUg@Mpvu@8P@(to> z&Mo~rgVcZbv?*)=rn5zR;0EhVf>dKSjD=D1^~Mb+#!gB0C9Ei8vuYTnfH#u3^VPu^$|Cqf(r{Ao|Tesi@1|^ z3(5D&f9Iq8_+L*y`hD@?pS}Hu6hH23;^P)-R|#T##LOh9&>cS({fKd$-ZA}`eCHs% zfm<#hSIYhj(WzC7NU{EfJY37W4NU-YrlwoZH|)gzQ`pX*?a9Z(TN=W5uF}<$2LuOd z8Rp75KyWas!fgZHli?or3OMLaZ<&5HoaVbo7vJ}!%n)%gX1rLq`2Ml#QD1`dWiSZ) zNU#jg`=B$yg@;({jIOjCG)Z1q^G%g;-_`Ji`payTMUCpeC6D%R3u63R-0(n`@=uTi zY$dc<c6!j$Qtl}jJ zuk{yCd7=eIEwjJv4A|P2*{+2QK3nA|^bP7dqmtevWBL2y%YeZKpz;FQpWOP*cj+bC z-UoS!m*F$I9#%K%h(JLeSV}};g{Mu9Vwo$O{si+fP5l(tO{jE|%1fqxlIP4~^hDHr z4@&-XfGZbL=0CW8{P!Nh|Ck~9p9yhO)?I8jwd9`O92d8`#}zZkO{@EMFUjVxNXNs4VeL>MW@hWwmykxq)Ovx! z(J`)kE@9$Hd5D`)#1c=U31vTLnw};avSUP76%W4&eY4qrIWY5Y8eeqEy2{QK0lS|y zJ)@w2N_L>mcQ0hi69Z`JEUR@@R}ihJ@rt}FddTaQ zK1;$QgGy87MzU}c@;`fO@V{qT@a#X4Y=F`a`huc|lgs)e6(Ym>2A7B)hOwggIlp`P zsQ2IGpbViGh3`hDGuRP?`m8$@?jZ;xw`-FL8glvXO_OW|H$<*T-?K7+tjk5G{K3CB zauUqH`m$0J9;q0h9okGq$FcCzf3p$d^gzRq6alTa6M)5=`58-8VfjsLV+?9o7jK7n zn2#HUXrypRhj9=|ulk`;hQ!zF14Wu{-okXO;nTg!;{=(wI#d?w=C8fT14`)F30G1U z1*f_}|8U^y-*j#Mjq{QJJ@@^;a=(WW+;PfQj7i=0mKjcNqWYTwAdlz0g(tNEj`!U# z2mM-IL2|1e=39{ZStml{K;;=y9m3p~mD<`LgD5Fa{oigo_TST_qYn7P-3Y~HxaJfK z0;*9GqH}B;Lp9(`UheEQX&F@`QzFVz+W}1y#x63g=g3T*^R1V~yfR!ACR5BNH!MeW zUI$Mb@ets)#cInCYBgLa+&9l zJ#x766Z#0LZhkqdRvvQNq0h7Y##c7Z_?>KJWfXd4IS}E9N9l)zqIAFTAHhFl8fkix zYdL@Acm7E4te2cpE>%LYwlVH~?PLd2KXC>6qXNEuzJBgnAaS?_sQyc=0QoUJfR@=; zjr1!*{r1_9);nx(8US!g>;lk#$N%4c|L=SG2c8EXO{PR<*F7G|sn$;c=spN{t)Iu& zbs3?W>Y4=9fmd$>;;t7`-UD$}zZ&!Z?e7nW-Y9z3;Uwp5YG6zC<=G`ugK#gD%7+uK zRe1b;ib|i_`F+&&_nWT_r#ob4X|0{t8h;`}n7yNZ3D4wt^rZ@kMa(Lo%PC0u&d=z1LAup&N@T%LtR{bM+7^(A`qUL=|V z$Elc=^RoU1%=B@0yxeMdffojtWniw^;X{HK$HqGn#@@zUHD=xT_i zjBZ}Tgs$CoJ-=zKef#_9O3k>BQt$Y~nqtUwaWy9)gW$S}()IcX-WRe@*VdvyZ|teA zv!aZQ2_KN5J^jPQ1JvKKZ0mgHIkji2?SOc#8;0Xo2&$Sh6!5o|Q78V0>8fdC!HA91 zvUm=DyhYF7fb>e`E`tm$zrjV-<7jw@6@k_~jJYQm*X(q6BVzrOMvi2=$oVxer$utA z@$>Y@yFWp5@`V~0K{+=n1EKbX#M@@7^)P!Zc@VRv<82IpPAu2n8c z(EEzSoJH$(?Yh7e0%VH{R2zC~)UcV^u7yyW;1_#(m}4e_5E94fovC{qknnP%@NzLG zn$P~|w$3NR_A5_?T&F!`KYG;(a7Tnewf{9dpY&Vz=y~H!HhQ05> z(Wi}KUr&}*hpzh@HdjuSs%r!zXleWU4*IA=Oh2_y$r(a_9NRpRp(`jc7idZ&kJ6TW za$+5?OllzUIHTN%W(PU;NQJ&P5^1S8_?rd6eD`UlV`ar8tDdIxVMY%sJ#q{x_lSkM z9u+j9gST0=VUqiir4C|pRZxm4$uU~T)u)SL>U@FWG2U!gS+NbPL3o|K2XL-6PIR|-4Wf_homeAbU z$0A59chbpL%zm77$W>k~v(F0IR!Gogkqs;bG1Fp}@tn_>7v^K4q9UU%H=k@6p9@Ha zueB0q;)rKj*e>?4qGw}4rDIl-wbqB58suy(7TpQLu`gS@BazKAGDEs_4q^*7Z$qd;e}c%r0?dReO%W{UR14)@dT9x( zbLa_n({0_+q+_bD?Mzq6Q%_?bJ#n`_azaIGeJUY9n+gIbDLL$phpu zhWgqChO3c=07HBIoX8wr*2HVjPkn0)z!@gN^P!`s%0`My5M`s>0N6&J>NOP&P?gp~QSPM7I$*^<2;Nxv=*-i=dr4}JUqOFqGq1I}z_}1;#KFsK z-zyrm?kkMiE+SYzb1UJscJkPafSi1`q+|wWWn3SzDsMfaBwZSaiUN{)FGbf#_VUN1 zd{z93QoY~I3@r=IWZ#p=SlJb;=x1!#4L&!qqL)9KQM=M8CT`{OO5$rx$)+As#tU~9 zy{1G#l|+fVhG5sV)x-}XGAyXC7LmRW^#(Ah;tAAWog+iX0=+Y;QPWAR~zid4{ z*asSUP^)EnOQpve5t_|(-$HBb-IZ(vZI z&ZohnET!AfFy{RX;G~*YTAddAl&{vEmS^yu5N=hr)e3V) zOd(me>jBMDpNrJudl64W3Cxyt=xnMjiEZZAK5&G8tR<}-PH{)x(`5^you(Q;rVy)v zG)y6sF~M&MztNPVRDl#gG^<#45u8ceO?Yc7gnPurRq*s)eQ4Ai7cI{0%`+1D7;6;@ zcN_(fK}0%_2iQ`KoFD2etd1S3|C9xC^k&~>tTdkZU78l_uCZ5iuELbcCemzuKg}!~ zj6h5TqjLPX)N@V+xa4#MMy8M!ECcwI1kw{e&NSKt`kd;vu=*?lIcPFkMcxy*{g!#I zSnDL_tXrYoGdQ$O8Y>L|V(CC>av6JW`I02wwtkGI*eN=voiN zCU<_(HA@G2T;h;b3?`66NqEW7rZQ2!5RF?f>Q%RIIbAd;gyd$p>Jz9n8q%axWp+7V zT3}baSUfH$1FHMVEW4W#weaShFCTr{cC*5NaOd*t102}C-i_9qM^e9>)&f8Z2-F5J zV+;N=WBdHDt|~43&6EBJVUfz|>+-9CeU>h3GLQIyBT?T+*O46-e_=-UcbR$JD=o>_ z+9Osw2I|V2bDAAMV`g8R1^hU8^q6s@=ZeQ6=>x;88$(RNwcfZmk zCp!%%m;YQcy&cWw4mWr`@Wd0(<^9S&gukS^An0ZgZ@SC*l;a1xj79-J=wp-=>VKa6 z`S#EEclo;3#HcqW!V?2*H>#gH75#w^~w0kFrSIq-OX zoArr{BA>%>i#OLiRYPI52I9<@$Ji&T2Eiyy`SZGuId(D%8*-Bki@wVl7S3twYsy7( zEN|1s^R}ZHYdp3U6IEhLM~uRFLQi*VK{^paOZN~=i9_e7Oa1NTxk=*NgbduFAa^6*clE)L(iU9t`u zl%}_nmZvXB#6cXA57FCdwpcS0?fF6HLTYRz@EXA~^xId}#uQ!zFC}~Fs7ly4e)xz&O+L@5#-AI>; zS*|@7Yw`_)ELvK3%htY#lXds|jxEy|5Jb`a+HIr?=J9gk#8m%q<%yno&4diuOo?f( z3`<zdcHpp>8#Q3`5!qnJ`t z0On~VR5@2HZzD$z%9W<Ap(GD3F|Ya26YG+v7r;}i{MAHF)D0W6^(6;(1-E^RYpB|+CwBfVRW(enwn(Ad zDK}np9Q_as5*@Ixsts@7`PZN*gJ0;e6l?`F$$m{q_a3l$h3w_Ow4Mn&YtpO z*7r&PFrFmPL4FzG1Xqc}x=6Cy`kiRc{BpceU4uONOvfwyU{dRGYFU4vGL*$^?-Pog zYgq{OiPTnud#&C%>x$ecrE9vfm>`Bf4Qsn;GtpFH-bOQJ8J*Qqmw;TV|DDCzz6B`k zL`U}eToOnA1j)}h{aV4VToc{`x|#t1A39$$)m8fq1@eChHqyR@@9r{d4dbD=rChVQ z=lNc1e{CAzzzUN?k?+;5<45@fd=o|9SL-$bnXx;;T*H!EPwLg;jH+KpVGZGEs`4t) z*o{ZH|5oGqH?8N7{Lch5Ap3i*1+{LHtw+(lG z9u%ClKTZU!z8)Y-s>1A2I}5)7xZfjvf(69oRmYDHmj_o_lTM#l+7Ee{$0Ue$h?btg zQjFGsez)J}Fi$H3xrn!Pm$rx#mGN5{V`q_Ditn=o+QOo4)-kW<2t}DvOo0wbY`7v< znEre&_+IE zOaBC=kK*{ONdXrV?8jf+kCDVDU3%72CQpZ)SpSHh&AxSM&SgiEzkTs3FCof3@qc;Z z6EA&4U@MSK9u7=(qs@TV*9QY%%t=@J)rJB~=%Z?VR2?c?-63cyb+jK&&## zZX-5xDwgSPtCSLZ_E-${yC*=Wkih;$r;q>^Ty*~e5i@}G-eHQ6`~>r)?|?KS!=obfK2=JFg8jksXG^L0`MGyRs-;OJuoPa6dq?VsGJ{{#V@ z_66M{i%vkNJqv8g(E4_D$NB-_X83iYf37*DShmo645N+9xH-Faz5-4l7aOja8U@sW zK%)LOlurnJ)WByuN{#gs?|12Em|3}YHCr2K>RzcVDU8{R(G#KqVS0FRnXZu%Fx2$B zPsMu;>Cv^rH+U2BTgM|y3jqGbF0GEc=r`^7fAVMitAFG70X$nGqP~OBO?DItqP@0H zD|g0DK0qhnX1pseqOC^%2NhGs6xRZo)ZxlJzWS_>Nuu?;vvp0O0yG(io6sgQ9Mi)J&ec6o{73k%LomNvGi4mK8eVbM^t51>+ z5Dfc|zr!cj@`B22s3JRNX2JUAb%tN3w`!PBK5rm7)EPyI7{J|AyI$zD(-1t#E+5Dt z=T#cmmqeq4ijx(hIW7=7PED>=)kG@3dcsoq>Km>2_)9~O$9rF)&@Pb|6WqXOrNBI_ z#yAj`B}`Y2w=7b}$*`$pN2_F2O~KI=r!jumBWd4c!rCOtS_?fM;?Q=jJm#6ul=Q4S zrnuur%@8B&ad*pbzSo>K*_lL^{GB^DJhyFz1kv{%@|tI%hhnhpniquB!yzzBy>@~I z54h>U_ojngY)9L9}mnxc!}9?8;SAby!r`8U5=h@heTrtB!WKbY!DBWmRDAg zf&B!YF;UyqiVx{vcAy9#Fdo;oRXMmnFu`l?z!y@rU*X?H{_PRsr(xDAQna#Z7XIOYjLfB7#6+eo4VgYPil!tEhz-J|OtFqxmK0RQ zG|H;=76h9EAzY;;e+yLBk^DX|)Nb4fB5+6Hl0Z}OVfyzLpBwGlQC{89Hb}1U9JycQ z#eTdNZx4k(aX>yo_X|rQ5e=hs7$G&u0vVnRO05_YJqTC5%&CzL@75BkllEkqg_}v2 zaZ<@kU7KHBV}f6WI~b!>QZAow#QUvYx*K3Wt&OjBiRY;$P|xgcn&>En29oGdKZ#r9 zDY5<_qqK9RO?^yH@LFUbIj8};62x632i(4} z7MHqdOQr^@`T|Vjd0wry>@K0rV>ujjJEo&DAKl^t%D#X}t<$6MuD<*NC&n!9uH%Uy zFyG)-5IX-xv$IPBR*I_z7U)Jny$U1@|xc6@`eezIIgbA+F$a4?r; zw1!n1BpL;G7QDTsr$&kB4t!~btoQGP0W5HP>*)*l38GW^Cupx>1c>|f1l}MuMFc>N z=B7X-7a#mqlKBH#%KjNFReo)o^Yjq+$n-NG)7_dClcTM>Z*I58sV-jeSoJ+4FXBhl zbV@@J(J+c`0;Ss-=$wZ6DeoJFfOV5MPV0jCB*G$|rc}QFvrJ{kOuEqRz;$fu8xP4z zCsAsbxXT6AgpaBlFzf4ReWE< zl3PMdL_1lAJ5cu;J{z*HDw`IRyTZ71pZW}O2m<1tcnM-`ru zdurkE$2plp3#~tu*MBX!e#Zv`zs}d2->czV-Y0mXttA#9V-nZ#?fJfp5R%SGhtD-_ z8nD19XGuT=$!@orVb%uR3O?$xZHeR!s)k=E3X*F;&g>VedY0Oe4%#y?%LqKRCY`9) z#8=rKFX(XhhA>wzoJX*0W<>Q7**)82m}fuOYcJjC1|r#-XjcywJVbFU<7ar^ZWz&U zwmhWdypK`{^)_kSD6v~1JwE?xgCJd~R=2l!3y*KpO39Dm`<&58@6~FjX!F$r%(j+F z(LFyJ>HUl~VPnt+Yx#9Cf70O1GmKgf2Vyj1HY&`g8Ojm91;V??&G6*)gCY_Nq-D6F zO3=I|IZZ_+V0x2{U65>4j^29ald)+qcuVGKIVZ_Vg&her~;Tlb8@1NZhSEh_Q z)=$`OTLov`zDSxCgSAH7@_O0hsx(foXw}GkeUP^7sPOitU6aUl@1q#OIX zG4H9KziyQ5L!-*Z#6l8%zKbo4+HFI!;%X>8^=*tRIr&cSk%;ygwWRvYJgqvN42>v* zDD``GmlwTa4th+`cyM&9X-|@*GqS#z#*Qy35*!37^M+UaisI_gzr5cJaB#83@LS0D z3A9>$J-G`kaIR--lZ+u27flrZG0R<4NSEqKoWoA~Zcp_WhxGFp^hNYKe3k^H| zQ-MiaTdpqrrhUfct=6(@RVP%Z?TEBOuHa=ENkO~%{Yo@SzefhHma6&>Ls@W@p+1*S z9c0TTcp@q0Kj=w*z^r6!FiLw9l$GA(K1;h!@<{pOPW~JG{@R^7Ps5keOqM&p=CNfv zrUzl=ELTDFLI5=#^4FGItj#6nEfkZqQ%;Qs9wdYnE#`}iey+%i>9U(5Pqmk|fzWEN z+O?kK)$nEYl?om0;mg+6)MeRH9=q_ln;o(P-u2Qs5o%BNK$VE4YU+Gl8BWD;zb&h; z3l}GK_2jEc_hm%rwcwxDc{>~R9~?w2?Yd`KF!+$#g%6Eu7xfSdoVg#G(cTm`dXwy6NJQRwrAzQajF1bDcYYlF0`c%E7XdR)MqarjF zdRY2I!)d;&f(WtJ>%NL0+|^^7ZXznwVe1NI!82@bBCbiBr-iiDCX6`HCsNm}W4U-P zA@z!jk(aCk4rvP>eLQbca{;II(zxPBuS+*nC2`S8rZ=d9Xx^pVW}CKH3hjHpfE|tG zdQugAhuer(*Lz5F6I2l-5ZFt8kB%e!{0fsu(q7@=M#y?K$@A)yr?>YYkm(goNW=p8 zM)*t%QV~yWjp@^9t$Lp^OIU2w{m2_h-ZIBkZ=-!uC-Nxu!R0sbWz#5){YFEj zKx*fwVUU%p!cKRRUQ-f5$g;4>L#wcvA4?^PA6=-BvQm*4OI;qQprJnM#ZwdEycITc z+*1r;9%nm!$H5$AOY|k-zId3ihA|XNxZBZky_rX!fGv=P>==UQyQt$v$)QcjM{a29 z*Zb{)k3ORe4{mB`A!?W^gBZoXt}anA#~M#?GViFkLIP8Wi;)Fn!E6*K5&Ye&3ylY^ z=U3&yPea{^C8y}(gz9QRl9RX$z|3H)5zSlBQ))(tZLP%CRZ*dyx52&pvP8kvd13xK z?4z>{VUk3%D-lM&b+Q6#N57tas0en9Inh?^T23-2fB$>amomb`P81M|+o*J0pM^3+ z+-ouNqQ91EYX<)6X$7T0(2jAJ$d2m5-Vm^xbN1rL0h-1UqIfBl=13q-Fj5(c?gA4_>=_ z0jY2PLT;r8twh?d8zyrms;M(tvaD?EeRbZ*cSu(D3G}wv;+A4*$K2cSRD*%Tnz*w) zm8MF#@%&~L5rc>x1C%!gXGHj(TMCEq2R3MbOmHjC3pq=~xCz6D~$7JJE%hTnNwrL}pILDD;)4OpgEe-{aOHZReC85H8C zaiMd5lyrz-_UUJaCER_q@i~_mLs}Dk47jw5$D3rS!zh4t60wrr$0<{=XDA|IyFIEW^udj11`@)BN<=elv33Q6rZHurw2>b}a5m=cG~?sMcoAT8XLME*wfyO6Mr^}E(kmGG<>v5s|)ZW6)Dm&YHd zuHE*)x4O`)4sZ6lkd3Y+vYd+{?UzGs*^=-RS;+mqkLYf|HPS9BMius|2mR9qeHzw-us1m%l->_e|DErw9 zhl61Rp^$~L$IEb!Hg~nu<4j{>$QB}tQ_9rhm?JvXx3Pu2Taq1Ea)9QbrXPhkSM!Y` zJBfuMfnV8AS$Cp)WI6?Lx{S{0s=2VS&AllQ6No;@jp$|gVUqvs3DIr;X3y1iaE~n? zA#!=CoH$G>#Ie~@enNz5Z~l$S{)cfb3u2*4;l`n-tzY&iF+YEc!IBnKl-l?V(1VUQD6d0aF4r^9|oe9LGfaA`E%D$ z_X3u-3r&ijt-E7snfm0BlNTdUFMW1aTt?*qFvG!~);StRk0r&0J|%4kS;Cm!K+D2! zSu*u?%*Wb`a=K=DT?j%SS4Z%jkvw+oii|^v;>gBKi-hSxHux_ZQ>oj2h@_NQKD8e# zurx6{N=!+idC5KW8RYUeu=FAEhRxp>HUZ)LPBJM+6PkZXeSTj0MNy$gfTp(r5hMLA4bKE&` zpjEl1YI&&Ua(s|dJxP*JO?fIt(PARwUKQjHTMmYwNS9iwp}jIEEpBEiHW)n?`BGSl zJ4+|8EAr{^nmqo9CCRI%DF_CfYk|+$J~}bis!-P#P{mR<%E@-`k)f_7d^*P{q1%xf zr>ahuHBXz6-i&=dks*U&++wealrZw5hr#nTbg(^*dWJx4rb*DiDgOcHBu5;_V|HF& zF>iK}59fFi?c@wF%rvG3XnOeJdHzLRF|JC)hK!rmvWAvqm>&(1Mj zwTbZNUOiJqTYXNYpo-MTcN8)NOXvhbB8NZ06C|peQ=W_SFOg=7_vybt`(P?$N}8^< zP(I^0EIFV(ET~-71PLm4E7{h3B73(g8^ghFIj{r&wDI+v9+vG*KE4T+?Xgz>uG7bj zU$ca0jovz=7%e{o3~`|I^oMY;1T-S`lH$=`{Jd91yK%6a{R1QueiOc98E&k^)gz2I zP)oQ_~JKX-?QDxA%)D)(+8WFEmBCVG2d}XJWJ9tTP=qI@8Z$w&`1< zW0uh#V-DO%Zj+=weZF~o$>oaC^-h0}`|P#~+VvN5Y>V2QeRYB6&)|8rBgn;<`qN{U98E({94sOkRFo$d z4@&;jIr#v5OFgfDOREo!@k&6@>%T{g-bj62+2_4Z23~e~hi|my`CmQSG*C4a7F-+s z%yoi0yiUS$uZQKmI;-`|7zxs?IGOokdwbP;4#SRGf0ZRdZiBrCC@F#cYke$Ad;0GL z+_i-wYx_@c%l+Gz!kbL_Pg17Ro=!-9TJxfi(!|VCtO^+8N3gNn+ktgk{Hnly^4TS${Rwy+L**r6L!e8gbY92Ip zPH%f~+q=g7_n>u0fDzI3A^GFgC#TVUBoUnRHDwSI79mNS6PA*up7}J#!sN(| z{>@q35;Njg0`?+^}A4)oIuv)SlA9-oZ_-vM>72EQ;O@v*SkR2bU zm1MV`s*lRSL<$|#G4};h$hDjBkC;8`)jxRFw{Mz~?FUq?lZ4)%EVla4jc9cJ7{7$o zHwNPEJ9K~`iEN&i3RmAG+n{_myPEh8u#$^=qFFu>`0>5*lN8g2OZIN0bu{Qcu7@YA z+d%GP)ztVcOmzn`OV!*W;zYrD3V?wj{$yH@!JB1eEWJ=A=b_BaV$&#O!Y<@&spgKn zS$b<$Nah=1sgRs;PD?V)0j|6O=W#98%L3a~Ya&VryHkECut*QBe59C zJ3f}kH_@Zb>Qmg79xM`yl}<5o$+O1c)Sx)@?5Y~+j)(_d(xviT9->b?rDs?n7bz_;jCSvu}a_=;NMU{oeCM4^fb(sU-PgsxjdeE`UN!J%l1{}C9j(X zX#yzXMY_etw%-WF1J~=LBdUlFxdO`CkA_IRh1u^4&qos{$=Z4?&RM9XC(pfj2w94c zGNgS?PB+x9JA5@(?WK{^n$)H|)BY~x3*Y^)ru3^l%MNA8=32}%sO!?1;E_$ue$a~& z=4&~MAfMai`7t$`1qbVlA3th*kcMEuBXVI?!gnSb!B+5{&6uTo7Lz5S=946MYIDYC zr;3N365I-G*x!ygC{16m(6=W=WEHf3ND9wZiNd90KpW{n<_Fz2;igr$+@C4EXeQQl zqOFy?@kq2Xm9u@xL~U44pNlO50k5+Y2$&@2a(SCgIgz*$VX-TTew`crKvgVLMn8EX zq?xmqO_$@5|EgxGCNWc||D>7*DDok3FDQgrDmlx@H2z@9xw1fPjO7ISdkR*o#JaQ^ zJGLCuUJ)lLhHyVp>?tus!Owcd@FL>Fi+BlKMoz5%!`@rRMft7$!h;}4htjElGzdsH zNJ~qXNP~3e&`6hnw3L99NO!l=A>GZu3?K|JjPLDp&Uw$X{q5)MeV+H(=a2Vu{&E}` z?t86S_qDEdUEgpf^&=46vn>mx^Uge%sVCf@FkH41f0eFUyJz7n$1~%y&T`{ybXFB{Cr}W zjg>=*pywGSPAm=(M4A71x>@Y|w|k%XGY4k719Z3umnF?7$dlPVWPjl)WwPw8R{7lL z4312hz}ktY78*<0zX-H189x^+42vn#jBOEN+Ra@P&-FZTtDbV=^uS2o?)!3Z%nkB* zqA0N>v7+_ETnv6-@ao=!p=}K#P21LD^YQHWIa6PS#B_~jPkxTB(DzK}@qG`Go7Xa} zeK*lG@;RKG303I8z=UY7Xzt=*yQz`nN@t#DQH`R;Vl@)2oPb9d%sD%3V5_pFtdDqc z(~eALJbxVi)=2t=Mk_NWm>U^wFk+Fow!MGlHBn;sl3nDY#pb&NK9pHs zsB|R4(~eYNxy5D`MmcKge#|GT1@vcKu_DaxJ~F=AP9r&NRATazWfDZA6Sf= zxhf(Mpg!ZDa#^!6SZo)RQnKZ$J@BfW{n?O!MCo{%3K+9JNt>*1Xq`I{)0{kA`!v8e zR=%~*SI)tm(gShvph_-hZd#1aay868Z$b&OuZEspt}Ouc;jn~T0f-1v?TgbwEov3g zEgPAdQbHI2qu0sXWh>E_u_>Wt_Q6c5IdrDK(bd&|^O;Q!3sdCjaqH3bbMv^*&E_i7 zEDHjbYo@~=3!>S=&ZU1hbw3|21^^bbCI>BHCV<5(my@VpG+;3c_%=NlVoG$=DNlwm z^--frc4qeM8n))hF`zB!FDh~`%W0GMT)WiVSEWuzNsWILswFglo#{9fo%&eCO{J)9 zJa#-}4~h?Y{Lw};N~O*hlAY!{oVR9Jx35uKFGC=%Luc;#g_`X&^SXD_q{e3pfWNgA zr$@f73qaM1Mq+Z+6V)nGq}o2Q*^-a*;(3fiJ%lAlP6q(rdIGi*u5SS&F|6N4VxQ0L zto0}y#|o~Ek&3ypCQn9fMU=k&+kZA>y>~kM0Ie@6tUYkle`tcfzikHUN^*@PR8gF=^RxIE8G61BNT?!7fgn%2S; zR8MGIuK2pJZ{oWswC`Q6UMw?f&DWeQGLejI@4(ZdEGf!qXtUEdd z@JZA9!&r@|YaYf1hj>;QM$f<`dQ~Wm=d5No+UA}cYi?+8R=EQ>3;Xw~F?1$CIv2YY z3uQ(fa=KX2l81tWp5Iyh0?D@df#2zr-8*>L_GL*vU0lvIQ6r{mZ9~1V-dDHh+1oZ{ z7^O;kws_%PC*$RGZ$Pe1AvYD+{XSdXrOMk?f58c>$u^;4!{YuNpeH2r*^mK`=Uh%N z;n^3)P|`PpA!@!U1E%09ARZq@sj2JhFPX@~rW zj`{lj^&_+?^g9fV46mVL_kC$Y1E~+h$vTAJwaj|Ny&iajoSZ#bJai7*vr7poyqn++ z&YnvT6J;^qFokp;UprbL=Vtb1t;h6zXaSfUh@ z9}hLC|6sIK6r%SL@39=3{|LZKmPwh(ym9KwrE8d2k24SgGPc;t;#e|XqbBm6&@P$^ z=Z~%mRea*O@IWpsxZjJ0&N)bYD8rQAA<6t3wkE_p$CK;mk7kXp*YQ9%Gpp=yeJ8+j zOr<)H7~ZJdmQ37;S-e)+GAqf%yh&V>J+=s&P6%!q;DFQBuM7F>L#RIx4f-AFluFteiwM4Pk z*WfQYR)At7Z4_T;{ae6{ZuV-?Kc}^G7E$Qv<#tr(3!KYn%r>ys9_;&8kSs=|dgXhk zLDGoRjh@=aq%#DSd+N_=DsE^GZ#xU?=6Kh>fO~L7*JtqIhtU%7Vb8Z`l(f~?HQP8c zaC#|(siNoz(FLJDSl6B6>80v^FCmf;f+_g=3f-4>*TE1=^8Nm*2_yyW{1XYU? zW$PTemA{-J8obQ*$?|VM6ZhPyjSbkCZ;0&oO9yU|Wdjr;`13ZSZFG{r?JdV9Pbj%4 zdvQoA1C@h$Jlmu_X@4Naw7*6P8jwG~kc6 zTN|f+Ig~GyS9DFp8AEn*0d3m%M#hGBIohcPMnH}97&M3z@ck9Mvf$t*9q7V`EgG*> zIF$_FRnRQs(4#oU)dkNgQpJZ6;Tu5O$k(YwdahLbF7M3sXDN8`mwv_!v}BkwF+R$^ zPmU^xDo8%YimcsGrZ(-*rGnvpYh?4w4=6bXl;YwL@E&of6cflLMCd3JbjIN%6{7^N z-aN&Ji_a}7MruJBrmsno-kA%CyA4u(km^RMNfen^uzrUeX&tQ^hs#`A-&NN@2KV~C zB%slHzoRbzp7zReyDPrSxJ%t}G-Vn&_h0!j;N`d_G$7(tWroD}_V}qKVtfQ%umaap zKfIL!IIf5_-fwH$011C=8FKj%&##-pF~1n01$CZ%x{`-doQ7TVy-!QpQZ&AZ=X_=> zH>A-4vYURH*xnKjG@~65Y&Z{@neNP2hK7@-PYMIU28W{d>vtmkm!6ZRDgm}`wvW^1 zZhF?cUEb~}rO8wCV4_ilE^B%-b+Tny*~f?d~7ljy!kr(Rc^L3XtRa&Z-5>D15f`~SX9+tpi_VI!T+C#E&$u1Eue3) zvv(I!?{p`){1@NqKeQ(P$9(-O|7DAYhkMx4%b0l;ddEX%W%~p&U*3NiCPi;uo&W8rK z0SrMi$ni$t^CH?JN_@K-_947q$j(11vEz}J8OuKE_Cg_X{HOXb;2|DD`r{T z&O{(E-tV7axY;ST?72iRf9``=g9mS>B~{fwqFH}L+afD%ld9A^a-y0Bcu#ZlNh}H{ z5cY4HAH_n^x4pWDs#OaL1b@huG23;vecSq=75hF_z~gT3&O>xiYVNqs+NS{87XTO$QA$;;vb)S)LxH&eFgs-SObs&@+7W#1v}wt zorhX5CnPrbmQ`W{P>n591CH&$g^tVX3KAS-kO4?`@&EDbqNnPxmja6sSzZF+Z5A{= za%s^s-o=TO2{CA8GkKFLYA56HAk`xtvaUm72qa%jAmr zr;z7=`-N>1F~6}DA9sRjt}rAObN7%Vqi`~v z1x2LSU&n(qj*NfC4K5y6o`{xxJTC-D80q$(G4;>BV`Y{F2PuaX*+)*cp!>@qzKQpO0A~}r`=De65Rqir$;s>5`pm;K zn~x2_6pEIqJw-N!j307AAR@(T)Ok@Uug#+$d~82<8fv9F2707I9m&l7L)-d@@GZ~^ zetM>if2*bN#xgpaZBO^~(eJQVW-o*=mHTm?B3jc$6*uqP`l{5G-SQ7Y=?o2wHhm&o zDfHi?$HsCEKYUHl)fWAOOvkg~QfJu75>4mwAgAUYfO2MC z_0FV!!idXtF4MC-J|EN3f(z4WV0cYqzonD!so|U2i4!ODLw9;*Y$7>|MZg70LL=eb zXKu~$`!(m(-@cmLUOL_P{yg7Lf;W_BHREtuxsoNm8y@f6weA%6#j^w5Sqf`pq=QWv zZC*zgYDZxKm|@L0obM<%x~2-QP*%yomr&V~CJ3}s^#dc>#y@WqmCgH4AaoJ z=~3loPs`pIrb@I|pPQI^neVr-0kRehk^RC%rh}vlCq(igyFZ%T&`O+fDt*Ss0m*$EWl81j}SscoXa39v>GLd+n{c-qAG#lL!{X^a+OmUkA!7Oz3YX zyEoqQJ)Q>30uPzbiVQk zq<0Fy6B7dz2@>YDWkLVD43skIf8MWQqnzpOR@S`mG ztd{#)1D!VrKp+0CVD`U6(((ViwXka^uEe%c8vw+ftNaBjp^OH!JpRme|E5Rz_nM6V zlJ|&pU(Hmw$6z|u8!p*XGS}=H8I9D)WzgZ&5}+%h9?H4_joA+YojcHhgfe^bbz>i} zWgYo#!k%*Dw|8e=UA6v&fj4W337+wfQ0=O3gAJJdEO71TB= zXk-Ur6MV#3N69lYc!UDna*t0Dcswsk0-8MohWFD#vk%743=TRNayOddxsEA^8XGOw z7?dftVa*G#JQ#2?|BaA4=RF3JxUiRg;={w`7YFLdITe`A)0yR3t69{K}arjRBH zc;J!n;trChQZs`U}7^3Ob(osIxq7kiZwH~;`*9EeD5QEbMafLdsqVX3{&+-!@tdO{Cloo zw-|o3C|?o$(+IYh?}Ukdzwq({>JRi^L(rjkWc~|_t~@A%#CvNvA0y_sy!zj96vUI! z8&hiv&ajq}R0e_8u|S8#jcWH-^!S3`d;6XpiU?I&B;~N@%6uh_WOyGt^d@pxqsFU{ zIhG;o=4Kp*mkMBS?R;goMt);&8yl`n3YG7x#}DDZn&Zusq#c?cKbM4{AgFcDlbnCv17O zCG_xOIsdY)<6FHqN}G0!Gw6+Fhl_`)+=q=$ZrF5>P3~hq!b911j1c?~I=1VGu|hPz zyRgc!S>psBmton~NK+96`ZbzCy(WYNZ?@ znFThcM?aq)+0Qx-d#xDHXMOq7gGNu@+6vugZJg>s-TDfG@*ulC~8Oz+uQrjWT*a)Nm2WN z0q6T0C~#ExuXI}Zmq4ih&--5ey?WdqP^(w}8H2h1{AaX1RFno4zNFo1w>Q*$jiIsZ z|5pCUHW@U^4FbsEMYDAp0E&I(dx0TQ=N%o?n*4CbVerv4LV<-Y| zTk?6PfTNunj8jqZ0Fc4v`I`7DND2*AlB7HcfEw4Uh-?n_8CzY>k4}3y9iP^y?6REu zmy$DFOO0&2qkRS_Q_TX3B-L_vGu(#W@x$V7E-vm3jm3T+;~o8=WX! zVqNrao<>}Hsg>HLPaXQ!<|jaiey7wp><5Sij$E0ZEx&Zf?V4e+ zJ0Ni;sJpE+?Gk64c89keEq&+NU43wMe~rFxq>v$qM`1f#9V2uf7-J@1cx1-zUD~Rk z0A#7vE__UAz-6jR+s|*wFHTqlZh>pdAnlPD!V~6*fsr&=X*yC!xnTeCtIA0}OYd%!w+7xl!4@F>Ywv340XeGvLxy72m5K za<0%g^S;h=TCx%KTNi6=YcphG4li#jj_2%h6&1bEfq43}!HUsho%1p`ISSbg&?Yx_ zKYY_HL{}G?+%nq^2|RUci8HbkiIvl~nBp<> zml?XYDUP3v9Mp9a99`$nunIX({M`8n`sU*pEwXi@VKL|RiviybHet^S9~ETlL^a7? zdA7y`IGqdKfqN<9PNTH+r})N?M}uwHe*`31FSm{Y4A-vh_?4Eq^hozRlR*d|W50G; zb=P5f^F(TAOX#*Fd)wn6!FPNb;quAEWU1@*j6*?-hq7ULmQFln1U(%+t;xcGy`RoZ zoUG*ak|At!d0>py;dSyeoRiy(VJ^e>IRwC+~kF_rurDWQUb0)KW1A7+#SX^O2d6+X3+`D+ZDY0P- zuZHA$Eft(0z5@roTj93@Znc6d@oRqK*Sj4pcd7o8i&s6V=fr^4{}sU~F9>H!h!k&0 z9t&0V72by1fM-HjTxD0=?c)&BdY@d~lrN`i*$zwdLR-XFw8ts=rZy5d)$}ujkCyFf zN6B?@9=wWYqSa0oBDhH%8luaaWZr;YFy5AyHncF-+;q@lhxd+O5okELD;m?x%RbW8 z`09Tv>5#K1i#(&hAD>pYI@F^t=sITssL{f=FXInRF@Y1{=t!6dR8Cua`4}l9ZhUqU_`f4aC?|QpRi6+fuYI%>eJkj z=g|wvB6(}l5&9utxLAm&8srqHTnt-I6GY87;tZ9WVd$a7{Gk;loOzb_b4Kfr-GrN? zESJ&1-0FDK;ltY#7{s>^se+TFNPaSJ>zR^pB!MjqSHqBsP$# z#l}VkKfHSsbGQ3$EpJ5$dB5Yqt`uJrY~{5_A65lE@+NW+TmZsFmP;eHSo_z0VVZAW zOIOJ}#%%7L{#nokGU-33xJ1?a<<|XM|SA4CU-m1aH_|$xC%I{3csE}e;_CoZ;*Y2`k9{+*4v+)V5{i%wWP+)>=DYi0lkG>nL(^dXUs!k-{>-&5C8w3-k5t zu8u!0uN^vb%=VoTo{K1~XQ$-mFrzB^V;6pnFkB-drl(6FvJ;fJrb+)(*X$?>7MtFQ z5lG^lr3FPmSC$NoWI1!py(pi1x(u@`Ox~m7<)Ndd)1iB4+{+5RCxfemY=L_ z6RR?n|Fjg4wyDSo`IN{VtDO44tq!#@c>HOQumw~+dZ)(}9u-Ypff2=rTDML`y9RZZ zLIADrKUe-OKe$Kxu6(N+0zOtdUV|%eA!jd51;&sQDs*#v&MRR>xvB)V8srEMCjru zozIh>N>cpMICz=Tb;JIeoD1QRoJ2Tl=gDw6FDt)yYexrL~>iqpcgU>N#vGmJQg z3pG4y@j2|6n2The!eo!A?eWAyXCu~l<9G!}P(R4m>(A@_y~nH1J9JYm`guG0(m`Wftn#%&@2ViFnQm=eO%f zZ7n_MTDZHRj7P;$=0M(CJkh02V$&BH2UnTvc$-aJkrL2UaIY*vVdXCBE^AL85Mnd` ze%@AHJA7%%)&Obs|GsQ3Vz0H~8Jv%JF=Y)KkE**3u-emZ&O5GI8+aN$wm3i`HPh44|SlU_H=X)a%r|0b_(P?k8`G6$O-=3c zxi;HP3K>^qq`TPR8l&99xWTdN7@ufS_X{-0J7ENgA>H5&h8Z5o0aa0CcET7S2Kko| zzde_k_wHxsqMGuoJN~ih@zE*v;tJDZSU!NjGI6&R29E~p06;jrmF7LZQ{jeu`yyN2 zmb84X6iaLDWwobMOZ2krgCHVjly#g53_w8f&kOvyt@`L9p3_DEsU#q84kVF}tS`9` zaA1AVBEffV=MuBvE-=NFF_U`z>_xelvReAs*S2s@x)fVuSWARf2nsoxvz!fT4j9#D zvQT8rJ5EDRnU=^7gmMT5zjE{!+Ym7(0~CHPtMQVDlCc*=b^X6Q@_*~}Y}uiE`I+08 ze5IAY+ho15EL~)c76#R71K^ijvt{snb z$Bw`zUX3fUNMpMqVmcH;Qh*j4q16#pPN(b+^>L2&OJaGpjS2mv5C+NtE#H{fs*Ry> zjVYkh^SAkgPg;)KrZ-Z+U#Ai3kek7W=v;U?edyX^*i&xj8+Jb; z&jGADe{oxGsKVQCpq!;|zG~e_^jvE5y}3Qvkfq={RK6V~)JDDWvqSmmEFa7pRyT?Q z6VKn}VF7(Hm0*;zM7tQKsh9XO63pj#tI4+lk;v9oS8LXh&sch|M{aX-Q=QN&tKYch z;|9TfG84aKMTYrGaNjwSsQNkr7=^$k*ClfP5*X0cU}`P$e(Pe8;4csf+;Lv&%a@tw zz*&iaal~%%Ex3K&$x2R<>vi00(hh4^`7^rExptCf( zG>{KN?IC$+)a|huKxVvD%aB&)ognDJ@Q9Fwb37?7h=eJKx8D-nvj9y%APzg>3>`qm z#`m!Nfxim9&|Ha{;xLzv*Lz*=5f_Ldn+ z@OmuFSj@odx#|soW@iRf+=2C{+r$cu4;N>bb$mTO`=qEm-jJ8-+6EvHIKN>KvWEW) zAHfcf(0(1$M}dq>-qA%)GDdaLsn|w@&OcxE1&6P;a543r>+-^Y8*W14PrJS5$jy z(NN0T9=9@^8}N)}cabH!4|vAj13DQX5Q-#OrfCGC9A3|1t=>FauW)AWqn3WZnJ#p@ ztCMxhEeO(KT=iNFS^AbHliRwf5SB zbII-d9zygf0&(ta=+NC_cW8R@v~ngh@rU;@gbn0cA01s<$@{%b$q>w!oe%302zGRp z+jVp#6`9oa8Y0A?4qJ5QJ2Z-8%Qc>N=I1GSyMMxkDrqT z$di=yFBp$*udXq4@}3?b3}xJ)u_#4-9V5B0~=--dzIM!JbR zVN>?Hn^AsXRAXhkjLcPKuW-pRvX>vaDh}pR9)n1h5Z!VpD%_y zT29_B-Ko6WzIHeD+B(#S?Iu~fnR$Lt zSFTT=_~Ef-=5`4yEt4Ih>tSORTOkbheP(=cnO@h>*X1Q;EK&w$D`|9>rA%U3nS~zS z_AH0;<7;<>1VNn5Mh>e>rh{U`?4^H;`|;9G!FFHUk8s+DOJ&x=#$O3gB-qe&0O4W9 zO4DdY2m;rG>^uV+>wZxbZVyq% z)Q8klVj*Mb&Y}-x0CG-V94GrvTSzjlmG_({j{~L|O&|Ap<8GRw4i(EXZkOfQ;?1Xy zyhtdbuDx2Bp;G|f>Ywm+<1H5>t;5tXS3eMTpL+fRHA+V_R~Lv^{8yu3{{^7p@Humz zhis*dgiRhNhHQ06kif14=I4qS%vp|wobav)^_U-3`&YDG;fV1KyCAH;Qkr$ypwmd% z(eYbcyR{parr#2Ke?+LiZZ_KA{Q)6?Hs~T}hBe2}ZxrF-YYUt1x=D}QB6P8gQ{Cz* z$;~9GIec_F(TfX_69%dsE&Ro$wz@ZTlP@ndFz?q59DQ5kS6Cu5QFgoF1Ipk%8s&g) zcGRXCkk*!f&$MZw?}1~-#S`xoB%o`#hDep9VcX=wvrk5l!8^@K;>yGzS25Pjb&ZC) zJVq}Z9fp$m2J{tlL?Yx+E~=H_OP|*4B%nb+HEqFYH(PyLbXj+xhNV<{|^WJP=fb}06RCZ({F=2G= zO%aCg3eVq)+icm)js?yJhqC)WOlggeBmqbn%)s}s{{qHz2P71o*Q`OJSAD-g_&)=< zHclf~$9T(+d`;hNz&H>(hs0Ie=hVe|XYwit>*R+slWyQj@7B$$UKLw^mMU9c*}Tg{ zA1=tHLO3MoTXb=lo$9?4x|)?isvFXOX6$0`OsT3 z#41hSLN~bfJbWbCS!i&h-V%o2*TPu*DQhzU zSj#UwiGtGo(XHs|JlAzqV2vsrGvEyr6`jr%0ubcv|ZSinQ>}PU`*4+_*C7#>|z~dZ*G4kqe9wT4XPX ztTO}|ssusjCRwF=i*`D$i*_Uv#s(wB77^aPg`qRVqJ&1L|ICys?RB@rzo#)pv!ADM zVQBo}bY-HStR`8pv_%t4#f*gL!qj@z;0k<8m1@X`rj!S{fom5k0@`N|TZ{RDFMhaW zTbvIw%vJw0m(uDs0V)w;y4q>CNTP94dvS}Ww6#YR>5GqzzUFLr#tMwa-aI_gr=@X+ z$Q{W-2l9&#<_(f2MP;l%F9Z0Z>s+$Nle2kv)-IJUP-pn_!2tgmC_t`@7Nl2eb@xBD zxmU`~t2ROH@wZ!XN)uUjT-9h&BcWF>W*xv5x0O$hUUo$4I526&>MJ@P z33>Tka;3=~0~JTAZQuEVe<~7rz_4G;I(Ayz8b6tT*^#AV%=9vLLEaG}=9ad5Q(X64dzAm0EHA;6odCPjlNXQCHe1EI#`Mzkt zrUZVkLoqzB3rRoYA$vE}KFKk)JGUN-^7zeqe|Crrp3wGY3;2)qSor6Cpr(fQP|QbF zAS^4qL&)k@3{9s@6~5LkGw|!&(%N`Z@zxIM2MLnhYdam#HDR9Zv_9}B#SiwEB`N;2 zpl@I_%z#pu*vmkFMTz~q2!atDgh~Y)2e2IfFxXR-MAFUFaV3~Mv#+GoOjm<3cxoCP zK6^p2NL#z~wJG(CKY5wCdrVe$y1ITme7x+y)mvA0-v7B{QS0Jfqr(Y3<(S1D{K>S~-wDZsK z`j_B`FVfgKFUkmu*;K9bXQ@2QWL%#PO76iuYh`TUC2E*t4Q=9|V^m$mQx)!vpx_6D zXfSDG5XR*{REozp$t&B+F>?$Q%tE+0upvV@eKqt`=mbxugw9Qb|)b=d9PXDH(iIII+?p8G{+CkURIwL;e zrDAtQgw20(Z^f z;b%Wa;QDUrSutneJaERoI2F7QeWw8y_RN+YU7{}SHG6iDIP47t$s4k8hY@k@bMgH{Y0~qbW01GcYd@>eINN@qmVPOuM&Ree zDwxA?89D2?qW_ERYfe&)J&STo1)l49Zd3#g!fQ;Q1 z(q5poZrp3;vb9ES@0)W>nF09o$z{FudJ+=*8j~SQkBIE+<+Yq1tx@*v~K z!WctrUOS~(L*APboQlHz!##id4MWpMdQV#KvNRf(=hCCIGD!qSl9D?3yOuM^yXeYU zzh0Af=u!oUJRBb)`h{n)auih*g~bM(JjP@ivcyU6e)oA_xqVBN z?@;+Mq^+&_?N|ZpGeF8o2B~8*1fe|5Vpume)bFWuDK#c)m`ftC%!yS0m^3ZK?);fY zF9?&S7<%5#RRYuos|Pw4e@Iw23|ZD_KJy{Rjp>7b-exO37c zcUL88?%SuFO11Jk(!6bD=uvl}>cnX=?0%7^ok5F_SHl@`GGF6;L=)Co2{ygcZC7m* z&MRgLi3Q)12&5Ap*JZ?eLF8bjcFggRP=6uh7s&fTkwf-J-SCIDho#k%Wtwqhtj;LnDfW|MW~0;4Jp7I(^*ZFOCY1}Q%jV>v^IKu?7T5R*eZJh7;FVOZm{q8d&P zV9vZx21ZA|MPvf}25vnT4VoxA?}wkTTGQL5;q)>}VfDLqJl1z?o?26EZk`6(joju@-ux%so6@iL3Mrv_!*}aQD;+%TO%oIt*~EsXv*XAX|MN={&S7dWKGEFOCku zec0!jnKS)Eg{sA~mV(@3nu~(lxn>)M?!mWe@*&E}47XGUp0DxLb!ssZ*20Of!0^jo zASSO%!71>h|5&R(1Zmv>R$72wmf!;?n+RX4#y5ki?JZFybxl~t6`b?#e)A_MSW7dZ zL5KQ=tbGJ{yU`=if$F>FB91MoOCKFuh}ApspZaF08!7g$9gSfPQ<4m?6N}dE{#|VT zTdKwXjM@L(`~MPZ#{K^Q1M!NpmU1Y=Shgvyx7u~VxCDyQ$qiSLy#J4h6~En_{?`M- zVB2)MP@{kg--uf_WgaOxuer-Iwi@x`Up6lEp&Ud6@<>r@yLJGb4LRVj;HG`Mws14s zc+%pNXR~5C->_EiwC`5yL_YLi?9lM}YW^|}`=P0^;S&2)1z|?f0~$HOSLC8Kq97r@ zH%$p~IuA_{&*v<@;$AMH87nQ>orpWMM!hoc@HB^g#4(LGVG0?1nb3Rcalg$VOx1(M z(o%ys<33gz)3&W~2n&6xenaBOgLSh^mUYFCNetmL!V0liUvs6wJ`yRFgW_KSR+m#$_kKKjThBBVNMYyV^nEVPYI>SJxjVXlunZ^9 zXuj84Wy`LFw01|iI14C@2(kI}vBap6hsWa~4CkpTlp8r<9l0lnp-zyuUm18_f6GFAZ@xIJlQI<;q6$AxG)m zxVy-?*In(E|6hRj;p_K?MqlGn0?!%-1B^Pj9R$a4WjlQgE*l1Q9ef--tw2n-i|p9cteQZlWc%Pa;(@R=j!iKcpOL!xCZ= zZIH}BH%nBi6xH5x6WdCI>IGx_mw*!ma_hHpMM(!;)wSiPJlQgRX67s+^@V|Xa~;+P z%`}{P6_1#N+!Srr{Ctt(W&pIS$amu(JjVY!@$0^^mP*a+F=Nf_+sf*S;=v3jH= z^$?zl2ZD68rNq0wgFUTHR$kDK>PP%$0*vv6RE;>MRHaQkj@phwmWgEyv2mqh2GM(c zW;UDVqXsO6>BJ%Vu?dXcEL^;Mqj%W-!X7g^jV9E#yQzq#qS_gbms%Y?x8}Tx4{NY0 z6qv=22orhS5J!18_kQy!(R4czcCBiQnb@Trq=QwqS9zB0P-KbiXUSFdfGLc#8Mm(P z_X2dGjbwZDAK@%8iuq0XP_r2?L)r4~+e+y%5~kr4CLEMK``{)I)YN6AOxU6G9G>Ih z5zy$%v<}t{N6_WPKFG*i47|FgQr{7(z2B>mWndtvR2b1yte` z4tk*-#!@T28P}~y3a+jJ=zREv6HYI?FGrXsgkSU^BUABHw}}6+QM$h)og))!aB%1>LPI-lu51 z;D)@o4Kt06zV;WoFF+HJp+@TIjMG`U_N4JS>X)P5*kO>8EzT zx514BwxPkk_+wi0mSihJJ6>Uqj&e8l@RdT54n^Z_j_of-B$f%ja(bS1|9PbS-=Yao z{!~acs{TVm_3yNF|MpM(c`GP7vSEj5$74O~GR(5ay6%1n>(WSTXubA-Af@_Wwb#RB zu1v66JDh$RSj;U}bOr22&uxlC5eMiMy?jJ~7rodkyu5XgJUazgm2b_5i zBq{3tHz5802$@Pe>i??QUM(V*C5`19DTYc@JcjP#fOTwzU1ZhSalYhs4an8LtO|b% zj7TZZyZK!gzi7dVuH6nzx3D${9LxUH@^m~CNvn5=UD@UvSNZLk&D?i02ZqU1{ZOSr z-(;a{LUj3`k5=qeJDsR;#VM83rs}cF9i(mNo|wxJHEeUl;tmJ`e^$dJPBzf$98>sf z`AEG^qhsUkPYD{IiWOU(9fNYi+SQ}+EcGg_4dcrG^q{&{zaNZ^O=<$}n)z}@Bij}< z@@N!?`&E3}fP(2t$(1Q$?7_TCAuIo69dd^eXl31Z%_HV;FLMqrdms@J$qU z?VDFjAXjg+8CqxvcxHC96G8S1bjeq{v4Va35JC&%oAtmKaYBHXeOkHmTDu0^#wT=N zilx!GRAQbB)a{~jsx|^8$WF;fn4#a1A;KDNBv)tTcbq~mS`dB6Y1`*v` zdI8lGM&Cq>}pSi{<|k<8X*x{@9_h*YJf@k7(EW+bJDhYW#gAJ2o#Wc;rA71RYpa-Q`2nAI zX0Wh&;_zb)I&&#rDr!n_F^arG zE->z$aZm~F!>2OTFkXNO(y6~AZasYtNP0Q0^SKOrdZE%zJXtag?Xxc)+MCdICKm0e}(5|JEjGL;~sST~@lq24JZCy#YWrf0B%h^nVDj)h9D= z?3>Gq|HH?B;r4a$-M}tumpz3~(!hau0QPlEhYggb!%f~D=xDx3pt)pj6zx5J0pUjI zW<6*y3duZWGlb080-S@1sBXY59LWR35<8#Wck0&Nr`f+kE&hW?^pCbOtrf^&Gl8{9 z+irD#nQNi!?GQm}SJFw9cZEzs1@Xi90CZHK*WJFfeNTOo7v2)sS!ZHRp%l!;2KchJ}LIiiTsR-7lOpcRs zf5>8I#M;Etu)O=mhGM2#I!Y6nfhOB)+`iN@w*CW=$rf<(yz+P^_TVR7et*mjhpHF& z3&p3*RqR2(HLW#tWPGX7{S5GvUoRiAp70bgXW`be(M7H&uP3(nWG0U19uwaP@WtEM zT%E-Mq(OSwGT5Qf$;RHCHR1G+U&jhXhHpPq_Bi%$@)3WWIl%t7r(M@58S}9w3g<}1 zHp0`vAvk?f$};vP7cr zeInLt(L?_^Ysg*go%>|ziR&JECuvpgnC{M((4Tm5_lHxV)l5s4s=zez=*!jifqNtbyE`{s!g5l_L)O4_zaCzJBo9oUmVM z(~eJquvWH9yHwXcdTvgl_Wf6!oT||${_CTAi8oG(EaF14jQ#%44hTCHdys^h9=C+#xTgn#-$#c4tAL#hYX?QBnJtpXQ^ zEr;A6FV4yRXpUfvRp)w;g`7(`Ib?QNa=t4EJKTEDFZmrHya2_1HSj=*^Yxit>QPJE zsSyqAQ+dr}5pF-Q6%a|LGT~tHL$m2i*S+F)VaYTLk0ga8IC?WhXlNt1I$=;Uv^;35cMow zuKfmOE0_vO-{o`4+XAcq6D0o}GLk`{t+eN{F03t6L>zO;;gkWE7roabWKca$qig-8 zzi7VdxY$vZOLp1q%Wu$6+a28COJ{9gf#dF$p{hB9C?WKQaG3#t^e;Sl?OR^X-BtZ2 zvRk}okRaEd6G-S`w)$DlbPbB#a(vyb(EO@jx0j^xCbcorxrZw^NUW~BsE^|?QILNf zaJ)v;wo1c~dkDtz7{y-!&RcD#*1V^{?X)%ECg304YW_3Nl|4sTs{BCcWm(tm5%e@= z>CX0pf-kQp^qB0!=SAO)5nYlJo&aJ4wL9g#;j&FV2X0^&M z&BfWk7I){u&k!aGNA~sCkg-(ac8!0dSyotfkkwq1GJQ&1P@7IFXp)FZ7upHHbQsiM zB#Qp1tNY%)Ar0gH6Qw(-_r_=M&03;jG^1CoU&p$9tbBtp-z6I?1GRlO?Rwxmb;tv) zFEfq$r5Y^YX$E>m9_nwkPC_Du^7+jpD^0e#Ec%Oa8$N#g8Cyg#m`!Lu8n|Gs+H2xN2uVZq;R3;l9+Iz>Nog6?-}E@;KS#&<>!)h~W_6?YjPqWAO4w$*!ix;@c|X2?)Bb#9&SWlf%XW*H z^K>lC{K;#*6TkU{pFt$XMO;v-`sDGe6P}ZiYY;VY) zdBV^JpzVDY7xDgCB-sb0H#Lw{%2n3{=J&3&oUtm0a%rxwmxupXp3g3{>?mFaZ? zokDSzNn|LKB?)f8Po8#8M7^?$nfXy~Wo*?GB_6`mF8N%u=fASS_CIDJ=*%UMuAE*{ z!xDdaR=H<+25rW#z?YF-$HkcXJs8wg^Y7~IqFOY}Jqu*+7e>oU@F7+De6!#(KH%0H z_36uC9W`G+0h#skLHlxw2rIz5v)|UNJ3^l=BXiZ_yS=)8*3%=Hy%0cV7QR>S=)UeK z39=dcxBCt#1Pj$fNmaUwZ=YXILr zWO7Bt?%2G-D||LbcNqz?uVEZT1a1Hbo+@#I)GbL#{?u#=W_iofC{|U_1D^dBEuHzK zq_{X2Ol%^D{Yydsv?4}t%f8a$KeC$qk!`hMEXVVFiD0%%U6|Sp!|+*6aEDi_Q${K1_=VWLNlihsFV;Mq$i~a>5C^!Ne2JdNkH-rfJew?j5wI>6s zg7D4Mf#oDmd39b(7mgvXj+u@ek6D#5oeE8uo^jZ`z?o5C8{q|2h<87bL7qY0=;*)_ zxS@nP6e02$gc^+syztr?tN1b>V}VTltj-9ADE?M`H|m zEk!r`xw5|;Z)W6qbzCLsQP}Kn6?Kg!AmwPLHZ|wW49e3#dK|*=OdeBVvUoq*&X6k_ zC%)8BGhA4lz_UQ^xVsprn(T0T>`PmL+4ooPITOPklT|c0dupJwXh_hG)QE@cw!jlc zUFm+Pf^MbCUwKFkD^drCy3vBD1ZC*bo}wL6!iUMc^3#3$*$R5oT|}aICcwl7r-Cdq z!ie?QR{Gne;m- zKA`FAa($EoidGUdoa@MZ$`kW+0rREod8tDGsa*|Pn0dW&Zc>D4Wh=-oK%R~3=Y!m9 zg126_4nB65S3e}3>=6sk3n}!_X{nFBK(Oz@t9A-}iDtR2TL^0eWf^WHr)zG~3&ye* zs+EFeKYB^em|zjhB7}|1^5n}*wb4LfcZqEnG7mk-RB`PTtP9admF;+~uMB?-gI%m&gNM z1LMVi))e@EuUz^MSpDxeKt3&hW%zLN2xxhkd+D~0T<=}Vs%{OA93P#!A8wIVeT<|m z&Tgs=I-R!F>oduKyIO68t7FB{ViA1sqz;lm3!u+#C=a>fp7*4ci@vnBXD^AZ-fLKQ z-n5Odw4(RxO~R&A{F#Q2L5KQ9=+F7y|GQj=e<@{nNVbnI`Uo*Ao+MQ9*-{Kb#n|QFV&CR`f21SR zM;)D=X*gKuD-K9;VB8CyrhiCrM(D?NvK0-&=(~zhq3c_oF9O%e&vcBAi<)VFgT&zF z_c%vwhzn1b6`g`Z4I|#)phua4YC$#(w~hVfybeh92wvC*N zn0eyfQl3e-og^fA_oO>H)_UlAe!L@`-R$_e&Zp~4Ps9H8k(iBaB{v?!Y;jp>0etsH z<1n>Qa!beX&E;8deHmgw?nfVWWRMp+Z~O}Dn_Z&=Y-Y{mUsF8&kq$4E^Hr^ko@hoKr>*^wBC&skh4QW2A)=#XBf6*`PVs>FZnp=PO^MK*w89oP5^ry=* zo2|}9qX?v1X={}k?5Xwf9K7p&$wx12T2pIu1gz9r2^)G@+D-3Qc2x_Ln*5P6I9K;P zaWUB^uLh>I1XhyBt|wdIgZ+dj1+#_PzxdJ8q9A6=&D~FOhDzW_oSw^ZFq1Mh>8s*( z_ua`hYujb_H^|J1ViGF!CbpJKL*8!_6pdc4>wvLgDZ9uHt=t3K@3)=|qB81?c|>S6 zReR6rHLaO)puf} z+iH9*B8r3gz0Oe^*%VC~MvW-fUKauf(v!ufMQ%-+jpK7m2I5Nf;#GUjjMhFiwos^p zpQ}7{)@^|TOz?4~4G&^VcIz|9$a5$4Gpn&SPBPwNV?LMbSb}5=-n`eTYZ-8n&RHXl zH&TGDc{5MPJj=>nb(+TuNyjGD+MR>0XJNEw+2^$_Hgmy*3r zRFvz5b$L}v@Z{4!6t1+w0i#bP+zCr|{6(^S0`5{O7T9_6qeEzFXpJdfx73>=)@$!x%0Orj`8l zOYI4f)gMd|5~_;cwZfVN9H$@Mr`TGxYKFb*btV!@i*=UUhA|MDUUls9qTItPB;|3~pvV_BR%B&mB zrO!2x11LlN{m7*2T_rJ~auX>K03D(-L_1|FTV;@z3Y_&@5pX0Hy@e}SpYm*%95`J_ z)@qEssP#z(=N;;Q3Uj*pfu>hS?q{2UFk{cH1VWMY+G_RX3kQPiz>jD9E2Z4J-Zuw@zbe7`@bB#tlxZmeb`$84Wv*wZJB`zO zbBco$Tj9u4Z8ajqA$Hi-1i>N~&l}5ea?8@KR+sXpr!-ajrToU># z36*>rq12?+np(lYSF`YB`fmA_x6?j+a|uAqXgp_ndGvmu+Qk}p;NZ+hW0d~mYRDXL zlG%QQ>e)0LuQtm1y(NCg)XPk4g#R0Z4srg&s0<0mPQkVKjQc8I*%COy=S}NW`Bkcq zc4RPZ&$V85Prw(O0<3P;u4=5W0j4{H|C@Xiv>GUbQ)~ z%zoi9lexWIk;uNvr`KK>;lk<{*ZB@#2Pq7y@1_98zWBFmG{2NV&aT?b1`67H|MJrx zDux~_rMA7K_fg5*lf(yz5H^C?H<-}c;VYN4>V?%`)`*n47vCuk84MY9Yc zs*8SenkoXuHQ{LiGP}6m^F*!m6V=!@w+03jd9}4(rNLhlip-@L{14~ZmAu_JxC$81 zUo8mh-Yi~H*wwn`?lkm%6V?%R@S%_-c-sl9v=Kr}^%Ke-bOApfe){zLHxz*pds}Xu zHdyW?edX~*R3S%p(}E4zG`^lV6PUyVw3Wfdj4_&!zmi>hI)=gCn-hzKLJ|r44T--3BaY@MciCE_z9A zVnKCPV9ERGV1tg5KHlDro?18gaX;2cZmdim1^I)L+z&yxDh2}jlKM?g^Be6OfwDei z6a9;jtyL{tO%H0|YQ2Xj)#}fb9@FRV5cO72>-?=pTwL)-IR4O-17xs)+#mqNV8x@k7;3%q|IX*yYdKX?4g-~S!pIc7=E=}RpY zds@f6`C2V&B*@{H|1%98!e_;LRl=BoSl@{%48{({12L~NJEs0C@<#tKA0{skZX5O0 zS#6;^F69v_!N}ZQ3-`PvK&>JxM;odr@aV zTuL9Xof~97#_`pp?hlIH3BA65b6}6w5rZSk=05^7w@L_X@9~xZ zpnCM|Hz*+#($iGLBNvn>q#PyN{l`P42XOW}NWDYQ{!*vV z!eNyAN#E7-E}mk=Cw%b->Oc1j9$HrUXfk|4x~(h-8Cc%RtJB;ZsiqhNElPK+(||N& z#F=7->mVx>Coy-p;@2{tkw6RSlShyExyWzO1`X9o*|5#CO*fmD8@6-bfGgs-c;naW zAx2stT&~GF0IN!wyI&DKpJ=2H-Z)VTWwDQguVND^n2M;5kVKW`GpQ43UI!I2#G%Pv zZbigvgs&-0QRz3p@=xdtefU!C&$_YXFztGN5?PGmtU7z`89{kX0$Dr;IDS1}=8!Oge~C_@|N!lq(XL6vrOwiGD=f$CU?V5BNW zcgbaMgwkIT!`xQ___l~QYsCNUOY&VK$EPv2wQXpsprHO@d@=nq>5NZu!>_$lK2zF%2^Z{vmOGn}$2-A(24gqN?uHMtF87{^fi$)`C>$g&4rTfScK8;742^UG4!s&oV z1RadQWqIk@f+2iiWKH!9p2QKK*Io0Nn8AMkS!85vY?j#$xf)?FiGr(QYapfDO9&2Ea$J8DM$#`9V9{_CQoFhI6E1aW8E4fxzP}(ZBcFNq zr0^e&Hq|0E^#yTT3SEc;09H0_zR8hQb!};Ov%YH$`wepW7184z>AuK!d;}-7tgZ}S zy>tr^=g6X#DpP;Z^`N()%=?Lf(29uO8ilxaWr$j?wWHjNhFDT@A)VLgHeVhq=ad%} z{e$0I=|px`ToI*NN?Ti1%lZ0}X5Gw=;wS6Eo)WJFeTSp{BR|oMo0`@IOrgG8qb?;} zy(59@+J@#=xT@|wxxYb63I+yFkiWZ;@J?(`UnQm3aqN05!HI0suf*iK+gN0-rBP8) zkIUZ6eWvrWNKdTZJY2X4KXhDO!;yJ48QGvw($G}rXOe8V0skK{A^#A(|GSwoYRdFM z!Po^4jHl2%j)WZB5dNHPqU&k#uh?i^Ga3$e(ETBV)Yj!umBnQA~%-U)Nloe^;a=0X^)cp-K@%lTryV9u3s}bKC0gryg2geH|t- zrL(qWv{^SgjTAA+49UQ`Y~CSfxpl^oX0#8SPU{L_;YjTUpUlwd>B>iVJ8-#vJi`)u z3&-2mOtTo`Ud>@=&Mk`z19Ae{vgzCNJ0%9uvTgGVi}du;tDT#)gXbznU#X(f9NK?_ z0Cu$WT`jci&Werp{KEB9@Sr_Ipc@`6LBOZF#OjMwdk0{tDjM}uTyB~=*_!=8{9oFC9kF+hB zvb!K-NAV02HB!HysOL+@s`5+!0B=>fb}vW&qUg7qnAr*SVK%F)JRu4zJ(v(S2}jVB zDJp{Wab$T*O;@xJsuvV{{PeV2!|$JArVhq3OXX=(d?SC>w3JnPqTQ&$)EF)|538e5 z^1p0bE1HPxRe|bEMNa6$>Z)YnSBSXQMeihEB4DlK9{d|*_!P2T1NAN|Aj~8UD&?JQ zcskb`b^2ppRJ4EDqs#>N^GQ3FWBaPZbD+b-5IpEWyZ)|Mg ztj+ObNOuI3U9X=MHtZLJM*NjXx@|T2KfAI6?(2{)n8u{YLEFi1kkjUVkN*)W$%wdw zq3FrmRkS>p(vf`YXYc=gP!WW_e6WD=8UsuT)<@Q?p*_GPK zjJef!+urUdeHIEFzo(gfsn<6RUhI)n#@Wf%Od%B9E)Lpun(S*p zCoys^4`_XYIMb;`M6CGOHY_RY@LH+zHO8iOng7CZ_M#n3JmwB)S5jziy(@1X(%r`^G)w3{lPJ3+Fj|@T(0QRJnf(Wb($8|H{s4+*p;ucu*i2$7tf%Ps7n? zP8$UD{+V<){3#&cceLP-e}lea@2B{FaE78yCCxs+04JTj{!~YwSgCgeL^Avp&;2jn z2T*SD6Q(Iw$g>RazQ`c+{CV{BO3o7d2R_;7IKR4>x?a-ZqX`v5q1KlGX62K=i{}Mk zRxQl{ucVbn0ZQkoKXjU z4q<_5W;4&cbseBnv~*(#@crT_Vg4Ei7oqL{JR<6?UhTN~F7-63^#m6nxRZ9o3%?)> zXs3=`CwEg+G9l^1MDcOGCyOGLs4mGnXIWqk=g#xO0(zQm1bsG*ZxpR0oUbClO z1LGN_keel!sW8;b?JGk;3HTu8C^OPfVuaA51e~tqk}6Wz2u;t1xv7qI{WijRsAN5y|f=S^z)zmLa5y%r{UZl!KP~<2g++Fd6DS z7Qe$W{3978mkO!jN@|#DnyW2onx~_uJu8{Xwg{&W=jo?gQ3r9!imTfE%`W_hh#R+QwloMN{Yp4?^LSR0`nE!^@_VANm-#`lT% z<(Iab?Fo1P2}-`L97~76rlq}KV*EQBrlW3?SenBtC6;tiMcBimWEApVV{?T)juc_U z*U7^}J3=!|Uku8xY;PzPeI#ImyY0+PMu)I-kw!m#hA`Iiud6sCc30f8ZIr4(1fIRZ zVWPbYqBhZ)tAni4-Ok%d;;kHWJg551zTa@5HISCc^^bv(Zo^CnXN-$<>8_OE@yFmY zb0J@w&X5pZc;~hOFq;x6uAM%HBXy%Ot>TDHNBMHCzH3Osg+R>9iBFhQuzkj&?tR)C zFY98j6C5XrLf!&ZP>+2G;>VrO@N-d*CMq{);#A_ci+otqDvQQBM3m}()%2St^h6-Sb z9AjTtP3GdV`Wr;n?i?ilSQjoD6;$$`*y)-ePl#udp3IiGM{D{J*{BB=Y_6SG>l{KJ!BRc^1%-AFQ(kfuNu?7IC4febT8rC zUW!ppm^HPB*}@od7N!qY44sWtTg$VtYdo8)#%kds-#s73>_iq zHijcwj}8dSi#`2MI~AH^Y3dri@P@Z;TTO6G`+shUdUc!I-b0YD5Uqom+_zkF0=@Gc z4tw{*yVHnVIx)1CTLz@BSJJ&A=T-B153`)7xBf1+QHs5A3o95xSvNBsO&C+HoS?Rq z8gjHC2S2(ytea^m-@D?|Dl*QsR^4q3j2X5{(g-tszf9=9{A?KAmlQz7h&%x=Rh3Bx zH!w}djd{x9yT)qHqm*fvGp;XfUO3Jr0`2Wv4{u)vZb3TQPb@R9M4;>p0=KDD;)u)L zJY!$iHax`Tjmv$oJ?jQ#Y8Q#|US^ZQSFE=``)`T!Crxhlh6W43f{nHXYHcjt@@xq$ zpVZsxS#EbdKRgsE*Q}=%}q<*P|A+|Abqwa-v&z1kjZ3| zni5zD#_7KyGZOGc9nDWR9DCFU;g+k3*JyHlO|!c!VpY0ZQts++7{c3+iqevNE2e~T z4`?;RH`m?*Yv?FmolRTw1N!1P84Y5z1y49i*xLtjcNtHb_a@xvr<%N3#VJNco%i3L zsHf}f&(&qS8~K%=2^BLj|D$rbFWm7D3c!B{S*wzTLA}nxo#+9{8+MbXoO#FtqBHT} z;;s&zuyv3=rD zI!hrs+t78nu^IRrM{0Y$*@A)gN`@0C$2mU(NW{$s+Ivve0SJHgs~HYb`by~(387`Qnc=~!7H?36YND1?EG zh`*S4{VV<_|7TvNUk3D1@Q*@oTFP&l{B#E>-Vp3@==-LVr%`+5lIT$dS8hWtA` zr-c3%Dfa)rQ1M^4R{y-$@x7%XdU)8UXoiJDYFwBSNGrL2Q*R&!)19oASoMjrKOEncA>CaZpvj*W z=$jAU)nZRXWuQKF3SUgbwMt8^oZB2uA$G7OVw9CMgfDqaD0S`g7-&)$KHjX2R*z;5 zV?Corb&`V1w2PRLLzqU(UsO)pyEhALx=mD65WYJDB zYOw{&rP69KUGBBYbBJ06Hmmisb=U{0#t&S1X5?pm?H2@ddTdUrX@=pK=YTSg757c6 z+N+|;2sx(9HuduBImImxQ>cWOUlF{gl5t|eG)Wrtf*uuFAR0*0NAPJ;bb`|8oQ%~- zxdv+h=I*XU#~h72H1D=<(IChMG|(US?Qx6vzdU(d%k_e4SNF}=hwih4+((8*;D>xk zW9<&4k`LwZ@T3UTT_0rEkZSu`r0%rT)+{Ymr2C4JvsFddU)CH{%C@aZbu(o7%lIHQi%O+aX6oVj z*7G{|#^~797~BS!!{KIIdUgg}HTyvX8Rx42-+F47IB&B_7CMWx9A;llB|m~+(`ej^ zPKoiGl|Hw{H*-qt>TWxQb7Dn&8PN*AI9bNA$Z0BGID5N%yw>9Lr?$FxV#dk7airH% zmDl9U3V}<1yEuyUnFd^9MUoBq0cYWtc}2~#F6+eD?WgP5gmOPnzvREIe!#b^8Pa$; zk~g$`g!86SpSNx{UE-zit8eayu`#}sL`ToAr=hrSxRs}MU*6EWL9bo;we@*yn7jvN zYA;n9n;gb>7esYtnbDO8-U4RfEhKRKPC0k7KohaCpg~26&Q6FChndI{6H8vFc1&)d#FNgZ0~7zrF`eO=G-sUt>Ha!2S_GqL!KFqlpVIZ`&@hrb0XhWB}dMqwRu5 zOS-~f@p18t{K?ZTzPt01gK9wIEZ#HHcYgB|hE%i8nU7Ghg%ld@ z?eID_@cWa>_@YV!gT$jM|K!_N+k)io6vyM8=?_FigsU1K2j0!Gf7O3EUM7WMIxJI) zaiMJnvlvgL=kB(z!$FXNESQJ{hy7K(U5~UWv3`tSC_UDjZ{JiPxPV%P%? zUqpYMj*BVLSkV+if8k#tYYp@N4DoUyNY91wv(W}Ux2AI$Q^$(G*5b+#s1%al#kYnx zt9;WW^USTGkS| zSdec2cH$qEC%vkKCjx{l+ncq_pMc?^rUD&l4G))3dI`pqP~SY6GA~{7%PC)VQyKg* zs-Tromjr_|9UUS0MET!0-THkZGP10%J=5}tcTA;IvM}r^ndIXG2t<{2b!Qft{ZXc8 z7T{q7@qBNCsO&`%v@fwCldboou#1>MP-U}LcIPTP_O6g*rU8Cjiq`UuXQ{~@f@OMAu7mi#BDlEk`T1Qf*TB=V9NP!a=m!G6zto`e ze)mMZkStvWR1wW1=Jl{*1j|K&M)r9)1qBe*CH03R$MrBc7#i3$R373{b?Q^DcB+5& zNRPD}*w5R)Eoi)uqHN2WUtG+)k1Za|vw0v+pQoLq5zGCBgzRIqqmS9t2=30~9Jo9c zoW8L>Lo>xEtfEy*bXWkef~E){C;-TYhSx|%pTL#@N>XhC0cN~b=8=`met0!{v^rG9^kR!yD|u4=&sqwdxS|2+k& zl#0OeZGQf`B1o=H<&JJZOuw}zeWKa>bu_8vwKU3EUd6qRW6704z`9trxxxO?!remO z!(YYiU-_w-R$iZjT+7O8n+aAvpI8)-!#J5eoyRqa#6Aod2?e#jiCLAjBNBH_IPJ6R zdsfFD%|N8e!zfzQk`(6Q-kSbw*IQ8C$#ZwKbIki?`hK;1Q_Vu-%LBjYtrfzLFB4a) z3YUH!@1|5?7R9}TA4^8Qef$tjwP^4K-D65s0p9sBN&i^6G;0a9pfM}|2C0Ns041N9 zt|Z##5DvjHP$;x^9283wuCAMY`rR^WW}}Q+rJpX&EY$Db0R3Bo#Ww4|P2(-HO=+5N zqafY2J;K4ruTF&fl+wc}ZR3-CJXi=B$>_gnKX*s{I@tfB>TOV~r|Dcf=z$EeT0mi>QA^-mjui^jZHUN?fwN(X=xAE)YI;k2HFvNSc zr-6ae^0VLhnAj6K6pkqUC9`2Mm)%2&@Y~Z zN@VM*%nzo`s%`+d!DLWDpiH~!&?GVZ!3_>k6#$6VGypgFpD0HEz0U)p(Y8}g#L<1H z3gA85INVsg;S037XsM!sU=Sc>IJIOO^=;2h$qjDM#hu|0jZZqjXKV^Hf0!fUR0aC^ z)aeU%5EJeb&j1@r)X|GO6FohtmWk5CE%2hg%(zsEqxLbEBA3!M^sj2{SI0f+wyR)| z75~qx!aR+mrOhOSISvkj3s_e^CXt7c$KAAaksq&yKt8p}#o9KP5pH=#x=VWevfz9j z-5)<^)qrM0kOwQLx9&Rg@j8@ze#$QGVOx)(jiV zH_KQFov;2!gX;S3WZotLLL=e+%qN<$t0U+LpLQp!D4(*sDwo|s#wd}{F$CuqDGB@D zG}p~4UMfQ0cgF@<(;|MBE6h$!P6ZVr>cLNQ;Xsq|-^wINOJf_&0! zB|Sl@Xo+K$-@mB{60WM&lafCW(3;PRJio4FDrsa7%|(P2AdFU%BkV7to*bDkrkGR& zjbqNP3a31-#r_$|{AidMr-3*N*KN{a|9nu8?SdKVBd;A|XuXb?jjP%fz{sEe1hLkpIdqTo%oYt zCDTE>Ad11R(dR|n6TWY&O8D9~{24f7CX?0aZL{|z)_BWbE7TjG)^{B16O!YHa<*sT z>eQX!M7R{o=j-j>xHcvT;Oj~|M=@FEE0f1`sTv?+-c{LNG)=qCkak+mJ*<<-pYt5> z`X>Hz1)%x~X^jkJO8{LZxd|}R>v-;6ved*-rFb}xSCU|yWUK~#d~gE(ocz<7E%NiS ziOxlWQGnzf+4k%ujD?j~kS0}8qqa#SiHFKmUp!%!WFx>t^mVTw=v;}|HY(4PNFV0f zQ&%51s94_|P_aZ-DfO!XE~WlO2=Ag?=yeP`E|eZ2U@|90pU)K($5&rb zX$Ei9)g)=)SG)}akqp||Kc*14D2+1GnsC)~%haB<+o!f*sx(lnV0#8Dq7Hs zcg@F_={f1DR(Z-}gRJnctI}^_cwv>eSB;cEDR4WesNlfpjU=tM7{@#{I^cUcA7(h| z6;iGPZ-kS5@-q1i@{;I7+HM&=dbV;jb9knE ze3zhX%g{-clcmbwZz@$%;N=^`b1zvx!}3Zo}Jm!^PhToQT3p+(OW2s+@bq{~bKn#m2)}{c42>$?3Ks8q zv-h)=4&i4RgKI;{I=Vbb$Xju|&o-|2zM_pSw(|Lt5()J0ZfmiI26utSo%f;1U75%S zjlils9CTCsBe_S6#K&{X2+wNhVXSC}40|@ySPs|&-Tg_?!)K#-AN6WhAyw!h)jF*> zRw6$1&@t}jrTF|siA-ulQ-)P9R;nYy4$vLVHefpKBz;GCY14hg}_B#n0_ zU5`-0+UdTam)Q;+A+=73v=E7_Be=>mh(Yua93H{NO zaL7Wc91i?c$zF2LhkoMVyxZs%)j*ri04;L)d8bC%%GZvosn<`IWKYmZFc~y&|ER?K z(%ui3Ape+=c!r(u)E0tz|5(06Z*H+4Sba;jMDMI>4Yg$o5jRjdmN*5q;EbiMw(zgA z<|p$<^I)SZ9(T8ex-lEDN!N|D<%X~d4bOQqr1kanx~tO!qoa!8RHN*Xy4__ISW+ye zx;c2*z8X+?81&9*mlz-0iRy_jfJHoJ?=-^o{M1;3p$KS6! zW%g~3|2gc0fPK{mEP09QM~Qke2=(X!^z<6%nFeVs?aO$`X(~fGsyYGkeh3AQf&^iJ=LfC8h<;tu5uI52o14E+9nP zE;jg`8@-QOR`aSEC<0Biw-7M`+ss)&`%|g2?Hn{NevBjj2W`O}KhUZE z7K}{ePw^X+g%%rq~b7t0bbjV>@hi@@O zrgh#KJei375!QB)bgyFmbuaHniW z9v>t0ljof}@(DA3xELmJuT6^JQf9J7dSsBwlj!*-?Xf)Iw-;nid-f92}AznLh(TUd?nSPDtdAdZ&nkIJ!_>S;KTmuq#Z}~DVX3246jdOf)CCuxQKI; z%xxna_qBsNg9TU|m1;c1kHZii_W`8R_b9zU^y=7Oei1?%$LD2{mgM?}W+m1%DMB9SdJ-AHJB1c5(%&FgqsO)A{GcVMdRPnP5R_lvV1?1Vh zK7Mk4l}i)XsiQZ+2tmtV-h=ATr!amw_=- zd%maQfYMV>zt!>0TLsvSGsWpAJFXk#p`xOw_w;mTq18BIaZmMrZp^~^;Cp4Y%;8wx z?XO?;R~GsxX{)v3fBvot+E>!i7Ogfvz8han;lqheiiI+xevv>8!ZvuXL9(P{uvDi7 z89C;GMt)b3*D)xVgEk={sD^;1p5x?i&|GtGuOUh!f4oiW^AIAhZ+T4<8A`8Yknwa3 z#Q2=MQ8gr?CVd{s=ex{Uilj+QfZ4JE`AjnfxjBPWvjd?o4D5y7HSxOD%tP69xHf|? z#L5G!3^9+UA1(E|Z{10gdEQrJ1^yeHhf3^$U1souRt-@j>=pqLX7$RI#@j`dU|i7to>h0I@=sFUEsT&MgucW?1i zWK3YMqnkH)@G+a!C9v<`NbW~a;I%?UGP8f?is^HA-Fs=66;nmEbk-#>>^ax;eOTa^ z`#s4?@Z=ztKVimLYAy^`JNRVTa+6b*_H5J`m(CKE&dY(mm(lr_AK~u-h?gmTB{cX& z0ODo8edR(2w$lC5jMYm|fcHk0m)OCyzHF9(PWNQb6>uGHWoV8kE&HCkqx=BXFT!s< zj`4O*XPP%EU|X*5u}Cz>LS3*J)ea?PBT>oOZez-`^~GJxXY%%WGR%Zs8t;QI&O5(eCAHkqV9;6~_M+Vj;Ql8Fb_vuK!)w}e2 zt2oTTNH>khLaQ%nQR|;OYNyEJR1Wx(Ms?twww74RdaonMRo(@v@~8w9qXSJWkb1S& z%!eO5j>_xVEk;_qeuqX$E2q2u!$EZ(Q^5Gt1WXp1aE^gRHEQM*&n*=5+AST8op1F$ z*&L`{^Wrs#EAF+<)axf*0m~1aLDCU=@_>7o9!5C}{TX<@?_rUq=0hkmBM8d;priyv z6@&Vzq;45Red4f$ePh~{xOpy&!@&;qk=_&tG$4y&sR3ffM-9O1L!qPwC`JHX3y}wQy6AHialiI}>!^x&CC^Q6u03S4pm;gwVgr;r#DtuNcgceqvs?|D{SLOy%-O zOn_UmUb=7YYOLO5qT`BqLuE|MJj4v<)G{!>FAIM~A4}!t17R#8gvapMp z#a~Z-fbrNW$W75l3xAq7`HDivCg7P1O0T4SP|~oH5Iyz%^&g#`|Lx7W6`(W4#`Hoi zNr5V&Fzp63f&7-!DbLiJCrK_m*&Ha$GWWf^H;QF;BZy66<)G<>9|i95UX!-I7|()L zV=Kutd#TPT*CdT=h6e1$y--#EBIT&ah3(><+zCckIo=G+ohO_0d-Cw3(j;{~$HGrS zPRe&-(Q<*Yk@)E3Pk=J^&k{93lFK8X;nKkl`IE+(s*@LC*-vGQZ0ZzT98J-MQ7NBW z#y!V6*=FWfL&&cjyw$32vW+sqWG;Gt<*m?i)@$naf;uSns=AuC4MjIq&FO|GU07a=F;yGog!dyz`_TedIPIt6eBhOeFf1_dS6 za?I(queF8J3@m8!)R0d1eX;>zJjm);Hbo_O?KX_*a98oXUsGtD^p#;LOr{Qt$?TZYBerQ4!KAZXA8*8~Uz0t9zUf>a2a;2JbY;qF0$2TyQ0Vnr7AA{gRa7j$gv9nZLLB+qid z&9oNczLk8a*)B*&ImWLr^yU|YpTqV}|D~=~PLyU`ZOBc6@$=_LHgt8fk@Zg^BiSllnVd4j*+u0qr~jYG2F-93FLXq*5`YzB|g3-0h=z&R2EpqdAiqX!o7<2t6r9=V9_x%;XW<(#C+&J#cyinbiyz%|3vmq$1SH^dz z8vvl9_;wN5Y@m*rMy)g1*VrddtjEHb+I&>nDX=zL^jP6P7;t~^ng?lSF3m?fkKk!* z4S5xCg+2wBnn9fj+eytF``Z%RMC&L|OZ|wvpHxoWXcu3EAi5+t$0}ST+4r91ZsXZe zUpfT6^$$uzo67)q^Xg;$@m~55M?87p9o^sG7_TnBMBe)gQA5Gl1-6t#$uuZ2xt?fcor$ z?tuM85XnNj!%)$y0_}PJ)5yxX`x*UeyW7U`l++3^oW6}XtC7zw)Rqm)mV{4BDt>K? znAO$9s8vl;u@@O%I#z*iyut&(8^U7d<^S0nMdZ%1v>vfIWcY5956q9onMY&oTr0>S zlsH;RLgo!SZVEg$ffvr+u#N6Eu`JmU%D5C!a}jJ?7Q;p5GfeuczBgUHq(E!fl|h5TAFO5f%JwPP?W1Omy18hVIFx1qIRpsrq}TP`2h#4ZoaESFgaU|Z(VyrNS*WJ%CXTsEQ_R@J;f>~j8)E?Ktr}Uu?_7#nz zDeInzJq~3X2GN_Us;VRn4H43avaNT`<5ms4T?CaR*u7t3N#~^ESBLzSc(h9Vbp(v1 z%q-*p!M5rXMGbs|skLC{kdJ8{4v-i3bUmanx)(`!0irvQ+cJAzTI&2)a-HaZr7K$h zN9obR|C~AVmr0#_f5X_aq|rnr*c%fwd+q zQ+8UZvEbEnSX{Qg+AtDNtZtTMO~t}Hwm6Y3i#MCzyNkx+@8zoU$~6ZX4rBU0m^k?@ zP4pvl3N33!g&z}rF%6`A!Z(nOrmC;4!2poI!^hY02DEHT*TcOm$4tYu(s<+}c_`%< zfy*AA^j}tK{hzXEe_ugX*pS#S`{JNo5U?rSle8HZa7L_ma(WM*_sqif4%RIgZ3)%& zDmoWV{BVX!2or0xv!@g)NS>?6SBP}`H67yQbW44BtoE|2TpOuQEf({QNvwisdS!s7aLcb9foSW{5~zqERllJ` z5h|c$uD2FGO!Gmsjd>&RjfcweKelzBVm%IV7)>*8WCH=g#b5SX%!Sq+aCkNlOm6QR9R4qBRRYv;>Bj_!vG^?0YW(gEd(+Czk*M>kMMm1clb}@eWXSmu8c>1qpPrmX zlh<-1J%+o|b*D(FCa<>krLVCrTTQ5Ucp%zmS}nJ&6lHSv4%x#r*9G_t;aO@vyS1g= zLq!LBIhEML=d-69Wyh})!?*F%GQQ8 zM7%*Zi7b>W!iy+!C`ol6m-^k@(*jt9GfBPW`Wd-i+-B*SY z!IK&ehO^sbsfxpyg$VxUL9~$fRdhXAU*wk?{&js?bfdeXNZme@!kYPe_PLsQP{n^VjF&+?3 z^lM2z#|M!)ppNW&HSFZNhr3jX;|6VRKKWLk1NfUO6W9|lzLZB&2}{jgkT62#yId=W zKoC{Esr**A0_3X?%O&n*1>dIGy>`@N_c(DxW!Ks7Vi*NLQL_R1q)f53XygAfQ?h^8 z9sScIQ1D=Qq71C1A6iK^A4(*=KWbFZ&%NG;rJ#qu>Ii-Hq$T00of&Q^liGQS=;%~GOHvGd%4@Z#|k%cYYDy!FF zbeLFkOe|Wgy?*W|t@TGRYINK6g_S1+8N6z&pj()MqY@b+dtZy@`k@pZBe3n9Zm#fV zX+|kktfZ^j@%s?ye%mt~pmJ6jz!PXA<7reywYoa;I0}`DD|8Dc%~M=>EU^4!sx=S~IaM#}E~`~?p^@khwg+C)bG_$s_NIWil! z=TRv0JtMa2`_DC9K|=gRzkzU%bt=biD1EZ5U>XLjqkLaa>fesmOee>F9_52Xm1CYf zpfEaJ$p8r{igt>u^#t58Y$iAOMJa{Rhm@Cda5bqKKS?(w7HN`|?)-LN%VK@$_$O(_ z;jzy_qyz=r@Fi9Ez)#dXoJ^SB((b7MgP*&soJ6$GPN@Vj%DiOBkF+A}v_$x{%t9ev zIbeKrfG*RrK~Uu-Osmr3GA(iughi2;ytH@iF4yi$r5U@Oh;G>ES!m*|!a1<#p3`)(||CJt~jeWWn}cS{rv6|4lcPLIFU z!Z_s|#c-i&%cLM&-`l`mFSxJnC=hkQQA3SjnlR@6VGZVT`b7StxP%UE_3e*L7Gg~K$;ou6-%<&%j^~5Pjq**IGWT_>}G!{ z@ODlY^RDC9fvTc|P#GaLHzv8>;OQpn+*!EZg|WDHuK83TSt%2yFVWf-u>v1<~>cYVQ8&iv(>8OcFPTs+V>ND#(=BVep*T8411-ZT<2zTnXuD+;Tc007|D04FidRA8x zcrH3wby?o_kimueo0BGA>wR}k`PT63H;2<G` znkS6zWy?2*z?~X(LJDtD6xZiFGWU3ymKmdF6akcvmpm~ydU%$pvNoB-yNle$qVjnU zOPR9)&tXV`$1R)&C5M+%zA*ad%rRD-daJ;z~D zh|Zic#ydGj|LSL8Mx)K2MHoa<2fOZ1o1zz#r^Ur6T%bxUF<~^C%7ZBk^ zJpBAC5PLGc3+Au2xDmckb662|qu^o*Y;?%g#~3F#EVKdR;2PF-9Or&%WdSgOYshLA zg%G89iA8(Mqn2?AX7`;=K^_EuQsWtYHIq&E>!Zi4*KME&@!dEp<*ev!-fAvfk2Za& zE2mwM`sL1cpXEBNJusG^J$<`oOF(|l6de~?)ssGTGM$<;yQQK%#C0XJmKgUdUFq0* zuIUY$glQedYN7ci&IaikxgRe7`j`tK;kOmL-O(NAUZHsWAPR@ZCfbJe!-A+20%Lli zQygpgX+y&!owpf}ygo8$^HY0macWSPq&W&O^o1!i@H%CFrEx^_Ydb4irvEIG91WR% zJ&~y#1O8#&A5k|g8@ms>TQbKDI>nV=`Xo*s<)Y{&KmI+lgO28R!1^>F`>fSAdcxF8 zD|vn~FyN6%#Zbmn{Ng7Rv5PQPRA`WF62p_nIk!1OB>Q?6gO{!l1 z{jaSCm1cWPDO9%%Iy`dW^Hy-z9Fd1EAJ#qad+3b!>_#eYQ$7EU^%h3kBJ3xzG;d>v^H$NHDp(Ju2i5y)595IVoBLvfJC(NJ^ug54}Q^ zLyq(J4hcIeFx}Y|5BHOo2?j7AOt1q4FIbFrTc3KlB}wB6;} z8*BsfEp}nt*i&CYdaFrJ(L94-iFJP=`d|C3i=oRjFJxo8=p$Voy_ULH{ONaFY|ZRV zD#0_sv*eq%Q1;(Iu=zf(yL8FaRlA=3?~9NyhocIizV&(_gDml=<*?$0ITKET0N<7^ z<27p#KK{BFuAi!2g-N|8jLtYWof&wFZ+vG*^t7Hd4o^`{*NdfwfK0*YbFZ$$TAR5> zH|G0AlQ=ZP{~}xIzoP{A|A1e@{{rUS`?u%Vf5#%D91xw0*kg~u-l6|By%F=nP-+Gi z8*^ovIxhd?Uh((dC+Zm3ZNjwbf8Olt-zFZp_xD^A(jQ%~U&6io5ZzNpSH*Xi#&bhZ z!BYT$08k3zyZ*}?YMchAMIAhF#N@liGVHm7i%iz{TrEV zy&)2xJvq5|PB;diB0-nigMOb#RI)=%8MyV!9_j*$5}FO8r=q_rN_3C^nq%rM2Mm1F zWbLJU>ay_v9Vh#jJa(ac6D7)Wc(z6!=|D@$<};rM73Z}(W`DjP1R1oeji(0aprnxB zjj=FGFza)4tTc+AJIp;@dGr(Ku|r1}1IAt~1*zG{gIw1#+%kMt%eJCHVZ`Hvjkf z{8z?C-loXL4YNkWaC6C4t)E#{U3EA$2mfB{j2P$O;6z`V2SC!f?nwbGTmB*w@ZaI@ z#@72IT@CqdRu9_@yE%M6#^DCraPW?-xDm#Wt=WtGnk(Tdv5xCq-{ZYead@Y}3Ig2O z3T`5jGta1|T2EFS^ws~$RQ#W_n)w|uX^AaGG9%ZTDi_$WgV)kKVaaq_Oqb>#zv=bF zDYd(9U{Qjmr~Wi6Tew#!T-MxLA@n|bcQ0rK8-1HaeR8nPR+YmKM30lE_BVPDL=_#o z^ju*lJ_>R@m^%a4>e)RDej*(6danXoTte4`e|Fs8F3iGhFvv40nU(R$Ge8(>D`avm z!_|`n+ZdJ-0IeW;6{{(ytAfB9jyHF@mo~m5MGBudPJ_m^=lZiir0c;+vU>L~6^M^2 z=vliAcl{o2Ei_GdE8Z5}C}Tn`$w#8YXp(lw6C1y1$LZO>JbVRmd9Mis0yuP)1%AC< zOQ~O%uZLW6`duhgl|hei6Wr+HigW9qh!+0<^ z0;H-K*6g)qUp=EClE<9-FQys)3W$?&V{fzOtCZ##jLi}0AXYgd;vrQasu#zUr^|g` zqk_e7l@x}0*wK)f6j;)AR!8G#@eFXb>cbCct`#v&l{(`C972 zbK`x0E<3HAvK^t`tFwRs(i_1C{cq6fKOGw%ArpCN$6G-^%4e1>I^@N`OgaZ4`4O*B z&GDCKo;9qGdY4{aIxYGh@nmr^EV{C3Q?C+60^2jlbaie)L`|5pB&ntCIR7g3qTp+f zkMY4+7GED=osTWPz{bR|mZHx}&}2WX?(#cKy^$CbdpYL8Gym#(6%YElu!{-K#BcoXeW}Md60<1y4OG}P#@`#-8;g`-4nlZ|4rW+2Is}kW zv^|%HV@?2epFlc{=_)Uj>O2_Aswz2++$ya-Tak1#F6X2##3HhHx?%Lu8NV@GLMq*H zNFX#|ifV87Cd$FJO%(U*Yri}%If|Mq>|YASpxR_BFUp_YJ|mgkhH1=9tE~*y)`yuz z0S*Tm7&JtLlei%0@8Ms?jW9WtPu_4m`8&)QKZk;sL$@omjo*_t1&QN8ls_pXe|XDT zUJprj3kvdNCgfKZAV#naRbV^lm|K00FO>Vi7=M~T&JM`87Esr@mJLHqex4A4Rm7Y^ zMk+`CEqw6{!A`W)bK%FVz~ncuyKqE#xDm#OT-XNEhrY;lAb;MIs#s0qb6Y<`*v=;{J+tj?}TJUXNk?th&DxahSFB-P5%m@aKSpWB?M6%MD4S!#3{y(x}VGqBk zINXrCh+TqBxTqi5E)V=Nd=ki96XZfa|L#*gZvbfX<&QmUmM%Jm%ES4D8F+;7{UG}` zB23*dY$jR%*d!sAI1mUBp_f;S3vZkS{yD~1$p!ijpIx=Kms#i^y2ZTJ@qzYB6cy+- z#o}oPY3qh)gRw+0lz$u*27lH&-qcy>dQbjX>{)(Ssc!k}WKD7|OFSCX8vV1u9GU~r zn}Oq=dGA=?$A#=7DwPw+?LoII$8lY+KZH-J9|_$(t+sEk zNWCS$%*6SI3T1abeDfP<-tRjgRbMyOh|-L-GbK@2R{rsW+Pj&j`%&a|tkAbwr)Y`? zXg`Hno~pN`$R({9pr{8|s~dL6xiL-p9y7YgY+R_njP^(CQjqaJeT$%er>*YCV%Og@ zpgzKjTYgl=6<@n%te_~@Nk;s&W`We&^)S9Jw@S`k!Fl*PA+`tPogHxA4QBCDzKB?E zmXPG!o&irMfQlG<&v+iVIhL^X4z-DDyucQs5HfHLpN@8m%7Mz>y6|_P$V{ZS)LZra z#FZ@poP|&MA$LgoYFFWRkGQRK_BB0OOf*r#c_}Tfuc=?s{JR@OF01y(@t~1{O43;3{gK3TM3DMBnZEq zh~6@O`)KeggXc}6%z$Lum0#>RrR2l0o<7ym`ln~Rw_-P$^PW+FNUD^N)ZYo+|Jl(0 zpFRHnXH4V&jVt~4jp6*-n+|jJwyv0A@m#MYqIH$NC12+&I7Vt_!?6=eXTFcNk(=LH}sfWC{W^U2{e5`hMhBe5esFnaHtUx>}QB zwuv{#*bs8}MB@QB#(gQr=09)EAr~1VcP|ZyuK~~D`rC-2E{QppV~J4?)TD{+&EkeF zrt*d2?$YgiUd}~a|E|x4WMw%g%+vP>$rM)g!dFvhm;jsM_kEpY-o;FNL-UP>h-R3x z!p<+JH3Y)2>v@bnxE&b7Xp&OaS;YS=1@t;Lla zqG?3=;zk>0l38CXydk7VKYPbsb3328+-UtZX3$V;Jjo-_6SFq%KHfw?&}!z_01#-q zMABf&Xw12%xgKn)g6g1A1sQ0YxOeYWG{Kn*@u1@}=a8 z+7Xj=x8FeTR)?x-C8q@(GI(n&wrbBM9$$n)&YGWIE9jFe$5}up>Bu>l2ZBOA-V`zE z6(f+@Odr+GZmWjH~BcyS0dnh>? zd42L|FdtiQa-ec6;_5O&@bq`msO5o-i^HZl#J;DA1QH z7@=+6>D<8>e|j4Il3|bC!wVDxbZ#ENU35 zN{$;Y2m{d$gR=;g8?Oxm%FJ7?O>0}oVX`Uf>;-XNjjz$U*oOCYv}ULyZSWRbW<=E< z&Wr)c&XE&cd|g>dFZs!R4u8W_%SSuw!G$@o*>zQWmT=I0lY1&1Bd>^SALK z)3+K?(-+k?YmHjwktuwI7HKAb-&95Zfm3XB6ROKQlX!V9d8(+-vNWmo=;#=Fu<9*I zW|FpY`i56ir_b6AkykcVvH$^!E7qHci{`ET5P&aa>d-Z3g@ZL=Ke>%{t<@o>17Ir} zvnM)op>6of!0ig_Ob*^umUC;7UhC?Rk!B)Pk>IXf)K9J%UXLPadmja!fEchHTwSJf zkal1Abq>*nem>xDEF06dI)=C310l$5dneJ3>D;#!EideM+~Nlcw-3$~EH#NNv&p$5 zZ?~u^IpvI`9&LYMQT{fRXV-ORII}5IN0s1_1_%3cd2vYGMYPN;d=FpohPaggL>sxK zA$HkG0Q+xQILqWQtj=B79@Da4_`DbmO~>VIx_qc0yMGgJXWbl|L`{Gq6;HAJh(zwj zDK^J@arT(K&0yUKk++Cq3YTHsTqHGP#31qv-*EP!?{sa59Gk+rh4v z!TaZ`(c&HHw}PF9$ayMM*<>@(v zqd%0C&($B5K{R=$8ac)-TD)0Nug`fDmyia_+6P9m8U2<#2mHmm8YGhMo{0J@7**9+ zaL#|Ai#2?5LEf2?IGkBv#X;dmy!DiQHHIx<%YgSM6GWBCj!EXn%W7_PHugD*`xo6i z-B+67F3%2HkY?ncIm=GA#A3-f?sbnnxQ~_N@}V)q`rs(-{f?URcB?Oyq{PU2%%r23 z>CTw5b?f{)S6`pJV?*D9JXIOe?Tpd?jYd4B%mo2ipU5}3|&tJS-8y4LV_X|C$l)C>{cm_avxBya6Pu4bWL!frI zLUaFOTlv2uz5V|XH1x^=egH`Y{T4j_ZoNaL3L>%X)L*m1M*Z64hVt0P%I#Xq& zrn#@%Gy8vpr;k+J`11Bb6s3i2WkAa9FX@4D0RJ*+5X*k|T;@l){JvP~J9__G2 z5E@g;lDFtmM9GfzkR?>yPG;(q9TBz~hXXq1xarpQnVw+pj5>3!#kjO){T0G+fTg*s zQxFE|5D44dre+Hg=Jt~Ab2`91h~eDC!h_&Od-b_%?PY+9Dr~q*PYm}xRz^h)4Hm3D zE44g3ZYQ7QX!zOI;HVe*@F-3i>qe8z@PuPW_czc^%eOU?-$3`g2RCk`>h7N3{96=Z z<6b^-o)Q|&QEn{Bsz|S{y^g=Fu`Kk6%ivXfoP-(&L)m{S(#63h@dfa=OTkQiHPHj(6t zJ@Yt0w(eb~?(65gVG<@f7uV0~Y5``i{0xl$qAZ8)#PdE>V^X?gb2<^XC??5UZlOY*RoE(*S0hiyR z9kNW*IEcyH2?<0g_B1iooQH(fJ`J}d7djIO;adVIDN2ECj(KgM3f4c8m$}sH$SpLx zV!Aajkl4s>li~><@j3B4b(<~6TD~88mKh~RYk5}EsLZ>U*-{ffkmx{_nfSvY&FK1} zrsNU>%Iy|M@=_!8hTXh-;`-e+ulls}NaZ#x!!DT|E;hpA*YBanZYCub&HGN4r%f5& zSC(6oU{KD%@z7H*P0S*B^6c2!l6io&580LX-A z^)#U^QtP(e-bTbM?km!?&yAP4B4TW#@8o#RFkthrT&y{+5 zcdtk{{_TY-CtbVA6g_6AhR4IO-P4+>2#Qx-OsDCsSV%<*5d^?P$$Ne2d-Sz^9m`Xl(^xd-VVQmF& zUY-V5cNqnf_ArTuUBjtLN6pP#UXlu1+ao65!`XLZTK@tP`$u52|CieQccdX6yL_F0 z-M`JzX-n&s)W0X`kAE4{ZdLN6-9^FG;)DI;gePb04dOkAkNtQrbh7T%8-`>aaT@r| zS_50YiKs3Y_5K?^pzPG~_^v~z_Abqq_1|Onk^(EThH#}b$IFNZBeolsdLlG0R-FRp z928}s4u!B(h1WXY!=GWZmbMb@Tv>$LtTas9`VOJ@KGB#RNn%L*AT5qos$du>qIVJl8&E!+6htv)DE$(y@U77W@P`z%I&3rT&)a#l;Sl8?6-Z^bL zDQyi(U$;lRChR#6tFyDP239uKcy4J5EV3&<{i~`$OB%cxSuckiyc*BzYu2k z2%XbG`2|wusH|lHlfB1(2KhC}YM1x0UNuGoekIwpb>7B2c*Ge&i?lg&i|P{vA~8ug zwX2qI65ouk6_72&)i=th)J;F(X?!?uEp z!zkJN%%(_WkKwV|15Ek0#lY^5XHIX*uuM9B_jW zSI8Le7_g0=DAbif_21xGhjBwG;-tifB{o-zewKYjn##D(^1QgOtt3tNJ?veYT0%T{ zZ=EDhNal^IrFeSn30Zi+)e*dX95A8H37F?jj(g>Q|J^A69&aZZ#3curH^_#d@PA)) z!r(8r27Ei6bB(^|y*xoIRhgsmW{G2^@SM`^X)2D0d8=e@gl>hV@5U%vpG13l&UTW{ za3<;gH~VC=DxVp>n4f{Y65TNt5(ZwD3t3*zj=YpK2cTDm(18RMc~G1bdYq!goVAWm zOF~0JGMkN7q4pPY{Q7`$fdC~itwi%PS(2n!&8IICkl!mN);|IvI#clP86DEZ@{3UL zsEOv(!4mWVj=EElk;sx9%OUC0(6X(Dy$ZNYw z-qK!Nu;*dOed}rQQuJ)a;l8Jra~HlM-E!Qk~}R#VnHd+~W5tDNIhDLW8N|6}vg|T0(ww zOckaE=_+`!6cmjEKRoucstOz_?OHxv%~Tw1V*ct2g}tng^y2lT=2cW#P*Ru+XHUad zX>43wr!PuEH1>on;dwZM1CTc=@!myNy5Gauz_@JrC57-U4P_6%$Kk)Q=a(o0R##X6 z{?7?%=CUN|$9bA-!Ly;w+G{BjGDbIKE#-CA$^ij1mvypmJV>`?T0hEiv_w5miK7;p zcmTOuu?=4aY7stOh~5R#U$O&bK*ahIQN`LjIyNF9-vl!)1zf?=e3E!@e z3Z4bmo@Ji7Cktk1W|-hAJrwE#g5j%qS9H2pOF^GiF&Dbk^N_l*t# zPyedAX^6&(gBsfNVmXyT%&8ms@@PSAVgrE(k!Tw4zQ`38i10tl-5{%gj&7@zfLYWy zSfEqo9FH_u!o<{b+-(q1R>!;DD-JQ|O4qOzNoN`tTFZ9@B5`X0kTad|#AnlS!9uWw z*X2VE?1|%d3>PNqlMIzVCT|c$sWz5p9-0nUiT7aSdK0NiEiL5qn@Ngn%1^s5ANcu2 znyz5wJ0bX;IyCb4g!pbI_=expDX&1??TJFrcicXHv) zmX+vRrQRQWvdlI2#_cf^dONB=gOESx=kmMi0I-7SmEEo8Uu});v7T*P=S{fa=_}37X@l2a)2=WTsZnZ`+`|Ukz)H85cGRYG zsZJFsHQF_^Dl`ZriYf-7GU|7uu)FcQ5fbx*{^Lli+`YGpBo3eA(@c*8qeIFx6#44| zBceB1OVh18bu=PeOdv%_kB0^=z2pPt^NyH2Xy{3_4%0QZ`;Tj&N$cw};n-iAqI-f> zc)-QZ>v0fq*W(k8@f1~C&T)j|t!O6irt6C0gk-hC0hM00Wxk%t;I&v3!Hp|&ZNFQI zH!{t<()oZado*j3Ym&0UEP0pg$Kzv*NN${9mN;1)F|-VacQ^8rdn9%b+m`xnW?jG} zUiUuDST>r=^%+sSWh9f(n1TiZyQe0{liIPfE34x$1!AAeKZXr&;9r`bG9z zkC$j)Q-Woc&Xke5+q-)W3)PYwJ*)01{FQ{;M{$wJ-OR>E6$hfChTrUdC3usUgDc!M zW;7e4g{bWK!}INnt9YVY`g!~kKlClz5tXOGueOpnmJTyMetxrH#cgqGp_u8mj+mJ* zamS@B_kHl3YzXE7u^s1)d(pLu9($m91L*b!3pUy#ZbNG&?qs=!4@_%foSk7IJVzA01k z^2uPvQxxvjP(}GS<9T|V_0K_NXE|hk)6T!neId<0J9dWCT#PCGJ<=iY6_qC0M5?9! zE*dLL{mQ zY-ARmFE`M#DW~WaIo7jVmn9|7WKV96CG%a9tU7`J^g92b^_^1(eCVXs4er`(X9w}K zpn5xEyY@XvU}U&{)u;%Asyn`O{;|F=^mO96ZpV_?7*S%&r18kraH_#hpyB<;{+W2c#X@;hFt}V+o~(l~X!U2~GhTTYE8&JQ z-Jov`@jTfZokK~KJ690sZIks^^%LQ_Fnl=@#tlSIBa!hm{F$oF)P#~K;4Mt{fu*2| zQD49Fl4FSz!q4{hs)dDrq8>k}?ptgoSlst=CpkNK?s1fZTYq}HfuNG2d>*rugyH&?jo1>U(&q4!_Gr zSu3wncws|c;D8;Kp-xRQHdFBH{w!jDc{tG%YHbxT|uRqL}z?k##I4m)Tc zDO9Ew@Q+r?sJBeo)SxI^n_#eGYAcWNVq1womUwW{wnl?_vP8BUtAf1AF=daKm*)l) zm&CPd!RY-4yS82JH{0-)ckfW?aE`r+u;xiT zvmfBIKnCJHacZ}>Ueo*w;Wm&PUCZp$2$>}Lh~5#AfU-m!3i^4-FZ6P(S1H4fEL5SS zfOHCeZ^6qv*-1t({aZvjK54&|1jFP3zjYaJ`?SD02|TKn+|9NRLyh=L;74WzO`7wK zl_I_Fl<{=-*bUgi5`XTnW>inRU*{QY#dFEujFI7dWlN`tLxv6_&|F?&dv@c)KRdNp zTzD*MFaw?1Yu?+c@UpI)7<->TRkNukmvT4GAFa$hFqp-TI?8ajm810_Ogw4S1=>#TA&fsq z&PM%z(geqNx5E*s1B?7~in@BwbTXvGgLYDd8ozjYyr;t=y>~Hw*S&NdhvXgAUZ&J&a`;tPS$hz~=4m4qtl3HWJRUodHn&K(i7PYFu=e%v zAq91(ZJ-K@qFowEVCE%uI~SvUV+&Av(2M>w^uW>O%qcd<1*NkV*n!=SqTDH4S`!@N zrEh@TYt77Wq}6})-t8SnbIpWsdw1~2=z|#%&C#_t`@M1D$G1;_2mrGrPsi|Q2ARCA zv39H}Ia|^Q0SZ!wzsCqZ` zHM{x%m*v+Y3+BoY+0==0735A%UB&_4U9zkj^AKs7L8(tYP*WSOopPg)K5PiGkpP_H z&*%@+Y%{N4-4U0yC|nxIWo8P}Ki&NeMBurS`9>Lc@_ky|j`dCv*L`NvXG(0_XDtos z>ZBWPA{8-N)1@`t%6QPONyj&(-&d^IAbqw@!;6?`Wnics@kf|ItuLcKbGBdvep?lZ zu}~&%MY8y-*bG9f>0+&C>^k5DYw;oGWF>)`?{hFPK&i+tb$z5^_}Rs7w^6H;`}X_Y z(oKo3y}ha5W|nFEEc!0nZDE@3YfiXe9bBwC>`jsF1x6g!vh}yN!Xk-+hXu^{1*`8` zD=r*$*hGtx=Hc3DON=j9uhCLgeW~3u05Ln)+EzFUF5`w4)~<_*K9KZcQ{Gs~Lo!j1 zRmpix9YSrmZux+3TP^+L9`Mxly(XpKa+K7?^oYWQ5fmaiLRQNwPtlsXRufqifYqO6 zns(HlKgEwUKG1I&7vr!ifOZAQ&hRcY2EAioe$N&eUt=NMWqB%+V$i>Ry$hQ}Y?C@S zC(a05v%?S8U1~JALZG@sulADQdC9-Hc2|jeiIT#lWYuFoQ)2k4L$%%q7I13QOj-6P zq%qe48v@J^{|LPOH`@Gv%6SrgXvx%liqa zA0b@YgTFlUHk~HL#&M}uJ$FdNw32S#EaX;xCd0=qSZ>9JVw7trp= zr(~fX8l(3*OcER+!yT^$T$9MA6&@)TJ>Pkj!uPXXRx?1V4LQLSzG+Lg9>XO9J+O9Z zPC~}7X->S#Yj9nUVG*h2zx42&AFvpcQ1{`iWxp8ENHdZ6N?oi z?xD4HqdCQoNULtoKg;Z*vdzo3l%SnTx^fpQxc0WZ_p-|D6-+Bbm=PW7oRk7-L(S(D zk6_NqBnTuOCB181ck_O*>Zn=HtArxRbac^Y*O+*6%vqLuWFGm#kOz54V~RTZQZ9*P zY<_L6szkh|sUYXWh0?w~TbTT8%qlYx%H|k8hJ;`Bu~fK0PIfB$FOs`d-EC$sATD2P zw#s%_j_vk2GS*_KWhV{r9O{x*V%z$hnMY=0o@@UmKK76Rv9zPS6g|V!|d;8r- zkPI>Jwlw+KX)R<>oH?bNBF-Y;tyDW}$%Y&mT-@8#&CD@OEVp{zG@sd#%x!u`i0?r& zN+bosNJ66#w2!K}h8pV`BM*g&Q?oX6;~HUQWyp%}5pl3)2qdEDxmY1Cq;f1JMf+`KE%Kf*^FFVH&8^s+^B7^ z&Opr#A{PZS9ysp}X^e95pH7O&6kB?#H{XzZ#SMfzP}4+dmKxQv;i z|0q0ad}65zQo_D_qFAysH*OzPpGS(9_x;=^)vB-YsF4Y7XAoEa!CR(K=c6xY)f7wk zhT51BhF+n*26Yr-^MQ6)xm%wCv@X~?-4IA%l6;5Rq;WEO#3Mzf}F7lwgT~o8i7Il;3 zuV2k3O2^9-y`kHVm@)K_GleS1nIBj`h6gQPzSmiwnOB8B(`PR*zbrF@b?I`|xZ5pt ze>$U;HrFbEkqmx~HH`*KxnRUJ9xu{igPhB*%U-VUbS1$JGN1NBOs*BLgRvfeBJLV_ z*~1Y#Og>-9k0e1zT`0KZdK97oK2Fn2hHJ z-Dko)S`}Npr#zIlTnnJ9_&b6U_|VG6nvegElIJ=)> zJxVsuix5$B4Ivw*#7QQ{b7207?q8?Jma%#>=ena`UGnlMVLYj#R3pNgQ{(i2S|aj< zO{1e;$tluwe_|RBsj*hI23csbnI4`N@dSXIW#DfT!1?3(;hN+?iF9Mz!U-V!(O1-Z z(Qxzw`t0T143NPD%AF92G356(q9p7fYj!RQ#|e;&6gT=qAVp@R>yvp+cx^W*;rkm% zaQ9lm2GTQ>Sw9ogy!XD;Z%OPL(u!zZBEM$&+Ts?!aqufM)z*EOHxiZ7=&(41xgrG{ zd-?;JuPbjBPA?)2jox>&o%6kR5#LUX>4?GAnnxhvTL$`_B+n~b;mo1{6xn7&C+7+0 z|FO-Z?Bm;h=~E_2?UCBvLMjX1N7UA}7}_Z-Le^bMUly4&04Az?2D*?E!%g7#HzXzJ zfQvpR&v3cI(>se(iTtT?2AZq`WI-#(63In6fTq36$Nk<~VlkP7#X=)lxmN!6ve59{ z!=nqmt!WiFN5ij{g0s@lP-?IiY?a&aU1Z7&P8@|4IDD(o5^0s{YZyqUvsE~dF}$ZQ z2oY?U5|}YN=XH`goXUd*FZGhGmA_Mz@OC^)s1h0x{&~ADwdc>Ca=2^2F!iug(9<^C zE>Bt_kGE_5bqeL zkb)3EK*R-M+ucu7i{>uc{cv=wV!J53JopuQv7SgpRWU~1jw{{JoX{nfEPw0DjN!w} zHH{XvX8%<_^0uAS9_Iu<@NYvH3C+@NS_hxH)8W+Eh&xnyLGJd&ti{WIWpJ5z4e zoxU`X{!-si1;y~$z)GOI??Z4c2egH-ml_lYX3Es_)EF-UE5K#)@c3<8jxD!VGw$R! z({*s)l_wN{i6Qk&LS67}DE4uU*pL?jyL zV2M4L@vyWb%_|i++pO%s#U6WP#P-Sm{)dQ^H*dD;-&|RnR@jZ$CQYvOynn+R!(;2% z5`6~dgTc=cE)XS_WuN_v=exTc`*x?)qMxBpYy8c0So@gdy`HuGT#W-FU3yF%CWuG5 z9#RMFR$k*6!w^fM{`Lu{hcTw3J?|zPzS4lsSQEI=nu<0^r^YkLfoXcg@v%Gng%sN$ z?jFOD(0cWWGVb1M%B8;b3+*O*`2Y z+mt+uX{58<%QL_CXI7T~_GVI?hMkw~$!kL*7e5xm@%@uo=H~d6`jQ7#`l`6qhIF z>F$tGrBCR^Gmi#mTYz2|{oFUeAP>OKIxr)oudE zv_p4EuJQ1u7{cD%I0Z%ZwdoOPs&9*{wC=AawgSrBxQX|34ZkX?&F8N#YobQz!47~! z%r~3zs8SSyFM?I-R+v3-8%^(Q*h^D9zo+!VPwo&F=c1lrUD7+@_AA!A(JaF0HI`p} z)JA4X13jIVfmO!C*6IlpZChqsv3&as9F) z)=*}%#KrfIu2Y%&6h1&G)E%5>ZJ?}cD8IE)A5E5P60(-eQStP^8Yzcd&^27O=3)4yRs)?Q8I9O=8%Q@ zm>s5V!eI52y2>xUq8Hw-1hxWqcj??Z)a6-0A1N)3nyY^4Lgt84W2#6^ynT3dT)5KM z?quG2deW7(&nYiI7d>U4{Ocf1Y5Mwm)IkWb?0S1uU@u}^NR~=9-ih3#=*CK1N|D;ZfeoE z`1GKWA^;7;?X)Z%4LSg5T2HS8^gorV)Ox+F7FuKxkIsQWj~1OsJM53J51+nOKX8LQ zU&~MB`?{@+T?lT+(>;xvXoRJe>sVH+x=!|7c#yV~K_tW0Rr%L$#y{sd#6MzNBL*z5 zJ~;Y-3#=R>B*Ri3C8^%GzP3(iV((s)%A<&0%mMy!+uJj=8Ua)Ps{7&+$!W7MG*&l!#9Uc>$uqcH^btR_uTaY`HXxb zoa>!DI>j>Het0vxqvN2b@!UwTIc4bPPL=8VMiaiN`UfMtq`PtZLP7>k89{-4eN*nfM3VQn<9FID6s(;V-_y z(u$Qz6Wo@C7A)%nlVFDX%l)TG9D?uGH(I&j2gwzux^^xV-##|#JOkoOldZg!reNCv zC;RV73x0RWn|ssEb!0be$5k)o96rw0IdEIZH9>jSO>0J9-!J9QdhL#jqE zx)5vcw4`o5|MJ;^Ev)A0_?!KllNSWuQgkI9LQMk901G;A{&B@Ye$Dw)lw*C@cfFy z3DNjRm-$*us+CV3kcHdj%17b!WbJKDkd~>|k%&z@OK_c%umEjh@MqernZ~t492NLs zBFy%D#k$dtpghY$QZM+%$gLOm^6Cxvi$?%BqwbWA(H|hjUY(xdSZ=AeY9Igdo=Hw` z$~H?>!$kPHzMkd%hcaZZ23#;iZjd=l5P(3%vuba1TbtnhCD^GnivEI-zFTFl#ot?m zC}38;m}3zfW1%=m7qOGivL~DuvPKEXNs>n%%P#QbKA0Po+Anw=juvmI_N3EP;q7e1 zx27Zg@uK2HL7I)>UgtQIziuwxyFIbm^r_v#6@T6VQ>N+H_S(kwiS~=b ziazxR9ppiS;oU+MS!4*cH+THpZrPpB35+pWHmk2KX1m{z+%8*I@oc9SK9NXniH+o4 zt(T*fAQA0mJiU8=;A&gYr+1lVre2M{AHisRZSRwaOTltZ!w}WEg?RHSJztpMv~RH- zdVWfBj394$oBfu*o%g0Z2Z`1OG>XB(Q0*p>uhzTDtr0LMOUIaT6|<)rxr$b?jyoiq zS73RFxg2eO=&jsrKcE0T@a!sv!5-7a85c2zIuKpZoh<)^Kd^!-Ogp*CR%8`>IN2-P z+%H3Q1T)8W=R1s3FUy@P7ubCdc#r3W4LNzn&^vS+qjnDC&2|enrV_wPl)=Nc>Q{{misanHHq(p*c@}`+NU#yA4#C{fhIo-{v0vUt;O3Z%boH!!> zE+v9V`&rjU<|4hlYwc@EKNVAzHUrb7!7i<0^&Pf*W|KD5oVZp0>x(FFWOVS;p*s7 z_<3$nXQ+$w@!?Ti!OM%;Xb-d)w9+dO=TdBzHQw3zjv{=GkNADzCwHk=^f(f853H05 z^>|FQ1HD9gulD^4#Gk^G&zL8McTpFs#iMprRsChqTvM(4SHQm+Fv{OAhv z7Y8aa1k05>DebQ{S)e#{9TMlZT%H?GfCz}Ld(3@z>RX9T%FzgZp5O_wqh2?yv!~?UUNW+v zx40x4#{S9HStd(9BHBDOY?z_Vp;VAj2$5)9S7~iYE~yxzO_!>9@G4D$N8#Prw$1S? zqRjnVgcWSBkvb>}(19iAmniz=p~1)KOEdrA8SbJ`!5(DSQAMwfc3gKhe*%K*4%sHX zD0i@{tQE|bx&?`&P3eucdBa=&x=eQaPIq~bLFHGRZy6^u(f)XaLOIPT5Qi5-oE?df zvqq1lXam*zvHah-k{5>Lj;6SUgU-LYE{noFUv%_v6ZhQ`XW5QF&s3R_)H>#IhuX}O zmBDY^<T`Z^WRmhl?7eUU!Ec9=g4WYcV@pR|OdBAKDsJ=Vu{ zZbtI8&a(qlx3Mf~Z<+{~`uabc*Z_z)m3Ln}Ua2*_yU3Nd*mSkXJn&lYn>dFn2!m-) z223hgeD=ma$l+eI(k@KHd$RC6u|`GzDBoaZr?$n^p!h7y;hyN2AgAiBhG@>qq2q!% z&dDzu700c@`G!caY$KE_OSdu9d-h$(fCq&rBgS;rOw2O&)Yw|w*oVNVp&hWzRbl%` zhxg&K>0E{l{mhJpY2pC60sp({%&lf*fLqdrs8$rredeNlO~0LHvH~TQx3HV6#<|c1 zaZr-6Xnso@2ijA!pUSIwmhkrV>5D7C{TDJXRRl5}G-}&bE@K&GzBJ0hVULA!&jr{r zud>gRFL|yO8vVckjgP-hLq{%b-}OlfuN&6KYF}sWLcS4F5*d!3&sUt9k@M?iN=mA# z`xbT<4#OJh=`9Q2Pxcpw;po>6xjp;~4|`8kySAH}-wkmU5L_D#?7$m#!L+l64_Z%4 z_FJBG)S{y&8gaA7ncf-kjWAHqD7&WvkAYzK8^4Jn8La-Kyirq*KjJmU^XNAO7A6Xx_{W)^ z`yA3)1w|y8Wf2qQN<|!@O<9W9gQ^m?jxb(FaX1KfELpZIs||brqV>DA!#AHM|PT+6nvU2+_=aX`nqM;cO5U8FF_LqC)%mg-l=yA*@F1vk9I zXu4kL?e4e8pAfuv?sa|`EP|N^l!CcMJ#gYNZ9$D!`7@|J%2epjiY~}6O84-PY@6ct zZS015g~jI08!UvDF0L#rL`!p|PKP#U`)z_gxZ+?2V_!F(IW^`V=$9mIoH|9CHQN<6 zCHqd`+>6gRa;`}B+D{8rM?4jVg{AulzeZWocgrO|FcxvLw&XnV$ifimB2!#{Z#kDc zlirQy7wB=G{gOT3V@A-K7_}pHkM4zcNo4PFA!i#);0s9MoKr+(-+#OtdeCxEXHEaM z*f+EnK32(^x#rN@nx>KsLnt#(-fh;P$JUk}kU5h`)G4fPn?jKmv7CKMe;7aaJo&NZ zR!-#SVWK{^k3kQ^$qkN;h1SpY^WjImGC02whpCebm6#A0Mp0jY> zNWzz~L&s9Jk}B`}`C1yw#8Ql^r*;=qZtePijY)%+Z_z9GH>$!oS*B3q+EAB?BkME~ zM!zC2g?MFw#7@jMzmU3*0Xzu8O+_Z5W*>><$wsV--jD~F4>7QWH}of?d4m?Ri31+D zR$o`rTkJh@PWJ=xe3kRR<=}6C5G<-LtbaZCs@44Ct-_~5*QxO0v`PrOu<*7E!#t@q zRLY9Z4S3QsJntv;C~>e4iORMmzcn-fV5f`1!eiD#xbdIBQEvI%TU+&|-JpSYQB*Nf zE!TqblA+4bGvbJ}w#^2esUaH&`#iY_Mg0itrHnv$uIL`NxOsEBc$*~b2S~LYOo%+* zJ040+_AgTt+#F8op%Cr-ByB`+qU%(@;NWu0*x|mf%K*FJ@b$Dsee~LA5azq3Zy!PX zNNs=WxX@R;wR0*9CV}x_-7-Aq3|{|-o+c*=9mZ)2%ScV*Rt6fQ>xSDcO~OiI5dqtS zlE{Hw!`bg`ZT(gL#l6gSTpRLf>ezkU8LS?epQ-xAZtj}^RYjjoOo#kj){fp#J3>YL z&|R31Sfvg@pLd#XOP&>5tSfU%DW#}Y!^7XMMoKSadZL=G_qN{NGHh3M;6Tc2YJNy; zZLurfsEQ9`1FSFY1fRsVx~U^$mx>0{DNbJ-xhaOOw5kZNXi3hLHiwGGlp1C4Cz2WO z?O?seVaf_D0UTcvqg_*?x;7;k`_>PT!9EA}9g1tC#Zo;9!7<_ZS<{IQ$qBwseFJPQFvX+37=m-2BEc5wqyKVS>1CBFVL-J3&Oj zc!=zSaUAfZ1i}T4IR-SII{;+{uP)JrQWOAccOwAxm5(Mp`u+|D#1KPP(8JBHAA8r1 zY}@>mRuZSzyP$n*era##>Mp=+CCcix6Na5)jsm{AM(IxtL(HL??1a7Csgm9_-!MkJ z*p7&MNS{Dk@1@Oz!jPnW6pi?9R55-z@0eAZo_|io?Ucv}?X3LeY_UkSj=g8Vt>J?& zIcE8L`}t!zXMcd4&Mx-9r$5pgl+)NsuIN~o?06V(nOR8Q2Y3^K0Hs;>TQD5B-+2Im z`^L8`r6BGfpe_Ib$I8TK+TjO?cRiN#QkWFg5%~ke|EWOss5kKL0?>;%Kj!%2js9`8ZI|x4v7$$2CSnx0&{ zHpZq?VHWDb2i`cMg!6lfc9(ArHw2#CorLy62A3j@_M)^3&RxIL6S^$KvzI z$Ng#^t}bn(A{|ToNdg(P?-(5&{84M zI<8#lu&&Z$h-A{aStgO#cW$Y@H+JVH0Q4NIP5ifFGd|n;jHn8WWD#lFuShF=bJ6^v z2w@+MD-JUvP5`x^LL6FwSN$$O&+co-=z8}mC$!?mCo$Hn{o>I^yEPXI16YrX%Z7H$ z?RbkP#&>CfATQ3t*Q(Wu!oA$Aux+`P6y>SS)UU?mK8l7chqPsu z=hVLthb_@8hAK{-wOJS==qvIaR_1T21UN;e-`?SWqs8bn>W=*wB-IaLAhLtCg=cwH zc-5ODkAHwBnS$l#qu* zq)ZmmtMaFn;o7yK8c?3{BTBDU!~=KYbA_%-N@c`TYOnrW2}ue>;!vOzjG5oAbM+Aa zWrzrde1%~PqO++XnRLzNHe)=Vvx@k(QIuuCk^@JR!BmD3d4h83mwJ@*sDl~eRtY8U zJPUctm!$9CAPn$fnEw(r)M&=a|LuJ4v6+p!L=)MnndNFbCf~RyW)As+ZuTxcZ@AJ_ z1s8WK`vxXqoiZLJ^rbnO1sj2ASvEWyJYBrLf&vuWxmLA^{h9r+y_l&=!xt_S0Ar%A zD8KM@#m0zn!4gCB<|`k42KI5(ZT2AvOM)LDu`r+?ueN>8QBWVn zzQJ3k&&g%q;)}cRG3YrYg9LY{N^mkfSLE5bM@-DO89#lOr64vPN@C4SUMo#X)o(Kn z@Vdq$hNKZO>}1DQi-O(bZM*UZ7gf$SjL$3C;;yYc19UeLr>3#wLa(8O%vy!2Kj++I zl1%l3cTD#em{qY|-Ni7E^eL9v!wBuaJu}7k9jfHXk73n;hRtX#MYrx`4oIW{7E!mu zKo;~dGNP!whRQmmpCXc7?~KLAE!)vcFle}ZXY^}kigimv8UTm=Snat%!Nr#6LH%U0 ze=}><(k^tQF$XE2-!$u4lJ@=HMq6ET!l#Yl@#HBvSN$7k(jTDNioH$L%~IH4S)rb< zzu{EHYSbY8_qh={Q7W3ZxAYRTUaY3=a}M`CPAE^%F^(mrQA?;xh~@aw+Cc)e-DGV2 zSGsQgjLw)p4WMfjK@?hqj2z+?eCtxTP!OW6#+OKd0oqb{czf>QuQIKN_bMZOTTb&w zRGoNPCufvtBJ$~#v{}gKg<^QopZd%E z8K%D+38YG+6Nw=|2ap`O}+xYw($?X_Sf7=1w~m)UMbP)zhoLal>sAVF6+jMwSSFtFzp zxkL?>n|Nj)10|FVI;#ryGZ0cCbqu7&vR-Adr*nL4$t(?! zwE1~~xN>tZE(|Vd#|;k)nwTJEzO_BQ60y2$uB@w6_G*6kds80ok>AGBzNI@x`Bk?+w1{b)X@dabL3+>4fOG(Nh1ny{2tV`&GBT-^(6D} zJ(JsJ2g+5Kv9YePyhr7faOhKd2GhQ}+eRY|=Ygz2il#lUbmiKosksxbs#KGNKR8}! zh>Zrka%5fC)L9g!>(YF1Dz0qHb@;r#HtZgEj%>`mJn0hZd!5>t!2ob9hr##q4z}Ev z-}1{L9nk9YW}U}jBY{YNC{V$xx-whLd6mB*(%~l+R;PH^G<9muFn-&L?h)t0-Q-h( zy&L`d@;`wt8jcy3?M+A!EJ-<+Cl}t|3?EAQxI9Q`P{kPzryA60X~SF=3iO$?O1yc>7|`#hXqiL4BTy ze*U$M-cxQFv^JH5_Om*WwK3vedinG7=%T$Kxg*ZQh7#cv2u;IR9IDPwVyo)d739N! zU4xS^^C(H+T_DmW&Pe#T;EM1 z%48Wvzfm+M7^v`{-QixMdkn@Ul)N3R%qa2Kd?CS z_70Ps*`j4I?oW8AnmXQ_UxFA777J8_2|3MlOWU2^1dJMNg|PrSPpB01`A9}`bmhg_-$m2u^yge=~iOqyk1h?Blaz(4GLrk%9r#B_=OsQ#lL8tMuu zY;Kyb9nX^ESK(QVgKXM3<-der26O8C0t5Q5?kRu$3XyFP$+-;KaVGamd+V#5&iF5leQHpR9R7 zGwWBp=?jFyri}~&l44+MIXa_M^uH?=l3Q|ZGE6(y>WZ4 zezwPa7fg!Nb+;5cLvD#uwIGtr#0(<8!th7*D_rjUWVOssW>*JTE$?f;Tn)K_MGZ>+ z36PIlUAxoc14{|_$32}-IoNBP;V#sD$sc4sL|rW^dXdg{o*hva<5j`4pjD&R;h&$Z zUp?Ji7Z6v<&qrGWArZ=5dJW)9msjBTikU!mD$~7M)>m9$+*Z-KO`+c27jaFu4fa<`vv8(qUSbI|P*>o}))?G+RkShVKd=j761lPwX&6vqAej?dsA zBo@Ro!?-P_$!mdUtb9-e0pFekWHaN2SE0G=D+5}|CBvpKaV?r?16}8 zsc30qI62WAf%xd6w?HJssG|Nl0KChX&J19c5SDfvPeuc1lh{EK<@ydfRc>a-N%DL{ zv=gnjdXYE|1B|+lL&`BRlB}3QZUDagt3=J24!_kajs^7^6Y3N7mgHe7#V=-esR%}* z8192!@gLY+H0&V9<)HQB)V43Y`U*70-GBzQzI6g+!TQ#?Q0q;L0Di$vp4eu*GD1kI zu^=ia;K?$>ecy)`#yZ=+IhRjgQaLeMUsF7<#u(;NFmZS5+Lb2p3lgcl+JQ+3m)mR| zOO8vXH(qrJ1opqMaPG?3aqTAxi>N9*gYM4F9)EV=yW{6%sdI0Y1iyz}y;lC@&SGoj zDba@k+Y>N_?_*OqI9xE6%#L0P!7`iw++KS^8_B z8~`|4Lly+U!~DPQuX{@sN=1_KO9SVqfds#OZPHqTKKbF{^iFAw;2NVlfnov%MoZ~d z0M!o=DV=Wbi<6V{^jYZP&{_h%oNeTNTJXRCY>{43pOV>(<4o(1pbh>UgE2gS4o9eN zjO|?N%Dx?3KuK9Lx?GCym{F1l005_Se6jZ1j)H z>3?CIIkHSUTcy4(TumWcYuY*C)1(zvjyt~iM=VSETgrEgM<63GTx&9rL(tt&l@{fD z+`RP|$k z_k$>?AnqM|A9aZ|eHx8F2}o+pvptt2o1iC@yuo}NHztvHe~z-|6-Hs)2YSo#x?7(| zs8`D?g6{1n-*?zw=N5Rx-w*A}880}}ew+{?QNA|Q*?*X0wi5~355V!auq(7fQ^zc5 zLcgAl+*?yNRuv?Dpi!FRcGsHS70;PZ;5tukLt;Zq=~}Cln+4+8T2@MlJ%x(>+(c@g zS^lS*3~V(q9IFds)%667_%8B}HDjGXrP;4^GIv#;skZow*S{fo-ANFWllYQZjqIh5 z(29T^%e#p`&Z=SM&`t}uxSL=XTNvQsIv=+$*aIGJHN<(g%5sYjjcr4J4mh&MOEDW;ccc z`BCM!$^yEEqY8pUB;ky)6;4QhLuji;K7N@HL5u_>-45@fVT%0vYO0JlvvDUsy-ej@ z;;@#l2_Nmyl!)`P0;D_RaEo7E*w)75NsBCLW9h@p&$I;U*s)#F<~z^e6-&{l_gVU1 ze^|6FQg(2|62PUgZ?(OFSEz8C_8Km&PS#rhiHf0*0chxoRjbzXYd2lI)NvO6IzaD| zbZ)e>J-=lWUB@_qrty^&Vd80+I3jsuN$e5mx{y7h(o1C%_qBV6OU2e#R|(LQkaTt5 zpL#Ou_%M$-$NgF!J0qYcWp6u`K}Hs(tsh|2{{ygs|AW>0H=pB3tuHxWDg;umD1B^b zZ<{_+$*}YAoJABdrkVF8ixMj+^e-2pJ}bLWS!a&@}?Z(wWZ_pTHLkS4`3ebEp^h8ZPsr#6DcIO4?B{!gz2Hbe>B7GmpNq&;l~x!F{QM1^Ocd%VEJD83SO}9 zvDGnJDQ(0fY?%z=5lD=(4rUL807PiKzCf814iw1l(lPw%e$-(BO7NuRTKYtnawdB< zuuxh9`bjQ&k_20}%LFud(SDR$B{GZVkzX^#KoVWFYGAi|1?rBp%veF`SH@~$tVvtC za~v&4?Ise3KxZKO^z$QtWUUP#Sz}Q90UGrD_53Pj0En7_{OfX*e97K~!Iy9G%(ExM zx2d$DFAkqNGgpbbW!u-U0eEpiJW#1R3E>jMJ&K$|>o;-g8zNS~pNF{E!2;-|jo9y$ ztw6##bQj1x6U8?AZlGTu5dx)Y-@)j|RUAk|7lhP65EfKI5g;LzI$&@*0RxHAHK1+H zddNho?RZCAV8W|P8d@|XN&m&5y%xbXz_1|Z7^FJiQKPeR&}<&Q748-|`bEqw)l<0QZoAoC~jAR0YTaw#MhL( z((_`g;%wBc_7NmOC1>@c4k&4e_GOH$yc2@0TUQKb3wOZ%p1v|x#NCm|y5R;q$jdft z`LSN|MN-qOUq4fA&ceU)AzoQq0}W(k8`N}iX`Lvn{6aJsX^nHJ~2{XOyDbM zVt}4!Q%v$#kD=Vyccn*%WWJ%gVi)#gPG{GPuA$gHx%QRw>wNdy?zj@JGIS*{)93)s zvXwrILf@1p?QJQN#%=W|%|Rqq21hj;i1ZXYnB(eqWY!SY*EX}9p<2$NM!cR_!<~{sdb+&cl6DYsTERG$WMAfM2hQ9 zHwN3xez^Vhv`Qko_Fwp{{v+}F`_IIK)hqL}Rn`RG8$<@k~gY5m{IQ`M ztw7o5IpDUTfDyPmD8OX_>WCa2bYSwRx98OtlHNzlK1d)-)75Sx zA9qR$OxITd&Y+7)SCNXF1>3oiP={55NP**;I|G{y!F{32v>g;%PJkK$=e4Jk4Vt3y zuh9bV8eKh@cU1b)$I^zX-&J5&n*QbQ{89tBPD|QWDZn>^+YX5)_<``=q zoK6zw`k40Yq8iqM2gFkUb8)DW%5tGYmaPxOJaEDpV1UQ&wlI7S_yDdkgDmaHw6$Az zG4Ll2N%=Q$7QyA}t3D%_Pr9-=Izsgz;i|FELZ5{|4=y+C2&|Z;mBJRAZ!UJ}b>}CDT>&#u6>>o1BFSE8m55e9gTV3>H5yvkxERWsQJmgW#V#O%l6{H** zh+p3_MaqHeB*(X^z5~z8&;Of+&(a3fK!Yu&?yoe;mtQ@x&9K4^2D<$qmIk0C)JcN( zdEQE38w3K$@B~X}21(G(!VqEzC73my$U4 z*79$afxI`rY7$}J$RYgIruwx?+h^*|CIJAUU-9a+A>5R?4SRBPXU#Rb2g~BVh?j?6 z5A=wcse&)NkeGboE}^riziHT+u_7!E|ZQHv$`mwlo}e1ro=7Hw{llngfmTuPDMfl})X=Yq+p z>-b|l_@)QS4pH(n*NXkRzI1vd_PcvX)2IA$g1P?FbVlWTY90Ex|xbIl}WvQ3ZS^##yV9C zaC5pi@HrCjGH7qUG@3r~J?b;1kZ{Yll?2YpS74P1bkEk~tHkTeM`DDBCqlRv@3Isx zm#TkXQFu_(m#kOe`+j>2A9yRc0KVB%A>+Z)w)x@(Yy`iX{EgQmT|1pl3HR$hX+Cc} z+vI^h6$j2L0nMp^?1rALkH@VuybPmqG#mip{y=~_HU~1a*ZakGgiWRGizgJYLMV)D z_CT^=jQ&HfAps~R@(8;#R?$;ADc*qZ~Buj(QhD0I#N;G z+CGlrPD(~N_S zDuLob7PVff^XpKl)4(f`t!)+^5QOoc|9bQ@2-82;I2GplDn$F{BOnO#?Ct~O1+s>1 zs*2KkIe*PY{E^o`!0t^gFBs0hi{znELDDqg5%cuvH;LBQ5=Oa@kZ^k{t#CAws>z&3@FQXtW_yC z3M`$8U>Zqr;Q2}{!MD2U`A|ATV`Z%L_4aC3Kt_w4-iLlyzNS-JM8>Ka z<`^2(b31$e=^EKnG~e{iY}%yjxC}2XF6NSvwlCpKtEp@t-%l$)KuVQmyT+J3Fw<}# z5ztY?9W;KG@6Vd+b=eQ*_v33ro4aKYVFU3|MwB=_7yi`bX5d|6_^E7~xO}qQXXV-i z+6K6f0PPOb1B{&x(nGJ2AD|c$>N==|vddaEYqUr;B)MO_mKp7yYOWO*d_#t6aA%9D z%|1YMg14?JQfv`65CiQs=z^NZ9M3+y!;R&zO-c&sS4w7Rqfr|R8(PKtTCaxf>!S@n zED9~LqewAODX^n!Yb|SfQVP+vlL(=V3QbGt2BKuG3`KNOJqC`{fhA6()tf1f7F@l_ z7B8n0rRfRbqyXP8GsBHjZZ$NiDa~#cI2#>NwAZfE>TeSt^yIS}0CPOV4CuA~BOu(< z&3P&2TRCv)VmKQxRIz<2SM75iks?e&`10fZ4j#8XwF*xL_;@yf)E9jKMCq~$EouPs z=~}sF2cxLU*S9q>NiXx0atc38%oZLtN1*zSo6OaQ<@~pU@3%|NFT7<4r+9Q5Jp{D9 z1f^3Z#im#@)41)%aE$k@umpI(M=mW6@_Tx;vd1swgszGY4$qxi0}oeXINRq?4-1i@ zm%^7ObAa_RSG`Bk(Q0|T6D!WJ#UwJcx=FuYJbM}~ZVY9Go~3j8?^9K`-|BOl%j# z002l2)?Z4UM<1lUo;#vK4Q=*+yNKv_6}gT1G3SQYC4RJ;d~bfxD=gccU00oU?v zoG%gX&h6ca471f($;m?=6bADgC7S%Lrmi;W{tMOJuiJP{+!F4I>_0%kt!@6gA10;g zH8#$hXO2$yuQ=BvQ#O>9-`?I*d4%?N@UtM$e`Uz_Xaf{>I1(Qfs*SpC^Pz8jgt8gQ zp66?RXWMzEqRMeIVdb0Cq=oc=&*JK-MV5d`hb)MEAi!*Ldrp#i|GeR4^nv&G-ke>} zjl8>h@IW8N%Kk8ncVVE=E}S-ypHxq#0Wn>`I*_>Q!48NtN# zJB;+Y8>T;nRr^v=*5azM;>)t>t5++wlV8!}0_KRY?$4s8=^|&CQ?b{5y&3RPCg@>A zOn#YzPXg1DuY>xG7fut+wU=DaX|_mtjeANPnkA$ID$}1 zQddLSmx1yFD;LEK=c2nEmzr&u=$nVU=v!4tMM*$MkXBU#UCjBT0JaipEZw8=$RopM zh0Fm>KZTOHO24XdIFCKp|9pmTy3FtAF_0O13`AYw?!<1=RHhVCo5{W-=+4HD?|1gc zB zP3!B!ome+xL`%~1I2kIeVpalP$Dat+gA!avB7{&CRyfXEwu*4oH zs5)&^0*kGyj2i9)5NrUj`ylc%69?8rFL;kqBQ%HtiGOJ@yl3aklaKyP;>Yh4Ei=0H z))_NLgy!L1F^YAC^atom{14F9hywruIf29Ju)2a&4iXOl0yB}Zy=iRo;2OY<#}7Pr z5%;P>)m^9_IBh`P&MH>$cURz5Xbw9xL;ZA>*AEamdj^NIwNzFpW+Z#4C`ZCHVXnn#cs;fbF>feVdACGPl^ zT>93YX{!Q+KC)LGpP>!lt>xl+f2j4&I*S81S$NwmVzcSm(-UJQgg>x2FoCl0Zn)`{mgM z(IAspW{W?39?SJ@`DEu+dTPuly0-}elkXfbEs6LI{2 zxs5|tt#2YWi&D!E3`gHo!v%J(;`Y&{JnHFs?J-}Y%L$npS_qvPEY3KO*E5m_a zu9S1xs(HZBaIu``>F^!jR$~?J82!n%)JgXmfJPRwFFrTcE0l28puD3(TQ4=~CJ3rW z%rc^0W7hjmz|rs9StJ>ijng28SMfWFR6c!~UHUSx&kt{4#q>x2zTtBZI5|NJ^8f;q zPFjd+fSVP^f`fDp_rR)deCu!X&RV5wgf01Zpmj58sF2!<@$plQh0Lc z8V#n+S{uiLTJVO?yAFX>GYX4ji?50$r$@wgFT5dT`$9VHCWSxEne*y9x~MkNIm` znIgeYJ7!Op%=hNJi;Hn6=J1)A7~R62)ddd+(lB)x6z2O{6AJVPG0m;vCG7LT3QDPB zwqF`Q&NyW;*2Rose5g2h9ZUrt99UJmBvb_OqW6aZNji`4udUHd)W`aYnG%xx9? zoiqT*ID|AwA=CCgD^y?039dKfxH!nQ@bKja2ak|2T%d(O8 zdC=z=R=fnRRQKEP>St$Mk|G7n-glX*RqA$w5qt=?i2Csh%M;cl$C2(dyL$82A+N{m&KL=T+hN+Ey)&HEJ$fd}fF{@Jz zxT9cNyBCTV2X&uS%e*tA3L6YKF?-lKJs1JLU>8y=S7EO|Tn+i(8BYB-m-FA$f&5l& z$nRal;e_T70n_8g<)T-D&`Jm}+fnj_ee7~wUqI-*3t`iFn^yQD=e(l+n4k57| zWV$jzpQ%x$`<^ua)16n5_Z60ys`1LQ^n;J2ser@+PtHeUak&(0$OrWHL}|D!B}a`> z64P~ao#n@7e4kU7?uJ$r?w+@3ztZu(>8FF(4A!d^xTT-^K0!YV?KO7{ik(Gn_io5!<1`FDjSN)N)TZ6hkbQ0@w<^n-BmC~+t zb`hvkz?ZtFc6bVRbrrEos@9vxAD|I-szwHJ`&+;uV{o7~%GZ0?!pSv1zC;PcOaH8aItAMT69I??3atq@M8UPM)s08Iv~_i$hhBjk-&CgWo|ISJ@%P(U)D3Vh)Uza!laUBb8-mB= zdT|L;t5)--SJ(v^2@5#k@=WKjyO)BxJ4SIa@pd_`bqSLYh5TNlTyq&D6(#Q_lwCN+ zMXg0NX=>WNWg`D9tnpwGdrh4tJ@0s2FLP;Vnay{7i$SG- z>l%%RYKP~2nwyPQsT+Ls$x|?VaXlx;wMcy1n--Dx;yC0Y?~_Zf0^KNhAa3rQ+WN5B zPq%X#UQ&qUMO-Ve&BxFp0zLzk*yjCaT6j{= zK>458%9ZwCx4}1;REl>!l(CaA>vZSf&ARc^YZa@{2N^?{-vxfsAWm)0eS0`(!gcj2 znaA-Id+SWrHQJxyo0C$*md=gp}!BOpc%!*`oGg`Xd6D9^@4t@mB7F45Y ztCe0RJb4~cPK-sOz&L?brz>dZAr;P(3q4aT%vQ>r<)pdDsO>*+Z8)jkOi;Kv4A5TT z?u^2qp1-y#Z1!K2Q_Ger^D94?Y6@G1kq|WAic^TjV6*`Uknl>&N42%zgA5_fA)jU) z%7*enU|4o6$+~#)c~Qycz95hyYjahNsc+TJ^xQ!fO=3|dGgl*Jq!`tZ6thakd!et4 z_u_-??%aaej#n5zE=6Wdu_jRJJ3iQbG?>gxTOJ)%X7r+IPz^$LdBpp#=_vfIuHS!m z@&41DRsX1)Sk}ks`d0aDV_e_Ex-XL>WFM%2mVJ&+xC~YZp@-=)EBJE>kPNFrZv%Lu zQfDJqYTB|OE43=UzN=K;)NMU`5fam0?ZXkF6?Wy&fm8_YXP7Fbcq*p-9Qk?&_Ek%d zMq_m(?3?PeaA4J=4lvIrZ;#|8W-U9$6mJI3B(B?sMB5sxri2p2M2!e@AZ3jfiP_PF zdPeg+fto>@Io+JDeQMWoe?Oc#%ga!8&(@rUMN^f7z0u02IB9PCX~FEk ztd?#Xxce>A18C7XLaPDg>xf~gHCdD>;Hvuk0I^kqky}m&HAfehQYcCWv>xYp%_Y!4 zLZ4y;6hbS|3^bS!O%muD^p7{#6ZWvYF5O6>dC4zoDXWnH>5ak*X4pA`Ny#Do;QMlo z&{I<)S++N4%og~9r~_^$S}J{lROW<7*Mt(vRlSq!+DQV4p{=s355;zLs*{(hpof}! zEB5iudi0tijFz}1AFnbyyMp5kbh>Dbf^h@xYxpP?9hb#t3*|D0uemKpW!A>pJ7)u8J(6|>- z)ZeeQLeb98&|AD$tyRhZx_8RTYRZ=<-(O^Wd5RV7k_NfWdet;r)Ow~eV ztL0N=;ww6>UD#hf&*t5_996)ivNo+K`@h)x3b-h@y#JxQOBy64rBPx41w=#yrArBE zhHe-H0VM?l6r=>CyQHN{MY_ABXOI|b_&?m;cX!`?@BQz(yYAk*7yQ7C&zw2WIlptB z^Xu=g#nu~Zt`R7rgov1C8<1bEKz`a$O=8t^)AOEj2=ZOq>%IN8E4MqcDj_j2EibaQ z9$}mB`E^g%=!*u$M!~7};Yu?U2<&R*Cr5D-O=O5dfA+a_t@M%-bLFOhU;mXgg+(;9 zYm4T?Ct@(F8$)$2`Fe_h0@w9d{Dt?yEV2iud)UHvJB75afKauN55!fzROlO{xGe7|%P4{I z01FYMI3*%%Kk9ABGS-}tB52#Kq|oct=&5BeHGD9VJrPnLHjyMT=Nb$dM@Fi70i+C5pFNXfzbLIrFCe$>CHrF@Uk6ZYkL!rj81NN z?0W$SxE5|?9#mIKwX5_>#@EM!>=lg(bP*{SjwqCFL?L1RwR3}k;};$=-4uA;*g$~T+Q&&qkqx`@K4E>f4ujFu>P-J z1Vfd4YZ|-IV|m`sW!Yn^`NO_Xpo$3)u$#LI2@#@+qE`jpV2Hg`uth7p8 z8Jq2@`in!6lxtA@I)kjt_-7Tp1k)4g5Sj4|i_Yn^*e1p&1-*aA?X$J(cuX_0G*_pr z%00P@Bv>16d6#ZP=(Q(v!A!w;ds7^@;FM1_ge^C1ZPNxXyE4giKTCID-37Ub44w#_ zfugPFz{lvi(62Akk;Fs*x^&hY5FAVi5VUpVhBbsBvs`qzxC5h(lO=+45Xg=9%f$kr zd_XO3owv9hT$29D*Y5EWP&h`sZF(ab5J|57*NPYyB<>h_u8mSH#GgJtno4YkS$ZW*o zYW(7G^!U}4ofZ0T$&D?C+P~ePuYa@t|IgYUF6;+?(*FVX=7011AK@hYJ%PmjhPKC# zSn3~EAm~RivENI-KY&^PtoW7t3o6)!ruA>q5`TcEh5HNQSN6|FmtL&&Z+NAD!F%&d z8rXl0SLavGwtobx{+*;=UT9jJsK1j;s*9!OMEwQv>%sz#`fuXOzjU7;AeUWOz`xs+ z{O?fret@d=OY+yxs$dru@PEfDpWovLtn`Hi{9l)C_Rm_q|ML467V!UOtM^~d?MLWZ z7Z&herPJg`EcJy2{5x@qe#6ZAXT`4z3;2JZrgdQf|C5qr~bXAYX7C= z^J{+pBUtr?1^h2HoL|fPAEIepSipZR%lnt(_#sPuVFCXS%U{35@qYlfzOaB_Simo0 z!2g2^_k{)gp9A!I`|GrJ|0R?DpZ7n6S^qwB$bW~?Mi&@iHgODRrhh3}a>dTCGawIt@4L~uQ4A(%o^mhR zeN<{#sACo8oqPe725zGOiC#lmm^wzr6Wy^`$P zX<3z4C3M@v;fAfSJ9Yb~7orQ{)4rg?OCtcJDQiEtl7}VY3bMz`R?t9K|LNqTSVBc= z^gM#jSqh$r^{855lstLkuL(y+2GU7B5Z<6NQmVLNdE*EaP@P~bo-kC9zNdRzW-ZJ$ z{QFZ-{}qqle@`FPr}qt_wwL;Lv5|dwh3L^;WQo>SWh62k{IsvAGvm`&r5%!lg`jB8 zAlVy9mTQ7TeQ>)~qyf@jViqe;JCW z@e8|?{2byIC8gl&zV1-2-b_ZOT5D7nelL27H1=e)g2tEG(&!8`ohP#|9H4Ww!!}4; zj<%!tEkw|Sx<6j6i{TUfr%E$u>C{!ED8`ckKUFRnJ%^*dK@?823CKVR*1(yQAW5Bq z$u^EpA_X}i-K10Yk)$|%vWrCGZ$Q*<$dUt;2R5Dau=6)A?-VR_fl7diDP=+ez%S{? zk4nZ$tkEjE{}`^vcgB$XN?o(Rz^IlcgIlwhTLfX<4*f; zc}4v`7v6u55$rz?wfDWW{LU=Gi-+nz=G*@V(zq|!)ql*vdLaY;R^-GB8Sp>$kbJL9 zcp(G+c0$80WWZl#81B!>hZi#7Z$+&zFlJ#2+ zZuwV_8+tZ|Yi4Ka-tRi>ZbiHnMhT>JBNfj?fCl;po-W@qkf z!6YCo2wYRgk$9K6{Wo>AD&;meDAn^yV&9D zK_6H8OzIYk^PQ(i%P^xyO{Ke78M;ojiJeat znDjXz)D8aJM);3y0K(jJgqYknb7uOf2|yQ!oilJ%;VRR4KkDa1;n!9*wlXt0zpNx6 z4D@>Ke?9>|{L>nU{<+IP(fX&`|4mv8J-7;zGq}O@$j&m`+|urG_KPaI_>Y{Wv(r5@#~Tn^dx)Kxoimd-F8>XP4aD)j{UZ}I{+nj5 zmL_KEigJIVz|9*E{-z5)4vi0a;8k zwMST&9moZrM5SS~$dxovsQ1BGg^V5jad0WAE>Y94v2$=<;Sv@R6%)U9{l-ms1;txR zx9>mD(A3h_(KRtOdu(oDY31bX;_Bw^;TiBWFev!h^N{G6m$7m2uU;p-P0z@DmzAB9 zTUu6LQCaoAx~93MwXMCQv#Yy*U~p)7WOQtNZhm2LX?f-A>Kc6K+wR`}0pjrJd|oIZ zv_DJ>`1`Z-A_3-wijIzkj&(jS6jV3h7mWlRgINHRR8|e^kptOf!6(?{a#3j|O*kw< z>M#mp$39$2R^d4|`1#a+n%UnsG5>#PW`CO4pXW6W!bd{^77vXC1O^?wyKsH{Ds$+6 zS#{?I8xB3}bMC0GRx-T@Z=q8I8S0q2M2L^Df^?=HP%kjr{CpBWB{zIRjI z$E)rAt$wzap1gzcaH|I9nq!S4v($=6#>d5vF;ruBf?UU4UmLM5?LQka6K{H@L(uUU5KAyuBShDENjLyOYIV zNHhB%GIWYB^uwyBc&w4p`4fP4%AztyROhh#$CC5^HC6k*$1W|%$nRKUwYr; zyTklThlN{vd1oN0Bgl>&!?^Tye0g-`4uk4ztkEb#YKATu?y4;b2cg~$E`=5}3Ym@x zql!E{*oD6L>qto!!X_R@RLm1m@>q>%3kZgf5;1Nk*KG^MuQ-J_Tg~Za)xu zv;|tx+iE;jpwZ4SZ5|kCOvEm?&2oca? zpyAVL8n8CwKJUyb1A2=qBR|EKRf=?`R+){!F4CWw)}C;;ZWb~e+c;LKbEW*mO51+lGq8J$E^LpU6RqNH_5Yzp zZbBCDO-oAB8LI|h|AoKQ{>H~=!}~T|P=@;PJxnFEHF=P0xmMg|Z_=nC7NcPN4g1`; ztCFYM)ps90L>g22U0>twZk+Fg4v7NkKQya6bvUpiXeB>0n)LreCbZnQ?`=(*83~;A?mOipyqd;+ikYbG@(bSH zy^F<@`k)5l?@MnL_uS>3yLq!#+-Jcuy-~eeVb@{Z8TYU(pj)wUEhOVy?QZrjCIQ=22db zZ^v;=P}%8PxI8^!|6U!ZSN7ARw|`9ykY^G_XA|uBgBx-FPZVkW6Ge(oAhSidt2Blw zKAG;;H%sb6b^GgTL#?sjMhQXf2&o^Cyts8lCc_Z>uP&edEAJ1BI}}MmHt)eX7w&hKRfY$LfFt zf=91^PRu!E9B+V(7Dhw(U9u-?EyX_*3XNdq`hI4>g%uXTOj<_9i-O9P*T;POmi6^V z<#{4QU(56eM%#lJWw>UZpIl2rKo_c-Q#R;nRR$!_K$}Kl8=5&puPX;_r^@jcIH*@+ zWazU3_tx=xpCKjs=lTk43U||t?JCH*8+Mg&%)VVsJ@KQUemuLss$&Ez+505FPzNjW z+mkYH+0a9>gKhjLyVDVK&p)r+|BN#c)%n>vrN8_*TyI{h!{>Ut3C7e?ToMF7b+&!m z>~bEVqMYoyzLvjm5iVdA<;ZF)@YaJvgZGqgkxqY8j&J3*vNe;fNWb;kk(gxXNwR

    8^Eh4A=EO!i%SuP1CP<0On|#|vEg>GB$XMgB{0VMQo-Ia+RK%E$*6BS~qNj2eeU5lfp8n5*}4OS!t*$i{76TYcZjVtjKcd>@K=v zoTCAO(k7SXH9l9M+ePAmDTWzH&V*j*iy?Veh)nJ2OX zA~Q5iY3|0#wvVT54cCtEmA@w<$YN4Rd`a=xsdi}+8&_2rWf@9Pr}^ffT8^%QBZCJVuQW`f!?g=8N& zj+7Y4a|iN}e1tl568Jd{6gb>G1DUzI&ANp^GNXif+1pqen3Y)#eL_0uiXxuqg)M}i z%De5HDEC1m72jGkP}Y>fF_zP~sgZ5Yta=hI>bmBp_*fDTu5d@UB!G$v5N99_TP^j0 zIE7d;5Ha^$#TN?fkh0eM;42*2rfyZ~v|6QmwRMB-;#Xgx61o8II&tHAk?8G`zO6xFA zPI!HB(5UQNGk`DQ%cf+TW2Ku}zMv9~w|Ucde^oL^@fG0^hEVg1s}J~uhW)M+jX5E2 zsRlte*Uvz=Gt(EgPX;27>2_yMa}+nK&p@mkE#UpVGf<{_AN&Am*>M`-2|*5~62`Y7 zg%XtpgCbKc+OJE5IA<;|iLs4D zr+$*g`KjUXu!{6@i`p?c z>7^GLQMVQ;CW$_ybR4y>C-lQ8!{>CQIW7Awl11Ur&8u(Gz$#{fTxh47ztn$p)BINI--ccSW3=F~L}Q{erwE5_ zBbBS#dXJw%SGl!VvMdY<-@`kCY=zH2&l-f`c4-vzGdCcVW%PUXjV}dF-vl;uNL=5N zfL%{mZ=eU{ELQ}ujP{YIY*wIMB0M9jgor?Emm*8X(eamwbrX!`1s$vV{ z=0=pQi7ng53{>9->K#uu2n=&Qhf@fP(q}BIWJKy0N%cChKWhx;oDzUMQd*#BmWFJw z)5y>|h>3a8`q5OmTeOlFb{ywrhX!%u@SW`3d@Q<{XccE1*nw)lx9U4<2BL@oS%DH2 zx$*u;+paw@C*D4!XgxJXfqPjK{61K7EK0ncwxFpn)MM6jv0?u*|TWVFdHRf zw{d#v2MpI8B{WODt@BXNT#^I+#j5fq?vAKG#2?InKyiBRVV7RLC$e=Ejj=soZc3~#rBSI@@(!ICMlRn~| zu5t?Mm@*`L@eRF9tzI4>=Jr$@w>dI_P>>KLo?xSVGU>zMem;nqPM1 zS}xWsh8q~a4sCXQCTN7%DqW(WO+FJ98KS9AF4Aq>B*?4T;c;|kB?hXkjfYe`=Tc<`AZfNw1B&_Y zEbZY(z@YyWnfLaL3ulu~hN4qNC$s*le z30O}|5RAxR{&VX|KN0dLt7}OAi8XBfR&hwjZ8-l^8=JSi@eo_6ExBd1Qga?iPzkJV z@g82(l9zZav7SPTP_UkmIs-j^AB`N#2yk!NFdj2#35ZB9a4o-wMFCkb3f3Gsh##J2 zgN2DzB}xxC(4UreNjE*6ZYrHnM3ch0otKS>pR>#KA=pYUaA)7zVcfgl>tf9sbq164+;%vw+lzFwHZ7L+q@ggrgl<+pzzw%@NX$`qC-fQ61K^&yY+L=MKJw>4kMz}l8A01a)dg!_yTbTPWD8;mES|od!x8mmsUdxGTe*i z;=F0 zse@c6y|p@Cz!4$Mz;-;t@%)6ns)(j}1npiGsqn*S;>wL!^k}TRDz47J%4Q-)=7ysl zQAET7OENkppTajijvVJ4V2ugX1er$rX7pvd11-|`A)7?NCfVK?;Qh$pb6TGKATOzu zPjBf_Ob}hzh_yV(!81M_Nx$amsB2}&0gGMA4F9m#4!CL{%?_)@!kabG<(mpjr9o3Ka5Or%pRB6u zd&|HmKJpWEgnIMy@Lla<|HGm!*Q{}(J?*R0fOXt>+Va+V8h;?oBswlWt~lp}JS1VJ zy^*k>Xq&&FPI>MU1S0D5ZeHTpEZQdkr#`@}G6K_+Fn0WWU&aI5TUY+6=5?*--Yh0& zl-{*o*2fm4j!*+s5hmeL$HM)w@Km zYPH3gO2l;r3S~Y6J(vJae`~Alc}9QdB*Klao`5axaWb~S=7#Z5L8?v$?(KJ*6Iu=+ zQs2tmK6vB?>>SI=sBz0_ zPFPZAz6lOKO<NiPgj|1{t}iQ-#Sm`hQiH?E9I%&U2H@8Yth#|U<-K8c zjbk0h}A|xDLZ_I&{1o^3o{Vj=AzMk0_b;9j^6-5u?qRdjuWtT|90}C{vp5H zzhQnq0?PZRxfW{8Wu1h^r|i=VHSR7r7-%>)5`Y)=0bROyxZn(wMH>QmaQ=gE^*@&p z^;^951CV^r$-o_pusj1@URnWkKFF!~q!!>;Xi+_+;s4=YM|+*N@<`7u@gH z$I*T{4F3O)^@98T$AB^Z6&&?Pa6eS4*x?<{n|IU}+yq_Kas3)2=ApCf>00%og}o3P zK9s|IciXTR@@zV{M}Y)}h%(yF*9P+M!&{0R#|*MyD2)OL6&Z@Dt&XVDON1L9W3R%K zgsPSfYxgE5U`t49k*2<)q4{Te;U=2n^K$wro3s&mRcMV)NczD4%V57!Y^&szO-$hV z`92^kkrQ8=5R}*l&#ritEZPL2)iSs~W--6BdFX3*>bifzqb5U~r%#)u6~b(X)eZEW ze7$gz@U$19P~>TAkUTxAkG7MV6hhLWITkfjat6YCa1X=nXM3V6u(wMxO_xo}3)=v-`H(;qX! zD&#?0KigAN_Xy_dInAEzynhkT@ke@*smV}iT#yF>xggKQ?zwolF3z5dqj~@2f^%Ik z_6xb^LM*(HnlHq{3#H=spmVh$nzrwj&ANJdJ+c?67Cl#RPm?@`Dox!spe^}7t53Eh z!Q)TDe9-|*_F&49U0q?WAG79rvAad&a+5@{txu~ZT@2pSAE&_bme(4;Et#BwovXA!_nhcS9VtDza=-e z9BQYcUU*FYEw-p1V>8kTU%XTJc2Z$(q}rx%00EkLb;6!o%ER%X>ai;oDzzNHac%k} z6qV{5I579e8|RCd6O3rw1w@I9{||9U60+jEa%IRzGcqHqR!3q&Tz?NyzDC=^eCPy&+1uQ|}A zdfPKl8{pMbOFIL}UOmo*?vyxzm!QFi$?@_YS%1|INF>09wnC1$j1D1sQ1}BMh2T^4 zmaUvKPM^_DF+i+jaQxU^ny1iV+=fuMn!v;ZR94LV!{@vn~LOMY5h?nK3`l9`Gk{pAvbjJ|RLRA0XkxTjq7|*{K z)%9=3y8UxO0(Ao)pgX$KSD=&JXCS47dcMEDz(WKR0M8m7Ild;HmTpX!;;S=hG3jWy zI#{P66&VRGwH4lU+`bz$am+C7&1!?2)Pa?b@>!MMqsY7n0|8A%lsy=%a{&iSnx6(* zG;lU5RwBDY)}6N0jhtR6$f`x8@Yg#padE|3R$Z#-F&F>FR%E9G`KqGQFA>h)+#ppo zSisSMh}!SDwXB#haf~|+zJ`X{^`5R!K|zs4WVE+%m2%U&iYD`^%0u$0;P>*Pb3qTf zVs=a&g~CFXCB^0%X|%0153bKdLMzI5rBHqIZbc>$Z@l>6es+ zH_l6}yI!5?us%&N@t^bVtP&|X3}Jj(j0SpftE*kmD(iMK`~6tmC@YfXdU4Lv?DpZM zpqg#-BaHEiH~`7#o#&f`PX|=TT-vYJmG4C;o^+^v^QigP;JVj0kppL>b2-)rKAoRA92^OjTN?B1XK_YxR5}@2v(`Z%Mh`kj<6qs+M8!S|{QkmBM-LSB@ zaZR=Mhd32jWZmgqp?1%Q#?`e+=J()QEf7L?&1-Q|Zkn;XwFgnkhn6ZrMKZ zEIR7z6lvXAb9xhdMM6Q-t#a7z40OoTh*~{!zfYRAhi(R6@;(n=>CGdl0hYARhYyXh zrP{!AktZ=mIA@^I%L8Yio0*PhAmTbDw)mD_(osabvd?An{zBs*_cxQ;o$ZvkdEy$J zj%qToER&lRVta+@fAwY4ab#~P5_(z=g)BOktI2z029m3NciqPSUronf^JjELVU3F> zFpkq8AToKtWeEseLG&OMz^KqsE7emmLoJQ(CdGG`u+-v)4It%vdXEXLW{w=*7v}!8 zZ1~R=(xq5VMg#I8`ryjQIisUHL#r+;HQUV-QukYrl4~LJs^pepo{_QpPY3wp&>_62 z{3tl$Xw{N2>xL0V>Mu=nlPn!`+PlV&zO@@go2p3BNa5BM&dha$3fC*^rL-i2ksr$k z_o(-%Dv|9Wwnf~g;h$UIfYOT>QuDyDoW?aMA^-?tO+hwCA|A}_flp+Cs73%=?2&=H z<(KAfTrNYIHO_}|$?7Vk+q@(5I{hcy3ll!K`@OcGGuo6#n0gd678fjhdvTQ~@k#fh zDR7qQ)er?XmU>&xrx5#;eL_wi^KVHOG$_VUtJcTVUh9>O^jwC{-G>gIf#5)DG#2yW)pZS7P==E zJp^7Jk4coh*}Zg7Xf2Ph^vbZHSqUzvQxQVg?RPKte{NP5X8-sp+UO&e^DCZF^oYiA zjuS4(Q3{d?2&7&C^bR2LSL{?3ct)WxAgg5bez>PY@;FDIEA@kT^%~bQ7+!(8#DnmZPOOEO?0lo5lQcdMQH8 z_K_t;L1g%eo2@PBX2d0zhtC4OaNa?=8+(WMx{KB@qlgOal552l(o?UmM1*}fksi{z zeaPMbMDG{N&mf2zrU#dR5 z&b6=nDJ543IVBUy8$x>w2V=J2APTiiY4cA$3H`%sy0x+6SAj5fK4kZyq?yTSaO9!S zH}6fU#?1w*Lmv&OcZuEO@x-GM4SB~#*fSsl&KpV4fNbeFsrE2*O`h4`uJ&%opQ*N} z60-9-d_iHlw`SjWfFK#@YASD4EnafPw);?nukCXe-$;zo%>gT zX)^R0KZ-g1J$okit=}~xgmPnPgDSN)aEL7+jl5?hR3XRi;|)d{Ml(EmuPfgnD~8nc zi%u?s$Be)Mkj7&88HmqND=Dk$w06sgKTm8+m-pjD3iS3LFcJQ(`%k~9E%J~pSrB-Z zdAE_zJbyG4#{UK1SmJw&khM;;_WXE+6*QvK2hme)Ogtt~jk#W$rt+4j>f@SVYJ}%r zDv`$9Ith8W;s;)HwUGAq-e#!f%RpJ_XH4o!A80e4Yf0{Y@&x}Z=Ey-ZDhwkw<3KB! z3|3D0g+-aCbhUCV>TOt@u@(q~!#ZFii37-$_lhg)OJONN9Oc#FkAofzFmZkTK=Ej2 zmnlGoK9=G<3jaM2g^$y49)+*Zc%s4xE0iQU1Ia;xfXdKX0B0cs_Ts<1-J(C#Ke){Z zNN)f)rA$biG_o9v(s?9S9(wKD%+!Xzo=P4{&$9&MmWOK|s0;!!{d_ToOm}<+W(e1Lqav<;6-Oif3Q+*x>T>8iWUK4cR-!IHx zoJFM9eMy=KL9!9w2BdH0)4;CzL5+E8n@!v%pO+j2>)*r5Q!})lE#R2b3g69f;m3D} zw73nc-Laik-FOg6ilNCubV-WZqy1W5BP`?uNIiQR?u;^*f|vAgykdJyAs*)uwwc_@ z;s@GSD9Ne-3IIrA{O`nM2`GVOwRo*>YW9ybH_&+OR#S49oJJ9o2r=1Wia;lGSj0>dWPVJT5if-> zt*JgzF+%GDcG^H@ML=+0knt9-R!(gh3p*<9G{q&a93ir3tG5I%rU=BtcFO~ z%|_BAC~pldz@R|}moPOA1W~c2nkHoE%_y3*5n6Kv$ch|GQD16U-FQ$!iOA%Y%;r1N z4~_kjUUlK#6?!4?#?(A`s7?n)bZZFWJaywPY*MV!NvaMwf|?~imEJVY7i4Cd4d@h4 zC31ONH`9~=ZtkU7<W>}!ROcrD1?h~ z?qbLfl}1O*+_~SCEb%+A?)*KrIsilHCbYBxia7%Xn7e*m(K&N>n`|)BgiMy}y{?i* z#ts2#Lq#WSGh;>^VfoN=?#|x;Ip^+mwnqH9McZ)zcfSwS{YkhaVcaN6X{ws}Nx0RHP>UJ7** z9h@1i$^EAbl`Q%4K)ZP0@uyE9(A^s&K!o`JmIc(~~U3)k*h%{=N`X&9&&-F#aGxn}a> z5CUQ~yQNMj8r9VG$@b(HY%3EAotq&>xYFu{LuB4ZYuj$=w^xxUQ%7hY%x3H!=U*6K>z1%y#hMRHG<~b#+oso(Mg!6^-$!(7O?1cX^>?LMp%f*7OkV@imVw+ z-K_%581`5UzsQYnPM`c3J01j+X)$#N=)R=G?55lO(*)kSD<&q(>#Of9hc~TP6y8U( zKkXEY!)tF}5f@(&`QmK|+s~ONLdZ3i^7{6jCe2&(%B>%SBd1%Ryy|S(xfd!A2 zQhB7;4%h49d=kpUFOoi|w8ALnxhG-E-OhjoCv0dS{~~c*Dkhw>O=w_HiOZ?YT+g-Fll|%@yxWbN;@;0bXiEGmYRxB4sEF#_tSe5 zdQU&g6B$H2uk!c`muD?6lU#v)OTq_-z_EQ*T@gD|rpSwYVi? zg2#Ju-SzI3M=D1z+HmhD`L)>7;YYDk6Nz`-!H-D@o$n2ysx63_3oHn@m8rSB-b$=Y zu1H7uK>edspg|>!;#~|($(tp2jkV3%xM{nGWU(9P#qEq8QEA*G404oSZ`;AWBn?Tu zGz-s^Q6Aw&{7J(#9;OJRYZ;$AeILbsbhsmk-SLV@JXe?h`5sZwOxbckuJ!e)`je3o z9r>&-2g@ZzGxFk&N>S~d{%5fUUB{m>#n-hOXugi8g!&S@$KM}9)m!%!_IKlHS-NTA z{R~WyON+!%A4h;&0aFM8mBOI&u?kshGF`&ZZb#g#ej86*ZW^1hpAAv)rN!)PE14k2 zX?#Q%ogrV}?%0Wk`TSQAuRaT76+%56o-u@6L3m-}eh$|1;gioOMQQwn>fPP*Yi6VReygDv{KHw`!sLI3*PO3}n9QX~9Obzt?(jyXhH|9&?vTK0LTir`qxq1MhJStx8IL*?=fvL0^qaPl&N zub?&SPrE5k8VLcZwO4)ML~3z*>|;E!(*8u;*yXEc;)2BXGP7Y?9z~WftUVdhGY##I zMfS?$N;kzN=x@LAMgM~JIS(`S3`B4lc4SEfh53n$>_@?!Cb>TIBK!7JggSc@-#n6R zmhJcyvd0+WNf0(o1BZqfa~`P9DfeKwP=AzXkp1TQ7(mbQmILHdF(k$I3Cp+p2Kc|+0H}(I4p@u^tUZ`a`SMrC)k=plKmF4;?IAi5 znC{dZ>oN?gm=y~~7$;1yj<7_nff<>S0q5PAn|gHRFRq42Aw6skU)e06C^&o;GRS(q zn?k1yzrL*t8%{|t*E~|!9@DoPxm$wif!BT0fm?zxPT(8Gah*APj{8E>_*T}ju%5em zd&j4dfja{Q<0GHL9avRxUO;%L!oxq?JG5J8!VN|uoDJ;ek6UWY8lRWvJxhNuV7Mi5 z#nx2aO|Y}`Mjk2-z9TMt*pCgC@@%_K#d@BeNNuM)l5F*+?i8&U8LPsrgEmR84yJ$? z)SfwXBLs7*gyRV7{kZ7yVe7=#S+M6o8H}2jT_UaTU{~CvgaXz4&dXb%);0z=FfOJSLnfs5OwE2s_)n177K=S|zaDdF7(F+3Jx@M_dXfxmscp9_O2xkLc z&5yyG41m?E=veD@nVp-@v{RVZvfuTh;y5t6mhcn4gH{MfjDu=VX^rvAX! zAW32`?_fktM@QdaviKHLcxF_jnOZcIS-ZE;AS?3G7uq}Ehw*p376)(6MhdXqw7BEm zIX4tQ7SIWrT>#HF#OJIx;MDdxTPXMBC{bi=(Q9>8xuIH08w));sbg|;!n|2mK5)Bj(@3?ZRI40j_xh1prXrUa z%eVB$9`_g!H&tdfqSg&W>*tO?DR%f0lZL|e-_O!jGHDcbXy-->J1@;Ybx*! z#Pb4_KB6FSS=iD5CbYsMQPkXRYDK)mX4#L+eMYki{(u3yZ}$*CZko9!G}R+5)SZ8n+Mj(Xv*OkC^gg5sF@MXdK@cMM5#`e&N_4To^<@&X&HpQZ^csiY8P|K z68l|3GKQ5DAo1L19*QvCM%;jwf#(7HdkVN5P?x|+$jnsPmeWCf4P1r+4mGpR9{Xeo zg%Eto?^eI{Smx`R0yYy&fxEkbWPHks0Ts>>WV45N%E!xSP}gs>ZT#>XZ=GY%y>~K- zQzn-<#L*%GC}Y~dEaFbPG(L-&(huk@mP$7a1{TY%hU-4=d?}wlDt#4ELHZeElvxvm88j(<53q;^Xkv zN1zn_RE18l=W36IcZfJNVnK`hL4i=M@=e(Y)2f+Z0jWB0}@1* zw>Ba)e|r4T)2`q&Y5z)Hn=~XAjWvbyn!W(qGMZGGW`z{vy+%ZJ+M3{4T%U72-%@%t z-zzG|8ul;MQz^W9%k5WN&7(QdGGP0W)~Oc5=a9rTOM?8$|I(9#-1CivPN5X zHHfZ*VUZ`=2%Q|@tX|Vii8{?gK7ZG=qOVkgJ+gQwY~h+D3`Jy9W66k0xR(rgXx(PP zr0(}yW+rAv8zIN?jT6SeNpC=^&?uC05D7Y+9!n+k(uaE+io%2aXXEAISb-Qpx$#-| zMr;~7*-&&a%1xuGGtAY(B2YTS)4WxP0(_ZHoZuL()?A}a8J#6%8>H7N&2ILJ_fRl~ zTUrkzr4dm;*g-S60HH9`oM0MHtnB1@RAX>k{TlkK=f-Nm-6+utLh44|;=rNvT$)cd zSFUp0=sDBCLUE08G%D z7h5>ys^+x=s!1wWJXCQy&7x;@TDgc|y)P#cv$l5!?!F(~eK%}2_}EXsoU%2yU0qOy z?e$SiBnYF-)8k|3vukK2=1r2ZT1aCu^TVY}Pa%bRr&%$E*6652rGUN~^iA8S}d$#%R$u_{g~&LN|O(&(ChurbA} zXopcxEd?ZL^%rSQZENJE(lATU!0drNFN=_yCC{3!PJ#f(lVmBDI15^U3@w-v3}})) z$PZPGN6hQpfbAr%R}KN4ladCkVadWQ8=|fx`t%}{)61$?G`y>6WG)HNG0g5386pC+ zrmT=CaK6N>wiUx^%Xm?k;(NYB26hcI zz)!9F)OC`*=e@9GDhsM#R2EQdifjs?EwaEt~*_Yerl`qAAqeAo?~5-WvCGD?5TacBtRP@?Lo47!Z2)*^7Urf4=2pG=-|4CxNB6hn@H9fWFNYYq&+7GeT!EXp3h^~`pj=+6Oo7jEYE z`y*0nzqyyW`&7aZJRxbG&>w?ggD_AKE#}MIIVYemeK{{{#k20=UagXnoGzWsW;_ z=kBr@$3q{TM#nz8$CJlL_W+=7!1oakHSJZH>J+E4B$M8)>+^`Mg^4SufgYgCeI;9d%|dJbaHy) z#vfq7Z|AWdV;UL9#EoAQ|9X8y435_(mdv2S-g9$cKyAdutEhBrqK7~BQggfqeYJ$f zgBU-hS=?{+H;|-V4FV@TkV~VMQL1)F2|jtsucxQg_g{msP=al&%wUC-ujQDTe8Jz;r`^0a0h{u*xy4htv3iG2ag3_u8@ZKIH+~_}PEh zq@#<#aK{QfF^6%@%mD&L!RXgAEBNXQMOVyc?T_Ew1VaBjmK-O_zS%8)OW1|rSu-%f zj9PkwH|MGR*Txl;dDV@TyCBPN^os3Ui8C^6n?BFVURp#OPM41mxizFlj-dhQ4;vV) zj;wh#Vp{r9vDc`v(0$QK^dXVn^7*6dGz@`rw{{OjlJ4j$C+@lialU<)^r_fih()d* zVdCfI5x)U1vNEJXpdQUUlMirrY{tnd)>AnHS=p$HC6sO*3L2q^?n{2b`XU^$P9s!{ zAy3V77sT+cPIP904VmOYzx}L&5%lS;s<2w34~yQnBHJ6q23tfuOzN_>@0ZM?R| z3ejFcmpUUvFcPV$#Xn%NKKTT)>C?9@*bZ~an^1Z*P~a_bX6IIz+ z3J&#(N{)@pb8npIt<3YnOo7#I0xpgr2y+YCGfjSi z)c3L_*jxq-_Ih1h`9C4*-_^7Df9$OEOpH$SYZR~8k236jB;B-G+nQa6Vy7l5TE(#6UnM|i-q zC`p|~tM$d{~|0{A#u5y80b!3b}yij}e{+kIaAVVynO}VksNv4+Y+J>QaNOrZtGk@ECdyCVc7ps;C?T z_-a}fD*52GKP%ZKO{&2%_9|-cM|vZ60jwlb&BQ7KWhIKGeZ?Aq_L-ly-^WZBYl}n) zr|V6sGDro(Dh;PH!#`b}MYN$!1_dSm0%*;p`*Zvl@t2(Ylt!PB66(5glD2MXJ>PDD zG!n5Ol&^9~-_rL(65!;kI!|v))rKh_TXz$?a$ea6NlGi~)ck|v@8|4r{v{noE~Ec% z6#o$%a1O4*L+jX1jSz+)5L$PtV(TXuA0-aJJbO(Y#Hb_(+kPvk)&jhtdnW?&Jv)Pb zyi;_LE@QNE*}FAo9_3W6=}J1-@sWN@vdpwV_W{i#QRgB>ZsX>W!IwXY150q%MItP) z?#Q_kJ0T3xT34_2(Ww+u{w2#x7WZS_w$!N;`13|~JrL|9>GEak@VIlyBk3{=*F{1I z$HgIwl_2a9QpS}KF^vVghMD|puNpMB#*^~HH=9OV@fP<+yTNcd7ZvII&XBe@bDoJY zvUkg|9KW^=Q#HA(Z5kTmiyIzuC91=0x~m8C`}u8NHUDH-B-SYn*a&`(ez2-X&;#G^O1i85+j~ zI4y2#1g+OPMJXW%XZZ++J`We(>G4e?{6sy=={t9ZV56QsJPm}I=+B*j;tk)nppu)L zn?DhlZK4f7*o(iyQ>M?w@jXTNEYMQ8yS5Dbt_u}^8++T;a9b95i{8L>!MlzmHxgnnVD>*&$jk1c@YY}?XpbKLUE<1|o~dy+0C>&_p>fvo!(0KU2f~59f1!hEc&Wzh- zrH9i8iS<)d?IOo)HGdI)3Kt)q5*^q2v4`cuw4spt7NmQv2Ek6ZU(KrEPad^_D46tg zdWDAd_r}-_Q57jO^EXND{j*&YQ(hL8TXBXE0kL>n8sYOm{xLGFSRWnV{CX|U6VZW<0ien8Z&dR%Phs8y3`sJ3I{PbWje4E#3+26WFR`^!11 z7){fW`BENLughf_Af8fMMME~+KgxDuQu+RPWq2*jG~zLnKKL##!LB%mFURJFlG`;o z?<(JGJ@HwTlv0C#w>uD)>z{glez{ztbr^t;-y=gY@7eYH+6d!Z>L7MyTV`3sv}`t1 z3)|FnJsGreRkI=BMkWaV>FDdrWXpq3&@HJ?9}IM)H9w^0Oi>%L*hP1%lQK%Kp_Cy3W??4#m`%xB#cA4#SZNC+s0A64Q|q|P{Yr8Cv}PmFuNnCx$- zr#@E!Wvysv#p<&d0~yCg;rMZ62}8L*2rhe`Q0C{4Yeik)VEpytC;qvM#>enjcj_8? zyU_x1{OUU$Ph$WZP|~$k%&X27++2h`TD0r$;ZM^11q_ic;U`kkbyxNZXGg@6CNU)5!pRzUX|)`=eosY{i1{ zwB-c+a%oxZsak^tK7^2gXi#qLWNI2m8BSa^bzG%}s=#p>L~xn~4>M||xkde!mIX@Z zb)R?$2=9UBdqtO}zz)99h@bniCc zNjb}L&#nxswdL5jkXks_Iv={ES07y*Pk8()Gc2 zS8S+{m%VBlGQ_G%Wu52P*NTd0&ZUS|XsB*!&Fcwx)HHXR=Jl&-A!=zxG&zFwsVe$x z?c4fE%!T@6{N8rYN=)pacfegU*`7bZS&wU3>R)5fi)v>muu=6D|7SyjFUvC%%L*Y0+|7HG0MId;d`R(T z?~Iw@t2wHh8{I_hFLaEYdQJE6(u}^30~x3+1pqtdv1n=>-@jM(Iu^(Fe!5t?NQ)+g zuO!AFojUF1Sb%209(gbK7nw9idpJ%MBFAWXz9HjW-?(Q2Vg~~F7Tb9%met(gIVz^8 z(`04myjM*pl~x&?lB3~+8?sNx3KHbcz6UVuFeNQYfe`WLlgQWp0h>gTZ!(o^LmpdE zmOax^XggLVK+$*j;wH(PUB8=FQ8N~>K&uw)1g=if*k5%z&Kfxh_}w6Fh7V}9RDdZEe1-vlCmY+mp0fjxG_UN=ZP}H*I1%DbW5WsxG9ySN~ zpX|f<`xE`&eJOc66K<3*G$jp4*Kbdc(R^p*RK}_3QSt;K_uX-F(N+5{*)UJ?M=L(q zkxqX8eD;;-t_2W^mgb3lvU`*ot`P{>tdKG$Fns$9lK?X#*G^k_96p8*c7G^-=kCz( zM#4c&xfh#bzCm396`DifOqVS)y{AsK;-7BvtOTg=KXzQJt{@c5jV#Bj?Z-TUV;vr zSSS;+V|HcuhGeo3M|Us{`R&a!9vZO?oiQ_XUy|*DzKv@8JXGBRWDDK+WF4{m#&jXY zdQaddo&wQrI>{~@jrP{fNhXwG5AbvHTf|}BC^cdL^Hs@!nL4juhqVgI4EqDl%bjhy zJK{%R_kpuKk%iA&GNfW;se8hGl}73vf&i0;8U>T==sGd^ZxpR;)Kl)Nf!V%I5I_CB z=3{RlH<1MGB_a{+bMj5gZG(fUO(2b11Fez$JfP-9#YRw9-4fMg^}g!7wS712_lLtR zi5)YttBT@iClql%EL63XEw5+-?CD9P^*Bb2uOOZ#jIh>}vKz-tpO-R3`m2wDTBe~~ z@YqBu$AzRJ={YAK+QPSd;UPpm-NZrCPQ0dQv#wa|T@2!w*`fT9-{V$!@Nnj1 zv4#&ay03tilWk~Q$z7JBAqL(b6hM7lF50Jv1#6kkIxcqXpOaNtTU8GQ|*zPN~qQKG} z1If!-%S?p5=axoPiO`*Oj*N(9tx@_V+MR&==zyjo2v7HO$jk_Ky3A_k@L;*DhZldH zks6E+!sCn+#tY4rTI$9MV3?#B;n(*~hF;~F(9xkxtIqL9gqM9BS-=!I;~=S!kA92! z5ca+dEEh(%+`*g!GzN0IZu=pU*2;gLrD4szXEhLQxh?lDSMJ`+x5S3XDmpVrz@F;t zX*{PB(_rx|2_JTbV|0L2b)xwPz=hiyY)zkiBAB0ZMyoMtzgFz zP_4Ci4aJ`V+%Y7cPh8-i%T#-GC!_D$_gIzXWmSonVRu@mTH=R3k9#3GoJm(JAPpH2os^DM*2UYoc=ImpnlJmCnDqOb&Ic!qZjSik zyh^{5L3rE%4;r>taWHm!8Jx8nVz6aq#^z3va(ru4T~1e=27Nij=;>uxW(B`RzD_~drv3b^zZvWIQJ*o0k%tl3;O*O4QL?=dv8UhB zRM$ixa9McoE)Rh0j57(qlH!zt(MD7eI<>xrfrA8kS)4*e1(+SygI$ zR2z}X+{RUu@x1-~4%|f_Om-(?VJoi=SkCv|QdwdY1zevChY*nfV*rBnn1NRA5vgMo^SI1kX0YW|!vRI#xBdrAX_?jmUrwCRej9C4M6w4zREz%_&w z5&V;7elmGzmHihw<41&5H^B3mOUiUEU7rt))4{1DgZB7eQG#(@aQJ) ztfGOe?MV}^`D=K>`fF*b7`|tU3x1NX?~0SXR|TwZ?nn_TBWP>_jPPc8=xe6{Mt~`D ztXg;4^6qTs@=X7I5V&g*+Xd%5@$%2ZawQvIGOV;6Qnz^-R%v#g_1wJ2rD~xA;riDm zxZ@P@d6^n(D>#Yg_fKKM-6g&B?vaNOf;EZ?MWRI?K;aU zZmj+4-9pAd@nx}xPkmjE7TQnNN@AVcd9I}~l4|?{XQX?3v9TJw%&+eA_ZM$k2WJxk z?r2Z}?lhL4#e$<&Y1?@!Ao^ABr=ik>7qBDFhIEo*pJbqsAw*~VUb+;;iv&!S3(rInRO1-nD=vUO!jTREJbrHL2cx zJD}?`UP%*LkGIG;;V!utjWc7!m~*3?GeQ@&Tk2=d!sfvZluo#thVLnIl>bqs@t58- zMx=;+F3&8M0TMYV=^dk7Si1|GYc0-sWIQI`re^(GIW9(dvBUWnAaSK# z_?z`Z;iS7#i=S@PXY+>4#W1pVhYr1UA;Zd6*fST)Xg;ICgcS$~bga?pyNx6FxFSR)$B-b_Q>bu@Uz z=R1u(Flq_t#;xr7l%&9&6qMgJPb^fw1Y_5G?9`;-lx0bwNL%WYVk#BJXCJ#R+gKEKRaZ{PHTm{7!bR^d8aim|kMHZ$OlFTU#57IGS%W zmxLPHn`->iB+r^s_hU;m{gi}1h{wfNm)^RLeB(7Y-hU_5kOWNlg0$UPPFC>qr z^O8GlE z;ejEY1T&CXmd@;!24jiF_sv&JObqj#HowL~6LP-e=c0HLa0gfN87Y+jnCATGo-CyR z->V0&pZC{2s`f8Z1qqAlYVVt3=m;{rg_=(o6PDlK&-Xj44D4aD zt*JxMM~G-zZ7!h6r2QG;?0*$~=ae{)EaRUZ5%_TU(_z zDY-VTdN`+C%4PK8wHbU0DSp2TCt!IYSHVt%S?#0GC7pkS(WgI0ZYP!9hTV0B8GM`= zPboHDE)UD50#)G>$R1tEgv0Zqv1~I^#Gc>f5!@Yy3+Bh0a71f8rS0o4p!X>^)7E1n zIz9k=^Re>-T`W~qb>REJ{)~O|!1EHA;cdWer%KvI=g~x0iA%$JfDF1g0}WKPAjOC_ z(4$|P!_+cni{tAXjZ+l)isqgk=(`9{$!9NC2KFlctyIEowj`^tE`;Z~-~oBvr8Bl5 zFr&-s#qFU`_O(@zR4DQ;S_2Z<<1Lvp-;f>$eP`_eE`bTTyXIOypAb%xxFiDN<4bTR z3C%;T79Tcspt>SmJXK~6M6;s*oNsVFtA&AjXGRIKKaBh;TxX$9)YkpKL>j)q^c2yc z!NF37Zq}mMw6Dv{vA-;X#<%N~X>CcK8v(zi0C=*`MetPM{23v6{aW6rw*JU#Nrv@A zotf8luem?=z3*24tv~|)e1}2It`d&^r?^Mo;;RTz`)e*X>EoX!HJY~`k!YY#g~pW z;H(OZs+c}>iOw9?@rQEw%pp&zgL86GK^+S~4Oa~c%dwRePIkwZapw{sFf?wyw5)KKuC+7G?uWzi<$_^SQ9qS4Z>QMXQCB%2M*_)&$-lI= z{2y(~zc@ zE$z8_hHqaAobkg28R5qPkXKn+>02rBO^ho&%ghIw_{OvCiUlT)F#cu3OwSpgqzOiNq+-uH zg**WZ?sexrz}`>@@fU!3$DARVztUj$L?>Blc)uJ;{_r)@o$eu!BFlz*@m63dezf2VJg^+ba+zEiE_%VxTA zH>2H0<0t?gE>xKnK)bftvi{7XwYa4OBWQ6fWA_pv>EnJbk|Czh0`SIi1u67g7oHf?VQWk>P5by=`+S<70T5u*!X((({Vu0bpaKh z-KTuNiF^HiqIMb{FUG~mDK#w^-$k+0m3%~xK}jJzMJ$^ueN+?DO`|2(C;aYA3a zxMGR^RP$-xL`!SHH?MF__?|>Ktx4!CTB{Bxhigsv`R(7*Z*Zf=n!hC?gBIt69XN>y z`QM|)|2Rd$J>2@xkumjEBs&g)6|c8bMjKHBG`4DXX`TCS-;T%q34OvB6W^nW2Ua7} z8%e9|(G#~t=eL4yBQ#W8`9qc8$7PEX2yEh_m;)}j3rW{&JE_E#y*9U5oU zSQjeniq-hjS`;H!9`E82Q02D}Rm(hqs&%5a`n&F!I5e7U<`pe;uY5TuOCjNv z??YT2Goqj%rz$8b`b`^rDeJQd|8op)nmtcbrg%1md>^okeD+b$%iTV7L`K;z%+w3Z zzPSF=C2aHvm$K|li0mQDvIx!&ZT?8YwsvV4f@L@R17TN0v#e4<-NAnD#XY{8&PHlr z=63l6?Vlk*sXfv!7Y)}0qn=w}RJtz$xa%`vIIpVeG~Z~( z$w^ibK^&)geaTF0LNy*gIMI|EdalKrGX>1uSCbuf<^9;w#RO@c?M?o5`)%y)oB+5m z<)xpQ3|G3ty;sMLG}Tk1VQ#4@L=u(s=K+{-PlRkl#dpYeM@lWrT21dV3%P38@{@Gk z&)JsGl-^c!!(1QZFMh9*oeAKzZ@Q{CNOj14IO|-=X;k6Ks`5JJ&%(yiVOVl26@)ot zJ&DL6S9bc0^m?ys1w81E0rh&hy|PNxY6Cf#TdfLf0`u|QYxL!}c%X$`pnA4up2PKKO0OrWAJpi_|CACLN%xb))kK*cty7A{S0iLu& zeaNNzTH1{bsDYx*E|ye2ck|zmuQ^FTWSd?O*}*)HbW+x|v1QPY7|%k-rdF4H1UvWn zq+L_4Y>jE-2xUz5a9n5zIR_$0`e_VqM1&^pV|$4^V?N5vTfz?19k6d757Y%5bom=f z{8|y7X?JhVw2`jaMKj$~wOiHpn$61UhQGP=PS6ba#51cxm&AU{( z2ykT*rr7$$#(vQpFO4cl_v!}VW#er>{`+cW@5QN`MEt!?B2_cTjHg9G;ogl63w-AD zhx#(;L@6;Aq{NW;+AP!%{Za*WSRs(fC)#1MyX4S;9j$Rnt2JP}FZ_VUI-4w;!cC5K zo_52W3(dR=)y1e@ahC|&x7Pc9noJrUqaBU4u^+2a?vY?*VOKP3ihvrHVA79tV^uYx zo%eZOOhMj~a3%R~#Mhmyp;Q@$_vDyQ4Z|^!{U+0BuOg%q|C=)?FMLIvmD`VGr9Jj@ z=fQm6uWI7bN;b6mFN&Ra~Xd`s4V7o{5QS$(6X_XmxLAqSwWfU;kuT;)Z-1+|DXeLt0b&F?+Q zhTCT4cFm_fhv6yJTqZhQ-W(o`GIMy#hInYfZr_rahSmE{lH}2WaQ3-++4@Ue|6rF3 zpV9BDywC7AbR>w6yawE40GJ$yLI)Y(+}ZVtigryWdSWv5-DB%#5n}rG*poXofV;h0 zjBtle$$2o;4JjJ|^DNqmy?OGkbflE4R|V*B@#bMPD?^nOmH4ux(3)+K^q-iMt~kky z=+mwTdw1a=Jj%vd?#>LuX3yiVB$evQUzU$^+TRm05~N-@;{FgF2iZF!Q8t!gj z_%ASLiVENHF#mk5+U`JGZsAX2eMrbl`zk$D|2rg;D`XR?#k3?{J`=?H zjq$Z=3jrC2H^-Bv8JSh$jRg9nE`G0Y4k9&WGyO$c|qFVQz*dEV&9#MC;{MZ|F zsa@uZF%bz+mwbX}ROwZ{FLMN41pN;UvG70|)lD;}W70As1YV6vg%n@0H2U`nj_;ID z|LbYQe-ggnlqCNo`Tj3aVE+FNmHDs0YV7uWxznmliYi-*jdl%&5lS(~E8I%1BxLw^ zghQ15C+rQUuIAY2=Gz?*8nW?XDN9{Tsx$m9RmF|9PF(uGw)N8(NBG+~lWT-RpO4c+4J zs43j31r|0Y8Cb|z7Kd;q&nZGj-$xS&@*0p?zs%&0^tJNrGw;Bc%n^9Tz(j!cg~X&0 zGL<>{s)1hDf|qx1r(`pMZ-}lM;-g;&E=pTjO)>?$0*hEJ$^0_J>Efiv$Jf(Bf zN*oN2x+ZF44o4N|k?(bO&Xi>@Ml5`9b}N~4h06<f&oMyLf@oKv_@QQF zZu{truUW^cg>=J9U)~9kyi4j%;9r16Ebj3CZNLas)rYg9ENRbF`}QvZ1wS&TzZE^5XR2#V)+)Lh0imTI#B8+Fdb-gr z)a3tJ=~##94gV0y^UqJl=RR491j@|MEwVbk`E<$FwLU+4oj|5UuTT)`{d=|5apz|C z9&gedJ|=O%+gH9V_x?-G2(gxgKML_8Dep_`9w>w}c1vyMkcT>-s&VA?hL_tI>y446 z4x`4yg5beCn?njOgF*i0**dy8h4Tl_qVr~}8dW?k(`P2yYI-@vGY%k4PebpS-Kkd> zlCYxya!fGt9h|qKh_8Z9^TliEgi9Fk)i2})=o?-FDPL?KjW;+4>fr9~fTl2)7b#6= zdhMOaRC8~;i2)kCxNdvnX2zcA$;dA<##q1JoOxR^Q41R$;T25B+A9N+On{F9b)9!F`NI#i1wdL@_!-h+UTM? z%uq$I_T{+iHERSuFg7EbmY+Sg z@>e#ltT0j!?i}uZG~A-|x5_B_6AvTf`O7G)mT-7;G*|Q>Bda1WxdOS#WIbv#()Rqm zLxDrJD2_W+%TS@M*@%ujM#*S)1Ki>g>hVqtst_7x8gTDu7g0fG6O5O#2Ie6uA&a&C zJ~KGkK!W~0klw{84!`}@Dc?+CO1iOBIyHaGC z7oI;o!sj;T4ArZd!U{fQD6B{=vW25lFK2C0htV%Gz{Q#cJGBmt>kQ6p^JLn|vyRsI ztksY4N7@amcy9R30yW#v`jNpE&gBR1#mx0vO?TTukGV1=j4t0cPpTa(W?~$q=wdVoH_q)A58Xb#*zXTzmmNDk$ray`V;9GW^;q1gyt$um>g1hC z=35W*pfU$9e&IBZQ@41s^$z3}VCo7P@Xn>FpOfLZ;hg8MbYYG2KFPt?NxsuIM3z;- z!$HVGLHtJHWNy)&yl<7T=eY)XnAA&_n(Fr+vk%D%KaxCzh&m0_U=pvnIZ>c()aqS5 zvGeSYA_^=NORwIu_H6*02)u^@C##W?<6RU|P|>|IcK{0exsm)X`)$cbv?hZS!cuQ?qWbVWB7M8*3#Lx6fu6T?Rh>j`vY8Vm~5z1Ad zb>4Q}_61yZc>dw!kW<2I=-p4eZ9?Z?4kliCZl2QN;YH;aAJ`D85A_^AdkDylvAfH$ zi!JZS5H8gF3q8c-BUgMimF?+RxmQgS{Ngu)b$-^yw~;JsSi3Br-RY<@Lsv!cHSFb) zV@jQzU%nSwRuL$jR&n+y%scxq5%E-oBS=|Uc%hZopI*Iju4vBrbc~_luJUhz6ar&Y zUwV9=A4ke{1rEL|)r|}FOZ>{qEK!wf#;G#RmN=o)Mb8%Su4)BC_Nq>30KZ9mCdjrb&OEEmjWar89X0S z(vj!?iR*F_EY{AyoDkINXm4n1uW4v*ZFs=HnNI5+CJuu$#8tw3hO~RWl0WNM*l#yP zSM_{^sOZ(tRd|$X%AXm3*f6$M-B4ZN6lh@-_==Rc)buIoYYU(5WX8EL>3%#(?1On? zzh#VD^Ce47Nhfb zzyMysv0V8oy%`TPK@}BEpk{5g8be)0`CSStAI<}O?syfYnKOxl&AIv|-jRwW4?(v( z!_#^CoGffw>Hrj&?Uy`aiu#77?kCknZ@O=IXW0hlTNQ$ZpPwmeO9k{vxz~hdy>N29 zkQ*)cwY+fO!(eYP`weFRL&o)sKMX$wmzWHe93E@ua`HX*SG4Q!QyR>&b`P}kceimC zJ;=qmVNBJi>XX0>{&C8Nu4~BedvBNRGxaCGHeE2;#5Ik+t>{nRp1BkDsgE-6Q;JIQ zo5%P=B2!^(;ti;16b6%?yyHIbNXzjLdx+T|+t)$EQ!UYat3nc6qFLpuj`;9|V285T zgIdIp+|ea7F9WOdYH{8t44e_l5en{mQw&x4j;%&86*qS&uWG&v`B%q$mLfgM^gg@4 zSjf)5k~3oJkRA9&?I^lD_^qzi{QJBCCil_vN**H$wd8ai1tAVgHdXTAo`Eg^Buf>Q z5ruO*K*m6`rz>xq1Dv+Q*T=}S?9oH(Y5eiO^m&7EtDuqCdqto!|DC#jMf>5 z=R&0ViOdzrv$;32S>Y%t^X10`SfHT9cxB9G3GTCI%*1`Bqe7gDb4z@-o|`qTt?x2| zHrruZ9t^{PjK6?y#eg4~0q@z|a|wOB`n4_vR&jiiL&3iQg=OZ!xY5XFxK-kqk7nQt-yl^S~GE7|$PElvt`smNL#fRn0I(1;6jK~`T%hVv| z<}FsAuMa~1qmJ4N;7YS`~S8oHm4hG?`o^x|nOp9Xj1h!!+> z$W=NiE%*^VgnLy570^{=4hh*kAG?0>QlcQ!$kbGMP%~&l;j0y{`eeb4fE;knMMs>I z-oJ6_T4Ur|>$lYWNqfZ-bmGh8%CgI#@PI+}PZ4L?x4Bn+YO@F6O4&tI#ShV(c}LF} zW#f&p6E)a*?Cdpd(|JbfIk@3cW6KBB^CIM5E;?#exWM*g}{23_I%-^EsK1mIu;BYY_EZSaS# zQ-!}1i~mVd`2D|<8TvmZ=b^1pl*Dj&<#VBom(1opXv+|Es|tlRcuN1T@*n=c6X{XK z5sA3p6t?eD500JiJdgERr*uqpsNionC0ift9$@>~qpH)U0_=2J-2O_=fzbkk-O$8l z^Xsyk27{$h?l?@v+l%${1dScRV+ER=0-hZU5eT^nimN1?&vNnG?2?X!@Wk_- zO@mEk-t=GY$H{*>r!iUX(s_MgIy2)5KKf@4Vjq?G-smGe!-s8vN%DXY-T})P@rMZv zKvhJ%!XpkmeYtMHgOE=5s2c5pH#F-W)47~ z^}RyXFZzZ>h+PlAmRq|{NXv(7v144wAMDWa`vdt}DOJAl`s2KTwr8uMEUe{so{9 z{CV&f;M3>8!CJS3hu3O)anQUM(e{n8JDcww_tC5v&&Np`pKPbp!URh`AE+Ck7GniG z?do%##dIRX54oD+hJTK+9h8qs!1R#7e74hVsLg{Wz86>;l}_)ZyDpsJGYr)6{ptAp z2{F=6-)j@>KddF}2G*N$I@W4d^L+09xTJ#5lkN56hvX@&9qGg6w5sAvJ73k5d7=Qx z)a21&nNc74$6J;A74y!e;#pBTNW@(AsF(^*q(>rz5D=`_#YP>nb)$^3S0oO#Ac5pEnsz;^BGFAwa1 z?pbJlTBCbOwk75)q2HdWd2{5%{w{eVdE7OjNX5oQ-}zKi?4+XtlcygzffGLDUEMP* z=NU@GTPctJ=1314IL`N|t(g@tH&)&MI!MfepF%7;h8?6Ao(KaFN~1O_rpdw}ZZScB*uVzp|p-!qo91=?-}s-%;B;;17LR z;kBXjzk#9g{<8tT#UhsdSVPJNXO#o3-cp>+zalp+S0{$^0rxNk+SA29+hx~wfOAr9 zYKZjBWA{$Er9UdnoXID_A%?&mlLe~wPM+Hwu1sYgc9k|;ZwbCPdGwsQg*bky1rt;b z)fDo|0+qV=;#~0Yax%q?>R9&sM|XZrL9MHbHd*f6k>q|)H($e)y zqi1Ai@J2S>Scd54J+=9eKhD){qMyt4nGrrezq1h70{dQtB(NKQ?BdX%|xFP(!gC>I1EB?Gb zdCNC)ka&gfYm%|Dva8eN4J9gGG%?~2drQVp?#sr66Sbzaif{8ZU_ZQ=_G-f>|iVhD-;2m#_>OomX{jRUi6QkbC-R+gc9#s2visN>2yI3jx0?3g=34U{4hijl5S+NEOE!;0Ry|n7L@dmU+}ip} z$#Y-w555w!=GaflHwLWTF5;&)KSKm@%|Y$*f9<7TiNRod`k8vM`;Bh($4bpeXg=QY zPfxyI3^Ze1>=4PxT_+IEY#l)QnH8t0@O+HhE5)C7H_8)G$d?P=cZ`J#*?e=9A;Z&$uWuD}+ zoK7d~L=$Y~+T$dXEUPEAx1GxnPNE+dQU>LL$wuE^;;4@U^Omcg>uk?vwaEa3he>1#@yII8WCc=Qit=B1Vbq$>M;2 zVS(4W+9%lQ_%4SPw{w?v|AQ4j+!*Gf2N!=O#e-yycD@m-G+8wrBo*Op;eTV~p9kd&P~GvxkX)+qIXr%uV1>UMhWRn`~d5%!)m} z%0K{5R}-cHwWv8$m9!0m?UH}|{(aB2W~r=S(J~27;!<_eXTtN!B1)vgPrAr_Fojin@?U6A?3l5U@ zd6!(?u3=pWNwWo7suscaFS}vuuT~^wU%w?fitXfgXiY|aMbSq&S27_7=uDiiR2cYv zs=g;{PX0)9z~Fhhw&;n-iwdAZ6&HZtAy~a82alm2!hfX$w#a@FTcLbDkozKKBvy8w zt=>p9*_W@+m7w{98YFQ0#a(%?H`f7(+|_62WfmmR5;RYL%b8w-rsdx8(8HI|KHC~) zj+IrH8LeWq9XSdNDdP2`rTz>V!3E&8I68bXyjWvBzRk6m;e-jvu5z4 zk43vLlzL#}>AH1%xkNW|v&s|`IW%MuCg<@NAi4{iQUP#;)b+_obS_jLd1yr;6=yy_ zNf_Qvzqms|LBy@Xk)1R#^%~irgE9ND*BC&((5{9AQ+HN<3wO%m&6q_t@;WfpINUS` ziw78y)xb(2e0mm`0lth(gJgKD*HbKT4p{v;qKED)qkzy^JY(RRf9s+TnHqu6z`dtR zOyM}b%U4&x*9X7>EMH5WDrzo(thrGP%biBoySvFPG;}v={DelFGinb0Mgl0lS$D(# z9aGl#N4yTz6(^dd>3d&&)TxYLiJxu;{G8hiE#;`5+$bl{AjOh!nnzWUzKXEx!9c1JGRC33YZUMVG3GHZ^AbYnTx^h~TsH@Hu{Kxd{K4|1EiZ$! zQQ9l78($bc6?L`(>|do4S!Km!Tw9IxJ^Q^(x>~IQt`!SWD}4EgAR8b)#-5STT%|wb zNow{FX#OR1?;phc7ip`^-)+P>D3eqOY};qyt2Y_o*t`o|-($w0O8;Mq5&s>4^nXN& z|EtA_|NQ&P${fydT2jB_T}mIEmb9ZCeTdVN>Xbhx0dnNZPe3b0N1Ov#d&*ayrEKp^ zkE``wrqq%>kTwbq-m@?^9Qh+XYce#rvv#J?IP#<63XeU#c-YEA&pbrbn}W>kIyKHR z93^}s)(|eyP-xzXixNuAKtOq#O4JJs^DEn^=g&hR!-NmWD>T zj7Kq-j#HW0*%@tPboJMoWqUYIi2omzK`VCAC!^XI5>~Sf9h=CBDlFk*NwSvmTtil3 zG|`g&Ktr1YzZ-3e44lnYe=NBtfE*Z{{a_t7PlfC^=W3s^oCk|sYs!%Paku7BGgrI& zl+-fmPNmzbr!dxu{ztEqqYld_wC&MwGJPsCZnxEYh=++YdmelmS0Kl2b+EX=%B8)Gm9Vm zGyQAnLgLddu0L5a`r|3TodR-|s#tbSI&w3htkKZ7jdhfw{|oTQEBr5j zIYr=hL!~VO_2amL>tg$(7=4IVnuB20?2n-_wtR#ZgV}a2r$N(fWxczkai5?NyRQp)y@Jt%xk94|DozSNv~XKTh6FQ_s&II!j~$ozW|v7e*s+C zZ=1jQm1GRGHs+J@yWb|;^YedwQ@1=8al9C!!M?%)U;_cD%{$py&BH#~+h^-GWlfvh zx-3@duJmq-XN!DtUC?%YGvY9QYS0C02)NaHgSlHWv6dK#wF%GJ{84DOOP}ca@ZQCX zDV8JhFLl6)xS@Mg-^qe|V70{k0gDdqIPjA_V$+gC5!77##aqTJWEg_Ci)K>Beb#>yXQrl`~ru98K6c+WXV~%?*Ui^6- zq@HGDsa{)~bg#Dh>1a1CM+hE)e(ASfTrR->5TZ`F;g(zjP4|U6r_ue>kP*$Q*hCNQA`UNtu|i|s1#wiQ`j5Ho4k=l3z%A_C~aalFSqjHVPMvg&}z zJh)iUp=eo-39|ReZ?a>Wh*uQjnrx?wGn*oirudN#@<_p#kH*IqfHuFSdf+?nis=Inj%?3v%0 zJAd$W(=#-B`~zFq&s?X7Jnj>MUTW+UQ$s*CkN(hfu3mPYPDSWyZD3A7WW_h@IJx;70? z)L1a*vE?=@vg(OfZ=OCes+BdR;J~wAiKF$4jKxZhnK0Od3qa#~f>_{&qLmlS8r<^k zr>`Pj^sz?n$4ql=7^7uDi&KH_zK(IKHB9cIFF$kc0}xfXq{{3cyM|I*7GOy8UuG zOj+~S3l@ZRzLzgUAizxk0_2zHExigc_94=)h_?zrqM%FY?BIhNjD+` zWJaU(Pf}dTc6tf61sV&>OB(Zf^YR|%l?S}gz7jg}Ze@4BxCH^yUBTCA99fG9MAYV3;_K1}FmssDPqIZd*QHel?LfVLE!q zVv-_rV`6d`!OOq=i4Q4ky_RsolK3Lgx=3f2PwgVUPxV!0FYPl8|JSno-{_EbWeAXr zzBWm&QlfPxTo5O2r4a64pbI-Atav9iV@%T1g|zL%JX?V^F7j$ZXEaER*_~`fu%yiW~ygy4SokU0ct%nV9SH$3$ZGOl-g-C7vp6D zq?DB-WSCW3)KDtv=t0#FknooJt4avnN+tdbDe%ZSkc|8Q=QCY_!I_C-Y!K9u&htoBkoc$ z!JJmvh%BA21A`oy<@RPk=*+(8$o$y8d^n2OQQCqwjX9Qy{^t=%`C5y*Gw+C%Bpy1T zzdOK=_;_F=QuNY?;}Fi1t-D9YS|Adgv`A>GjV5h^hmJ?kC)UWLuI*df?XXFEz>K~eAiKRYwj{sSQEUitJr}+89&p+38k!> z`#4usDZS;^HftP2b3O<01f&3a2#|JoA{hd>EwnYbEROpc>Xp4H>Rq>)GQ=6}>*qkd z$o!<=nM0`Y&RO)^yiuTNf!s5Fdks0&Is1GV1J>jM>jo)i1s-LNc2RgZ#)1%KgAHGUKhoyRp6~n0U zt>O3cG}??EvRj(-7bI+LvCl^$_}@J5ICubZxu;Ulk0Y{|2zBX7Iv^VF5ELmbTKVFs zT1YGFSd|?$2tIu^!Lp*>W!D8A|sSrzut{d9|@+G%5^`2jx_SBWa7GDB#%VEB5Xgrnxbvdd1 z`YD1au){^r@xk{famorU`7Z?0BuJ>*bWv3XG09=uJj!j}caNuit+xW(uU`>i@(NGC z89MTn!P=L@<9v_4&NBvxn+UA{$RB!Bo||9WB+)=Ki;FS?R-;T>i6v$}c5NDvN~ zysC+YLV?Y|J}V06N4+i=={f`o+ZGs8<^N>ukzX}S2sf9>s_wPVz$)(HE$sAJ+fqDS zc(-8~PlvU}cW)z_(3p#=u1qPjyi+OM{Y{QYVtD{FE|xs0QtFtRs8vgO)x@I{+XI98 zK!I6q!)Y-IWoa@=vTT|B5OzW-5cSJhXrO=gvH$8@Vwb=}Gcofh6(qC6S)zS3j4wjQ zw8-Sf{00H9Lf}1=AB+6*zfa6s&t7Fg2YQh8*@}0!_`;!y~_Fl z#bZD3fo+?^x?%p@WsNyYyeqzouR0yc`-$v(X&FTX>P)dQ@*)w00*@2jGs?T8qzdqv zXu|F)`Jjzu-LOFBMW;6;FCc7vr#09&Tq%tW>%wcfnQ=4qiMyPxS*fItQn{m_5M*Wf zsK$ojiSIJ{GOh&h8-&w_@Z1aXxvPo{0tsSx5$MjfxmYU@u zdO{%BDPw}l{zBdE4q6Hh@Q{DqEBkiVhdwT9*%H8L{ZcAZXNDRhWX;1M`wz-pkEWXU5LbP6jo{gid8Hzem&n*g{@Y>KMf7Rcu5^c z)_F^dFw51vF%~WUwVCohA~5~4htV$&zuQGrFT)z!uWdulIx8pNC~eAAwuv<5J}KFt zqykWm^|Z9*G+)mk0f{1kf#=N}hv(X}=Sk|7O%q3@VVBWTy~L2gYKcUs0Nc>;WsPlz`!|HV%W6DB9mPw794Z)bLhs7 z5B5K1NJQt@dzPmqOy{tLwNUR+J!uJ5ino?~HD&m5zNf%KUEbQhJSN&dAwcIe00k@A zi5qGC(z%7{Sg}1axSxJFkjV5tHyJq4JeD=l1f$ZklnamXg%8eVBq`xe@Cq)u z=C2|B&zq|2RH{-Zx@<0sM=P#aWd<4p6qcJ$HmIs08LrGPMw>)jGCM4%c@uKxpk)+; z^z-~3+@%ZUv=JUi8VE9&e&%<m%2zA>-rIsU7QUO{902qVT zFtL`_6Ye_%C>E@;_(rnk=E6=jG(8!emR+w?MXlS z?wncsKwSk&9As9Nc0g2AVyWmr*%;xk?BBaDL+3tuL;&X-^ORU4m`c3S8fP1@!tU5{ zIkLXUpXQf!K1a6OFt`~(`>-TVdHeC=aByS6)9`ISEuQn&ZgGkTTqWJ3@Fw!rMWJ=v zj&QzLqs333^1Q8P<#|6DqqXbJeM66b8^t0DB#$pB?)_+HsFTF}Oro2bU-SS8bZ~GYa(#PrcTr^NEq}2WRBe zW~81R131(1;+Shzmmb$7_pD=MxUvT5t)(Pr;sM2rNd}7dA!1&^Y3%%pC-h5`^j$>rYHlpayE9G1fZ?m!YgjF6 zvayXFE$RW@(N1563X=~37VrSn0-YRa-L$&*bja%~jGxJ#d(lkyY7e5xRJ)epeIZC^ zzY~#7xZn_RzhPxM25jKT4ou_pP+*k@DurCpwB%_RYwD?nqm+VNFgRPyNkx7l(!V1! zx7*Eit?H7Ah<0x)R>(1`VG89i^E4Tv&Me@|n3AC2D~NAQ$9JUjb>ZT{Do(7mwU1ZE z&Yy6rkiE#9vWg8RI7~V2mSmwNq`=P0mG=;_qhf$r=j$BK@++Vzu9i}r##ueB5wt7I+(J}Xe%q#}v zJBH_6y4D$OKQQ2C1}Kbf#3W{{;$i@{uQ#?gqS{}?s;dRgHQ^V^HJZ+cs;wmYu?7@r z0K}VDLqlUv4AmP`e|L)4C7}WqKm97&tz!_v*7Ec9pQ7Hv+-UsT-!ptRL}J_c&>*ir z*=5N62MBl5D8uRV(u=-bmQEW=kNCa=F(2%!$aYH*a~#Y9$QN&J-v(6M-tsT}1WR@( zUJYn1Pd86|CH~_pYdqD&o)3fF)}v^RwrK2`eo2O<@H#Ym0B-2_35a=^WNy{KJEtSOnR#G( zZE}#{%%fP{4w1!CP#oAUMMVW&=}2SB3ymb!rd<^+A4+!_)iGtp9Zx>cUmAzX0w1I* zX%j8ofnqH&O*q=ZWX^JK=;NxlQ*I+4EpH3&)Y{Iu_CzIbr!1lhd@n)VPw>}%4b>~T z$ht&y+-+h%U$>N{DCY0BKNyl}ZKZg~_03*&J87 zpf?QYavD2U)Jf_jW5u*?e9-o$I!=YG3D7c|i$>;fbS5MeZ0Znhi@w6jsWrL(@H>RYfeV0J#l_9VRNA<4FvY6W})ZVR$(&-@&HhRi_+>3Na zX2El`m-GFu1WtNLU)2=DXlce6T;`-vW{)yA|B6X@g@IZaa+EK~e(5d+C>)}U}RiScO)+`&=q$(W&I)&xmUcndK)j5v0 zHIIkVZbM9mR(Z_5@<3)f*+<6lv=$x4xzD(f4jt9M%_#(~vzSX% zL8;P?Zy>NSYMF&Fb*R9?PK(N40bLU($g_30HkA>gt z5;;%5`U0-@93`cF7>5J?cv|J0j_U!i(GmdpHI(DWd25PaZz217>epgPo3_hL(w8+g z91s!Qm(b8~dvHL5Shp^%c;c3b&@)}(9hsA=63a_Fe<8V;lOnuUFZZnyxGBtd^$63G z0!nyB+)$za`O%H;L~X@@?FN1~@t_pFy$%}_s^}L2q`g!QXbvQ>thlPtTJ%O^+EyvM zc6>jUn6VC7PBFphxo|M1tN)7t~6q^vi(wE7!HUQz&>Qx8P#I#w-1KPT1g1GR4Hv5kpAe+`9Q5*5r zPJL`);yl-BIlzc)Ei^>|(b0i;*fe(5tA#K*p$+$A#xKUKTlUfB#{eBYqq@=o?BH~7 z!`C7AV7B|BE`Z(Ayx5rVVvBSXUd-WvP^~$ol*nFJ6GNG$DBCf0I~(?HVjSF9KOUy9 zqdo9OGrjVZgIqRcnU@yDFUGHT&@lmlJp!i&B=DSS{OLEF9?Q#p`x?C_yB_Y{>rz2X zS4P7Twq8g17Ww)7T40!ym%5%`2Q`jZb#YaS!n&MC*};s#GM&7yv)~<0jMVjHleLCr z6xfuti%Qd|(;&|FGw9uHbO-v_ak{=y4kk)Ei2(8slxUVGY@mK973Ofz2@IbaQ!x*q z?LT&{785(bWoQ1BbWi7gB`Iz4cE~{sMweLdp*ieB>9V$tRjpcEm!Y;C zJIAd+!XX&Np2C&$I}uLia(s1e(Zo0G3%xq=KQe5l?yU0C}E;sDP z9rw)>P0v@8)r{xp&L6UtdoD1Etec8GWVJs``#r6@DEdMVcGr|3~*cg8P{a8_bkvSe+w<#?dh7P747>X7SZbjyjXl(BG~fm`$}EnYBpYj+n;Lo{UGP9Z`Jczianxhy!j4)lggV;6zITEWx=w&!}B=UEzUH1XlOxUP41LsidgKA=kg5ony zS)d+l3+3t%wCyOvUVz=ateXVa?w7KdHrDfveY@DO7^RcFpJX;x!3zQ*hZ!|0hHCL9 zE}=mqDyDc33d;hISmO7)v5JV}Xy0K^U_}F@bcT=TV3>?BbC#8;^eiAi`YxmC#O3G& z-icf5jB1x`jw~8iq@&)kC_1eUV_PlZdmTDd(R6%U{WriyjjG`%aZ;2M9IK)+#~WSR zmzCp=u%;wt{x?haE{SHz7y*aD7#}pcEgu%8zoD!_f8h0>BbR(vljuRAcBK&J2doU@ za^2BGu@9J6Y3-ALPv06u*aznZW>ouAM2A)_BH4x+u%=kegNG&n^4gP-vvr_1RR4@L z!GF&WH?!t2XuTytukRR81 zSLr9z#AbMxxTa{2l~JWf6B$#&-p#U?v{4yElIqyMcb`_WU8y@jJeFSTWu4;B8tD#+ zXU4_IwB_daT0W+1^g5l(2KJTu>OVjkN1bVx>k2$RO~YBjS9(HEZRfa?KV?@4MD;C*_-y z&MUByX7L)8teWzdmxLBW$VhcQe8|2kWQV^VKl0axya%^f3G$T8pRt_MO1TIvohgz= z%`j273vcM5`O37DOmV-B!Z>;T?GHfgSN5D)Xqn2j;iwDSm_2oBX12@RCK}7yIh?CG zcEeWz)!j}TavZt~2vdr{Vi0hPWq7DGoaP#Gi|bAI0|X&+0d_?rNUJ{?h{mo*T}yN~ zE-AN=3$ZgWvu)JI3*(#lh=bW-@kn=Jq*ZFz3!obQ1Eg@Hf6-m-0Q5%T2|P{6_7DMG z42O7xZKr1;?tAGY0wMU|sc5Tz-)Eb|0OVenkFzDk`ZCNu0 zEbWI6T6=&9{D;9p1p+*LY4neyet`14%5IFc>VZCXzq?aX&Mi6Q>)WM*`05BqaHx6aEN08{v|qo(|b71d#e!{RxX|`1klu? z^c^z)&IDq2&-apo0d}WXtm}>f0ydnS3ZQke>#~j#rtOZo$6s;=owDUKR(2BNi?M2Y zY{oA?V3FXH+#j-B{?D-)eRjO7HGf-^(wU>X5abSD>FWyD}XsF@BUVztjfV8FQ#nF{7z%}=Z z?E3-AMc96UxUAONwsTi=u5?6d=%5c9GG|IzXPm&eWckDBQ3{r$Irply3*8{!_Bb^_F?-qtLe z9c_7~y1@@qcy9}F>V^3H3hPHm ziQNIuSSsG~>8bzu+adgYK#r>JJlRL)4V!^C)I!t_cSUv9TO6igU}l1UCZNv01@724 zB*5duaKSUg%7E(Z1tDOsQ~>^_e0+KP!Ab0v+VKB{yVAcs{D1ZP-vYG$6YQdX*A{A0 zP4eSz{Qgk;iUgzNzPh7F)lu??LjfHpZ*0<6o)Lw=fI{kuBNPiyr* z4nzA_~=~ZIv~_DW5J&WpYVZ?NHN^teg9FSaYX% zl@?a%;JLDvm;sSg#$5QPZwI5|DT7L_nKSVn(&ubO zjadueJH)4A{)MFn;m-+A_{^T*rbO2Jrm@;B3+%zg;zIt}%GDTy)8~t99aGfrrphuZ zYgx*%!cSkq=x7)>7ku7_U{butHiu1jskIP)m2$jM$g-=qemZ$kHNX+ifM#M9Rex;v z31nuf2KDGqkx+)*c-MMfPB*@M#8^Oaug6a2lhItu-IR*t&}J=O=U9hJ>(PnAPbvW}Y9vg? zlvWXqb(2RM_`7;^(}$0d-@2Bi4&2=fk6+TFlD!IvKS&1M8Pz8a9)f-jgr1c4EB1gp z;g_VHZUIc{7ZJ==j&K+FtHwxN3qA+#YyXdA{^PedNt-vXhCh60kmcht0HMkPk~^S+ z67Jr2v>X=7`aAC*)Mt#dX|{_itk#x|Ayn;-GGe$9#@hBfca2?mnbu zPN&Vy3VSkn6c7e`8YTB201yU~c=FSM;l94@hn~?M<%`&~!rjQxiv7L-W4XCe@1nwU z`pgjKuV?>Vqxg@W_b(Xj{{wu+e`Nsw>+j5bor!~GfmO^0T0cN;wbenrZ-i~*8lJvI z2Q_kF6s2nV9BHX;fJx5Po2So!^mOiKO?5DjT)HcMC091)G*+Q;TrX{ah6WY3gE0q3 z`$(LHqyF)7@VCgWp1XmmG}@BhBE*Bq;hTd~c}2^XbMeD9OPn!e9FD#`I&?CM^wJDp zSr6L)cg;D*vVJSI6!(Y{Y3Jdta*~kGi4`TPON#F^>%f#fb{=aGjGZV;Er0*!!AfL+UxfrHJ%x;5PW5F`!GxNmhH=kcccV|6Cw(d)|zjs#pd1alk ztv=_;e(_B;q)>3xCoDt0A^4@`4);yn(bn`;$|PYctVNb54bN3@Y*+Rk^^YIbWq<~J0 zbEO9#^&nS8X1!vy4!C{lh9Qk@R;=7}nZa!qGTeR8%oV|{})cpuy@Qj{C%G?Y-d_yEQKD+?>B_YuR}2q`@dzinB#p6$0m72>J(!!emx z(d`4A(Vg=q!EJpF)T>Sp*+qiTs+~;hb_|LNL#@*?=3~vc`pY>=C~K@5cD)!R9hPy= zcZB6uvBi|@!)Y7eA1@Red^}xq4$<|AcD9vbj!CH-!jW|3x&EjX-5_Qb78@Ns>;He_ zRsPb|_y338z+XaAx$E-I60a<(kweCF-)n&2$|2%vSbr5>tn$0$m4C7=kG_D;Lh}z$ z5L_0_uA1njwZ)6x{sH;+3&*((UfM;dF*3-DT%Q#tC7skh_v%zgR`{ZPm^;jVOlFsK zG4jnoXE{T(EJKOsFoDWuhZJTJJVsP3Y`1Rpk-SsF#+MRF0`>N^#ALB>){^}ukCn#I z*|pkQKoBTi{a(@M*nfd&y@5eG=Tw*cTyl3@rse`N@};IeiCZzoh4M4UR3(GZ>C#^A zoKrF@PQW1t%$RZzHX+ebjBmC4L!3BVAFh=0cC?&R8gE3~$EIEMX$T|5K9xP^PZX|N zh_UxQsk}@&-*x}gwL0-cK(bz0ZBg@PNN%`bJC%2=>T@;t&W`*13+{Zwx&WzoW&Bl| zXZ7#G?1`JTD@MJ^HTA@utekS_JMo{E*Ir}@X)1`f#h^v$c4y^ST&E@6<``PIY;K#+ zJ<{aeChZpQelNxZ;8*XJ1wy{x%>l1afLFDp*%e|9pA2dW&3-?ehpahD@F;{m>2nB= zQH+}v`qntVZ5uVTOXi=N0<|-nU_CgXvBouU+t8S(okQ=I-D`svm5o>HiYcFpRoWh~ z!kcVwg!>;aY?HR}58-aUn&8%DQ~F{y!9CAhuj-7JiW23!k!%u?oHmq}XQAc&WeaRJ zqow8cdHKRsO=M;WNH&M14b@wz=BOpRY{|05kF0g7XK2MbdhXDV&3V)JW#n6F>7{UX zRjPKcy94V#)oDeBasr zd5&TV5ye#n&8NmRWI8`)JW(^AbMQr3=%JX0>8ckmrt?ktu2x&finGuZ$>T7GWb3H9 z?)UatNAF|xsKPAxyj5*a;JD*o`Ca@w+CQdTsg`Zjz;OxzUzSJ;9mM60bO&IhdF6D2 za=D~$d%ol-bUJ;jwa&jy9t?EsR4v;P1GKxAqU2OiYi=f+sV863!^NQGLrM@yKgY%y zrL?M~J>=NWV)%5?QjacmwLV(^V6V5f)_Inqh-~Aunoz|NXc@6p9an0^$7Spvpp{Zw z#A6>^m<9q3s7?2GfMMKz0JbIz@gPM2A*ajN8MDB5zw6e|jp$;(aUQYtwqnsCL6xUuD@x*DIwZef?JIhrkn| zMSz86ff5u|7Qk`-4omn6luf%0s7kx#p9kOq6lL&-?$U6CYs?Q2hKMxadHJ&lNd;6= z#ZrM9a(krG577E~AQZFPeJO3N2p7}!4JDBX;PHCYGF>uAr`AR!DIfaPbYUa;4m*fJ zplB3Ura9tCTe^!79v2`O+}(K*q~xo1sKmE2LGjTOnMw*;d|82l>vJ&23jE7&0uiB(D|uOB&Ni zVe`r`|8+4eS9ST3Y(zJa_1&rTlkOn;Ko4|YlSZPOjORWC8)xY_jlVX>Zf?e^KDNcO z8DeCLr%|zLpk~Ak!3c>QjH|5S8SEM8LBkN>FUF(}sjTg|l~8RMBZNyD7uNV*TQ@D! z)wWAYN;)HN3dL@J9oA9jfRZ-Z*)e=j@0v3Ui;`YD5L{*DP70lWXyOLQjtM<9c^oG&uLF3mobRT%~ zLdtSpMTnj(+}BwSa7z71N7UeKtgH59q?)HRbDdqv^JoMl9Zd=N|v>Z2Ga0Jku8>dP{X-&gfPy>Y_AHQ6#_bftRjzzZJ&0g z!IXBz;NFGccIMTx1O5HsgK*ow2?vX$1(zCoi zOm&i3ejS%pTU9fcE&EWZxnii0P|E$R6x<;;e}Wjb8(#Ck)Rr5Dy-2+?Yr(k08$U2qO*DxMvvO8Qu33x61(rWp znI6_h_0&GLdIht}nuU$czwq)F6FOjV$mHn^n-CE@%`liMZMizT72_-hjnff0^e|&BCqI9p|^#Y6>asP;6m`rIZ z@Sk{RkFl!z;i$v1W*wv~jH<=4lUK)5aw={FNv#j=M+m{JY zv)h&ruh_qD>d0TZywtYcn+q!0)AeZO2Z+GpTD9uacl|joIg_Eir%SGpj_*3}lPX_o zx}c&(3tsBcK~WP{OGIp)8hZ~6+)$Jb?rCyWZ^6em$$VtdywJ^pc1Q;cpt|NAwkZ5<`3qX{v>YCs4(RvjgYd zX>pj-ZDnpxZC?vw!FPGE&v&`eFs=i?>Ac%x1oaQiT*_^FG|-5$!hW=1I3_T&okzFp zvO=m?8(^Q&;zKAPU}L$9V7Ce6mB> zI>1^Nq4sMMW7(LB+D*J!e3pOG-oh|}*ID;}73(C)35Bz6Nwdps zRuckOUBZuWQyK4jgfG*p$6 zWdQw#-vKaM|8*1lpZWTm++gXi*~345+P_m>FFc%?c%)D3Z1m}B=H~O0lWoe=R7l5_ z#y?lpz3hvlhsoFKB>9h(;<<}DJ0q3$gA@;h8MVue%6(-(=KRPxUOf8zo<1wcu|%NcTLo&I80ioR7y%gyKRl)X*r2$Z}l7fh_oc>0jL^|YcZWy zV&mU_#}gJWT;$#}d}_sl$h~l;vb+-|iJ!*#3XABQ^uq@`BdWJldzxc#3Dl@k{f+`@ zC!164#iw_Omp2wx6CLSswKti} za~qu{Wl3h`eK=esU<yXT?I^9i;36n z2s=11EAi{Uo%Mb44rs7q97~ZM4{5NI1=fE;-{E7r=R(Zg+?J{-jLdliaizuMEfKeG z)R*-(Vq7BtRN}Ld?6DW@yJT&u5)WBFtz!1tb-yR{x$F{>ZkTd};I(C2B^>W6EowJS zUCM#{FEhxaVkwNV?$M6b+v4n$31W^RwurS(ou=DfsrOpggwcB!dVP@DD+J(_5kcsrh)FV28kC^WC# z)t{g{HM(c*#0abHtkt(3FMe07eIvTA9IDuuy2Td%dO$)dq+<&O^=^6Ot8H3;=y3Zt z$8m7M4xidijs&^l#?ZmmW6qaP+7?96AD;S+GmIeU!2X*zTweFO z+4^J#m?U=u+W|V@&qOL9?xhb8jR#+lje*bBZFN;0^zjbZY=wD4b2aeD_=HjA=NM+u9&urq{Sd zZ>6rbj11_hn>x}526tYmC299{ZpA>d`08We353NvJtq}hNRsq?oJTWM{;jd9ZStXY z;TR3PX$5(jXZJU!kcQKfL2mE;+?GJD0cb1zYqZar8rr+I#@X|pgyW8jR-XDcjT2R& z%7z%`mtoP52J%4u$P`hjg6DuTk8Mc{7hI{OzM?*$@AQUke;WTqKgA8pXVGq2?5bnC zk#k_TgdeVt_^1frTW-AiyM4n9mDJA_nm zF9$`#0{W&5H@s>XXGPcf+tl9NXlKxtyoK%QLW#o;;rI<;zb5J2oeOV<^7yMGgE^S= zyH7#d?4{_Oyabe=hwMez{>XVZkH~dkUTcdUufHCjA2zR+n2Cd#c9OJq$2MrPBA*1E z61EqRD~O}G5PFD1sY8SfOJ?LuGc5JUj`vaeogSBANIN-8J@1S%iPbsLSowr1xK#sL zW&8<+egQzC0k{a{iYXHIk_UW!|L1lQe0GF*nT`WqiBIy%1GjtKW|%ryT%-{#FSKoU zj$W1XCDcgvUd67g2BHxTdWry!q589;dom5k>Z?qeRbeFtBH=`OE-naG$ zP*s12{2DXA)1iqwf_mkv=)$<`1jmS?!l$D5{a#GGW5M$(oGD9SG<;xC-s{Aie705v zXBLH&czOtKWu;pts)a3)yk+s83fwey%ns(=#8>upMrC;W#tY3BSP)48Q1j;u0Mz`a zZP7OsaJ$k^sCh5|H3wh`;1gAl-7-oOBkK>4`66?N_tUY%N19m;AzNd+k3%G}?Vg~a z+{r^>7kke11LXJ43HVnG*O=B8wulp1mdqH%K$R$xob&8_Rk~9SzlX{Jq;&UF&qsfA z4(ea;{TY==*7rQwnaJ2hiFW{04w+yz8A22MqK^Bt_Q&c50>~tTPRqZZ<#$>*#Z^(YWeQ?p zVe5oVvn?Zi987d^Y3lg{#C#BXDpM#U#RA#ePrlbp3|RAo7GdFb6jTVJM~R{i ziZGX%P#cj*!Ya<=Oj6%zTQ6t?GHb zsZjd?iERSu8N*bg)+YE541(4~>+{-fa0uTtuR8mz9@z9YaZTonahho*+DxZmO)8Ec zRkil|Z9utKo+}GnBu_41Zw@dx+Uu7z3dyIt$~Hwax+A3%hBXafi~c|~YBe>Kb(Z0| znhn6Tbw-N0S&UfJ4v&;&*0GL@-bFktUNSJVi>EN4a!^jXl~{!Y!zC$1A52xJFzZ0b z;;QC^(b*D&qY7U}7Z`rE3FAcsf`$EbU4ETAfwa!Amx46pYNIJi;mJPPB)LY(^nFQ3 zcfm?4JT*0xyS(aTBO;wI-rt4y84~(;=5ecV$TSb;jVz0;Fw&ZU^#+L}ADK6aJB999 z(QiW??cb5H8w$5tsI>HEVb;^imGRwMUe6In`zH-t-7$LdOsI?l^>cg49HsGp3Lg7M zDcJuDqy2m6$lv|EOcXY~Dg&2ZRcVYES+pb*?gyhN6|iw;pvw3t)rT_nr9b2jCOW+9 zj!nqro2;WZ5E45n!!Kv%IqGC>T)fkw+WB5jV}a2Chmz9W7uUPesyD+cGB6~`OsS|(V$#FSJu#!q4!)jXWP0Iawjz>S92F^dgCZ+J8Of# zOZ~ZYmRLyJmn$q4m(V_hdC1|zx_)}TH4p6J= zjjCDtPJyWU*tJ(@;W+^%JwxB6`uO3KT`kkpybJwWiLKlCRo0BFkb@|P>N;BfZ!6H} zFfU-8j=xi*mZ>sfOIVV)#b7WsRgW#~M~X53Ox6A#zVNf-NsC!{uc0rg4Qrkq0TC3W zXZ|pyC>rgVES*m}kr4sDNa*UaKW>2I%@;Ph5NoZgDeVGU(iiPMU%NX|Im+nFNzJ3a zHeN(J(Dv^d?G{EuDkIl75#VFR?A4~yQr?Op-rHEw?c3!v{T_$Bsv6&+DROOoBi}g` zjkORujHt}8yRZ2H@31>LnIX5JTbcjNE%{$@tiNEJ|M##z{!_+o518|7@7FmUYBN_M zKzv(b==q>u*oV5Rzrjv|cJnhs{&cqCuV}5G$7JsFR6m}RqdtS&6(Hafsz=jVO_HSbJYp{@QVmIV*wKFDoo}M?HM{yw-SYxXR7t$j}XIN-Ne< zcmFV^KbBEQ4&>^%7`64tgpPuvU~ieH`N7NSk~h;j<4>KKP3&m8+-zcK>QGRTK9IK2 zif+|~LO!}n$lxRuTV0#*Z;_NnuW*4qocdm_hhd>&_PmN#>|1lyQg5MhcLr}kdSS)p zcl#4zS|N)$2w>L|wXLGI4QIa9gc}xoPXq_85Y;%V)duriq-?)JI1J@z^w7z*`FwgF zL2`!^lXo+(T{Al@MZL=f;r$*m8~=5_r*_d)^K*?uuK`B{D!Q;-fL(;zr5CW$1f8m* zZQcz=jW2rC>ju)g=}Ej&BGw_$uyC2!S?=br)TaZ#zTzVK`6`nOgXqpK_YX8wVO)Ps8}z>$4)T}4KK|!wlm1%>wtWjwijIox z0TMqz=}&&j3E(`eEMJkpfz*m2ko!1WH2+`LgCywxAHebd4TgU)w*R-|V_JQDyl54dwfji%5Le zJgtyEI!#(C6-8sC@H#$4F1v8q)|>73PliWQsm9Vr9I?4%D&6$v6{|zW9>@CbN2R~k z@Iif#`u;BH?f+>l{2yEo(yx>~onJ|PDu1@4kuZz9Padl)+0eeU_Kfl>4UbTaPG0#suTPzj&^Y{7Hs%2sdvE9ORhK zbAYTo#zv)5Gr?k76_@!?Fgr*kj_!W)gD}5m)YyoD27**pSbvUu{ZmfxFg{yRSVU-H$T?k>XcHGP7&OQZo$c#2>LbMLO$3#($}efiw% z9?=e=my?c-3 zXzyzhjN>&&VA#k2ifr4vg>r@+}aU$ zP&pWNhm4;H-b$?52D4tWCS>op8`&bOnRD4Qnk4~eGjDkJ8AkZJK-dX#p zig<}-%B&XLOksp+#xFn;eJ@&a1Gk|=1V{W~hoon?=P9}N!Th))jG^6#KZc_esMq&3 zRRJXsSnNSD*%TLLY0=s}V{vLNepabw?Ed5im`hfp~_skS~POt2en z{;+1j&>yPhqQ8mIw>z7)CHW@$%Dw?%dR=yM6Pfk4)TK?2sTwNjSHUn_P3~3XVm4f# zVVum)Prm++vg|`L-t&rzGD&?y^zLkxmBTNWRl8Efz?;+oVCVZUwn;y$tN*9|`~}pQ z|ItLawH8L#Tx(1P-;S3F{Zr?Z%jOOwhFCJaj}uZk3s)E)RUCeKlhJ!%wV5<$BzW-P!JP_HxNC5?BDlMIQT+D(^?P5RbNZh9^?moA?%Vy& zf3^2lvTLoi=U#KnF~{hr=b%*o)G>N`9hV!OVw&yWs+27m4)=ks!1w_Zng}z`(x|?^ zj)bWw-Gp!jZicA#C^?2GMLC1Vg;47+7mH|QR8Fi`3uUz-z3R2PnxDZ_Ke+(g_4BKV zy}CSut|eS_rLr&S)p2sRT;JA+m7e`rX8R$u)+Clnn9Jkf>Y!vCFpJoQ_8|&#u%LVf zSxHe2jSV#^z$wGw1}XR4Ad^FvpqtoR5Q%Hr+4e<|?+OLh&U{)DVAYlEZBurzmsU7+ zlX-2Sj~t(`>b(WMlohMDkaRju4sYPzmoj*itEh1n=?eb|zd1Ditliuh#;BI2l|llqwv2H_a{Guh_PKNLSMiH+Uc zzk1xqHD&QRI)W!yu5xzQd?N{gw-nqw#Mj``bGR~&kh;mWHD&pJ6DTB5Dbru0qznr6 zoMZxOTmnGx7t8qCbJzEf{}z;rRP!Ai7_19xat)8I;8Mzp%4IkWg_ImMRLPx;ZREzw z7sXg9@hGW=ox)Z(N+hSnxWrpX@~^qw3JyA~@Su{3VlUQupx-$-AO6gGBXih7aH4rF=n|clx%g8` zo+pl`@Z2`))YZeCFKuAr=hYl>hi1C)qK%}>Cg&mFTngKm@n2301V4d(mpJ)n0dRlR z_xu6C-e1Mn3yMN8$JwV-0-6I=LZ(VK&S{$i5QB@>eptUv#{MGva4?FL>K(kswVuDS zul@!!3H)osa6Fl%fozG`gLCb;{?*_$=kQMEj4zqZ=Y(u`H0hJmzX+jH%!G!ncx^6K zFOE3l<8G-wY5`l>p9x~zDR{xMi~0bBL$qnMcsSxt_G0K=n{Vda)?wD>`{N?Jei58g z@+k6|Q172KnCQKyDI9~kquHfn>Bqa~ohLuFh3LYcp*h_3@+DZ(;MQ2=3}Et=Gn7n; z@Lwv@%MHs^a$Qw*t+F!Wm~)XKu`+#}f3U985N5pV5n67|wSt(5EyoiV3KK$HkI!Sx z%Pet{{MBq`IZept_yKk>?Qk7|7%57J8 zNsHTZ>=hx9@;3U*(7NfPDO6z-<)^GIaXf?pQWh>Z^9;MbEsW=B++wZCi<-K#RlO@I zP~RvyuRi=B<70rdG37A{aB)j|^8ZFvO=bH$F)TJwF3ZXn?t1TOF+Q9WxA4QUtt~zs zj_0IkR3VmAW^Ln{g0bLLa*1_=hz5Oa<1S<2-fZJFrRKT^GWw?cP?sGL?c~SCt$h;R zUz*h881w_KgipOr(+X&R(H*+pU`k$UeL}E)EBhX3^MgOBP_le$-?H)upRXw{YoGhT zC0X#$G!Y&zfmu^oGAcj7Gr2N7`XY_PA^$OOI1&mwF83gCbA66%zm`ELIvc(im;tE8 z=QOr`7JCQW$;T%oYiY-}Y3oRz?P*qkHJ(C`yg#@@Tjtwdh;(y@-T)jQPtnG6aqI6> ztC-B1bzTSYJ%UYxnkL^9qH-BUCLyVWBQr9IH?VP?d|j-;Avj6P_RnRqk1Zp9;!kq%f61-n{=3Lad3rr~kFG%UmTA-*%ra8R#K z=Qb-}2AF7_k~|-MK3dF2I$XSaW>rkp{${Rg)oYI`Xizl5=R~E8#c2t)rWVo^1G(5f z_rS(aVA04(^g2v_fXM8>*xm$-&?>7M+=AL>pKog);0MCkpBsyu9lxrqPE|~I{c*_3 z-3-EsaziB<(%$UadH)YI5&l=8*?$OP{lB?U5j_Rr0GnL|i>l5??98go8FSKZi;YZF z555DKX)jW~E(_C$FL|qh1?IZh;sopK>%!rTkqVqlY)Tj#?<0)HPXr^J1P_@$oEmbV z63+JHZOg<4XSrg$Xx;TwB9)S+N27l2FC+=@db*Y*$u_IFSVI)pg^cd{WGMIvnBjze z#66kq@Jb_C94*E=(qq^^n)aG0JwRxFRKBlF#ORh+hk(glRlQC#I3!t#clE$a%T>&V zcQd%9YU`HB+szr>8^3&y>T00XdJ(N;jIX;*MtCVODH}%ly|_EIo(3aB1-InkzL)zC@m)(3#*u|-wAj?80)N1KSxpkD3;AP33?wr-M@&d}o(4xm>waP*4MNw-ylx`esDWPh2UsKr4 zbG@liPS2}XrvA{`h+jP);*_{1Kp|H5)CHg^vR{ta9CmZIb7GMv-64lE#8_NlJ&HiM zMf8;CDy5Z&QW~9@vz{tC>iW<|q{p&oG{n3fIZtOc*3YG};Y7rGBR{Hdr#`BW+S`9N zda_wjr^^sQ)U(astwhz^3~%D1v)vA^b6rxqB8_#;nhDxFeI8BE#K(f+u(&Aw`{8X&e%k^RHAlJ?&Q;H zg|v%&FKsKuny_P~h^CL7r`866*B!%U*s#cTwDU0?pziDMC}!u&DbUX2#(*MP zDmPnN;tl0D3{4i*a)h2XU*7i-rvG?nXD6-dM^^@PbnHyxnSZ!iqtSr8Jv)_2N_s6> z!4;kEDOgo7PRnndiZu$u-rS>HSFH+PiL0rssIY4 z(0l-*Mp0A79gjs^`Q)Mlu#A^`sqN2fC6^yz?;8bL+Fm=+1(=&_K>JI1pkzBXMu#JV zxu=)3ktVA_p$&l#>)I3~(k54J18qNL>Tk@$Y0ce@cdHzXy4MKo_Cw$M2`$fWOV?!T zZ%YCS?AGq;JKFZZR^YV$kL)mHp&_Z2E$PzP*^$QmhW?#3U93B@1}CUY--~OR3r^|L z6%}T^(Ww=6MxA2PST`IgbNwrJpI>!j;Fo`BDlA^@_M6@3^vj@`UtQe z*N*O5fvx^TzH1StyCV%kThI28X~xHHvw#Rd$xUs*R92MsCW7R{U2q1WE_N2Q79+8+ z9(%^umOiv~ht4}!;Fzcx-EY(0z7#E0IJ{-Nb0!${Wp^IbW_0hz#SQ7g+iWawRP>*Q zP^-Rtug0h9SAY%>z(CrQ2S~!AnU2YA7;^gt&iQz-e(@cydsTRQ6|~K__7>zka`Y|^ z$W{v^{?e21FT-#8YuEB;w)%I*c0AY4MiKx%^=EDR3w+vd<(3b?r=j^20DOwu9hrOw ztE`YS^dhiJ^`Iz<^Ybp8>ZOpOCfN<4YA1Q6a&$_MKySp?U9D)Uh+$&wq==q8)7ULm zh1#D-ysx5h6IjQ!;-#x@LH-fR8_-u{5=3quhW*+71(qYc>jK*5UpOTnwQ)r;7=6VE z2?cTS;0xOyw6zaCAJMm|yB?h zyi45Fv|%~7Ag8g(;1Ddt3!zpAdm^?2%pR~SgSG6|gqYdvyR=$O3d!QX=UPNVaWGK0 z6f)^Y;iRAw$rz`Q_q5h+Izc8LmEdqC>uZ!|rwUjOh0$BE%@@A{_YRWk+OlNNnf%Lp zM|W3-E_-qd4ffT}c2Wu{gL~%WYEn9f-W6w)dd8pG9>#g5@WX|dg0Sl=9b$=C-bcyD z1ivOxsERE0ouEAbE+!}+vfeIXF_ViR+AN#9pZViaK_(S09u| z>GVBiQ84_X$D(wiqx$3+N&zD_0FyMxE9Q7z4-4EHu*|JYA(hPzYGe4^_An+KgU%l# z-)1wa^o&{m<-ganbSm{u)Mst{ofJG3Ii67&@FffGwUyG-atNB{7lsKd3X)VIxb3o; zbCxQBqJYmkct-VxmvR1lk*kPc0Cb{k(>3p<_D$YEd6D3Fen*c>~c;A++kNEEVQ1hH<3ayenFUWc3lL9k7*8tRe7(d@}_&n9z--v;a`T`^V^bi_gA5!k6+ivFMljW%1; zfNzt-N^ee4vb${i4U1|7U(sYtCTDjYC9#$ktT097SgukQZN&KJC9SV*2S#82&^n5T z{baHAgxZH-#Z&HBVJT+u)cG%}QX~xjCN`^uAL6B*ePPs*GiFOR!179K%aI{>2w&N; z!%)PrkP(Bm(n>ybTTwlyWd0>YRR{TSf?0@Q6Xm!$>bXt#1uAVwBIAan z<3nbc^jOetpo2~37@<2GhuVNt@M?y6(3BbL7dzQAp(0vpePxp3%DUVaZ1C{#wFN0G z(x|ncp~@iCc(yd2$4_~>FvZv{F3z;r9tNYbK}JWQvRx$>G7`QVi?rsYKCRq;m?{awxu0+J|%L48z7#(Vi1 zHDeppWPjeO>Y{J(v{1pV@(ZnHz0xRG0VV$THq@3yn)00(537Zlq8x`_Yh1|$*YlE= zLh`p8x#P8JD+t+3cKOqFUigtOs>d}0E)pks_@CA^(V_oC$#V9?4!uyUcsAy#Je$K)cIeZG0ah!yi0>_o4) zsQ0Rfau^Uf2q|Kybgd1~iqpzFzDb`TwQJ^u8a#O|w?&o;EA&l=ThVYIj7}-IIE&_I zkrBz+?U@JBo6N){qeN9VgWi@i976m_PKaTXVoBmG(lO89t(!i4(t`=EC(wyRzM~3Lo;I*zGC+w87tF8zrw4(g|neooq=h}1aNYkIq=bMoo zHd{u52j;71Av`uAxQ$7y@^qx?1na#|zCCE-5VS}ykr$#IW098U0o!DmgZcFf=YXcv zOFNZbo5g}oYr<%yD`~A^Lv~ZoxjBWp&BqOk7du{>i>sp$XtYjaoHER?VqlknnSwJi zC8QVUHK6`TZITQw5@TNuGFG<93TA)oxqWaf$C==Nr<{~&?NUECo5%OTg#?5MXupPr z#hr~j{c;lwso%+}+-(dAH9gE5?QFyWyQ+_II1lp}&B$0k6i~HLkdOv+Cu^MsYfSU;xQ{z^7nm&zZE{ ze6ZryY}P*I%ss=cf`pk0+;9GP_Wf_g!+z2bAK-;#WwGYT(T$bJYUo1+ zFu>}r9{1vx2y)DsndD8JhxvT0q^_p1k@Zy!-t1WACy18gFS?zkYs1h z$e>Ta+4p#u) z4dp(54)L5gdqx2pKr>P5|Sy<|sM2yen~nZb-ST4rbWd~~(1vPj)k3mPI`{U=Wq9Vh=)XLWpCMog^da^$ z$UASQI4O+9I%*PQM}mzKv>IdYe{}E9{U5bL-W8d|eD>^4WAxg=XtK z%igS<5D2N9o8u2d|4xqB?saaEX$>ki&YlcMsM^?75n|E>x<7w86R!F+G!(VDMGR|8 za?$ca6#0Snl2z~)bYBL+PK)k41PCTF0>UUutP%J!B=Sn?*@8Yj^U1m`0d31q2Gmn1 z!y17@GquruKGFlcY(5E=$;YFl3z*JKaWCw#-%3U*^*1{-pj`J~INXBZK6rrF#;2uu z1V+*=C`{Yt7KAO+GJir2wE5~bT?GI70`y09J_{R#DH`5_p!UerPl`{LzOBsBg7svnTKuz!W)yHYfd zkTSQP6FKn71T3^a4>=%yMQK#jKVG*9`6eJp&?EZCguqQOQD z%hXgHNmRHl+bqy`E!~J0I5fz}Znt?FX5BSZ8;by z<~G2mi)(=@2f4}}IWzcv5( z1I#!GYWpCM%$-S>1o0Yr&0W%(?;*Mt`G1F#{y&p@{!3=G7H1e={wWlDi&Tq~*dyo} ze@s~vA#2{099o#41F6QpRqlB3#)(nV7I(F9J)e&9d-BDy!?1NqmUN%uTct zW`Q;l;LwCGIsA?vOn$RVuU=h=;ya$DcHbV&{)*+97;_bf`FZ9lD7D}pU*>;p>HibY z{Soc!|I5wR|C%y@u%YM;)sk%u{?%6~U$5xb(Y>Ohtawa5KVKpi?^xa1_p7L#aKNqP zknD;!96|4UjvPJfr?*gSeD{34Z_vv-#C8t()8SL7m@4w`ut(5Y56R9&Tq1nQdR?uGb=>hMEmG{_ zM(l^ym{*NFY=5+X!V7AQLuii_!~j2u*ZT7}1gHP{os@qF7v=vS-IKqB9|1dIfNC10 zyD)!!XWDn;Bj7P-9C!6Z6P z8IV7{=Keo>&HZv10dyc~>%e z0h<4ccwF#122TIujGBHY(-1ZWq|m|C~4k zEF_jKxOWLO+i0`)qmgs$3ZMt-8N44hR*2(|@q_7$qpk$r7)S1Ru&8dlPhGCh+D_R= zt4X%fp8=>S=9+VNR+#4!W~oqywE358tWIvE=i5Er@eGRBV%yAEeu=iX9$~gC>5;5&3REYGrOY9XKHx z`5txE)Ufy;u4evn2lvl>{f88qe+T6G-z7ZoOYrCaeXR{jBNJnW6trbs1g@>OdDJHj zPV*9JC*gdP0WPUX!G3x;>BkR|RQ^7;UR_mN8Y5p>X244^u!>Xm@~8ykEsE}} zgma`8r}M>|WqsPuG`MAxCnrZVeYq2lRA=MY*U#&@|*@pMF6--?Rb* zS3?a?<;IMB;_I;0?glfRH3qLba*nQ*lASuDic4s7(*t8K`il?j5kbX!PB$FqW_sBT z9hsQvt&4N+(u5^WHM!yhi|)_r6> zXWe!vKTNpnl4D#sQkchG{K1#j9{eOLdStuk3t{Sm$Mb5Vh=%H8u+MOl@aCB#2Y1BM zO!8qewTJd6=NqQ>MC?(|v^KqM~b?tE1bzQf1xfyN6MWYmroa z{`@FEGjsRJK2l&fJna%^Er6oj6!RC(7z(x}ir1$aW}l2G3mdG4La^w>7V>!GThP~J z2W?HVE+4Hpy|LRT(&LMU0b(C+Mx{%N+N#6F2!5LMe1*ljdvS9;6S^-H*dD2EJT~~c zVs?+en~|WCgqSv=Y*b3dId0MK3pFB`qKSf>jKS$A)(QEYA}emD7&Fwb(+i;%5AT!b zp>9_YdPJXqBy}2*{iAQ|ju3;Kv>ZDY40ugpUZ)09^0C5N*R-Xt9g<10F?X#w?KvP( z+I5x1$T+Q`z;!8Dnw2TD`2eNN@ppU`v?RX`5u8Km1jj-*eKkcHsm5wpDi^WA3#K>{ z6!do~4hdK;3xJW3pQGCRe3H8wwx?@NtuqI`7IwgcZt;6oaoQQD*|54wE~WhVz~|&V zsXQ19;p1HSTC9mzK9XyY;Fi_XwGBqA1_7@s*v`qS?M(5Gx8s4r5+{NQ`5<;b?g^}y zM`D_on@5^t8c}Ib?()0{+l)t$9Il+H-6n~38ZwBF&>q%neQ~|}TvYf)sf3wB#eJ@K z1p0SWo{HH93($RWJm>ek@MxUCdW8rPYA(WK(J-w-kTyL9h;5F&e+~Tn?>P78zU-g2 zFZ;jKclqHAI*ROGhTz)J*xrI*`rc{XGhvMyNse`5>$^3bLPyto%bQon`(Zw4%G7RB zBXi>LhQRHl2X)V4&yrgWB4NhZ1B-z=Q|oW>G#7W7t5YuDGQQz<+7`4CzjjANL^}EK zO#zkqRN)U2b(pV^qK*+B=}X;&#yGPTwk0*HvBK0s_p+)I6{zyTds?b&Qc-I}6oi;4 zpy~*!cqTjZE%yTd2Vgp%^<*(B7+ zKDy&2U9$JI^^I>&mlo4~p@{}-MfH$c*MKB|VoE0H6k9cxh%0&R+i~WhoOI?}`LpfK z+U|V0yUzJCz0kFhedIZJs)OV+o7J@G!o_PFkx2w&Z3PiFHZ$rp<}&&&(L(-!?|K5( zH0sUUA#!&iXnx^b%cxyWiH}0NTlJEC)QSh|&F=OUP$o3A@FP~$nd6uwYpW%$4S5;0 z<2FR!y$bDHbkIi!S%~Ay*V@I(J&|!ucgpW68W&=%$`G(Dajuu+BN(*z&Ni57HZ&jPE;d<`xNTe6P@AGi(z`YHr1q0;^{A5}DOk@_Dr_H1DH21o zg${S#{X1{OM1u3OzUGM2gXcZ7dnV&0q$E#$oX`aJhy`>=Iz=q1w-w*8@+InDmP}q6 zT0^TR?$pWU?XT4t8XI!Jv|$rn+ER{s%0aeF6P*c#Rz#bwM67Z)Yw}T;vG#Sb4EHED z2PbMEpN%jMHiCs0zq1xtdxWaGH#&Wci+WW9*Qa&$wPo3Sqn?*P?$S6RuW+pAy;i}c z>a59fWZ&4&$hhreo|`?Wlu=!t;iljGbgRa`Ep`LFr z-g%Z61cnk_6e0NmvK89l-l#)-31lW#*S%Cv6o>gj%;jVjSG-IZ2U zst#h_w+~@W(Ikn^-oAGW(w|_{n#vOKl%Y+lr{$g&Bp{;(-}R|ED^c!1GLJDG7?s;+ zP05B<4d!(5{Ji_RW_q2|x6#E{TF(s)=k&1nlX881>CzC^wXqfNpMT=Al| zRj>Qv@nKV)T5O$(oOPz3q77RuYZc3S*Bv@==1X6aQM2t!bP2yZkbe_YBC{e(e@z89 zSyHY$X0eDob{;&a%6<#z``?1p(n5R>^lw4anlk8m+7&J*eBpQM_j?~y%=#w4RiyyM zvi9kES-2+L>R4Y#S1eM$b1_8G&dmIk1$iiLSB_klb+R}l`NGS=wL%au>C&k-VnnYB@K1W5jYC`YA%?M_t};ZSgI&L@0jUKLLYm7a zEyhpK>xYZRp;|9c^$q1#KWAyR-J_#PopmdM+$kyK3>H%mfO$Un`Grql_-jlCQ+GqG z_V>#%3t^$PsMg*%hv@PMrMm2^ThNy{Jb4mEf#^^Fb{IRb6x?yxgb4Du1>JnulRVMa zCIKt}e#8SZ0j-o4$Pj)FuEuF5Ps5pm8l87!Rl)K6CA(FzgUT7fD<^5BhSujqGPxVO zJTJT%i$=Y_u}D!?3YOA6XgAwYaqE=rH&qJVdc0gVZ+@A4^8L6VIio^2n*xRFW?7+MS|*|s0HQ{x>LQde&eQtT$e+?QsacnO;p&d9L$7}wkDwSLu(lM%w~ zZWebOBw^1ap1k1}t^!d!iE*P7dz5Sfou+7yTjhGcUSn%Mw*3gD^v&wWVuc%H@XK;yKM&9y{cO3a!UBUG}{AwER zPOPisb(n(@&!H4z4sX1~NkM@7rf`Q~ma%h!E+tTte2~z~4|Q;EgB43hKK5}sZ1-aK z7KB?fD05c}CZ%ZQ>8y1QJQ4zbo=~#JC=<-V9kD>ID4X%Px{HyVYL2qr`8FW$U2~k;@TPV<6vf21$b2&o#y{~uIs}guy z#zPH?is~n`cT#rO00v-QiR%(o^?^$^4n40S3tU|Y3e)cdm7Bb?TfWt{QgXB#bL5s%eB_<%ysxu9B)TjFRwSUd%j!? zJj>2MJukCzB9h43SAkEgusC>cqfFwHlauW0AX^BcT_tqtZj{)beNAa9$`%hsaZ<1S z(mvsqp7XZy&8M7#mxnSBLk$MDYpO`-Vl`Q~yBJRM zs>jsKeuI`oyp8MLFBpb3);`E4S^K_Cbn%v!%<2r2@6c*wrX#1Q#O!8egPTTYCBOuq zDLu18kJ8QfDTDyt?`gk8PD6MMYm2tilfnt$t+M(#1+s_dzxG}w;|%T*fjA{L*4jdA zY}n7os2d;0IL&SHdpj8&HC~;UZfXD#b3N`q3oHEz8T|ne1IlU|p#-+25oaWn?^5#n zsg}t;e-V9e%5<5LhFVr5cGy-=musI62zHDtKQLap7tKG~m6cTe?VeWk_Y?~AW&4LO zGDTf(K`L_eD8`{Txl*Pg1gXU|abyDRi`s1FPL;~Jxu;;=gU0xS^(DNTwGc42&J3O) zdyjWK!#Wy;#DMaPa|JwU`%Z7pMdfBo*b^enLx~)(*i;>O>yKE7fUsB%sT{^apsp#{ zuZlwVGylo1L#;MK9!a;Y#SXCSxwpQPuBPgYv+og`W)!d|fR0{4{hvO3ORi@(O=ZIS z^DVuu{9tVZ@@%&8rMmvJ1$Vl%SAnd?*gsYw;LN9AtmawoJNSA3=)J2;jGO73=mL&S zgJ#s|LoTvT^$o?mQmN|tT>5^pf4q)itQOCRc6^79LgDpYHtNafx5I(|D|=HT`GBr| zOpH6HoS=M5fj3Xm-9*~Un}>{lLW?tqZ`TAcCIjSEYWL5S`sW~5kCfNe$*;RYU!QEJ zS#Pw-NoRm(ybVuAy9F;E=m_U&se#!~0nYaHM$&xm-p}>MCsw6Kis?VC+$_fM9XkxayYi*DV++N? z)y8(>k58RG)FN4gkn+(LKw|>UN~%s`Y~GLOsc1YMA> zwKOs*Dj7cWvI^-o(J@lq$*YlKcpo-J9j%cYh;+`d*>xW2KC+BzfI5u7dYo1t9#p(` zu2tqUu*v9YoTS#7BH~uwN$FB*j*~V`X+Zdy!%RA2Fi`~so^?m#$(CoDbq&5sYzvx1KN<(D5K=OY$GwANj zdKWF{d1Z79`gsgV@4JZ*u`ec$F;F`{X}CJF-kX`WnTqd{0GB`)`wd5|oO&@=fo=qz zRo6~UhURrF$I*#A#k`i~6pNRNk0^46cQ~XFlY>+*LpR7DJzl_m(8ct<%L71vemNR4 zzqurh2Txjjj>Nk8(wZi2gas#Md?PhxF`l!+yAqkRZmxCbbIZrKcJ`0nYJaMifn-Gx z%CKoiZ$muooz61up{Up;INbRN{}AQvG0x1W$hjCF$T(&ey>m`BWH@I4Oq_0C`egeNB49PMsdr>aCJ^lw-9NVSg-;u3 zCk^w;zVtEX6xGjk@NL-DP5Z?(^7*!kF4JDo_#Q>#Ukv|xto5Yj1z~!?e%0|7RI|BZ zL-Ay8JbxS7Jio52ER_z|W?p`OR;iX|BHha_gdTBJO~HmR5YQ5DJ&fU zL!H53`CB&x-+H%%=Xlu49XZ1)QWt+<6Y@rRgZdpLa7S~29t2}F(vjGPhVrO4ysy&)RCELvbbK^^ zcXdpPJyasF(=@I1wE=AE>((4bbLCS6?;26%R$Lihr&?fUZ%SYq0v_!9ZRh7SOtPA9 zON}d7>%W2Yg{O$CM9ckfUG#c4X=q2;DgZaXsL8g@pFJFO?&hS&=mS3s_NYr=Uv8(e z4F0WUKdpTqt4ztcr0OEKDZVDV)^gx#s=zrXd3)CA%ppG$xnE;$n<$y^@#<#84Yh-} z+tt}IM~dK+(ijK5e=y;Yp<$!vgxne;JkJ261hUJI|Zo zl|7Sy%f{Ty<{Oc(3XgNC@{Mq{VOwU~9nXh=uWO`4ruA|<}U_98Ev+TI>I;Q^Z`2>=$@`L7jtZ72R!O^ek-YUm6+#(T zf8U*DH@p3Lx-cE?6a}5x`{?(nv5;Q}$a3|phO~Y9z5PShCdA#HN?!GJTJ!4-*aIZL z>*$VnY}Dv#{(xIq+f!rmEy{?n-Sv2d8h=z%JJQ#YuL(BhjD;CK3>G{2;-83-xCH#C`8w$8Qtn6@rPH#bb*MiR)gfJM3#^%A!QxY|wDjT)>1^TWMPS_Mb%^KIO(G(M zMo=oj373+tAl~)xp7E7A0nZn71PH&gjIZBhzLhfVGdq8}%OqKCXnb6%VO{sxM`r_; zFBm`I(wGkb3BJD(9Qd9MtDLFjlhz^>^ydK2oTF!oLt&DpBBl1*hq<0}=Vy>IEpCXD zqN12E9@LY#$=3TVyUEc6XG$ES(0v?~l>CbG70QvivCPB9^qlF9R@V{sJ<_eS%_YmR zuLD|)cum}FC_)>gMStUgskJrgbDU3O?&;;CeYCPUYFs}&k}8?Em& zsZ=E@|0JVceck^*se^x$e!r++ zeVk@9q}HP#cn^-3=j~qyNJs74BrY z8mxg6MUO-B+KtZt*}a-u&?hq9!G-N7(8qCWYaMH&j5V>n;=MDk&eq;CCD(keK})vn zRO0c_1FaR=S`6`viqonj>KC$3T2{=|P}irAQ@((3iZy7Z=>K%#R0f@@`&+?7uy8^hTbb3XCFz%YVKfhGvzN^IG z1mY&m!X?_N1ja9z}O+EaLbTJOju#3PRpK6^mwF_<~^skk}vie(PVnv6eio z)JrBEC_JhdHWaF~>~&E&>R>i{K~7+2*9$Z`7(jz1m%IyF7Qaf4Lu0-3yU+EW2nv6E zIjf}|M?)d4Y8v@L8mUeLd>;<-xU-QvZaZWwabk{Op4I!aeqrbHb7KjGW?Jem+tKA% zC<+~VeMab`Cx%3=dbmaKz-+q-xJwd>f({W%R-ANmYera~9VWx|+ILPb)8#aDHj#*S zT-LTSHy?$VK%0J=HI)gL9TFO;7*G#+9;57bvUZ_%qFWZUy>NLk3FG4&MP-f5`2G)E z>OrEy5ZR`BSC)O-U=$;rly6jts}(c^QO5VJzI52+phqnm1`?x~h1l>KqLKIY%qVbO zriw2FQJA1Y|3Xol*__n7mkTK&kC#iF(Amc+bGLl>Sf;Im1NS7qb_*G0*rfjn zc9@-fZ3W!BKHgskQAI>P*0|CPceS8LeZc*c3IGZJTUl&>!j69c?D+pMog5m03U|ZD$xzV~y!TafMPL0PsyTK zVYW--PLExahVD`oY%-pEu$M{piLvsNP86wvE{ELx%v4kX6fx%wn|1_bk@oJzi&u52 zZ3az}gr?>F$;(=a;OBdGyyRT_qT@etO8QLv#BE1)$DDfe-g&9wynMAyEdnd@G0ZAi z$0VYc9VGs^Dy=~O;H&o$M!ViVLLJFqe7{P>dyHFW3m_x7j64Y)B1Ks@0$ksnS9<}k)MpeI4gW7)@?I}qD< zIc(`>tkgxNV!deXwXt6{Tja!b8E%t0l|&AI(er z+#Cy3Obk59AawO`SEbU6-khlx^V}H(cV)$UH2W8Xa|MsW{QyR)1+eY^V1;ng)~*=> zYp*Mc%*~;f9gDwumlUfX)=kuj-zPo!*1^}rE%g}r+=%0vW3c8XBuDDr&grqlkS*_! zscoOxx{EXjp9-jm?vWkDR`tP)I7!nVIZU`Hg%>mBtkd^CRaP4ynPAe}Doli+Z%)LO zrr~#y#^yiW<BIDG)RCcp`l7(9NaSgz=@CD_*!PLvhKri=wTnXn&G3Z9wo8<74nek)_Ep{*kI_nn^tOCVR5oOH9<#Z%3IJ940=~jwSb(`f9cd)=DfZGWSbkGzM&#Xy50k?6aiU3tOY=r1d#3xuwU@K zBJu)MOZ=-PFA}#3`+T0*G%}Q51T3(lw~2%bC=_#K#NX3*j&-DF`EenJ_!!qrZ@+N( z^Z^rsH3ASi7)n}fLXflo0Rq5gv6hL6!;&Melnt%&ONQ@q-BqsvI@YavZK)Xrs%)vwoRM%(dds7hS zyHm`;k1PJ7b#AXD>=fF;{WP*KuKVm{g$5s>(;g5l86)U{#O8#Z7fAr6+VYV}L&oQ9 z8adY|F|=e2rKYyjXER?0<@C!59YGX`MBC^JAyj|d7o3XgR4nc9~z`CTxs|c@GDba zD0Q`s06@+&u%ma;MaIDJYkl(NU~G4iV@UPhTME?#A;>EgbO3?>&Fllf{jX=qQ4d+X z1jU`caBZ`<5fEt#ao(Ob4Zd0%dPYp}D1qS(7HyIV2sGPG7p+o~zl@K15z%%w$36)- zF%ek8XBS61ew2|q>KllZzXWNqY`Mg)qeph{p#T}*Z+_=Lt_A~(Hn4K11j`}q=e6oX z92HAAXAtzAPam796~{xx^oR4E9R?rj?uIKVlWf)^iy`Tj=OVEyt~~lPc^3MXG*)=B z)Q|2c8!O$DYepNo$N8UF`H`mi7xA5Etd8lkn{d1kkwAsK6@~3CwVDNHu6AjeLxl^C zREElnNDKCWD*rt(Zs#|+S@!)B^|rWuzwv9g&L05dRt5H5WCfNV{WaOzwagg=-Z*@& zG@FDpZm1I81)S)=<79E6(O`&gpbpjp+=M_Q%7c@uJ=kIduKuP9niUhJm>TGTe|~GH zT(>zj-f5ej+vu5BZA~~vu@_s(I;i&>`Q+i-k0=+38%CfTZQHLgOX^uU`_Z=K^EF`S;qKp;x-?Fe{y^V6dltfaRF+W5CFEBBpkA~ zP0o?reA(ku)O*8!n%z*)(^5v9R6X-ryw#uBlij)Lphj{l*-H)x6|}b?i>H)xqz8j- z$|ROrwF4g(eQ{?ut3>Pbn@X1>Tqd5(vr1!J#)QjQ7cS1yFDidN71viD7L#1Gsq1Gk zessh?VsekEo4oreRqUJCA4{-$$K{s?!1}vxBIYB~do^Lj*Z|wQRAU(NqYsxtlHxRT z?S2@ffN~7MII-PW4Gz$11%oOKrLEGD?c*KUI}Y8VJzgCt{-sPrd)>m#F-O8b(2bY# zfLoeqNAe(cgNEw+HL%Sr2ZC#u`Fy$UehrZ+)ntjtI49G7*x=c z+*+3xpdU)4syRM27~_zv-sXwuU!99y-|lw@^_ zPqtkR!ZQOFBIK3*J;YPf4%S~b1~LdQ z71&VvCZW|vNmr3>JPkDU(&{^q8jQYk&PkC%ip zH8p@S{l$K2xOhVnn@?Ou>HByEKBnH>7GaUV7m1{MmnmPLVBN9EM->-_^4ugOUE|9i zIOVchEs0{+xiB^w!}JYbZFUt(ANkIgDqaLJo$W{Ph552goXrW3R46?6+0=OnW#ydE zrBISwPlJJ6Qu*sH*nx&tcER>5&cGdr`9}i=->F9iow_m9SudTnmW7vc53DYDmxc9c zajlH**H>fdwA{oJtL;8e>IxMQKUBU2B>}au8s1wFB!9j_jKB(s710rQR(INP=WJqa zKnJOdx49g){PX{?_Z?tSC0W~zfFKzOl7k>1QIIS_P_hUjIZBe8GXhNzkQ@{cR1k@h zb54>41tm(RNdf|PlWd@A{>$wC-_AFy-;6VZJG6R^O^qx6V25dFvdJ@zr*+ z1v+8j=L9*wRQ=Z3g|lg)sre`!>J zytELkY32Qhy<-)Brt1haPxem7072de1p=Aj>lH@$O#!Bts%z?yuh7lzKSwu?a5@5M zKlPWB=q&T2Lue!WfTAV^US%sqrp8-O~S zBlsBz+ehu@@X;Y2p$Gw<2X03Aa6>LC0Xdt@0h0vxdiIxSMDb_LmkD)W4M|*{m{cH$ zogqY69j51oh7XM18qBCx3c*n@=x4p*>?usvk5Gi}d9ozv3huP-HD(`b`z%p9-g2aQR(;JtUgwL+zR(;{~Qp{iM9ss?1F#827 z%Yza7fG@5;r+odPK}r>wp9$DZEdK-ow7fy zP~}7wxaM=%P_tELZmzGt(9XE=LFZwxD(E%yp+ZryLg4CzVtJ5i!-3nH>I)UOMeK&X zQ~v!Wj`h(uvCxH!z^|G&dEuXtZ9o)nrLNCkH18V+kAHsD1a$=D&piV2^C|DBf~JLX zxjoDuU#7Y)T7IS5!L%&Of_raz`i{ZamFSyZuXhUb*w7Q;4#!RU}fP7Z}*_)=tE?YoPN&pxCAiA%~g(3!s6 zbj)1b&pSREUwQ;$F7Gtj#cTv<5rhUBCh!@>G()IibEZy%f=jN|7xFm%%==pL07Jq5 zCZDV$KFCfBZC;YlIwhtfCRF!rLUD5TisZPY-IF)B7QIT?4-lr_O*|~a~ zaJ+Ere)w5^qJpB!-LEFSJs!$d!Ezq(AG#ZzrSOrc9+4gCQm#tirh4B~b=NB}sy~t9 z)~0{s>q5zD&v|6<=VLv;4@O?gSf2(=sq}k*nwLleSmDd)9d2ppp1XjFMLLaD0@V!4 z_D#jkNZb=3<;tZ9Z(2|dvbn;>+}#%M5?#5Hdg;BGl+`?~WEUOTz`7g0GJn^g1Ap(6 zV#dq|H3`xRJ*TxWTwe9-j@1t#+%O9UIv@^&ldq{R7x3C_hQBw#AHG1v`R((gX9|S4|t1#!Fz$5vMQIMRr7P;0I@UKo(@Q|&SGez@6?=CRZ%H2-qN@KNB>$P&-vT*ps0tz{tiA(+=d?N9%wr^kj%`=CM+wn$uG{0_+Wr=AvJHdV%IsD^yVn}hwtG78o&o@fBf z5a}<+=zy=}5s2~qFJ3yd1lZ@c@tp7`l23JlK{mUMiXXc-)3?aQCoG~SmP|l<>ZvN$ zAK+0CHZgNZa>cHbgD*911a6Cjjozark#o&hMWTT+mw)YH=bM-;kzBB}7ThV8Px5Ex zdLOJ0=xL-fl!d(=?K)DI0_ivMZYSzj}H944qesL zxBJ(_T{|Iz5Wr?FAewKuW_^hzo2ieOZ!L&mXXRt$eeWbkD6RXX`JE3nz&;hRKkTF#$&C^rWLYQ!M);*(j24}Ff zZoR1dIUK9a{co!VXp}iot0>en(oUc7V8P=#?zpWa5t802L*_k@$#`y^YV3`-YyL~F zg!W5r>W}2J^cme(pvob;%~(@fOqgYx5~wOf?4Yl83)P z7mnbCNW$f9pR~;@xgiHa2F=o}tj_Fh-UJzb*sFtuSM1UW!q!I;2*WF`(BpghjV$tT z7h*yiYU({d@GI1kp3k18*i>ktaWOFZ)t7BpOA>~wQa6l1pED3riRf!=805B_jd3*C z6uXhfCy&k?teKF3KV8AYaB zPGcECl9n{7W%Fwim7Ej0{~VSSa(8g7qjB4oo0A8$S7?9x@q!_Ou4eTNSqDP)Jwk6@ z(*InY@<=R6M-uTwW>vnJl$qxS6X)tdRWN(7KdX4%sOca5q9-h&d7gui!ltyjZaw)? z7k8>#y`wCUc==PK7#@U_Ap)GN2=J$JR^OiLdCx4JHKF#T^| zASEeP@VdGRfv35KEQo4t7VQY+?yryv|CHnd2=aY8JncaE32^QT%Z6sF+>8>k!&f@WW%bHJ z6E7-mQnUmGg&5|QBd%ph6^XEmR!$CDf@MwPj3P8dza6 zknJPooWCnQ*&gv_0Y|!Sw)}-$`Qs50QC+rE97>OQxQy${Q!cLO$i!bXaeRILQnxu% zAW(3KU3aNyJqNltG#-+KSH5z)Udh)Ohum{2T6YeUCSHL>K6GaBo!65@`@n%E++J<_ zf~8}gm|3{?Q-CMNM=3uGbP^5%%98!?Qidqf;4cY(f9C%=5}+0crAi~>WuC6FVm;Mr ztVB=lu`KR(zz(LTx4A0JXsRC+`xdAHo(H%##d8D^J`FMj*H{vS4Rscy4Ckhzz1d$( zpORzlNyY%F|8x4zBF!(zi^>|67>A=F8x5}oFjuQw5;64A5X6FJTk_p_n$o0{nc^D2 zYX&5H3`^T(2d@A`z1rq&l|!JI!R;TPF7`yyzy2qeBL43B(E7#gGuz-ZZ}SNgDs<9^ zm5R@Ds>&COc7hwAXEHjk8Z)X%mlov#g%69gAPyT1XR@{3kUd+jfI+r3x)$G9og4$1 zS=gB96EbC`6xaACvKU#<1h|7WZ+vBb0Xj8pT6Gs<%Q~6P^=h3IsIV!F-MbigJx=zS zhKz?DK=T}_j_m+wp6`1}a{-zs1$zrr3L_97q$J~_nRFTMyh}H%Ik{KN zz6;mk3Y`LT08P$kXtdAULZKf^2t5h%rG zKyLqP3g2%%Vf?jr&UHcvB_snpx@)5&x6LlE(+rZCWL2Gfd-xVZC9|{o+t+Qmv>MJ$ zmySSJM}4>oVx}^+a#ZS`6fx8qgg*g~*Xr@c^6?IF@2}>5En(;>j(STTuDg{DA%KdO zjn>Y(3aIE;nplC@%tSV61KbwxE926wFDr3n=29y))HwHZ3nf)qh|Dlsrw8%kEvwA% zDlq;Q@-(PY#%13y-OU(G9#yQgG^r40?x)T^or{rh2ZSq{d*Km?=F~EXAkOuNB{4%0 zrA~q<^|L-Hn)nBDMjCi>b+j99tzN(wQR|VHFUl}xJ}ZdUt#gYalE?{2Qz_UWp7#nc zQSTPtjXyR~$tIQ902B3U%O#A+*~rPC^g|Uo1Wj$GNtYf-mt#R{JS3bcH#hU6P47nae$ttWnyD#MN&^ z`shT6F0V~GNu0Bp85g9=4)eJ1dRXkrJ(gt23Bu1yVG0N0Z3`O?d|Xit{**xp6dfS< z-{Nw8ZR_}+YbWBeX}Nc%?L+UnZ;1eF$eyQQ=2+kXiY1i)!c7XV&>pzPZZ7Cxo?%e z3Zw}VDt!|lraNZO6eqQp5l3)Flaf+X77Ig2)y?ubA!yW(Qz65q#H#shgafZ&_GTDtBa0p5_Kok3O47@KdFBMDw_WHJU06 zv#Y+(Xv>L3q2!=T5f#1EHQ|apk$b%d`6_Rw| zRu^dgD#Zs6gJ6est&q`+}j6PQ!1_h;(2 z3}RyD2pHNIA3uJdK~XW6`tplShCwtyiX-2tKEz37MyxgOosWU*jm&Jbdp_JM10o*b zvuwqzhn5{jAWpxJNQ+Qp?R;}Qy$kT07+WYfd`;}O&E;|C-D*`L*0MSrmQa!Lb`HLT zI|P*4(G(WWCA646TiMoHb*GC73bX93)L$4}#qJocu`MH#$86y?WsWLviY(|5gC9Ps)=uMF6@ z?H9A?zo?-Pu6x$53l6JzYqILK;VQ1X#F8?1n~5LKXF2SyNK5opk(Fv%OeZj=fU*nn zY^hVRwbmESRIb5=5zafcHCAT_&D;D|NT0ItDxYcDW_nJxO80t0T`P$z>thtcYQCPS z&_?ubf(31AGG2<^yz~km5~+1FT{|@DOs9Nz5jB#?<}=)Y9{K>_9JRs&4xa!lMBub` z@sq=fX^$tnX&mJNF;()wy1x{&Y9 zpbGuemeCHdrx`f6#Rw51$!tk+2|p5;qGQ>@}`h`w|Q zi);jb>f^2V5-ir!cn|f5#H6zItQ=>!h7n<$yJg9gc-*UKCOznQkx*Yt^r75h5LEJh zaf3%5eCa?H)*rPd(J^Q937czs-QY#=d0DmR7d1PD;yO=*<{C*_kvI{r&IzS-bVW4i z<+mml6%&-c^vxz!y>w5Hqkb2b6Pp-De6)%!1+`YWZCwv> zAa)J86y{>D1rt5koxRz*Tjm7{A%)lUp`+1r(6k4Ynj@T*xH{Yw`70UfHB-cNwtVX? zCg}O6K~7KKVP*V5py?!xp#RF!GykX4so`cPF{(9C>^ddQBigfht~yn`!=rOmBSZ?r zjHFv6UtJYTa+XW_lN$6{WXhu^_bjYr^LuQn`CM<#@?RG92aONNE_%`sw=9d|EV}Z* zlRQ7SkDNUMy^-r4o^{{zK(26QM#;gvz!qW2p(+&&432tv|0gO&hy|~oRi>ALX~xY( zajXj_d1vP=PLFpm=vgh0S1W(4064*VL-u_VcZGX-Mcif(Zor{LdK|!`Is(}i<@*;0 z&Bc7F0Vv9Twut`7BhXAb5_7K`K!Ntk7o_WB0#&DzcRtln-j+$6W$BW>@kQ}LF{j-- z1`X}*Uh{e5`)r*zmD={1krzk7Wrdl%dM)Q`hFI{_Gx0tW7h&6NdO5SP%eGLKVaqu9 z{2#>ermm39m5xJuZ`KzEh{G$shcQhMn!HTvE_HWh9d4vUZ}rRvs8OWWfTwAP--WXB zR+V|X^VC;v2u_VguvLqE+5C7du8(LR=aU5MHLKYPdh}24@~#;}dOsAh7;o;myT~mF z%@RIx8eH5ZTlP*2%d$?=)Y45&HuZ8bL7Ep-ldmvMG)YZSG9-v-h)^txv)kQPE*)OG zP&#Z|SX_|RmF(bhwwHP~kgot+n1Mc*Z0F-NjbuwMZ39M1NBceQ%1`xChBuv^`0r<{ zRG9F>P0A&gfN0Ie4IrGcx`TVglCzMpvOJLEo~5cBDKEqIsH-g+w^~4spxowiTW}?~ z0@-1An6+^DWZh3~Z(4JG+7Mx3zv2Foa><|TmcCXL7y=~zu?92}wj|=bC4WXwq^pR_Vk*92}a?BMfj&MAxNuc7#lyA+)WIkuwErHOofu6;c#FxtVWbu_4 z=rw#lrQBX}UDcYXuhq_;F}ymguzym&BRj~m+-Y=n*?m~WOP`AaQQLR)GDB{cIdIiq1m6G!AHD{eL{dfiDhnm|e zXW%YUb@vJhuMY4}BweFcvM{IN?v}rxpn*jRn*X4Rl?Ff8wJ#LXKxp+G9=|c63?mP^ zn|RymOA@c1*b*~NA&Fv7WDzOR7<#HxkcN-Q94*gWOoup@)_XOhGPq&iVzw8hXH6|G zMU>)Y4hZpUc11+*YjJToJt#7&SdPYfBQD2UfpRj!%l09r#PdtStCq^>@-eq6n*zQI%6g-$xC;qVS`W#>M=ai%0@%bbeV2o~$r?2;{a<$vj-b?IRm-NTqi)ppa zKi}%6M6i}Awf8jUB=Rx?7Kyl7n$Tr<-0z!b_c+q>#qX9@}T<9NO8GeUNBfBlhn#W zL~LC5RSVtZsipLzdB;D4zp~UTSAFTKe)r;nm!X_6^|Q%D7yr}RPcc=6LHLSng=z{q z)R`{|ssNhejN@0R~3ePoa-a$ z6d5{@>w|%T#=ei>lDF>GX;__)*yub!Fp4Rji@DM0Zbzu)es_k#?ez3QypCCnH=#)M zs8RCcOSb;*)zb`tln6o2cCEzmsrP{#53(>%`KDO4hs$0IWCY!bX?zL`sx;z5+<;+F z;g7cUpE1NvuX^8bC%HaewN!7s#Uvi%^(y)JCYgl^w&jy6$kYGEpg4bnND6~kzj$2*S_G!FdQPuwHmN%&~^~oO8&VI09L&yz@Lei8;f3 z#Uf<374cHdu~lYUT-H;dNJqE+>3A`_^{Bm2{o=$;%bA|fQpy}$+}<$=w&qaDZEgV6 z+UY+6(PSV=joQ-o&w)3+KOTXi0U`{HiZNm~?1pfV z+0Y`oIB!94m=c-G@N4n=u*J3v$XqyYBpq3|-rxYp{Jn-r zhFY*Is^tSnE(CAG(8l`OIumkmM!Y?OirelTUOCZe1@u*$!JhMr53H;w0U}B*@ok6t zEpfF5CN;PlL|Ja$tvR%)!n3*54k7r(aa@Koj@emYtCXWO0G+St+(zUq2-Niw+zOuk zyiY9#u*ZYg!0@~UF(VsjM5pK@-OvX+V8UsGF6;niIX+a(2qf+b}Kjn7mzPQ~6NN@+nj6D%k6yuw=bD ztGg^VgWLI99=LQ92DpDT6yUJ?0jx>ydM;LNxLxLy-sA_*&^B1Vg9Tk0ZdF0dqF%P( ziw&26me({-@g9b+y;Li%47O4%)S@1{$vv~LUjepq)Ad$V9C=US?E{dG3B&FLvIZh2 zZZt*Q4l%*Y_gWNrR7V7W}yC992s2N`ZCy@ay-}3&$BaptbyOwBkn@zG?@&y=H zXtfRS%y*k7^3R72S{Yt-6tZKsr?53m#S{ccK5%m|#Ixbby&_M0Un({=?DZM-$o1=J zTKLWGSJ9t-oPH*DJwt%UT-@T#_GjuV9_8L1dLNXnYL^9;(#ky$abN-f#OXpl>eWr9 zg|W8H5Lk|kYevjT5{$3xwmf)2m8o3KslC~!@S1t^-iXoK6mUexsI7Sy2Gr8y8^y>~ zj6PLnm9@@jlW#Qja?t4Qe$u_y-jeUO>wwgDrdNNUrHit1f7Pw|HMi%t{=VF;5M6du zEN#7!Rb|NM*|gU9db|UVaNktRyf9_g^Fns^bdlRW@7(>QY@UuH80df%maYPvc7byN zZ&g?Zl86us{4NIwlq1N2tL>voG7dYFatK7y^y@`~Xq#XD{yl&TVg)rX-v*3jB(5=# zP{StcMNHA0Xl5LcX6dr+c zsKZzD6W~@r(&ELBhar^?hr*MpK<++rYOE&r(rDgU%&T0i56{gFOVn8$CyEdB%9oCHbX8+2l_ua=oT z&Ys$)vUiS0a!nu~ywW)boWTDDW>1PX{2wsWe^vqD-`megNctNVn8r7f45KWwA7yc# zh_c4uE~oBJHjt@_YAbdgGsuCWHS@xiHNJkRK>a_Ra{5Odr9bQb6LGk{Wq*Cu5&Ms4 z7yntJ`lJ1vh^RHUrgT)_mWP?zu$o z^&3GD1t@*}gKUwL(AQtJz%;($gQ0w_TR$Z3d;-1}s>;J3aehzg-jfjY*Nw0L+7q3B zRKxyR_n(NS{;KhXLcxEOPxYUv;PK`+6&ayuO zakYz%r!n8?-s%Uga$nu; zKm5$iW|tjg) zzC{VfWY6v!YBYE;kaNCiPrQ4VIq|NEPI4Hh0&~0qkP!U$K%(Et`uIU-guWFu{cpaG z_MODLzbJR<_bk$Xw|g+Zm-qZf15&8_Wqx;{@H^vf|6+n=EsQ6}KOi&=>>0smz$$@ji!C=>7pv8Mm1raHbKWdi=Yn3X6K z@OS$;f5waQJ$-z`1iY=t7-z*l5oX685&5;%YF*mGPXx)kS>6yEiNz3@l6lZJEaEcJ!C3?Hy@ z{rq1rq&g@1HICU_KgD?2>(XV$ykIpG#@&@ix8HTg-I%Prq06~^NAV-^q2XCOL*adX z@B87VIzt`vtOamm_6=CG<%h7;#|$p1?^^O(-i!6yn7!o+{Wx-h5s>VzP}GzkAat8@OZGmppPd)&EOwx zF8{p^Ffppm4yL!wT^auWyg1mq0#{`(F&wv1JDwCCZ50!1bJOF?3cLb9ufqTTH^49d zzYGKf{?XGHfJs}}R= zX+>)Ptnh)_r_wdsQ&;!#Nu>vBS{@NTI5nVgM}zUAK9#IDi+8qn2#%p_3ZssG5u<*9 zKFEjXT-(=X?f9vVXHA6vA5SmzkEg%wX5#AQXwIWxXKZ1P!=q(o_S3q#d>M5J&Fx(ogmHMTIoLWl-*z-MHRri*?rvpjt|lk_KjXiC zP5tjH?H{Z0f2Q*9EAQyT(JY8aQC2|~goXwJp#gtDM-!lHpwk$br!g^3pT<0mg>@Pm zhX@Df%o!X~0zy2ZbEFg$=Sa!Psc0|IQ&BU~kdrfTGBB~QUSz*WNzZkOi|x_{wu@}X zouFZ1Vc}rokl^5uuu+jyv3>W?Q45F|8><0xA06#H=oB#;Ix*T&JBS{5PE53)en5Z! zK|6&GJTDgZ85~@oK_wCB6dF4EDGYQ>ObiU5wJ-2{5C$>kSw>!|(3yYz9Lh54`se=;v9Gehx{A`CDjEMR*6 zH8a0!VQFRU;_Bw^;pycabU!#G^g&p7{KH2HiAj%>Q(k0bW@YE(zRW8tuc)l5uBokS zZENr7?CO5qGcY(bJTm%WY#cH-zp%LUad~AGwz;*vv-<_Uw|_h?G!XjVh6Vilw}Jg) zT*Sb*PGMl6V_+SR3+W^jWD}SjJ8y=lKG#Nu}e`OJAK~x~v8zGjV>8 zL(a?(xd1yJ+D{|9A|HhctHz7AtOJOT|i@3H^HhC?+(O%G~Opk4*kPJ!AVQCb0|G*PAk z%0NQdk0`78hxrOyvO!TgZd~*hBnmIBwq7})duY{iEV%7mJA+foaM3n$yDI6Dp>CU- z1^?}dn-R=v?U4BE#<4we7(kI(^~$#fD_V8pL*p@_hC0R`Rz>_Kw@jWrUQ%E*L5nu= zvn3}kx1S`-v{FaUdXcvx8eCMIrL(H*)8v-0aM20liQ?y|A-<4{SI!9@GR~X zZR`ML=*9OPHtp%gB}DOLFqFCsYDJjifg&x2Zke7cZ7E+Oe4N~hEU9F(oKq@p6;vnQ zdb1j&QqCx4&PvHVKRGgk;D|v#0=;_zX-1H}0?vEA1FEq(=^6z#(OT~k8(A4rL8Epa z)oyNZ6>w!mLFI+b)d;YeR`Ji#;lSPOz!s)1kYen%dtS|JBb(ZKoDbJ1eZ#f5Dx96( zxjiQs37;!OkU>|Mkei(oZHB}tyZsj9cJn1wRt(d8PlmX)92YEdS2T}65PeU2-%DJA<8O!TTgXow=%!Jg&`mvaP}gfoJ`6>p*1}9MZt#v1^qw4nE&H zuEMU-xjccpS<>B;Dr;@i41%BT8?njnKLgjrwIt3=)N!3~lYcL(#LM9i!icv-FMd&r zs{%CI9HGva1RCA5dT$o4T`}RVKpb-IB5oj1^O)TTu0@9h_|!seU^qt*P}%VKgzO^t z7Xys>TC2H=W=tQ^;kENk0}TQY>Q5J47AN(z^^~Y*V;y@kX^7;8s00Xs7K2T157PnJ zjQeJrR|WN#RK>haD6bOeLA-Hv%y<|MTDL6HLXvd_0DinOaLNs57ATHr`A>5WTFw34 z&8)MUfmNhGGp#-0Y14YyaBS^Bxxt+eYhY>kEu6a z6FjqD^}El_hF-in>VpIpR3n7 z1p3ihCx*FYds?(=CHC=^>wVCZj}(RWWU69W$vB10fVDi++Cs8!15d0L!C0{`ek9NeqUXH|+)wQDGA0vR z@=}EEBPnehDYp&KU)4o2#qbUEjhG{b<)0I*hHnvuHK9S>(%Kxz4RqitZBYGJnNzPx zORY-sJ3ryZ_DC-fU1bR0-UqS02>*}&yXe{skB84~DX73VhanF&s$^F1N-M0KYi8L? z6DdKU_|J}SyeNU%nJ}$=$p{3Q8)2`Lz*(W^oxVQ3#PD{!;lOB2XuSprj71fefu>cJ#K z`6USv?(o}(K^azc7HNn1ONZgZj9Y@^QT0^;PtE4V`7F^ZpiPa&UNHJDZ+%gL!i4EZ zoXR6Ff<30g7&)8nlG4YX%HW)&o8sU=l1tR)Hh83xLFsY|;Jy*y_OA6tz{>g1pAcWT)P*` z6QUZzm&}9XHVbYgJYKl7S@Y$kIc&Z2+4GrIBm;D3>6tyZY4so@3G?8pgz+gch9i*X zo122A-K$Q9GV`>ON@a%$J{nHXC*gq`ZMPbucen*QPkTiewBqIa6Ld{9kW*d>6So;f zUh;f#&}v8;xOGDiD)wb$*V%BA1#W8^w_CAMOR2FExx-WmGBHHl9OWlJu!!`*0>6O! zyrnT3zjmv-X0y6Pb>KzSEh*!N5A`I8#O?_Nizz^!QH@@IqER!7`QgDe;iv>il#Uk0 zoefSj=Gwrq#YJNK+OmnB;SVUM?|BnsJF>=Zs|nu2}CW+T2E|yXfxIjU2;G zjAY7fjK{a9#yutP2Uhc+=n(GXLuWv`Su`9+33q;LL zXpo8p>_O+if<6zQ`T#VAC4aGgNOhRNGe+cjJ-9_{wcq(B01I!smGGY1v@)EZ<?(`$9hkh8!w&dj2-Q~#5a?A=8iP;cADhmj)t*m)z2k6t>eQTDgft5C=KAh$$xi79THJ#oKge?>Z=OFt!I*U!^vPNn@NS0$H{ zdeu{_GAZBOnCU6j1hy@-@#oo|YNv66JH6jwNgZ&5U!Q^YA2xzl)GK^^k3cC-MjU;$fCtc? za?v$B^}d0FwF6&NnWS@?2j-rht*6YJVyDbwYZouIxE9wMd3gsXM-rkS%O> zU77m8{vz0nITg(o@5^k19A-z_12>fr!F=ILm|zxNI(qP(IPGqo&#IRw==tqIN1*I^ zid7c)tMrLiFuAM40%^pb{HUM{b+=bu-pewezJ>9L&9O`ZTis1~0q^l>DjRw|bTqn> za>quTqf>&s9@>|2uSWM=ZS?B2yQ=o{L<#hDjCBSx^vJo=CP2fyc8AvrCYuI^UqTY&SPz+EiV=8FJ%cY|ZtL76x5@Sho#4+M4&cXU<7( z5Fddu3$M}zKy21;-+EVQU%Ae7K&|%B)oeEO*H=ewbQr=uzM8@P z)I=XoOCmGiYG-)*9Jy9v;w@P<^TliaHLk3Ig>n5i0(8OH4JKk*kj{|ZVg5s~QtX(u zJ5K#|5+00PBxLoHO7=}Q^q|A1Vq_2lquH*|;z}cY%cRk=!;CjIwI$&?^yl~j63v-s zK@$F&BaN9WY4{Cx5WCxLC0$u7bxY6d1P+7i>;mQDXxh#{hv@TRcGHA>94Q4`5K_Ul z9r$RAeG z9fS;T-&+@4K3&}qsN{u4*;AJwnf{#cGTdR-j-$Paz7*yWwPw3>2-d5L-c;Z*KHGtD zQ>Y8?R+9#qhW~uLvqDjT1l`KMO4#;W(^s%@OBTV4xq7;K_e1ti<=}MJpe2-Ol*V;0 z+n__LqqfuV;nr=fsRBc`u3TW50M4xNU8l1*;-bPhZysFBU=QlLDQ7*R^5B*Yl5b$! z2))-PUyq4@yb^Jz*TDf>?G$IYd$LaWtQqvod4Dl*N8Ay}9AN_+jm!WkKpK^QJEK(n2tBBBordP#mQEVZ&XpKSc=5H;pc=ZEKhzHmXsM%0{qp2TjPidvw9rc&pw<&+)wwWf5f#0yU*@0J9xvPh@+J&N z;F{0%lUR97Gq^Sl@WahEx05rtNG5qHl96_WmDkwRa;U@JIyLb@J0C)3=sXF)=qrg- zhV@P_y|j3BWEpfSVARw>=ULFv9zf+G;iE<{>aKBc5L0<|qTc1anfR)bsi~|AZHg)8 zc#+l@3l@~D&oM@X0xDIobgknJ_2Cm&M|^bh9);-44>4Y7a)`%fB2awJiYBWd_O$dZ z$km8kav{;P7uizXywCV4uSjdI3lZN>3I0G1#N4loyQ%-X(Eb0&bDltc;h!Eyivv1{ zlW5eS#Q=x`5gPm zKK1u(4geoH{wA3=TD-#kLz%89KL7|Bo6(0S9Rnes4+Pqic8`G&dQ)-$2%&MKwW)mJ zFPsmiLWov1H#Akjc=7t0^+_?aju#6`iNQ!tt3Uj-gr?9t~+}tWuvC?!rLm?W`Pb8k1=fmkHRBF^7>bAL9 z#>wa8nqgtkJ85RZV%n^@3+&Bc^g-iGEqvpOqaz*bZQLWo&&IB*gPbA*!q=Cd6G(sR znntS+n5~Cd1m^^IQi-^6xNFkQR^e+6h?znsWXj1?Bp)=;=Zpu+hm7oxGh;k`SgDP3 zd?$wrthlZ4%DUtj@&Ys}XZ)^BG9u!@5;3MnooOrdrVE|ZOE~uh%%V-W&|%$V6hW0Z z9`yRcg3>+Pvx^H9?y>f5i8Nc=?@c(Fm|EE1H4j zJ-~Usbf7^ALQTz9zBn;qG$BJ}7VntXf)}qclp>%4v>0ByP&(`bwD@$mQ)A20R`0kO6sOA$X>YkikX(G!1dd0Y_QG_2%!bimn$HHD-G{$PSuKEzN*i zjZK{vjTMZhUiO;%8;V+p=a1(;Cpf1KtWk0MneGulsh(aX0{363pRm#@^1e(wk~7%g z1l>}uh#tHNle@ppWlAA`XNYTFhA!aR9W4tPkdx-G&uLZ#thudTWFxx*SP0KkRuH{m z=ZCn4vwcimVrO_;&{^sg+0cU{I@0jwDw``KjG)$f)`ZMSaa`*qN=dQC<5Kkm+Kvi+ z_eR9jd`QBt$Fss6;~DV^vb)Kc(oZ)khJ&_dh!HB#rWnZB5_F^(5~aCM6o@Y((5rWg zffKE*+)n=@*XKx&B3$ITjp8GabaBWL2wFSX+}Q|hLYn{vZ566DY#!9Ui=>9`#J3u7 zH$yne>StIM(F7SW%*aJnHs>2%H6>W#Mmeh_GaqbHaVneA4&B;?++Q-MeZC|j8RY@& zN6&1$XIc%sSGX(Rs)5YgX;1ZV3 z`9#(-t*D^|zPN4%e<`w+ZB?wtKFY8!LB$f(o@FEb9jWxGOTH`{!Y?A!f>Y%z^)Xec znd7g5v~u46>kglO&nbmJ+V(_JK_5R9Sr3B$2o&eM1>h9b$fx!kfFT3PK|~~_?NSXl zZ_hhjrPV;4MgPOeD^DN^>`!N@oSX3_7xWvBeSyLwP!4rC05`*}jzH%ZKOTluIvfg5 zssh2mzh)NX?>+1IuWWxJ>A8Oz(63|oKxFu@SzP3gI1WGh-hZ8=;-(I4vww8||8Kt^ zrT>0R?vs-`po`Lf|K2+IMD!m94@cpG>VwA_of~FrnPg~S+V&$*i?VF7x1B-i^ap+P z&F9a;iMuq%;$}*ZKxb(03dQl&ML1E18ZMUAtIVSNnL<Ue0 z5GmR_j_D^_7S&bfK!{vcllxA?Rc0P*;8%TLOuNVMu-wJg-pKYPPi!Kl_Se_p+AsVW zHEV=!7L!U(5+(qBi@6!p&>o~gqYSsl>%|*OYOofiu8=ZC7nU~J%Ly$8g4=7CX3cBdnU(ElT}()2#~`YKN5{^6tF|0(K$};=6wakd>-Z-2$cO#z@B53S2cTa0Mjp%|1 ze8UGr`C31Sb9)lL);CNrvakAJC`tbtOZpoY7zzddQA+t+-*Y0C*>_e@L!sb5gm!-- z(CD}9udg~{C=~op2xk126BZ{T>Tg(JC=~n$>E)jz-#`C+5|-IlEie=c{$qTqZvmwz z0giryhM@XY9}MMG|Cj}E5`spd;6KF3`Yqb|=WR|zQ-9U?LZRT_@_~NT^%L>6P$>A1 zp^JU*A~_jhqfqc45{CYk1@^7$C!nxVDEQBPoWIKFlkl}rDEMDRx<7x8laMqD1^MF-yJvno~St&DIYl(|)AYf{@W|{yJeZf4q73P*MUOdB0u34eeJGz_Dmb>cpb4af32T-a1w!TF_h`M?t>M7oz97|%coQCWPuu|20rUsi} zbQ#4N{o+EbHPQnO_$Q$f9#ie?)pTw$b2m<%s8Y%Bh(J-aRON3Q+PyYWi86j)dTu@b3mg_k%TuTEPTwb|=8RlRw>wp(od+%m;yuqmVT;|7WakpiJd zD0CS4kF4LWw7htEjov>s*YAZpyL0$Rvzp$gtRQwAIEthRsc{}zV~^~D8i^!6w1+g0Uee)rngU7t-}ZeI~-v}5*)ZC{7@k?lp) zTVs$4fR;TmC@k3xNh`NX8s=@f+SidB5-Oc{46^=&qfo=JLa z#L!=*2!3q7nmk%(D?EZ8yb*v+|}35CBf&w|#o!WmJ)aVUMT+o~ojEC{IpZrwCA5c>r@Y^V)2`EF#n zpD)t)@w757-6T3yq6R|)XPg^k=&O2BY=~~dve=0nvAhDGmlV$`_C%;`r~UAi=WyZ6 zihIwg!q9-c3K|etwMX$r zATFUepgkIBpyS>c8VJtjd@X1_n{m(+Y7`?LAyBh0wR;^6tPdfe&s7={apBj(rgPlu z-t5XJ$@<8A9#f68$#Py)EsKym{P?QU;x2c3?|Xu}(L19;4_z+sF2D8)VWbmCz1zmC z%c04o49sza-ZwBtvZDctnok+fh4;2-py?(L=_+qF9j^k_*N^q>}wTE*PL(WrC6|; z^t`G|L-WBt$d?|`-4K8Ey!m4|gOn7V@4WKNs`D4l*f#F|D68hIX>t~;3d6;hZ@pD1 zbtmd~wwhf?9IGWXvPJ1Ao+!=cQGL>CQh3)`-?MOKC2gH0Z+xP%Ge{lTL|3+QE_x({=egAoQJV>Qg6qoUB_)Tx9 zsr`Y)fz}Dz1I1i%n{Zz&^G$J~DY1c@+HN0>^t!A)Nx}ZrVN3;A?t}fS{jq%#V|U)ud`eiQwQe72*ge^zrE9_vNKke(-&yS#A98%xCG~!=5eBK&s!&r8{48bT zYm50mp2CE=>#wSc;|DkC(o0@4oHL2R;e6D9r^7 zG|v}R;g19F&-+Gj)WVb+p_GYK{;$(=wLxY6v0@{$_`!yJZvEK|0xjiRx}Vu^_Yq&8 zz?XiprvuoX{Zlu(I0}{NKi9FXYjnC7QtHB&g`2cCL{_429hQK6Ja?N@biI}AoxH-R zhm?cIsp7k@NR4q3{^Zmiii$(FmsOY#Gq0J3tMfc=-J2U1iOPwQK6Vp!UTeVnOA1o+ zqckPlt62~10B#HG>TF)=k4aQ3nA~XUl)7qG?Mc$M8BN#1RE|@D1_G90OQ=90WF%N3 zea>}4jlWs5rtmel?K%zez^y+{GH2u`(6)XX;fw|@(V~>b(Ez*xGIP)S92!Ul5h+eP zC}P6$Fzgu`_$Uo_(4vPvd7movy7yBa*h}djW_N-9e3pVj)_sB`6pqj)x#h7>KS8=b zn^?COC5j-q*`IUvfZ407vhq1wAf#YiaLJtbH5Wk7>Ew~dpClYynJfhjG@fVaW$wP1 z63q93pXX1aB>v)@{l6=N0fVNVlzeYXDau?w>+=<%0EtBiQD$}s(SX3n%YfRz>mkFr zpLSVm1CZS_R(}5p=WZSsoZGL;c*euD5}`cH_%xU~VVlfkdP4uKI(Hit`KiSaNw%<+ zWAH6DIinhR!1o@arD;f1G1GE%@ulGNITG^Y1}g=VBi9^BKlfzklPt}?v+QH*}C)NJ(Ixl){nZp7U9~DB6;k=6VhkH!eO2lMnbtruf9I#d_ipQJVx*i15LwV24 zZo+a?!Wr`T^{?0-RNPHNUPwGZcFsufS=Hn{A0D(tRi^7$>lsD6J1(p+q$lhsm56M4 zr;Q`;-}jT758df<+?hIV)Yq^dwHo_KT0Q+5|J1dT?)|H|P^TW8=y!7dL?47lAg43z zs#J5A6M13yL79qgiknNBNBS9X9SW+1gRuEauf8}j(#o9G8K|$RoRJ%~YQ5RizN+YI z4Y?4lT~Y1&aec0$H0rap&eG%D+nSyyNl8*l+@j9=xs_aS@ZtMVErA9)!M2O0c1d8r zbiOADP^%-_MGCUgd)B~0_E2sKhlQojx4(V-gln#)47sfCRy(EjH-zSUQL* zUD@WD)*=y3!xQ66-QEg71BOyLN=}OpEYf=9skh$L{UE-ae-=l4G`^ny;8H0B@!p_E z5CfY=j{wgyIpXn(&qr<{R?b7`2+i69S(%0Il0y!gv7j zkzx|4R9DDaq0B^|QbWu|a#C`F_xIF-Py!wtjpvKbn@8sI?-ml=Q7DNQzL??0^&C5t zH@ac&jRbqdlXv!pERO>#ZICQ4sQNw;mhvufJ7ACQ{^*PmfYPVX!n zHE7A`pbF`EI(C}c9!1lAsKk043R6V`BRycBVGC3$`6CG86zJ(#E}I>XcJZZa=bO}1 zPR{_FMfZ{x*#N?3>WwZ}7YQ==0$G!ZBL@bKmLP?_C3S zWr-M}cI(8mR2es!MPl#r7Vo7z7)pzmRyS<^YHrH7!pu;)O>Q3on0kgh_2)o^{{vWm zB&_}w`!1`}^m>4I*huiDCDYHh(mP(bf4B#FA{R{lG=|^5A`yS&F-IV+3BL)UX13

    vBxD#x*2mGvuwV~!Os{aEkZ~r8C$&ZZd908W|Yx>tWF&+%3 zf3Mwf1f2elbm3xz^*7_nu)nE)W&Vl{hOxDNpZLe4u(kMpP5ny#W)LHW)qh@A^L|_X z%KQ}@j0dBZ{Z1<95eVyVs$YM47u%0)Mg1%Hb_ABze`pZPze3F)dCXC8`d75CU$ek4 zqWaG(s{h;>B;Shy|5?T#2`u_6+7|`^|E6L3du^2?VKoK;|3UrNM5sBi2NSd z=n) z2>AC{K)=`6BeAqF2>9>CzVG=vN5X0h0{#cu5O53v{*63;3ACvi+|>^M*@pt5bz&yOB@NSF$g#Y0moE9`9qQMBfv#5G2nkFM}G|= zKLSo;5O53vjzPfxown2W&UF;F76t*wAmHDwM2V?_@@vBN-+lcEgf%7x{6|E^F$nmN z$d#kGr=#FBCIB!2fGtQ49k9U&Cq)0**nzF$nk{ikBXNsf9tn|4@$pnlXL^ zoW>yF7z7-HfPXJ){qG!)LBM}V6ZqfB;{TcJC|He&0msCEe@_hf)O?RCpZlI2`(QCd zoTK5?!AP2F!`lg_$g*xtR}OzN!1boOJV1qc{hw&yR4s}IA|q;(b{L}3fa*{T*j;{h zV-k^G3v1bGkAE>F7}CM7V(Jve&iqum;Iy4z>w_%PFE;^od8+SuVHu7wJmj0V>(pSC zVs*xoF_^1%9zsC*s7bP$H&1D++i^Ioj+ba#A_4nOU&`xP2DxB&*%Nupt0k0MVE0+= z66l7f<0ad(9`wy!PsHcKCVhcDx*<(nBhFq(2|q{pX;g=ot*D`%!Nc*}F~=0?akI!; zr>XhFS0gLWVr9vje2U*UHk5hkV=oX`c!D-|P~IdQ3#bx}Avp^bW$tL-kX;FN3(Nc= zb?INzv46bl<%c&6BR3X$wh2)^S-H4TZIlVt!m?6nj($3)HP}g+OOy8~LW8lirckG9 zC>AS%LVSqZRb>>=Kw|Xxvr~6kaBaeQ7^MTZ;7`==uVg`FdtZIrwpJA%ScsBx88v(M zfb)Z==N&e(Ua1qE!wb(6za-1zQK^5|i~5evwG6w?H9=g_hel2Aie)HVp+zW(=CAC| zpSCzwQqHFxy@5>qsnj&}#>h6(ZrlPH!tp2t&5zUXqEgLt?@vE*gw8My+MsN?oA%>A zBLc@X{7EWZjqV#fRGz|lO<#Hf%Vhk)fVwPG-mteL*esqr5Nrp334#Vrsqe5dK|eOk z)F6*{qk*;<%peR2FuMTrAYje{%yEd32pFM=(F-uD5k@=wO$svdWJSOfGEiDKXS|8K zODrcNxc$V0L&OQ99=UmP$rk|q3(CX?Dt+rNS%leZCpUBE+JFLcbI!suBH-&pXQ#4> zGG~;k-fyd~@trXwKd5W=D1x(ov^l>wi~R>IPyRos?SK0J-;E%r{FpAYA2H_U-+fQt z*~<6F1G9qvYrw$ojG@3hRDW2DFzoLSV#A25Kg?;1(E)!nUGLZK2crZ2VGqgo>Z}+Y z@Xw>uVsyYijSh*?0sq|w&{56zYdT=OI%x>Irr@i$HcnX03Q(*)V%PsuU{7bmmZwqM`bn^+Nxh)J>uvweLhAt@<(?(dh+{q?f6w9r*EI}4Y)Y$D>q z;57|mAq7ht7c(az1)JM0W|z%O?eCZo%g7M_bzYBA&F}(ZY&p`)2YbO!^~Q~6bK30q zcnQVp{aIh}w7ztT^?g5Ldl{SkjHmD!j?91;rl)q6pAN}!D2h4`ovpN#5aZ&~eA@<-UcnzuCBPa7~O+&gv8oLEi} z&W@VQ^^IrOHOOT*xNiU~y`?w)7UjO)*;mS)`(K0;|N9DVxSF_lI+zJ5+upV?BNo!O zyz_PMh@BB87E&^^w73hB>zpL`!$nIM=j&!pm+WmF?Cs3#T-YRug)Z6K*gM^DxNT}C zblJ?!($q{t@#5cTaQV{BzwWlb@5sNA^RK%PJ&c|Pj$ctwRsgWD000a82cXA*O8`DD z9zGr}K0Y2k0RcWC@o{2eA|hg{W8|dAX{qSwXsKvu7?`l8Q7Y2LG9;u4bQ&R@DLub`-; zeC5VXO)YI5T|Lt~X66=mEv=kgT;1F~Jnubt7!Vlr=y7mV^wXHwxM$DfQ(mX0y-9zY zk@>E$sJNuGth}PWp|PpCrM0cSx37O-aAxh;ZWe>v5VlJkW(kP?MQi2^gbcg#mMA> zIwFoU8Zc@TrygP&&a*RI@UKhzX=VS~!u)^J%Ko;nzprZ)AjQD~nTJCGK!E)>7~jVa zSw{BPY-Q|VK8Vr4tsa+__vOlWuEQIc)Bz*iJFel9L!5x_#7!D@1t%qXHf-A)8U?-l zT-5{iw%Il*EzcdDd7BvS_=$GP(sPn$P=nze^^WP|-W4)QIV-)T!Xbv@Hv?T)%LUDd zunfHGsyc<6dmH_1E$<2R$HA?t*((k-_sw1vN3fdbo8zg)YzDfGx;;1MT-bRusBq;I z4^9jkc=%cy4J1=GChekuYiM9T6EedyfCfHYhI1dHfxenO-il1jKn!^>n*#GFU``6m z`G}DU7@>(#6)*}CMlHl>&A+Fuu%+-IOxIO_)q+wv!*Z+69>-m~oNwNB?-CJl0o!St z(Cv~qVI#c;SBtYZ#;%2MYBbJ7UB3OKT@m-V9PZ8HF2iN*iVOXt5kW?}x7{ss&zh*4 zynME(%x;1eZsKc0Ls4iqPMvCb6DKVtbNPHgZeE)1ik^41Ys~yOodi$F&>Rhc36t}NbO<5f3f;1$A=cL`PMq^alX{LzS(28o01;ziG!ZDs!Gx9#^Hsu>2fn6xM*ck!z}lT0{J9h_|C_?p+3j zG^h9?_3YAE_0E+CYK81_X593gbK`?kNWKUhH1IJ23n9 zeS)IZ5&(7-AV z_Z`dlQRvC~Q8ix8=1*fJoB6Hni3(QMH2?zt!=QCmHxWXQOtgg$Lt5T1GiU^+{ zfE#reCoiR(L=7CYImnm=0S9?m_S^~9DH?NArU<-rn#7-w&7ap8q0K@7+SC#aPz2ut zH1PPc3v3Q*U?vDoPOfap2~Dwv7bSV z930VC-F27@@@CZMjakWq?);L7u9(6Sv36vqgTw3SU|{`jt~QFgGgMpjzcd)5XcyeB)j~`)8)mFU&kR#VO^# zphjkVI`~OTniEr2)!_aMd#bY~C}<;)5+*83Z+;zL8D~Wva4XV|J?VWSa)84)h;+>% zGo>-g2hSznTL#7i=gjv|Y&dawj(7P@ZEb`Jjok~yx76kOG4`i7Ssh38Rf~u)4B^*Yr;uY_&<-U76{ko^2)PqXzb;0d?SkOikVpCf=enAZ=@! zrmL?rBA>$@XK#C=iNUdU{ zc=uO+qj1umNk@jnf!XW>HQRHJhMG<_WRQ7-Dm0Kkn1cq=8G`|7Ml`^|8V>GxF;-MU z_G)IXc3sV;2x0@(ppFKXD?U_Y04;UI~bl$TQBT z>9uYt$Ps*!*M~zMZ9|TGyCH5IKFD6KNQyknT09J1KDD{47gkvk9XdUC!NDqhZDu-; zcm)mMOJDHGL|9+0ejm5aV%F64P@@%5{*<)vG&g{?jh%x?YKUhILP>d_M%DEcO`PdQ z4Q%-~Sv}cHuvM5Cll>s-nE3oG)~JWEQGOL7V(z^lGs9r}#ub`VtLhgkH!eSkH9kX3 z-ioCjYe#Mu1Ii^5R)c;cscvEf`HewunWmaq)16j<&P+%+-HXqz($g=?nL-!K5Bb!} z2CBKd-TSi5g$*ZlEeB!k$4KpVG;wK!19nM?|JJDHPz4}pf`)|3v8S% z_r6&2X7DDX-r2`->{zTk^m9~LYcjlu=VqzM)0Ob%1Pd-C%>mU&d-J5cvuJ(mo)%Ag zr<1U!fmi=K#LnEckM>`n$35k#5SJ!Pl%MFkPP{A${4HSiFh`=%m$sW`v04Jl`;S zuz0O{ofER7^cELsJbIQ`jtnQ`6%uV;(NF&i;06+*5y; z$5LIN=T&}NTTap=mJ12>iJY(xVb&?Cnd8>}YnRzyD73?xv$On#-D!ZRn>=mj^2iWV zt`?rKDUD#rS>ypH7Q37Y5@-<}-%yYzEpu&XPgf!GX_t#Uv_zuu&&m%W^p_3#n?u zTEEmSSr!Y}SY!MLP&`lwAkWO`*H9Qk>usc_4-_cQe6NCcDJpRX7nTq`#w zgSPP_w$Q-s94|C*nHbpCLE5KVLXK6P$!bY!qaP5k)mH0SqdF58#nwS;N-Ug}=m3x; zp4T*FoIRFZ@g@BE9E4y3VPkdUEDf!I7j?fznMNH`%Py1OFu{fMLsV)z+Cjbq9&h$_ zDKGZgXh_Xcy^~)W&US1^e4;M?I*lc^ABkTh@} zEUqG$Q$lK<%CeA2a7|rhxSBz>9?-`jZPw=`%%jBHASu&a^?A2hf}tfuTE#RQDO&`S z&yDsTbQm_75ESGf4CNM8tz<7{PL`d^Rh2)0NDINaXK%)i#-?TJUpqU(R^6|-VHe&0 z)YEVM`VHyRICvN5F5Ja=br=Vke~7R^P1bB@>dDBUfi6ijP|&T421cLyb|h_H^ge?I z?2Y#|1z1_3i!Y$VOK;G?`W0Z=+j#C=(MzSf`}jfbvt&N64wMT^W7w5mw-NWYFIi#B z;bcfkGHDu;w@B=ExxcYWWGL@Js#7OS)TJAYb2PcohAN)NCm_AaA}WCsZrvqqojjuN zZ8>D;tvRQ59HFl^ux@*&@3V~}e5|*ZTzCJnIsF6IUYM# zKl)Nb=HX;`db|I9Z*JIIf7hpyx~#ao=U+JCU$yS0!kR!>x9ElL7bnKdv62`fEY#nR z3y_SrxY|qc@LyM9#oF)%dK;jDGDTEWvD10Cfa%o;CJ*yIHAKjq z8qErYk-)Y%+*)Kxe{Ap4Ddkp!lg@>?b2T^_xs@|h$T<6uq+LQZ(5v-|TozWmlkxVG zR;k`jLlz?UiVwquV|md}gl;gE#z zb*S*XJ}tVLTx)QivQDdY>5Xg-4|4pdF7dq}f zZYr!g)CU$~SZ*H7v|(s8FR(~ub;P%4(ZFC>o(U)KDGn>i zm>D+h-JWp1ZpeVDpAfI(Xbry|dEFtG9((@SCFfP0n!=zkZ@yG-I@p)+tXabo%{xLx zpt>jlmp^H~s3DqN8|qK~F?eF|i;u)CB&sxTc0Jbm+pByiM|{?k2eO*l5QRBa;7rZj!JZL;4CqWDl=2Z^vCP0ecLZi7+%%n+$@K`dnjXRO8ht2dJ_NxTc6H)>#wSJlo6_Q0Ck=!twzt)rbzq`WPsmdcjCFAm z3`IsF@S?%}h{vfBht#)C21i}4%FJ^lbGrJba}y9GLZ(|DxG)=QAzo)##oN%jyUTF( zy9{~8xv`CB-^A(T`k*vFeNU^VVJvGZ$d@Z(1`Ryo^4t#b-}G=-kF4IlH9vX|()@hS zNAaoy4^Cn+=q_eO(Uvx%0fZW422=^38IM3psv`Ej>>+C;P*^X#EQ;M|VyEyn1e1#k zgJ#Be&s4NfsdGh3hqqweJf_U)2jt2Op^iflMpKj^G63385A9L6p?6TDn~EY{tRDdHMqJd47uX^$=eWAnSPdy}13J_*AFl+@ml+gW(yii@o z`Y(79@XZPB(IJUIeI*03J>dyj6ThgI_1g$xkk_ELM=Jzb6U%}wH_v_r%NU@o<(^+P zBYiM>L4peFajHjQLp(W%i&^Jd?<*uY?H6hAYsVGTuLY?UV)>a9(BoPRgSZ$!^eZm5 z0ODc{a%iAQTT>nYaj`I85SO6PSvKPOic9EjuCM2p9d$nA883& z((IehACOj=VH~3uW|>?>jVg`dQpA|EP5UX9QJWY)GQy2N6%J|!CQ8G%V~QmSIX;FB z4ED&f_?XSI256nP*>{c2oA$DmnYp5BP1cnne=;%o3Da?(CcWrp2w7@HL3wneFS&IB z&|%4EtWR4?TB)PpZiaOot63DEuQbK)97!Il2mWUQ|Ba?ZnnYTIHgN0fCna+r%{9l0Hb5xD0ufg)o@Dtv*J4v> z{q>faja_8^)EOqyg8A8$kftQk+2Wew5M!8?z7;uVT%^EyzDnGa+oN)o$8;Q&KX?tf zg1pK1Se@CX5gC!2O(K@JU^1b)FUIH^cAXTDm=Q~Mj#)&rD1sU(+VikMaR^C&i`$vA z#;mG1q|t}I#E^p>U-h21Xt62a(L#V>Qxu-!tbQfyE#Y=@sGTrj~JR6C_Y^HQu^4N zgKT}VyJ%byYV%Gl|F%TFO-WB}w_I4AHndAPtVt&W{B_0HKHW*=a4$?VX{v{PzBY?S;o3WFgo2iovaAjL<=S#YsCr zob)V+lLlvsPYNNdturR9v?keMF6YBOk~q3DufOXMrURq`J4|sh)(xAeuojHrcOWYX z(D^W1L*ymcwdmxDm*mEHjiYnl~S;|krU z{t7n-e0Yfx%bKwd+jzTLQc|(=eC9o)YP5TT4;ml}2y2N6;DWlP3&di1EWg;vvjR7A zRs_h>@|wcxa&R@~?+d4PtRD-l$16#M$}|haj7v(coFAz9`C4)_gMqE}8;!=q#nxqZ z#fGM}yQSBedsLlWEjgM!&S{w_eL8=SctGt@B3>9Z2RtZHlj;`liUUA!_{GqS3aiNy z1s*l&KIsP#@CYe7xZ33miGLH!rkc0`KEq$`+!r&ZK*)T#^;C_p zyt?FxBHO3c@^HF4)8U@Eli*Dl-q1i?$eQZ#$(%$&KoAAQP&!MTS`h$g;fZSi&L_N^ zXdr|g4cr_9Ra@%;aBuRVD2;=RM{7o*uqcG$flAwf#3*XYcF9w})YEI%!tS%%68&Q8 zd-zhr!NIv4p(@Q8{RG{osrg-R$12VzCO<79+z}h@k!1= zUd&gl5bGv>Ef|>j6$ZT0q7A};K50f{#=0sX4CrXf+rcl=uf26 z=7aU2?$ge4j{xv+E?SZseNIez`W#<)950~RCy{^T+kBmaJrc=&h7u7AfIMwp+Z`E_a<4KCXtu?YG)}GcdBg9#9M6B^pjU!_jsm~Ay?prjIg z_}X#6ZQA`av?2c&0v7xIaMP_|-Mc)=A(}tUL*ppg!(+{1EHp?$- zgu143C#M2}d9bOiAGoZ*FALP}eVw^QbL{?9IT|1w*ig+X92+C?^Swz~a8Yt)OjK$I zGGi4C~TD<1Js&MEeBxLqv`S<^mpe6A6$7~QvEJY#;~a<3yg}8YdFm|?Jx6c;A<#?6sT?v5rU2qvkEc zNOB4v_(z>-^^=in$JTX_z#A{$nxgWE(1cq{yRQxEiEy^0UtG&O85wF&QNr2!!IZUf zZLR{>&GAp0<<1@T@dL`S+zJWRE zp;eY<^x*JPGoJrTI!jH&f>mm1-IHp-t8Y9y`{FjPbLwfNoL3qhTe* zN%L^?h>mVt9!n|zaqMwJ>KqpK7LCa(DqYZ+q*>db+3e#P^Q!no8)dDb*~$DZv6zS&HB zC+&z@p?y9w?;1v}j%CjETozy{PBzGEtl6XG|C)>gI+mB4C;i9~YG)(%w&2_-%J>K{ zv*R_2vWo-djZ_8$EEiv+fo9O>=z_@YL($N|{QRM%P%~zou9jGit%q{=mfW1~nKB;& zd|+`Q&s1o4(qjnhML!hL#0pEaWZhbtRYP)vNk1Zb6?i)tUWaLid8tryPuh2K)~KjL zpG>^wHk5IBCHK5^sIy)XR{KAh#ZZ&#pN`Qp;)By9&Dhcys%b?I;<8h47;dU^F!A4g z9cwO)WuS}*nys|FQ`uk5U`?}6oV(@9yhdq|36C0VcPDkO<%IXe1Iv&Z>0ZcEcViOb zniT|T67e$vpuij2cYi90w55awk{~CbGw0r-0eJAw zrb>kgg=R||PbU~t=_?8(zLb1kTfs|z;v6%P%?W~W?i{BMICmpMMV;65RM386VREaJ z&{9=kV{6h>@abbqxOYO=yIG2`djAQXw5!->tszvF?+iv2FBHM94DM>V^S!gS)XtP# zN|eJrLC6+fTn_d|L~_R~BKHtr8&aY!FgQIF%*G;Gj6?%6zMSA+a0Y*zqc*Lp<|~5h z4*53W!ooN-la!x!MISUw2$NgQ{2ef6Vxo-EL^y4cX!AV*r~aQg;**{t%irgRWz>S+@>4bM;O8yn=zix>>ld<1SJ9VZwDF8PQR48L697mX69Elt#=69F)dQ|WAEni2D)Ww6 z*O*I0%5l{jG)c?VM@6OM-RFy`1>3;N(tZu6{{QXxTz4HrT;?U{+v@w%IV$=ei^}f= z?rQii@}N9gKCnLyUoLr((R@w=-%)>mC_J0LiaTvJ-u3;5ti#;fhT^@$SOOhaBzUkM zkpy&zKwM6DUjd;13J)*+YqxLM1vu|ZgcRi%YZ{6VTV0UNW4^Zy@ti8+kTDQ)=rHXKG|;m{J@C87haHKZ8qeLv>UdscrHGREnolRyo^$wK zxA6=z`=($aW9xH6`LeKvJf{)EbQo5R2txHoQi7;Mau8XUd+eea;tOWg)*4W7| zjAukia8d+Dwva_-I#55JJ^_e>$>s;fjiX6jDW9|k-PAVI1`C{eu3|0olw3CH@IThZ z8PJBOqc|9`rbamlZB=QSG8@^26u7j$nnnIEf{S9o>mVmySFB+ zj5rf#%A<_eS6fR<-A0(Q80NQ%sc*Ufq{NF~vlYxyD&<8rEFK$IqAoXzDCVf}AFm$A z3E~>Ih?3Zf!eY9G(~UG1d=0~CUe8+=mX~MqgY$utTXgINL`E6s(8VQkFv4V z_pVg<#i%rOz-0(^$to$+2~4{1ls|0U%*mzqPKp1%?+Bb1FCY*9%v9hyc$E9&&Db;l=KWccubi5nNdWTjEJts)Qmdx7>B$or)XE^ zXh-ypO90L@9e)Y_Ty+J7k&~3ri_uo20oV2Ynn*ZOJvc|Qg&+t@+q7#mC6=|v>_gq^@ou-N!lB>3Xy9Xl zO3A~{lHr>Oy%I0mseI+rs_-F?3oLnrB+qDQP5-U#b_$% zGZRf)EMJSE*R&fG%)6|~a3Xt3AWFYvEpp(dbA5EnJJsPR zR_^pfR;fLsmMej2+J#kbP|~U(UgcV8b?gSzxJ9+=^}`&7$MU12lPiPOyI=a=Z;$WV z2O0?^=mq)+>BgSP9(Bs|3-iRMrCi6k%bs8w@JZH&Es~}(bC0E==^nVp#U@xahq7%u z>SU%MS1nu;#b=d>nMC-jUU6H-aB!#H+YPR=oMY^MES|`K@bCun@%K+yUtGPh^_)}T zS<9xhsy`u*B1`%OzHNg%N`3|A^I_)%81*5Lq($F?s5$PC`V39Ww!5PP;1y%3Pxl6> z7j|U$;pstQISk#iVsSyLi3M)_wi_;%Y1pSnl*5?0=%q^Xaaqb{-(P%BIY_+V%Y_D7 z%PqbpX@Kc7*Tlboz0%=AU_2w_fXouI?^p_!$Ef1F0dot;Kn*Nk_!5!8>*336rvD+* z(Ve=th3SRxr$?{tCnVc&w~ST|v}&e3H>%l}WdwVR%ZoZXtQ}3=kC!UPe(qOUq^q_s zQ-K~|0#m5!tH88QPVPDT!*F-jeNAh_xW;axF$6>l7FhVo*vf5mryy_4QZL}ZNSVVx z{(LLbQ*$0d>9@q#FK#&+vZTWz)+ce{rGaRmWCvQWlB1k;_V86pux`F=d)vYpr7=<8u_pX!JP5^ zoGMX!wg-);-@ZwWHd2)=yPK@2C}YZ+bm5S%`|LC6OLL#dgWn*WGp*yC#|;=SsC#Si zvkY7gz0dKGVquM#YM!}C`COL|(X0@d1>x8!axBOkNkqiD{}rP zZD0(=ZeR&v;Bzq3{wCDI6Y4Uy*E`2tjY7RFFnR#DRx2Jr2_uF2TnVdI`C47GJm zz3uO2Hn91N63sIW8O|C9jb6)}9%CifiR(@7GYg)(7C#_ot(B8ES_B^ zmQ!S6B4Dy9nBxui87zGJY08p(aU@k)w1YO5nwpSeec^Ef%?G0C>jV)9iH3YIn(rNc zdG;sqdI1ZqqUiN4dZxVsdDoLkPorolXqm7Q_wq7qq$Bdjd?M^es){#Dwny%V`qJf| znQ8H3tcw>+PFBvU&X`#y5r}!X)0#3UVPSc^Y*DqTTVT0%RR@MV13wnQB+f#C2+Ca6 zqq31LNc_Oq94rlI(kL7EBfbA}c&_gW>D1^=%Mh4F^K7kd$n1{2*L=Vh$sQ~~)bzzV zK)U58rOC?dVbon~#0gV;be1pd(t(8rocc}N+i^87{u-O$+iX57U8Q+!0B`}%_55yq z2$+c$u%Gu}O^j%Lp?^UoBm~`3 z>L>Z&_{kPIU8lmb##OxcZ;ZX+sCbg$dAY{JpBC#L>F#W$9%{i45q zL+4nNOO6}lokAJMpvD9kqgmlZ&8);SN z9uu#TkYL3$Fj01}rg_=bBwHGt>>F({>|3{AXN;z9yj?5v`T}`b4le*V_K#0`kmn8N zWd+Kd^r&pyO=%lh`x5dvHGpG^%2@)-{7Qt z<>He~cZcN|AptC_=sLkuq=bCLTwGIXm?nincxI+BK$BBTJ&Aa2{6OxV_T99~>Sudy zvBJ&*oiAe)Z?Cj0s7EPb7gkBlu&&uNBPtt{?6zu3Q7x#51=~&ON1;$J$BD{wpF}nc zVzXeY&C!UKn?u`4k22CP%#1J@4__arV<%V$Gg9O{wnRtj*>V+dLE*z(^u&V-Z-&#m zO64dRny)oqt8?e0ky4{4dGabTS+2!ub1HBWs|RTz(I`GNy04QA4@?o53I434L63E5 z(JxdK4Y=bKhP`IK<`Ytt&nAnylD!tD(%QsFvt(ghs!xettB^5L&%d?Xb5mXegu-?+T*I*=>fp&e?g zAxg-Wd-FjZsp36g327q;o$>HKBmR67)tz~mg$vExOlkHhU0X(pjooEo=|w_7{3X(Q z2fEHUB1V!od1f!VEo@_pGIZKOnBca-u0N6*nz|}#?aK}40@Lyv?01xwqDH0ez6x=h z<#;TK_sFn?^JPl0G)w%rvxlGcP?$UY0GI8Bc)W*c8JGE3sGN&KgX!k;f!$glF9X_k z1Gam3-xYGmdK=8*{0}v}bZICv^$Vp)?vp0d78v$?hTJFFOR68xc56;1-eWAJ3i{A8 zUZ)l(x$)u)inYB7_`{?5wFFCNZKaeeRA|6#b<5+*G%w8)Z5uK;@p)zD%>t*y+M9_O zpIyfvaNbW3Ub7Wi=*u=KANb-?u&86%{!W=j_V!{SbvRQ7f3@`+*s+P0;H<-hY>$xL z__d+);z>vbw3TZcvU@B89|AczPkjdb&+r8`twLg|+7t|13ex($uazYu1=8R?!}zIA_YRM1)CSxrC?#~7#Gh9_5nf~oyIP#;rH zrZNGxNtES8is7Fm(m0%OOSH0WEbIU;;1?*5wyCTWcB(3k35Z?*#qKC}Gptiw`!V88 z$u4;Uhmix>_c@i(hcvIAbEqWW8^-OH`-*oQajmoUW|f=KC}!1p^<$Z8qp+UZsnmC8 zIfnVrdy*@>wd|N%u*h^_g#Tp%GxTlmSPe;2b@d3g*k__B&Da&3_X|pO2kLI>*rtF9 z5~FWN!Zo0_ft(vuuE-sQDD#-ATi~J%5g|ne>tmgh^?c;FJlU7|%UOLrtT&z#MsLR5O(^nLud} zbJ2}b`31_*Lw8KUZiMJgvVMUk%GO{gatK04YN#9*e{R<@i4D!aO*>Gf`w+V1w%5cr zd?X#*l0VnLu9^M#i^G&|^i>wvN$LfS-Bd+6>9k_QsjZ%IP6wmK$;ixhqSQsfAbbHK zftqqkI=Uwlr;(4;xDj|6etZMzBo8))c=`rxJ)gx29H_RX?7h5GG=<`}E;X(h8wn^{ z`X1)^5qFTEk0xGJCi3feK1GA%wE8e&w(R_{B-x9BQgge>+BU#xatj6 z`sIp{lP9wq@KhA=_pkVH`4gJIF_0nRKHqn~zirqQzw7dKeV%$si^Asa2X?9+r=$sL z@3vhdt&;m`?d^wB#I?|7#NjwZhRI*YezNvHx&2O7>d|IUB~%TCiU?F^HG2@l&Ws!6 z>y4$mV0BDXW<~fKwROT%VE zYT$ObjHq;DS@VLgMyXlN#Ou-*F4PTgC$NX*gYa*7_APF@rJ3L~!#QPp()7Z*2-Umq zI4nQ9vn*zW_B@)$vcgGvMswq#QhXy>$z2vwEmlb$aJ}oAnskk=_I_%BTdhxp=MbNKCb9RI<#xu66ha33MvP3^xe z>$)xy)_v^q#wFM~NICAXt>-T7JrhggPl*E(C)nC#5`@U-%q_Ruk&<%d%LA9G+%C)N z;bbyk#`*Ua&3r6=Dj|HcTt;)!k(1Mb^7%W`vXd87js`9wcwm~IERPOK{d_vXxHI?Fo-WK${Mbn;laaXufg6Fz{+ElvR7D3#2!&i9K|P^)K;0=W(b6YKny66^0$N|7e5)2KU>w~KF;IaD=w z#l>De`5KbSmGlGD`p`XT_+F*d&l;JS%&CYXhuX3gW@rmEeoH9PB>es!1vV(@6Rn$? zulWgarP}0H>?@zid6PbmA65H;dYO(Sa| zCO6nG8XgAQ$MbIi38M8Xe~J+;t~h%Aqb76j)b4Zbo5#x5sqOz@>6r}z5h;Jhb(Arh z@;W4#|G zhUV)?Cz1OKGGH2Ff`Z2{_~Y=20jve85g@gQC@K}Fm_uA^4nIs($z#`F!*Q@uh-tJ) zTj&tP$k}#mVcP0D3KT0qWIBT95G&qpa433dI3ERCob67X>DzmlnwYBb8_aj{(K@*&OHNsUbVO-kVDBL(lr}L~ z_p)nB_@?vhh09m|XOcNi7SG&!$gx*tMHwt9hkZrF3TA{e)^CNoWY+ta<(|lorxVj# z?tgOr1rnHab(>^z`h= z+;{lCXlSahR^*`j@xjz7pL;mYg9=geHcHymyFD>nT3v?0Z(Y$zo*q_2;vxeC6WpNz zRjuo_9sxFAEzFRH<0Jsa139od69+!MQnl!hrQwy9j)lnM)~P8nxY2ykd=<3=3E@KY zcHDjb;&G$4K9c&ZaLqlp|s?DX|8JA|I z=VZcboL3sJ#Y(e@r&2l%@oP&+xUoFb=VE8+IlqSnH+mjsU-+zbPi-B|ZLKZ+!NU#p z;};LLT#P+DAHM6ci~|_HW!cjq=6`_{r93&jmdLcsh?33x)312$e~X&n5QPxzpM%h|={u)>9VEqQI;H-)K~RcdB<`NfLPbddsWzp{kr;$`r8 z`hfNB_7q(3+xcy5UJh5j?_!HRuY3Rx;%r~BJ#!8mAq$2lxLHRhscx=AF6`dSKQO8o)jwuCC5|IqDuw#L$v4b1HQ-KoM8wwzy}`zFCXIn}qx z$Nk;X=&L8w@pa{d>1dK|Cy04)iz0H`%3iBu&1$XE;ZvDj!N=+8nxn=-|3~?%xG|sd zsZJ+14V$@^S{e;6jTQykE@1Z^4F%5%wsPFeqe5>BMQ3vZ*X{MX?}4Ce~-JJ z=NQmQ`rg6-;4cY*mN$zDW^Fq0?Kspk8E1s19gX+Tq~9Nm@G6dAP?Dm!+}DS|!LYp? z$i^mJbpk30@(3~yL%;7*M49ql7cF0jnIOg8^w}p=$UT#rZdmY)jv;>h!51~+uGo-v zOEfS$z=i$>t=kZ;2MH3lrsWh?lGpL#0 zKUtZzO8+r9d`~+wZX>VW(mTMlVH~#6GdLARTeYr1qPY5QRC2(!E=WrUI<|hl?Wy2b zkBw{4SNDY#_X#hZv&+sQ;umnU2s9Zo#_)$hjhgADN>yW$RnoII4vD7M*sXUzoJza; zPr`HF34Wxb3FJB%&LFAvmwo)*@1^=pt)SeZZ?e(Wz=Lg3mnU7$x9Jh7;_llHkS33@ z{ROqgfF)f+?&Gl`&qi4KT7Wg_RyM;p7mBnJNvAoMdn28WSsTWG$IMA!r7KxkQYETy zWJ&CzoQCz;8eP#daarM!6d(GxwQlG2Ka0JZ)i66uNe*5 z^P0xmqd7Ah;nU%VM}^ffI??EB9J{R_OZ94++u$NBtU< z{MW!t)`Z(s7eDgrvA6Xa9Ha9JXiKXHq^X~+76>T9H6iWfj>W-eU3$La=jE3xbslN@ z``--zIL*-cuHDbP(y;y=gr+P=oL; zahBw})YDu-mY#Au9N`!^uL+lt7JP{YCsRb(5V=YF`dUlWl04}5H)Q`?=@6K`!7^ZF zA&fk5E;vEq1J%x`SQ=5VqUEBwCv0m~r@(3Z?KUmrsF}9#G@0+%?sZ(1E9;gZVMxba z1C0koZxb{*_wX9+c)4wAn!j}9Cog5R1 zd7B0qPA{9-%Z z(fc-mBTw#Jj8Js@Q%?<%!k6_+hWE^LGk1UJLY=EmrSGnl-YDu`S5FPt)H^$;W!gh! zzq;b=3iG}obj<=FAI-(T zXU1BcM}I$WgnYCSc_;W}bs2xoo)uCZDS|$;8Jf@fSf~N~zp9UIzF1Urhx1jdr=GW2 zo2>4QzUf=Hhgr4P?wJRrVp~c~%d7`h9Hk{TKFoJ@UM3GJgV?c>WkD%M9i%1`9x+W{ zj=%d~8rofqEi)Bni#*)xclUk2h|n-PO0D+wH`4hzSbfqtHxic{I=3Y!T|hKj5~Wg; zT1s@*Pi<>YAErD1hUqyWc1w13ZRqe6m651L=p2^*S~TnxY^O=uTZ_xGB*` z`S2hugXkw;7f(tO4{fo@AG+Y!v!n;4?kO49#XW8;y~Sg18^DWDDeAnuB_BAezb=#y z$;P{%Y8E$#Hc9Ub7BQD6(JKyM_<#0T|A;i-{VT2Me<%7+J>7YH#o7YUdB4doqoe$# zDS+-#=p^Shlw0OpMK>qv9CkQ@?=Yv4wGq@Z=4dA3a?I;bx)>X~F4isYca*+DN4x9q zT$p1Cm*}sq4xb`rQz*@ zJy-(wtRl*C?UU!be0?{a0>Z83YPCI+KW9e=cz=~aHkn;$z)n39kk8OekkMDaKm-0j z-5s5eehB!)E}v_|PM2zdWRbFpw?!(+dHZ9@YR#4}_r^31{1_yl0Wuw^fq_Esvf)WW zv|uGEG<(x@4J6OV&*C#?E-#49h`uE9!YkJ8v)v*PKN$g_Y>-aZYYww3S0e~q8`4e(r$R@kqm2MJPn{R_H1+NzV`?h> zLfl>eSTEgSI&$(0#INSrnwfgsQHg6W?CX={7);~HSxhTC2yf3F;Es zr(oMo|DtF~oQi!<`#bycnUReyoF_g&E(6MDekex7hKk|%mm4E3^G0&PoElJ=C2N7GYQPMuW1 zs!TLWE}(F6U*@5CQ;u5VfWsKle<5;aosH_7KLLS)tW=rfpS>X^DkNkCqWWvOa4{(k zS4ZaN;ko9T-GUz9p`n2*$cKNqnXCD(nBgy&sQHqml7^ihjj8z+O3W<0(BFg(VJN=V zU?!5IC*sGT9!@>E>4cnQ+L_YBMdBc}u!k+$`C;aH!^)tw zYFZqJmexkxN4=V>ZQkmX*^02I*R}C_=!eD5=pZH&IBS+0*d9{7(G0M~eXWDavf1?tJ{fJp}3&h$_W*p>pN!Z46;^9vNg zvaVTOKbf~jKgD|_M4Ma_Om;zOrG0@ zg7Z5vE8k^oz;v5O-!Mukkl27H9&Bxry3=BN(%&xkc3v6ie63@N2U}+15-EMr+}V-S z1%|&&#g+N{;c)T}DLP%4;{a#O5W$+RZN0cfdyL1%MpHDrjyKt31=}gEtF}Vgh^|Ak zbm62j6%hbwMFlH%Z3Qx@|M2E>O>IeVP1ix961egjIAIaeytRoeS;KEix)olGHBGT- zTn2voVOzCW=iNOT`>7;QVhw2po^KZ!=O-#u4H$SvwcbFUpYFo!fAV6z>G=c^3n0Mq_R-cyF1@@h2h1qIZfrv18f=bpx0HGEPfc?`5iNR zja~A7u+v5q{x=lohbjLyd0*$?bjX|bp!`9YDxRoK^TZd;U0a82s{-XYiZ|`72ZT@h zKQmj0`B#QW;<2WBG(aQOs^=P9jKJlKUqVNf=)Vhaq$Rx(^T@yN!wzaxp0ayPmcF`A zShZ8jH#?uUv?g>_5C}4vIX#l4^kIn?W34XRJ6%YQ$GuTJ)_}P_4{CYY@gcDOM(eVzK#{P~ z>=jF_v(vbF$I^czn0&2C$D#q2fRZU(SlrW`70xI&7W6TEhxoXm>@=xLVWRq435m77 zCFF{JD8+<^NCF5$7%d>->Dj?7&8ufVk&fPMH$z56(|pB=62h!rp{83tag##<6txd9d7Duz%q(>!@Gorx+%KgJP;8lTDE~c~r<_`< zC$Mnu0QrWonkFSjxECkL zcKR1)CQ~z+f@`lI_WX3IquhaT{CwLcPSeTB^*AEZI;vY|ytCo$9jVCd-w|H`NcxUY z3DD(?0J=><-fDOWX}_>P=F?i z#2?T^(E(-4U$~(Exud?qSC4VCEN_?{uVILa5rG59N$RrSJf!)@Ayw6JH0Y?fg6z0s ziTFoD=G2+#5*);S!7BD zF`aX7{~;+W>O>Cfp*+a@To11d8PM*}-|8I)(fdXWOMlb=H#s-81Q^)9#t(AL<&Ptp z*er|ZW=!|5n`t&*yKZ)y0g+Y2=#E#A-G);jvFbniGAa(^o|2x5*!)45 zl~5m=!{fdzwvUoYjSl;Z&SjuKb0GsbRR1bhYwy47YW%fR9f1?1VmE(w@RwijPn!$- zTAN(`97j9pe)5-4fl&8EhsscIgNu=SJJ+du@-!D+r9ulYL$O3a7W&_mIbO-`X@3g+ zLMvBZx*3Rp2C89P+SE(fkBhRLrSmiC(b~%*XPCq6#J0_mKnU>h`s*`JjQ%~dQ*D$K zfIOOL0Hc5z0L`o@_yuCo=vYSFo%jVh4+`_v#yI;0YSlohYoNG{MZzZ_&U;jm1^~oo zzs0p;1<79*-41rF9Y@nI??=%gn?@E?-R%5R(V?SChmrEUh* zPX10d%bNGaj9sy%apM??Iagy^U5=BassvjM34WRM)~}Yhks#4usaH-#)!KEF@QdQO zwY4#2r>05D1+65+0vpqDMIhbUq2YiodiX}DJEc$%p2IOun8r*{9xu=<*3Fq$n_>XS zB4LC}OJGmUpG`vfs<(Ntxi^;e^$jz96p#LJj(#&6<)TdQ{x%WMlo`$z&pdH~v6>|y z`+e+ux0Ba>4Y%-3CEuG5?WAtRTXWRO{Dh?2W+ak2W#IWXAR|S7wa#mY?6OmLkgc^~Uc zqy*GGW$K6EF+TFG8j^VBp4Ct9KZNM;kg48y`}bB^eWE3zW}6CjS)Y|)QPXyZNI7kHA+2nT^lYEev#$d?vH3*WgA!b3efi*a`itb zFa!s-Qr%NIOZ)<;XIHi(Y~Oa9Jp>T#b^)ZP!j$s?y3fW!j{JQImka;9&z@Obo;e@c zrb2|#RA6$sX~{ndk?CEACTWco`#z&)3k!RE%r`G0DHyS_7}@DTQh#ujbD`-_hNFTe z4};R5!=-A*@x?GsbL&Hx(qp}3dxsK5``=D|9+Q--3=|yY4wNYeYne_Td?j`M>P>Th z(dETA_I6y$1xiY7kOuvVWw{gBRedT@bsa2ev_>Oz9%CXsr)t8hk&(KM{j|ku?2!2n zhNodzE4EU0cZ%Lg(>pYbv{Itny!UKa(;jOWEJY+?O?7>ev3{IBggXtWghS=rqww^2dQg^gm zUF*MAU_~C-V!eILzNaCEWhsbpl%B3>U@+qAC+KF_My1O2olhr@#Oep}?>NZ{88k8e zGm|*T>b=qI>m|AOldd@jQ&zT=rVo<+LrbdT6NY>`e>Y-a`e~-16(hmA!E*8@qaxhLQ8yxOOzZvl>}3`O${)f4Yo^jB{2R-apcQ4XF_n6SlWzascQRr%eE?m@F}we=C8Pyop`Vx zFH(ZC_YKY%(j76Yrfmm{D46P4L!{UfF_=Sem@}``xCDDnHVh>VB}dlCCxfRXgBv_< zQ|KJenvQD@_cu{>m^SON>zUV{orazcXu65>nIq=ffB^&NDey2YgKSx_pxzy#JVdPQf3trCbWM@r$mcaGwwuR$GY__s49)UbM@)Ld`e;lbEp_~ z^tmhP&a`mO-I_Rp#R_k#-ar|lWNJ#5I(H{cH!&MaWU^xzGAz#lYW6*-BFVAPOs$M3 zrBJ~sAL{c-T#{;f0MkcdJSmK-O}0{$Y#b7-8K40D|kK5zs<5H z`J21u|4GKs--8YGS1deq7ORS%wkRBQF`QLh@@Z`jOKeL)B2KIRC#hQhL`42qmeLo#{z}7<8D%gkheQ&|COx$`le-#o@gR|RtV`*7n}_5R;2yZ zu9ncRc>nu>5!-u(snVSNIjy(QekOa0&FD&h(9upMs0JCdnm~i{K?1x4T+<8$5ReG5 zzRkI1)US1|xoTPG@vQRSE^t}0t6j!L>8vUTO8EJ?u9jO|2|8wR_-)ihu_PIHFw6H@ zNu=BF-D4^gkfjCCovoVnTeBNe^hg_;pb<_jZrxf7t5@A|P8Ji6u#(;OipAumz+|JW zpj#VBxS%m=hdsC$xofa!JnN8oSRJN&;XJ2I8b2>4#&~T?B+?C~+Wy>U$61laIBuUqJVRx_X4Lo~Ggcs!NSj|E)pP|o zetA_*dqkB^?d_1tJA!pcHRp?9b-Eb6-Y%t{MC3(o3|! z-$j4fZM=S)2|Iab1Xg?oHw#~Ep99-!e&{x+CmW-$d+NEvY@U7NDNCC#??>3N=!P^~ zHI0A>#a_qPuNh^yey*wpNp(&?I9unE#jxD?@sO{jj&vNU*xeAGTh^5iuI$j0rkb)1 zJK|mqC_c)odrmLiXSwci^hyr%*gX?;xKl|s7A&-vnG;%$(s5j&Q81w-G7!RDZ;2t> zi*5bT;cV|lLLBnhD)!T31U~=uvevjW@4*+Mxy9!x9rQcBt^7$BSekb;IkTq&&A;qi zKJDAcywnn%H#f&K));m((32opL5n^$3L+2)` zRn?J)K$U6Sp$DM zZ#pqt6m);rybyOY?<+oV>CJn^5e%r7zws3P0_7k4?oWXcOLEcb@y(q*$hCC) zf1JSapM}N#9()#tzermtV{G?U^|3W~V|dSq;B1iUIbnbZAPqm?`SVN@Z=F9&&0q)M zum8&sY=z!h&QS}UqwfG3`Xb^+_hIn9szdvlkgE_27nH)U56F#L*G|rrMm(t{6JxlG1^A%}`)U!^*i-d^7uUiw$^N7azfO`)~ z@tJ=p73az^iS}8>KP{uC)-4ZgTjvfq*gX+x$7T63U|nw1;s1F{KCR#T$5Ot`URLL( zGU(gOOC@tNji3K&ullDsFV4SFW&kc4I8i8eIN8V5IHNV6jLH`Xkwi!6_4?N;QM0P5 zSgu@n^~O16-+8GiQH$#XaQjHN|3#_(40z9#fziFDdRs;iqgiqi3w8sRt~nOe#0fD( z$({7Pk(LMqZpn9*S~ocJkniXbbOKi+!VtCWZbY%v>^{{%c~NE--NJcN?SD7xV2Nt< zXxbo0yRHVzn5?a+ZyBkC;hD~*L;)hGU!MlTLbEOwZPn}GMbTU>jLYD%!zz;o`^T&q zh=TB~pNt3IrzEa6%tu@cw49&De0P_BEO$sW*4Zir%B%q+BAoYKhfMKX>waFCZrz)` z-F}s&JD&-Okojwl_L7RLecsKMhGR__L4_aRH;xm5m$r7Z0_!%}vn}xB5=EI${B_J` zk@_7#&M^OO+FVvaT)+L9K}8`8@zCQh#bfBpZ4NV0wh4lnO5eN?Y4AyiEwbKug)#pC zO*vV4vE0~`!oewv;}qT)44I{EQiZQ=1rc{m3zL1oUoYq3ek@Rp`ccrHYq=*`mWI;y zpZ=+>i4z;>a}tzw5RBJ2A^368s6(9VR1RWp+XPEtHYYQwO57f>);c{41$R5jteU<( zS#qT{bf6=Ui34mC z#BdpO^fuZ^7lzUSc8R-_wW&a7<%igx$$g%^-~Y((^e)g=t@=`bBEWY4oeRrx3n08e zTJuNrBILZ3aag{)*21(%W3bR$Nl?*6V*9vhu^N8Bmpq9=j>5?qpPQmHpdBa?9;8<;L z^*w>xl_zLjj=wk@`X9K!f3I17xa&`z*pNCk9}~B1TN5puxfWEnxY-`k$NE!FLVLx- zP3&tWvgBgb$)dXq#i8}kaH-~0YP%U1q4@s;l=O43eNZ;+L=ys>O4a)QmvwZ zv*XVBT-sQA2#>U8iz$hv-75_25z?Ker-&t%?{rT@2x`+y^OG^2pW0X^lUM+DIyO$} zAYjHbWC$Km7tx&E`)Ow9J1*Xtxaa0)clzXtNqM50NU1fHNQ|-pp8A>t^+iW50=^Okvf|gAGO|-%#}9X=GHYXeNw>1R zvaJLZz~($S}{ZCs7Q+3Wl zA->gwnaw~DB9dw~44n_BTfYo*@ecTqTW|EGo|VGwU2CCl`<*)(CNwh@*jFZ1!<@&N zSD5X;FV;IuMDtj6F|o@>kvUf`v5_O%xjNX<`y0mPux2|E0YSqag6*xKNPK zzVg_4Q1H>-_^Y~cp2wW>cDZ0hp5sP`d|Hw!tVA%WSD^eTY!z4{-=+7poCX3e5kWEi zbPhKf8Jm7o0to^T3ZQqTo)$Z~hrj%27+WLu;!)1cr7;u{7lQoFQtf$<6RMtno3mwm zX{$NE83c1xtH13&Mv^y!?7=;1Ft}^a#~_R*V&N#Y(f9Or2MAKgy?WN6~?+f&heCNQ;|7b^_&)1)Qk1Z+M23o#r zNO&lkZn#xsSvW8Aw$qV&v;Ar8)_LORDojzV^_lS&4rD?HT4s#934e7+ecYgVs^T*- z#CDL)$Pv7$%#x<~^l2;)wz{%;JQ8%!WC_RAI2k&gA$~D+QU2oj52@@pi|JR2R79jX z6gpVp-AZiOb+DLUAmUgvtja*el|Ju#N7nVKELqNO2zBPpY>&Fz<@M_B!y3&$W zS4ygbEERryo2WQ?6sW$7Kj_0?;S`w_Kk3Vm;!AJ-=DfmewR|&f4lx8W}_@UJX_UMmIz7LuD2vH8R!{Q4BqZW&{@ERF5Zz{`&5iRO=9{( zlcda&s&^d|v36yr zgAI!Q0d|U40)3R2ILvwiHxkIvV-()16t7AB1dn&z)2Y)v&iQ)DHV>wTBubGqE)(tC zHi|g~+_pQgwh@Y*Y%-+ZZQNRx#V{SQzR42zMM?_~YeogL`DRzhDfxrCxgs&rlodSA zMO%dL$#$e*@x%RVwZ*A&TWU)?A9Ag$#01%$;VgJ!fF@3rqbb+;d7S^Ua)ZI(>8!B> zA>-XY9`GG5j3nRddyS0&M8ad{Ap^{iDY=Hox5jXv<~&2QH{O;-I|AjEDVUyg8LU&b z9$$!iDJ2QmPn^hLL(XJUI@l&QcjSovfK{dSYAl$leuE;sU+< z6lbXZ3wM3I0v|57769R-E%6{B@CSB2htg5Dd5hf*6~~LlLmMp{k(OIJG)AI*t-vf% z6lbmIEiNeOWOy*ab-4f(uvy&_)b#4ZM$Dk>JTrxL@9@0?c`I;2mmzP&3*|Di9-6_{ z58RrRJZwE?JS=yYPq1tEN1P=|OTg+|TgPp_!s(2wa|VarVYX>nAs})~euA9SpQ<~2 zpwDe(05G6?0L3m9G zJADc;@8hN}&?W0ZdL1pc;pfgGO4AHYr#5fRlD>qk-BSi<-chxV4pA2umRS-boN zniK|@j(eaBL%3_SG#j8`V>rn(GE&a7BLbI>s3pz4M8wHb;xgZ5W@cts$r?&Z#Fj&3 zo>nHn&eUZNJjWr30+81d>v&B}PNeCB=5Ngn5z5s!u86QEmv*tsPAsEVQ3)evTgmfE zKBNfTl!`7an@$`R%sN#8cblGz74|e``F6HBXOo_C`SgTema~=gc=GJ>;CTHQcZUw^ zywTa!plbZcx#j1WoHu>EvRwAl$3jk&*aQm|3fl3Xqd^FKSJMVF!r47q(((9a7Py@A z=8LLM(ur@W3)xJd2Z^{FuODwn-vRu<%FNf9)*Rsh#fIRtqrvZ1&to1xZ)DWE)TB<7 z>Jwv5$v+|Jcr_kOh_skC(o>zN<$Bpo`6w$>hzS#mK`wsgYfgyS88D+i@SK1lvOxaW zZ@vQlPr%$0(g}U_?S}Lq&20ClpK0)5FoH*Y=c5d#`zKmX&VLCeG~mm;s+tHMzs(77 z_C?rd&OsP;fLLnx2I00VeJg7XCZUl(8^Qkfr5gTy92NfuP<#J(hU9-J-t)J?hlCpc zE*C?*iK0OgR@H8rGi9CKsa#@&wRY_5xTF61_E3XVCG7IWK{B5ofJ71CH!>-6eXj%f zjsG+_6B>dz?qxIHE=SPc@T9WQ&3lUHJRyY7$%mhb^AWOkZqhvOb+fMi$#a2M?26KH zCmlR#7;v?87-K1@$8lRIfQ>Qhwh@Vk+-G8TuU+#u1oi){rlO%5Nn4T;M(^7~5Wjhd2f|f;lqtZt9#_$pnAeDdRWvJ({i6sRp-??pZB$n&xc}vhAyc4( zAmVK=eds+=;DPn{#Edw&Kbx}ojQIpnQ)2(BsSBZA=6zqm^OOS>*R6mjK~3PH?nu6x z^G=#0kYdUjw@`jf=KgSY&~3{{udkZ1p(kHHQV-rqNY##@Fy6QmLib7!f6X<@P&!=@ zVW=PEs2!Cl5;_`@iWw?L{32MJqZM1OLH3@s0ckh#M^D_^j73aGx?WlXCPyElH#Tj2 ziHKjAE=_5jwn-pq7U$Tnjxqk8W%#`@(R|IwNRW;uVEFO5GIJ0aE^05X?>)rQxC0x! zdaxax`|e|InDLlVOAKmxgDuj<8%FFXu2AxIPji0Bp3%EHh!wj=!d5mpoR>KwmEu7d zrH7JO8>!2Auj~3FhaYBpiJ0}~6UT*3aYltJ$&KtzL?RfUhy?n|CiMEIhMuIhh$n33 z-J~X+AY-@bB7IAA&Dg9jIhj~_@$C|OljrS)h0k*Fsi#i3UMX}W_U>VFV)nk4!%1}1 z9b4D?mN-y|OfiFgh%4A^324=;5H`Mw6vN!QPgU!t#3^sBK@(N*l|$&vM(_uh3Aw+w zRg2TUmqt`(S8AX1AGNj3-vbFHlxx6C%h?9&e#X6ZeOJ=%)u4pN&GSV}lQGmYbHotY zHPwLIJazZ7phk#_c=pRyCiYgo5qiDQQD^R@oMP7eFN*`V5DF0g4~k7pQRRFrT&*qh zxBW*J1^P#H&qCG=x{56(r@di|XtSsb16vC1x0*dX)~EsRy|jc)*Nk&((qSZLmu?ID zH=pM>!uhT>E(f!hf?S^AIGBOXZ);8jLhtG7>g<#qtcp%Vf1(+eku7>9WKo3Ug)o;V z(INZi=tj2|uBr2KoHdQ`qnaW09<|yawpVf1O?65yKMh$t4efleLY!b}NOw|&A_Th7 zbikYN#2)CBNEK1c>2uS4t28nrP#2k+%D3`%IG1^57wOcb-W+6X#%r$~rqWkq^m*4N zy>-#!(|TOIY*=3(w1$(xlb_$$K4cJkUDllSy%UphyxR=_Aiptwq%-&-H2zdCd()z& zR!%bEa7CA11q~W8AzuZ!Z3j0ICU#6EQbhe7um_tO zw!@OYkuCxT8$1PTBjJW$5NCUU4C}2cD^wykdF^ycA@aJ!hB=tz9G=i&bz?K~2rLym z|JE~R-+X)ZDZo4u89EIS8^I)2|TVbD1kBr{@%e{&%+laIo2!QrA%7@Uk9`%ajF9F> zdgj^x0yV3?5gN4Y)!h)pr7AsI&`(?-^4!lc$qSL(Zj)w2danS4%H0Nn^mRIdptOEo zE&+!`7oTB+VXCqx%(o6fuAMME?@jq>p-QYhl?V=Ao^qSHASiKsVdFJBW^8(o457`p zZqDCi&NCpa7xe;R}FC(w)1wF1O4F|g|| z5OyL2uA`*^uk0w7IFy-1vz){g&Dl6+pki+9P_sra+n%-PCCbKrz$aGWkF;u{=;ac>zW`H8^g zDih`<)7=A~qd9efx3L#(73jY|5AvUxe}4x)T_3{x>ql{%`Q7|BF8_-OEe`e9X8JWdXl^ z%q0I&waSc4^patpow6Il?z~Dptt)4;zd*}?4CfNTULI^4*YLvSLv431`FKw z!BnS}YZEnDPq%d^nfl^3rH#opLJ3pupvvNgF>9}U>b02egUS$DzMW<)??t3($L5oQ z_+pp(#S?gN^zr3>b05)@13IlIN-MiKb zy7Lb1BQjj^xO5Ei3~5^Nw(B`jLbrfJ<=YFohkd0;-}ikm}Qk+ak# z3*;W5ok0tyoWtcPd~Ys`buGAA)bgvWmq(ikFPW9u8+agTddAWWxP^^ zOm#>04TT9MNTU}yI%749>PSOO$*G3-?N(_*l&`5=wAT|A3m&y~zkKVdqBU!9=?Kwg zf6c_M<&yU*vq4SzNaCsnZR;&BZ|hF8_=TL0&#q8DiGn)xixu5e+Ll!y=n1%b0%e> zL*n&Lg$o;XhOv z>BUK7*a3z%L@E)zaE)pZbitq(|Hd(pO{sKfMvr2hx}ch8&zs`es1Nw)>4}y6=o42Z zRb>lbM9#5pGI(BZ6wQM4?eLc_3vw=8*sl$D1S76qSb3eTkLch$sU2;zw`SgmzHW*x zc)`O6z8}Bq&!k{2|aAllV<1uMqP)x`2NeVJW zVchbh7dD%}hws|((yK3-PwvWST#^7>_INSA<|K~_--VFO;d(iOXpb}K)x2qz4R|e0 zUQW={8H>b*QmYN?^of~nP}PCDr4^q)P&zy5o`WQYw-Q+Fk( zx_o%^wlzjxDRDQ~VY0wEJ9~GJLVf2&X)8_n9TmcXu)ehv2Q&WyXHF>wq zlN8E$_xh2$`h|F2ZLD=>NJVK1$Vd=S=3$ou;wjEWX^A#KF&ZiP#5{<|c&54h{Lq;{ zBJPV~t&T@gT&vOw?Pm%9%(G>rd?27gkmUw`5ws2z+E>(#Bzu_*z0r9mqZMB@Fp#D*X`76Gs?x(RJ(L?p-AGIw|$AEdT%WEfM z>RuXkF-n~1t80p#u@V*ChH$h`N-}CBt;6PJu5N6-D}~*3fLfnS>h${PC*L@p@`I*; zmByIaH7Hc0_9#v7UfGBD4^mn;-n>G>I_Gqj-uf2q`cBP-=N2nWCCWLnr^bkKrU0T= zT;?2=-3uB{jR_R&kP}Z8#w#H`dcO}UI?PWX@aF1e(XOFSR^yFy``EOv86#71)1htR>iepxzO zky~hLpnS<=4mR59SG@SDW{D=IJEVkF!B**RaA?iuP{$&lhYy>)ho8)o*a7|RhhHGO zj@uu=V)+X+BX%a;x9!(VAbhl5A&@=$wK=x@uzvD#+4L94FX3BiGpsu9a@pwk^1UR} znlsP9vzoCB$N3QfGvDosGX+n7P+ngf zB`#lSy7n}zg)tF7pMTf!4XX8Pe-lgGL%2~hb%Sn@Y!1Xa7IWSzMa!V=QJnP<9+Uw-#ZV3NFqmK_5 zfVCq032GN*Y?!Pp0DGJqohNswc?vQtQQ|1p_8zSgh8ZFC2f!~7Z1G>@o{qTn(M#MO zO0;)+U$XXz@H{$~&ucHq!~xD#D-t+(h(e zSEc{1pa1_6gOnG9(yHJI^nB#ROQ2I`l_Md6 zbR?MQj~ai3l(2<19`hN06tij25nf3_!g4r#D7*i#dBpXhFV`aJPDs<>kWoLn1HHNI@ z;osU7H|Ysi(;R5i+@t$<3e9>wiBuLIV#g7Kr#_B63c33zuM@Zpko#8}_6&xHT{A3o z2RT{U4L?Cunz>+E&%OkTr08PgWLbb~1jW)C+1dir1Ur1nEu7Z=iE^81K{a9SIa!H@ zw@s24Lum5(Vz|kZwwevwUP1=DQ>|>PkpWghO8E827w7 zJ1cwCP1UOh`U&DZ;Fo&ms>-DzY+dA5KMP;juRRuPwoMdTYMkk1jW3Qho%NATY1*)B zZ#393JMfFj{3_AdzoFBq$3Yd}0wR?Ip}BY(^!+?n8A)dlh(2(ZMN0I7Cc_M`h@vK; zmp)EjZ>y|EIhqj=$hv32W+7K}C(ulcDqkQBc?rM5d_Gn>B*jVT^giB3)A5tue|2$IJEW*0}M1h(vqlISRfTGGJ zAH_CiFu|%T6lfO{|0sY$352x^Vhnbh*jyw<(rTeZ=~L}UUBK>ysdl*O53d~4KiKyX z?-h=JDOnqDCZRlq^HAxelg%6FwTey-XaHmo%2UT%4h;8cZbQFFUJBZ+UFEq|SGOGb zAN1GGH``SUntE?!`}ug~ZYAUP8&u4j}!vR2~4 zV^#Z1c^i>U&5~(HZy1Hv@1)X=niT*)y6~Jl-*HVmWDnf;RVuVF2I|Q)e*{%d)QRGA z(;lOE8HWg@J6AjL+Ea$6=Ib~}Y$fG!<4k<5dwO@~<4!S9>5XZtoTb3Fi z@68(9&e)-@t1nP8bAqIPmKqh-r5J~Ec2|$iCv2+;_eHsb#6s{{&GGuh0#oC4>Anqd zW~w>HI!~32`%-&VV${@@mRJ!TgIbxCnd_sI)rlTQl%_dcs?n{8rwMM z(Qx$IvIl^0VjWD@0c31g9j5D0>;UfKev>0NT&^I^=EDv zF8p-l)=SVd_G767dD(L$*Qa!s1zS>kx%XbA-)%EM&CtM)7v}b+I@T6m2Tw$bBw~4b z3F6w|6wA4D?q$CcvkEKhucTcKISQ|`E>idQ_DhO?f?&FQj=D)h zN%ISPKkE0-Pxr|G?2rW?^p1sUA-Sg*>%3ltt{*b#DgKcC2bw`>8TV+UeD>^By~j_G zARcW++AKg?_Zk#5JS}3>oZKDADR89kr=BCfq_bj*dboDPc}1Stp$}H+od*|;4Nt5T zsA|WhGda!u(&6v?X$(grpRb4}%B74C}@<$F;Z0}{f!Z(B#r zPHz_A+U(y2HmU;bfisiK)KDyg4;CzB{`O%1@aBTCJz3@D)bc8PDTDh>UN6(Pq-T#k zELnjoOUW0R!vDK}{y*XGKU`1#Kg>KR^Ht9uvZ8NHPl!=3T&Rgcu;T`@t>4mEBKx>% zFz037?QorhdF@(GwyR8S;oNtF+iGBYhnVV2FQRzY=6m2T?w7ITSZU?dWUDj%;jDhR z{{R-H`*8Hrh+9W_wU@QlkvePndkbT0k60C25XgA`V=$JYGl&ZN(O)LM{^r&Z2f~`6 zI_;ezrP;GXj_z1&M6)_yUbsenBjUPm0J1SwUe*xhj9IP2yeo!U`8ax5fCH@o;m>ps zE0&_az3zT{Tf%dB#URi(bw6AMuj$#{ur9HlYJCV80Tt}xhmSl{}>MCB}e}XLgQT$#95#2eFH4@eC$?BH zJYjZ7?7EPoi~;dz!IC#a@bUm@I|g=kBQj?z-G5PZ*C3BH2`=dg#un@C^0uBV^1Fe} z)V@rUdUe5D0;$K){Yb3{c~C=Ta>aaQfw+n^a53S!+|Nn!&eu*jIPiB7w ze+D=HFB=Nt{{zB(F|5sFY*B^%?WwX&*xm(JWp&M?a{IHwuaCKJi1hT=udPbHePs4H zQriJ`s13~%if+udw@^oJSzg$?(OXx)eK08U^4Q$p;G*b)V>kDo0igcL0JHXw#^2hX zAQe<@t{0=|nSZ$JS^9z{f<35rXUcQNZY%ZWCbe0>FKS``injP4kNHcc1b=rLF!3jj z^#3B~xlt3}gx#o{Em)j6(V)(~a%|;2g<*~Vol+eBNUxZ)BNipVOP#;&riuD0rQ%np zemNkkk+kbJ?xd$iZCzV~9l`(VfTW%2(YnS=3KOjf0PYFTxffs2#~d1KfA2%!Q6Ebs zFa-r&8{F;SAQTJ$wb1+}-QnM9f4r)L0BPF*?sJ;*36Q#V{#$r!4j|gqJtVz)4Fr5K z!T`+JfAp99H~xRRl8ELts{{6{kSt&pAixsL8$7XBp}D8G9{>87H1?Gh$uAC2WC&?_0aI^7HY z)(dvJZkI~-ja)OH5r@F~4FgRbk=hGxq5$#WEo_%42loB)2LL=6 zg8{&UPyl#fhly_fFVKekCsz8`es`>2Q27)732*VwAZ5Rt!?^D24tRkqOHl?guxih% zimMd-v#ZQ8$@6YJ9D$3=sXZECP5;S>^q=F2yvA1h+9|Wj{tKl5i*gJl?aZC8w%B=~ zx@$g83}0%C@UHk)NW=CsSGb@rD|1QCBgzWl#O+sUi7_DWb;}5l=KaGdi_liM4E~{7 z@7h(0i78Q%%KNY7>7vK&%#0ZfdQJj2XoQ&<9!|oi3VnHH*c`nJQ@9J=g_EC<6Hkv7 z9)#Bf7)OySDym>Nf;<6$-+{>jvH{n=aJ!3rqCpN>INzY7{Qb!^fBrt}SLMh2!E_`a zskeRpI*;!El#=upl%4-)Ny}~+c3U0_Uy=q}ZC={JW@Tqeqag@s!De4)XL-JiM*rpC zWCcKYQ^yo?fio@xnU(?I8ExC77wt|4uZ}c(h@1SoE(8Bx5C{L>3Lje{lr-zcF$B;Y zBC3WN9jZNQPuvcdC$Y+2EMHjY+{IdYSDI80ic9i+pVS|~+b@`oc5}v;)4$J3WJ+lv z9y(D$@Elnwj^H{}wHHurOLu66GBEcKYO5QJ+`P)TRQ%>BD+#bt`1&8ERIk}l4+;qG zSTbWeG1Tgs-E|4g5*>%%CqFaePD>1ktP_KlqIqob*ij)^+aQ^t|3UT7?`ySRQV;!d zlk`T_6-3M2SO{_h(dv@-(f5M2&en+O$+8+bHS2rl%yfdH`#SN4eYZ`7Y)s6`fOxS+ zjX<>m=-xAUEHrlIX_r`1iyhI_i*1*fRK2QAXNSblu5-imyCFo%mD2Z8(R;>+d@vP(Cq{MQX@&CItSuhe-7dG6H>b2H zv2HSu1RS#kD%qOm(_8$?z&YtlI)ikYMPo$Kc|2?fUwpl4b2b?}PU zISuI?cP-SuE$jKI6zl5OnOk-&+%PqhE-0*vc@BH?m|X0~H(AcSfL8CyEp&*Kg5yd@P+==p%MD@7XR_d~*YY$L;U*3bf=Jq*MAW(Z4AxS9{zi53=VwyYk2*oQ)`xxV1tOoO78ZU$G2|VY7M`7*Rs+tFW_8 zWvA77C3o|BzOfTZO))^)Ii=121ob0V62voaJ=$Srh{$Ea2yG7>3?!aGLWSy?UxAUC z5vf+J95|~x`e>D}I~0s|n9kwmD)`iBpZj(LtiO-a1#m3iVyz|Ot=^MgI z=>@s!Me`~4{ybTc`CK1Isxoc?7~}RllNJZ1;rQ&^$XDW;w)Z$`{kq`ZfQN^^g zZ;O0s&r;lC62zOUm^JwyE?39I#0-+Ej%~+ntT(_8I53{@o~dnN@mfCG{lm6Jm~fM6 zn!CKGC&Z34#Y@WI(3@}&qP1BE{$b3RfZhVsuX{U+J5>(t1>_; zz#Y-3AzcuIg4o+++k20neNXrSZH%!J-=b43OR(Bj74#Pl_JK$p+4~FBM)%bw>IFQG z<>NQz=g&V~O1m7Y#jY}GZJqcaUTMOMoP`|oJiY&|aw%)K(tIv?#P7Uz>v)J5i} z+Urima-gywr^Ry?WY0#YEO+$Rck&jaTDl42Q-IN`yM=|u&`EkZ`=Lk>MCkoc(Uyxk z?HxCtnu++=tvI(^uUA>S(7oIR1U2l42FJE0mIKN&j>Hb_tx*T~tpTYOwd+YA8X{Uf zFMOA7N{qZ|^MQUdEBAo66`wX=T*t#b{iy0&9$#2Q?g=Q_NJxR%M}jak&78_wAT>}r zQYXb^bh$L_2Uzu+4wDaK_u`1bN-)z|Jy6T$g~>9(xr92!qv z;P;%L%|}f%e)=wA*G40gmOq1-5BGr{#XV-#y7Xdta4vN@KHlCI=cKVEX^+CZ8E+f= zvbM=K8ee%bwKtrBxith3Q-)!{O+!o1PY^195}wZWktH2rv=Jgl%^DfRg*`{sO5O&Q zZBM4ZI)4jc9dX-)iy|RT(GJ1-&-EYaS%D}>#)>=>4mu$?&a+)H@-)H9BaiZ54}&BK zQ}reE7I`EWi;&Z)Hk@~|dsWXz&w&^rWa$qevr>cUuijx47I}7fGlrt0kw`oBF{!Hs z!&#?TMnNZ0@SGyB>ACfkNF6G5q@-;4XyPHm=57@g4A20LV*V7H`5|#;C0ZGM&hmma z7c5rCB&XuNVhEpYHz0Pt8#5d0EXf(z|A<2mm^4I81xF7ZpcI!${nftA?gPmbGLC{P zzPz|~ZdVt@;Wpc+XueIWQC?(OI62U$&) z2bu{5U1D3!E{a?u%>!wjxt)2L5s`*%i5UM^%`=Hwb4u{}>=#BNt}QzJQ5wp$KVY4V z_;cMU^A_|BPp^M>hnxsdo$A{^hy_dCrj>{iZ^gM#ATMIz=ukqH>R6b_P8|uiALek9 zo{z8ITL*$2^ihn8+LkikX%=diBg4N~TvQXhzDn|a@*bD!;yJ9Dl#pDGhlV(YOnBFV z^w2ys3~cN3IDK;a8qS&F!^gv$l19O{I)zy6Tx9LUdj{Cs55#jA>6$%GcL7g$(2>j# z&!BT{>{Wje?+^?n%kt?<7}tpO)4#gz|ILs8C3lm*zPnx%p4fD79_em+n7u8LR$M?w zL|v)xO4L#MX{qD>P8ly?JMEcW|~adLZy_v#B%~yu#R_7iGO4Ah{}51OWSC+b<6x7~9*q!T=V-r?3^fXY*%99&A zuq6-Yy~;`vtPT4oNY^eLc3=avL`MK&D(RJaI^Yv(P5cS6GUoh1v!1$7FI2HLbqMnc zD++N;aOb1t;o%KeYEHSF$;^t>tIjNRcqhceY6?DCcV+(&9v6#uU6DQ2=Y}Kmx6yM| z)T3vCL^h0q%9ti)*g9oGWrCiT1Dp-{-XsXbjj8*8!0G384sx5 zxv!!@UO07b?5TJVdS;PLvWaGuVQx4&z6`Hl6kz)nbId!EYR5817)2RR1Jtl7 zoj1Kkh0^szFSP|+s}vVN-|@2Lf459PE`{u=2ow$|!!55O!N^2W(dsEQyAnKFRCZE$ zc3Fav)Shv=S`%{h`Rg`qmVg)h_@Y<Sm^@NmO6c85gg&pWjs*{ zwJj@GiU@fRd2X$}`0DMr%OGhHAaQF;SJJhI$#F``oS%d0wl~ox6V)u$h9gZiTqP0~7j^j6rE=snd3 zICj=v7(N3GV~MIsdMbkFEFGcb_cGo0V9wSe#c@c3?m3I$q{^!KIsNkwTem-qQ6xpbPh1b!5= z+#@g5Q)9gwLQ{g8eNsE=dp~dMOaOn+F5KakGbt02efV{BR}F*+C3)#NG-(aQQOOK# zs@+I*=4UmjqPmb!ehAC-+Eg+hoLb0iMEF{e`{pbR1f*ysa}#PD~RYn5r?~ zef8FeIU?@$FqRqYZ$5mC|Nfj37S2_Kcj^}S$W;FX-8D=DgyiHFMQFaF=3W{YX`$^H znlY!_gVnV5=8#ytM`^4gZ%YL6O;f6~;*eTeMx3kIvxQa6Eyx|swVrY>UCTYCRv=5! z3-2>S{FEWv{VsjFRj!47M>?@?f(iG#yiT^WqqD(xw9Ph|{y{FqAEe`kk-iTPtx#br z`wC+-nIlXIYL4CCr^;FGK+DD-6;hivydlFBGKvPu9zQO@?0w;N1yin}H$-+k-F zG3p<5 zvG=$bxJt27Py|(G*Y7qDV6eXzLhhgf7uyp&&M6JfJ!N0in7&x4lG__>c@JW>+gm*< z{Mz7Uohy-$ShS^O&6nY4Z546LS)e7=HLB{cw9O!o0jl^NjY-ENGhi4^rL~+=AFK=O zJd}M~)F5pIc6Y&@7@ZreK2&<~dOqzn3n(Ua75=plh62>A+xmx=7^3D&&ihL{deKr= zpLANDbIGTZjjeg;83;lH=lLonRls{IH4~X$%qlnUhd;-&d2h$GvyW7C7f6mc711m1d=+%4P*Nq&hTZ2TF3e?hL;Tr2F zvK?ELR!tQOdA_f@+E|InxzVZ5Q`?AzkUN!)5_VYTtnpIJf>74kepojp5HpBeIoCXB z&WSi1!a=2z-q|8`rQ?CPL-=Njk$p}_wuFUpFM(Gw67ZirNg~!2+}w_S z*_nVrDyT+Vf&6=V>0ddM{+l2BE6fQ*gq~HIx{-1Doe#zw1 zZpXVbA>1L6a-YM{h0dj&Xo$Nc&?Czw#8Zs8gF{UJITR<7aNU;$*c8&#v=7OLevAlr z#e+%p;b99K%JZ*fJGpW;SU_*yDYHrUgS;?;^ym}SlT+O@r0 zLILDVPI%7W4$acVG)cBaY!}tjY=S@e89u2=r~OFYHJQ$(9;Nn}s#GDhV)w$i|Bl&V z_uHx+wW<+c#!mxZ9dEbYGwpl5K8*C}*6epm8u!7-7e6@O39V^zQmsaRBg45M_BRH7ew3|x zlQ5oBjwx)o*bpE_yK{lcnALe+3cz z2hK*Ur3JBZShYXXc0~cCYAi-*`oZOJDTDQ3=$F_%=BJXg#HkUyHrgY5I+#hY>dn6E z+3v1i+ltz`UHgxjoLU2Wuz+jKQhJqlGw%Rb9jY$Q4{^amhU#*E3r%%5i9EIU=Am4Q z5?wJwe``Ktr~*iB++i1Kj^x7t&@~YHnzILRl(A6n} z>0;CR*GI(+iTiiFAU+E_7QJ*4=c2jGC9l~pWivb(3Y?fjp_+pB?-lE#v6=W}PN!gP z4_Eeo+=3kyk)re#cn;R*7b3qPP_W(iy*U>0uD9kA2;NQv;JsiyQp)vrU_{l(o^rz0 zIz2S1I1e@|MF9g|eDVHBW|RB(=TEcy@b*;@8Y%_#CBdH#mCzq7aeyr9bId#P8AI9? zb=nS5_yXwNCcCHd%dPR~{f)|+{5@a1kOU+Vc|DEyR!xhlvU%rd!xMWZ8xC$Lu zY-XWe?BdTDttn^|%v8_ga~GO8MFDCDHm~V0m%PxdRj~zr`+^;RFWKJA)aFs{lW0bi zfF#GXP^9l@A4_7a!I2VocdUQacm3Ce-=`=6TJv7+?GB!k{mP5{jy;ILgMvycyqH1d zBCrN#>Pcz(8OAzrl6>w+R8BT;7qk}lTKnDCoqQD;dy}EYi)_q`AxixfD+TreUQ?N3 z^pVG9GFv*wW{9#~Bx{%g$M+?28y1|K-eJH+^-WzR;E@qp_7ns>GPIg?UN*mZWTsht zk7yJKcyDO1(!GBuOXUEgu9Anzp4kM)&Ny{ETBgsM-R?9aPOqVtus)Sl!t|x{lppe@ zt++VSmCgB;)6QszJodLHxT!ur2u@ui23zmf8tw?tUFX8pQ7x~uZYBqMnL{FL^a%o_m1R%#Tw4Mbav>lD46FUzrhZ(<`SW(lfmdzsZD&$cBif zd=r<5Pt`pC1CDb*@!9+gmdhSpk(&9H{G zJ}TvYOFAdeOSSdl_Y=#%`aSmgB7WBa%2XxL`o(78q!?Rd2#q+|qq+y%)JEh?NBB%9 zIp)v|#VTMFQQH*7Wt8LdYOhd`IhI<_iW@2|IGS_l-Uoe#eqlF#JZ(8Y=bO83CFz&* z0N~>SS+|Ghue%fp)}$nD&KN0Qcni1TiO>ixI61jW#Rhw9HZN?J^gAAVPjlo?n5!WK zU_!-Tq!BRq?QWAvNX1aEO4KJ&JvLjt4)3rNB< zss7*3WK;B?yf*W6cxF!7TBob4bG2HA1VV%mPThr_Uav?Bir7q@F!-#IQBheLTkmn~ zsBkp;6C~+YhcXdLW6RCT^gtqXO!yKKFJ`mT1huLrxMTM@RD`LUS!oF`7*3Z)m z4ZU3;jo)+P<8zXk*)$t$saRqB0D3^Kr~$&xD^3<-QKA;gE)r#Hm~)!IAYr7ubyU!2 zho>ymjw-#~1Fa$@>w7yWPYWz5orl1fg|?gfrS?cGap4W%%oRR4-wmkS2zFd?oJNcL z>ZSS9ZrO70&(i7=4hqZC-S8VI7by~rQ6FddJ z;v^{B>c=EvH9gJpEgJgfGOTZ|t6ny-d#`NbWl>3Cc6SoQ^;RG4QlLN~z#(M(Nh4i^B!f+$oz_+_UGd{R6%Z2Zt*MD+gd2}4h6}%>X(&%Ejyw`AU_AHj(=Fq> zNd}5$*DnHNj^MKQTk!s;Y<0h8oBNZx<{PeYw zIaNM}Yu8?1Ianj#Sa~!G|K@SjO%zNA;`#*S*Zh`Y^B??@Vmy0yFfcLLyo|IUx5_c= zBgx5SVNAG7)KIg+hP7h+`FP=J8pQ%veF1bW(A56k5qdg>5Z-%bQ6C%E5S`BYLwNg9}|8| zwulfn!18!!HP4+8-wjn0`@zg#iY5VL#R;4E0$n zUEq&X&3qQ^7X9|f2Yf}x_=F(1Taz>&WYp&@Y)|HBsbfCABA~}FP6+GOcSy+|sl@C6 z*+~(OszTXHnf6z`**`(qg2_{reSIY~P!kNkC&JjT07+UylCnzJa62w;D|ze1m#}!V zRjTIlA{6{bu*qm1mBLlL%{ly{+SQWeq;G(>)!RKJn>5aoGO1DYdY82=U7*qc} zA`4EZ%7PgSv&9PmdyF@&6j;du8qPJ8eAT!%hCUH5NFmYK?<(uFcPiq4{ZgnDKq&RP z2-?iYYarj|Q@^FgFZSy6lV3)1lHkdW35AQsBP%bBikR({d3$Maao>XMfIo&QXqJPE zrbeJN_;N~R+C{^|L;cWLJKocr_;_f5<|bZ``D>dGR+C)&FRpw!c1%c1P)dodWGM^bcLU(i3)-hvxmA}QGCNk z%e!-tm@F&_@_vk=uSfs|YM_~4i8_(=TnB6=#86j&QP>R$TbxCRq~u*N&R7`Ef^{H# z?s@ZYXR*QSE>qV6B-Q!afFK(r#g6Kvd%=$F77siOvMzsOQ^O26XYjIML!0kp4>HGL zs4Eu+z7e75P=BEVr^e-Qq0u<~iRZ)nySv&}f$MCBM>CmJT0Kkj?RYp*-Ui+8q*PYl z2}{dC?Vb#CS2jwx5Y0gY?LRs8Gub`}Hp9874rPr9>I6BdEcsD9AWpA~M7e3#IoKCcv+b}iteG*|dY+H>XLP0ZEL$d}(=c;@%Vhv2=$Ll;q)Cyr)X&*#~ zy3O8)2-BOIS604piuFQk!f2RIx$Roe_UHa2!`w)=y87``6wfnx)(W*1@I&pJ#0j>7 zOCa&yV!Owa#TaIkXEy`MA+fic_k6^)!3}d>gM@{LQmk1q3Dk5Uv>M7>12&EpcT+k5 z=um?!WP^OXL3y%{J4J8Ln7YH0&Vw_Le=`S2C!1A0C<&?Zq1ty#vomcfPn6{4Y0!mn zLjoVR^R(jOke%MyVI% z_v{|4m)X^X)^ryE`-}Z#&pQV1pF@FFokL-GsFV_HSrVyuM9o%Rd$95O z?5$CE;7FZle`Mv_tA~X1{AT;17&i3dNg1lv)fYm1H|QlMX~VeX5wSzQ&Z)+e;UK2Aoaq|CPE zD<=`#VLMoynvTx4LG3Jiq{=iSREBkY-#vjwJ$EKDAq~u!K%M*|KHald;MG8tB4{b~ zJwE7!N4~9zW~^!8>T{tZP--QCKOLjBVT>tUb}t=MqLZR-+e$YQc9K$nEy($2&79g5>k{E1K|3)45;HbM;`T z%~8Eh7^Yd2pyo&x!79lk}<8v04{KfC0b@X3or;^jXPN zt#C*Q=b5s;j^NphuTfv6)+sB+dAb~`F$Ud#I4ni55*_+uD%8zKop;60Nt)r=vs-O! zVJqU4w{Tm01v0JIB3$I?yZ@DQsvsB0fOXpb2|`%TG*36zVg@c{eu66f#x^cyF8t3# zkjSaziGSnGNV8yIQYruymmw1XkbMVsEmGy5pp2nguw|vMKS75-e&gd(bgPCxM8#zx zrY#)y!R}d$z1)V$*#ii{ZsCuL*X*gT;Y11WpGSJ?|1lu_FFTX|y-Vq@{`(ggrEdOR zLzI5ZGl}ea!P1(W-^hH(=NVQ}H=C2tJX%<0CGDCQlzg(g!UeFct`#6o>vuHSzn+zg z6~1z1;K#0LI~IH2-7(ixUFJTPjZf&Z8c3dMDv1%oEaZWqsrqEE1++7AQXGzY>FdA( z{SRa9q#DX^Uf-gBXZ!M1zos{!nqB!aWjAGgwHFVr=3$h|%D8_Fxt-&=&wrl@9aF(_ zCw23G`=fx&DYEbWj7PHYsx;9j$LC&_;5udBftq=^3w4*G%NTQ&|Fx-}-Vh zn&#n8P^Vrx!(nk;(F`gCNncR>IaWOM@41Z4dvs$kS6XDZ1BYW4=}+$;&ij zj}C42V*6^g&k#Z-wv_3fek)?zcK|Y>o9sXTO@x)Sv7o)$3h_&w7(cbSiDtBL2}s=DfP|Jc^ly$+pn0gCC}H_HJm@zM&q@WY%) z_tn}47Ds2hNuFKAnR_(uU9In*%{D_NTZy7lQ?0G9k)n(+0BQYm9}wUr6a-L9GJn4& z1;6FyS^gJ4EPpDX!O&_IMlg*ERSnyquxJ_=qPab+ z>0F!lIW`M8=onsLfBFLeTz1(C#fS;zBLQ?Pqof$ly0=*>UsRagp>x*_bl##xUF3zvM}tszXUUu1t=vQ7m->4vZ4{R?Z{_+{GwF&OzQ->5=38`jek>bUKJG)+lMA~FG6JEC;8R zhFm_M{Zf#8%wHqXsJ@&w0(Ow=d-1Jg5I~Lk=TCUh6g8$;cR05|R#hXoLvaT7k?0P5 z|J6;a*tI=vDY#ohLqGuQ4+~*H8CIov4K*rhDhZ)P`MGENCHo#&wzGz_ycc;%nnc#4 z1>BNRVBrW9@MTVM9r9rm`eZLj>}7wr!1GdBba-zYJ_-A|pi8+!YH`r%Pz z&y$9J8e3Rs^?}%C`F+4W%_I#au3C9qt4i{H6HIV6Dx`hadm=(KVHy4@#{WeI4xS=i zW`G90^0RdO5`jq!^$vw06Vzk_an^g}3&`SDef*(%G0d*atJs?tU88DE`-!XkXkjt9 z6ieOi`Q=a0(4nksKT0NxHXZkvZ{4Rv@dPI6JE$6_R_|xg_K+fbxzT^&xhPIp=RTH2 z(js_+X^rtisS~OdXI*W@{1!C>SDH!Jg4;dokQMhZF-m|vxSE9&8lDU51xHzudDCbna8RO0F~K;3Un58wAtoc2v& zd<(13=1VTWoR3MADr+T<(_{u@CFb)zfUHD?^@Mx^%F_A@6{gTQg=B}1+(aiBYE|l3 zl33G?`$wiD9qTUYA=6Bz_PbPgr`-Z14Rw zrH=}p!ly}gq)LF$>gGjOwK?TQhD)4t;e$B+L(OD0n-N$FIuIaYWXm-C=3e9a+YJpc z%KZ*-0NO6-ZlaxYkRhFDVlmooVwjw_p4fNa@hNcBjsi^7dN`9ICW1h@oTE% zugOfnVv;;EK`!S|@lNkZAYqk}bQcM@Hrl_wSR~;_^W+$JGWj&htA-#>H)J_(zU@V% zc&-tXeK7R=iYhMO?0Q|rSH*-j*XNjX|{F%DErnVjIromimmf7dQJ2u)- zZv5ZsH(l7NHii}0$&P`@?h^ek0i0VRmNN+bv}lBr6J~%={wzFCsy7?)3F3ia6YG`k z72X8u0{;}h0yNbjNmRUztDg_w47%RuMY1s?CI9VUEtM9WE36V#ppSYGjpa!56BG#X zbw69gMTKgWjZ5kJNM|L8ET@GF1oTH4o<9b2F(=T8xJ3CagnT^2y;Hn$R%eMglP3r`plj1*HUNV5h{BLj5n|eIV`e zRAPacK@zYYnQ<%0eCN2!YZozXmbLZ3%@;q2Gy2C*eiO@K{jp9lD+YR!_cYrV&v1e9 z)rnv5e_V3%2U*@fkgxm-p_BP6%^R*Yr+ytqNk&&(8MDy6x6t&?`f#(L=m*+`6Aw#d$J(1L&YoZ?r*S)w?-dF1v=zhl2DJWMIi%{Se7B#3W zA|Kzby7E*zI1QZ@F8KZu+4oYO#doxc06$FJlZlQwu$vCs!ZROjP?zE6PdA)J=*dw( z!FscpbS~zu`rvA<3Ng>Hv&w9%Uq*kk&t-2;<9L=%w3i#kI$LE+dM8Uj{&uGCfpXMHW&d>KO_@{MV-bPRByGCvVdBfN z)T=7YF{%<`xW>w@I1FFvOXt+W0P=~SeMv2b#vd;?Xa#MX zF#kjFHEtJ$Te!+2ir2o<0Ucm0ov9$GZU-XqjjX%fo#ce~&LnT(tim-^)NtD#>=%f; z_jH3>qe<##-M~71CSy$FLcNnKqt2>gIBu-uOiKmV@PWGtAdHO6^4aTtj@8ctDmxSI zN((l%#f$>ELkin)O*bHKnycm{@b?=lAb=Qe1_&BH06`-I6I}`rG#Wymz$g4!P?;U) z_AB#tildd%+LiT^<{Vk3+3zR^yu!J>0xFj7gP!@EmROVsWwgog4ok@wm_tc*hd+-A zr?mTcg7Xl{T?9#w8e*tsJSh;lj#jCu!+0rM7?#EZ_CR0AnPV-VyW>v~z1q`?X;T7L zdt0Mq`8&p~@3ENl*=bwwq&bIzq*O}m{dq@L#@v8v>U>i4{0qH;uX^tF5LSPw>3Oec zM=-13e0}|EbH$*8Fbp3qDp6sgD(335*9w8~fUIt?eD9r%dVUq=c>To>lJU^?o20q& znJ@e$8>b43rOZq0-{ou_Q8NcAM7=OMtwvs7s$l$Jofh6ICS4}Spg^R?9_p+8Y<++1 zlrao!_tkpIFD*OVS?1IGe5Fjov9I}WzlM?PJq0}gl?V*WP{YwI>Ea`3c_~|Zm_^+L zc)dKPq0jw&=HnSok)FbU!5nTM3Y?B!&;U>!&5gkv#T(GRqJ?71%E zhuuun&6LP{2gUD}=4D$wbzkIMcAoWY5Lns^CYiVMdOhl9ldYen%0CD0fS04+(cpy) zg*PaD-NNuyjz3M?E7l#Th~dE z(P(sSUx3INp3EemPrK6u6pKK8K;W4IzDfaFw?9E=hViux`=Ur0r(G*rkHgeW>H1+j zM+*}S&qNi{>XK~(LyBEr-%a1R`)q$tPRsMrz-1I*yxD}cXvm#%=H+iCNHj(ym=vWo zBC~=>NjjcChJ2OM!oyoBV#JWa2)HE-qnnq>lYI__5Y^>}3$!8p@2##badkWjKs8{`f-<9kKr5okeT=w8nf;RHjn>SjIG0>tEkf4jl^pZpyy!^bPAs?f5TEQo zZgbN+02V3O1&F=6?JEjw;5D+SWZ-_b3yp1G>?=Rk9oZdG6^>g|SA*#zhyyim>ANLOc{JSz%bh;&?ofPrUFtuofT!4B_?C~wBl*$ zY7_~`D>2kp-*wqC@F$2AEt}PsKO|D%`L$#0g+ZUI$AP?5XEH7%k@dscCZSQ3f;)^* zO1{_>I@+xmu8E3q`DETMn&!IFM^=Z>ryYLReNcKMOVsbCq3SImvFxfFc>Un=BOr0cU)mM%e3Npbn24hA(h?%!L zB5jzgDHu*|!L%z)SvF(tq&HE|`_$a05g{4&4;r6!ITtRN+!8##=F-g&@PD!Q)=^Qf z{oel|0@BhAA}J{y5~9+Q(kUf5gyc|zs3=Gyprn!#1JX5gcXxNlzziS^HU7T)oae0P zckk!k`#$@`v(CNN<65)k4`<-|&Ud)3PrYAnIyu>L_!!(9S=5$6K3VD|E9_9k@WK@G zxjUKh)gdTRQjgJ{)WMSX_!$ zu53oDHLtQ?3SMb8bzWzl#t1b}xE+gwhn5?MJTe2daT@eDXip94D!U>90Pj~hb~c$SKSnJ7LT%NRFayfbdkP%Wk#L8`eT?p&=n1{$87{e zNSP=e$Bl6&>)P1skBA$ONG2~kR%}r{hd-KbjpdI7(tL5GiJ@57y$EW|fst|0tw-r4 zvSUZSj(lZ?GaMS|oOh*&N{)c@7dphHo0+gv`|bkfAa~!{(D{IRT0`daKUt-+Nw(m| zgp>*#mIi`XqMQvHCb&kIxD9@Rt~J_C7h0I`y}A!64;jKpK|f2N?e)~DtHX0@vJaH1 z)SdN^j^HoxLtU52FJvm1_fEAi7dTruO1~E;e`c^(_q!r&OmF?+tDE zRJLyYy7HxJPpMidH=1ad5=w5Hn8>C@=k#=-8-%sFk?@fI^%`KG+{STwxF0URdiN4~ zs&&eeAv0X<+BJy2Ooc+4-4@Qv=4zX1(eh7F-3TVPe7_1U(|(7myES*IGM*4}!|i%( zd9+B{Gc6Jg%8ENC_ zx5abaC}11VLLI=?m?R!j184cn)P><3m0-^EE->Q8fxs=0z`jk!2^MLW)_a-^Wq7E z2nc&}!M%;)B6iQ*l2XGFwIo$5)&7As(2C~Hpa<&XZfmI+a@_iewoMj<(0p=rocg=- z@S};ew1iMd=~Hy-x{8=AcAH68dXZC-#mxx;PRzulDB3RCD@rGn$VK4&*gc#OJdjO^ z19mA=IokCn%;!fth8+l9m{3I2MM0;^4bhu+uhPDF#YwM*kz(JBu38HwM^3jj+FPQo zyE~*#-v6{iX?|sVbZp?PeDpZ5gxLRY9vs=NRD+E!;jM6c*+M?lCJ<~$J&F_bUyWT!=r?*l!8Dw zP~yM`N_KnG5a=-pO zmyf^Pn3=gpyFwJ1p``}uLuPi!K2{l%0XWJG?IO-5AJB6BdLhbwv4@gI11@G@Z4f^^ zQz0_tw8%bQ-7mxMs=ye_uibTKX2ZTo*11&<=)MD+rrUJ_ui!Ov;^7Ha{~ktSobO<% zT{HU7WKu6t+~3y1lMO_|9?bS3RPIv1v&-r2FVKgdFzoi`xnNdgUap5sO~zAk`Wx3x z4r|;hkl-E0BJ7$wb`Yt27Hp_Zfg85dOLU;C{Ut#cXPFNhoC`&w9BW)`cYPFv3 z+1_k#Zr$BhKOm8bI=6GJK`pcZ%+Q-(eaHvLlzN&^n(S<)vK*;7uu1@|n7Mz7WUxO(VA=KNb+@?&2&cQZFWWVUp!|e^) zNFt(*_=nwD=+w3&r{>#my3(TWF;6aCS8lZ)XWbH6u5sE52Kw=Xl7LKl80hlMCGJ&X z9p|?|X`TI*TbD`NbNob}O%?Mi_QD%N*SM=+tQmATY>4LLct(v7>{8%1GSN-jjNM& zb)$g~?0wp`8Cs( z#nz*Yn{JtI|M^4bwEKrMwwlb$a|lZUiW$ro%rXA@dVwi96`0TI@8K?C7Nq%6Q z#Vx`2KJiS9zf{*86mQh^RZcV%EL9WGRo5`jrJgR-s5(|cP_=iiUHhCK>&B=cOXtD+ zB^wov&6PGWo~)Q30?Id;ul=WnUKKjm)Wk5Ct}gHQd(hdXB1VVn%H_-pg(cay=ORAw zRF1!_!Qe1lPdBeny}X#n+LY6GO+z&=24HZnsiIb{-7w_xBqmPH_LmZK5||&s*A3>$ zCAh%{{?Eh^kdw=A#a@^A-u#+Ib9yyVQ}=J*mJpF35Po=Qd~K0m=>odBwzb9)<-cgK0ZF3ndW&}l~EnR z)e4x{o3=9Zb~7K(nYBWki6_%WwZK5KuTBxBN*4C`9^-9=n`FAcVNWyVW4eg8-UOUd zmS+y+{U?VP=w!~dKDLDvFg%n_IhnhDKx!cwp;JVhB5&QcW)+c5e{vdM`@1B;T>tku zLD>j^L$@$U$&ZwAT#JGP-Ya#i=lt;15^BG>A3V#kvGXd|uwS49XCg3P3NK>0&v=vpZ_{7%R>8r7)?DN!4-9yDMW4B;ddu^_8)zFXNaJwff|02}dC@oSR0?svZ)U zHLY=}_xd=nWg9etSMMNqckCysfQfTQQ*^%9>oUv6_GmkXVSEc)vS-8ApMJ9hBOEAO zIi-{=A5n}mE7^D8@bS3yBR1`hf6Xz~hPJti4F5V4>yxZy3-o94I4=~c8s9=*gzGy` zt|w+Ph{Zl<_teD1u{ue7WOpaSWS;$@5)EzF(x)$k?){9T?BDBqDZbfyNfD9WdA;zg zs7LNjy83GA+bh;Qzq_ar3%?XXj)+6s@QndG8&`a@;^zo#mis!bIhi`Brq}D~BJI-M z;Rr#Xn8#h&WXjOvRT*A6|K6H}mh*^RA#F9B{el4WlS#y6Tug)ADaHG`YAEG#oHymG zELPegrp5aN8U7w-U2jo_Ef=S7FECe!k0E{Zqhgzv;rMiIQdnk}_r$iL6_#OkQ^C01 zj%%`tRV<`V;q2~?p@MAtg*6oTcxjPLXVs*V-I`$yq>(A!O%-1qGswqpC>Af7&-dDG zd|9-o6wdCIT)$Nq@=dm%=QvFpFIPtqg2<2Z7Nj;?nDY~u8=En0P?VkeJ`msGe(Uat z_2X%b32md20wvato*@}D7pN*A50h6L?KLKU_Lj=*=1;FXtg1xZ*5wGfQTsdxI|CN) zSImM2CenXuG#~kg$QsmML)YA1%gC?YI?=H2Fc42k{TZPo8508aYXQgH36&sALz%w~ zJPWMvsE5Xg9^yMt00kTr)6dbmsho5+@uz*PAK5j1huF9~eGp8bVH42l_2Uj{i_DUp z3)#)-mqR~fda zM`{sTjPb>|L2p4mgDCY@-nG}OQz)kQlkMj($TdeUtM`wEDuVsy8>A5Cxqb`vvTv5l z6BId$1!7iX5V#8=2ep^-X}0nRNT#^|cFO)0zd+d<6GO|zl}XLa{>FIHw)Xc+>HgEM zB%FJfxe~%_sV)!>j4PYZ`CjSN6FslG!kg0@UpnL{@(B8Ly-w4ES zFZ*p;;@=*;5h(qmU1oWJ6yxbwpkZ78;;ZYJvE%XLe%xk-9TBS%Zydu)T+GL5XT{$p z_A(qlGB1Ctkb6dC8N za`TM}627*twb&o}Ufn>68?{_!Po!QH{bdl^w zKMgWeCf3dJaeUxEuhp?S@B=PK0@K@{FmD9suX%{-CGz-0nEq$Z zp^s4%?JV|ptO+YT_H5=-p{RX*NjPiCtc8=VBQwt>RU={{Ig0eu>m}G;e(6Q;3f6qS z+Tuu{T)o|9=g&z**Vh6wp6ms!S8tf8^gvXeLwNo_o%M1G)hb`4j~i4p70xYkn)cI5L3MuEFD{Y+NG3P!?MG2&Sy)wh;+v0wX<#D{70ZT+udj&4C5*26OPf0 z-`bs;x>6h9)hW*9)}+rJGh38Cnn#KJjk5iRYYA&*4dlSqC`%#9NAgB3n58v?ZM1U7 zMRb|=Ts+R+8fw=y)5{0p)6#WTwm?o*6f`BF{KCN-<&my7&*Sl5bP?sMgPGeIJzpEI zSv{)e)R!&XOmK5_oVN5ms>=}0pl=fP4uV7M?#bro&O2ca`_*UazRt-WRC%ruext`r z!Ktc_>SB6MWa>%M`DxqjIWDA!iTJq#y+wna-;opME@r$&DA6dmosq$mZEGQ)D?~~5 z$18O6dOt!!N18KSes+-!ch8$el21~R-Qy^B{+&!D+3WZWlDr4E3v}ZB-C*$+1@i44 zx-EJGWlnGLx$^c-RsC}SFt4d>^1}&|sC6yUy@%hUN|e&wZ{HZE>NT2tC<86Md_d7k z5EZ#m6ohY?#!Q2bDRG#43+&0{djQ6(`YZ}(;7tW!zWR{*aeUoT%K@%xm-7jYDa!tx zC__%wwAeM9Mx7TqpJ8%Y+y0waTHNOzu}VQvK~v9O7lnS^cWRhzFs-?g9Ud!}!EtFO zLjKH2PpxWr5L_evyAhCV48=7T#`&HYztY0>JWN8hp|J*Pp75ALX+{dq8OY39ZyJih z_JZ$ccBPOMWc%TsuZKM^+0 z3iS4mAFCSJTGOIYzPdqLUiC4vwv{Q0I;`$}^9e6)!P{HA=JxY&k6)liXJLRFU64Eg zc^f@FWQ2}10!v6OiqLqvIN5N_B~T*QxW{656|UYrA!l||;XA~!b|c|9u$IXa7=d#| zbzc)MF#y8?CjgJ&o$~KjF@i_73J`lu5|_hdg7TbND;v_)J84XslD&%KKb~j%akg-w z6A~pQdn5-P1R7BmWYpwKaaTE;Yo&Do3jcnC}cF56+X^iQPloN@4shxB{5dDO!5n4?bo$+ z+2KRB6jZW|sGy8zWYsrExd`BHZ-f4 zHG)&DfZ5td`nL(WBjB}nWFk8kLu9dDSmQaZ29mBIe4k#Y2n5~>ve|S#%ySa|;k>Oh z8*lKbOCpSS=;yX1-8R+SKfa5Ba`Y&Sj7BQ^0~Q06Mk&;q$F}Q@0(S; zJuZ$D!0(GS%?PUwWcccAl2HLe$8;r8&v|d<+Eb^Kq~mmF0LasT+eaYm2QpD-ejtMB ze{^*+=O>o$p~!HScaB-R%`-sX7&FLxS7M#T<`>8?rUJ3Jb#CT8-!LC>5^${>L}-f4 z3%AduJDES`FIo(5yH4l}fj`f~cWd_~IxhX0?<^G(0`hyEokI zXX_;k-4~MTh|S>NeXC+>{MIpV4~c<3!UYub__wO)|FGB8S%yQ81c2}2j5Cmcj7K&8 z{%_IvVlqF{dxvlTP&AWtcAoRAoiE?0GsV-rShG$cg0M*icBO~?B$Zf;zYVqiqhi-z z7rp(J+1dZ@*Zz~u<{B7ActiL33HGk+j z&2p7h?|LKWgFM3z+SEK4oe7PKppSBh+G9DmJ?&Yc^vT$w-)qzQDsx1Zqb}c_d-A*F z1=g}d6PkEujeC#DSzZVDL^aO@lpSu^%cloJiNv_ONV32U{0QeC(E8=@bFd84tu~TE zaDU>12c{_pm+}?PfkRzeelYMx#@3Sv+KGyWG@e%z33^xZMf1+}Po<-PV4~uw8LM~e z1G5s314DVWp>eMnu|~p&^;35pIilyJp7IUc?$J@@OQ#K$9P%(;2Bdr&>5eR?^S?mK zvQ|8|qwv)*C$`B+@Z}9pEn-bc$05X!uzJ6~eDkUjVyn^0xzxzvkk$Eeu#@d6Q$(Su zr$2V(t34>A!@@8X44$yi1K1ZN`Fg(9mX3FR^(O$mStozRi~_OZWK?CpYiB&C=Vp@R zW^y)Jv`CtcNfd2>cxq+hGBiTnE!n+8`>WQhWKBQ!;u$)px+zuQ>*}?|y!n{bgp0&= ziuEdC3I5!sXUxmG3j_9y2V*w%c79b@)}@7$ojTV&l9dc_WU~>I;_rVL!Pw$;i$2l#}Gd;NH-H-Dq(LXlDl_&r1)F5x8&$3Nf zT?VfygsU#@ZkQO~!8XAcZ}#a|f$C#+)3%m0JKAOoyS@=m@?A|hKX4m;V`MdI?L8Y3 z#tFbp&1;42nBYv6QK<6yjP_Z*cpmmBLe+MsgRILlVhH{4A=)!1-W@L;7`x1vlXg=FFN_mvh4?K1j8z&D^iz)0g-6i2q>DciwzXd59Kif%C35KJJ)Z<)U5 zpL4_~>lo`R`)Z9PxzPTFi%xQ7defE;`laz}BG{F-B>B=SBxNwj{`Y z#;_j{#_d~n$gz!YKYWr>U0MGsPP;66ZuE6F+4a=@uGDZ4-NbQh{ttr7VrovKPiilt ziVNmx0V~a%?T?-=$q!TW=DHBd6aJhmR;_KbMiM={uImyEIJ5 z{AuoUy`1c8>2wAR;xAFhZ)&|idH*8wSxtnb0Drdb*4nIDW}^RL%s^a=wt7@=RrsSO z@XfMeB2R_8s+18-Ot*OYloW1yQz7Z9cVbtBBmCmpMrdA*Lx&V3+{nhZaDTuXSf zxbBsnf|%{L+q4?Z>ZZ>Eeft8!voeyy9xaiPUsY!k{<#+LUS@ERj6-vmE({-Z!dB%r zYC&Gafu{u^ji!Hr!~^GG9GZId;+ccyf`gyvhoU?J)|yH7JY*g%YhG%l6l-oBvk^2X z-G?x4L@Z}TaOpnN`Ebe8f9Q5>U0|ZmM%(XDB&hxy;0S{|vONN4v(H(s6z;zrEA>A9 zjYx$@EUD5-@rytGfDRmBQ{q`^g^rL1i0S&vp~O!H`do(Uy~i#Y^$n$Pl~J209gvW}9`XeMJKj%sc(-GmUQ&23TR37HWN8@v6+trVFcdCA`EZZ^J;?6X<@&j)C{be}3 zKI$=p%KaH&!g67t;Wp!uadmyyS`luMLCRNE^XkmSpsOlhK`eT7#0O#p^FGxdOA^cd zhMqZS{MFhoVPIPUenghEQu^>!MN~-o#V-(LS)o;!^6VLQIPVNkNkabIF1>z6YJTU& ztZ`csQn|LeXY|pxUiLJQ6qlG&vqt-fk-ttZb7qY1v43Wn)qPakXcXm zhW&cixYY!2KC4&u@p~^}1j#dm>?x3_02MphF5DGTt;#8{AC30fV_?^{WS#M`djek{ zC)`T*i1FCLzojX?jz?>iPH;>*JL_%QjHR{4AFQgGy{7Rf$au|fQRXAKtSh`OTxdUB zjpd;HRV4fV%3R4ED-DZ+UO-EOC@|> zDy}=Xg>S9=1Z!Iez? z)n!S)z& zFj3~hw^qs4wkr#fu%dC__9nYC`6GGRZe%d$hnH!;vo}WrSY~Vp~l#5nlMaTi|eu2gB@LweInc! zi$f6Y`=ob(aXAVZP2oSidFmF z4Dsn*@4yeMnOGddh!4f{!+eGYbKVmvC#h#jFIPDt1;$?L8n5p?WW|^D8Nr*hU>^H2 z;zcZ?QUS4;*Q$ybQ|lK%B0eOW(!q1*u_oEtngZMTDd zQ)(2FX7JNLo_>FjZ{~G=9Ql^5u*C3hkHbGP59UooCj&r}&CKht%FJuY`DuN#(vIci zw$XtFMYn?88WE|>GDW5nQufFIo~#NHW{|Gd4WK;x8@=razma|TWGU=0@&#cLQx;VeUz@EVnm`|A-` zYfYgHk@Rd3v-;&@G|Q#UYW}-Gk*{2{Foc^;#0*83FhUHQw_cHChpJeg6H)|n+~Rm0{lkZIoI z>!Mro-nms)9`rSZ$>EQ&LKP(M97z+4XjP5#X8=~n-!dFa=zOUM;(NwaAY4Taj9zpt zEo&kCe=(i-lVnZN*Apkbc-k;Q5vTIjm^xnx6`LX*Uk4Hdiq`@?C=LhAhjXpjw&PrcxsK-9o z#xlX_;ja`wWq9n;-nB584r@#-H=R|WYOJ?+(Yd2&mX?OqvXNjZ_Q``uns~gu#L*Y1 zwBub&oD)rd;9j-ewK9}Rwk&TRM*fsue7t5De#kzQn7=En2a0b7FGJWPf)6&8qHLZ^ zhm76O!i%gC@%)AkJM4%7M75uay?rXxu?14C2nt@ArwJZ*4B*?dBc78`u<;OcP#q_F z?t5$1*li?V$*%<_x^fN_)?D@r^m1HSqD1$v%-0_o68J^cXY!K zYP@K>rDn$ExSUU2aO-kro!mE)QlHwm@kBo7Y3CKLkx3E}yt(?aS>m3ev@u3H)i8ZJ z#S#g?@$@9Kg>xqNJUK>l&jD~6#atlox&}qZfYtXpAt&w(+xnosb3?LMA)4)HvDAcH zoEuzsgi4~DTpB7v5jHerVGe2P6ruWSv0h$QeUigOIb<^t2pJaCjdi6XQQ$mlPR|wW zNvTYr;KN2gS&8|KcW1ES4wZl;#Cf;;;7)FYQ@Vb?VCyfC<+xn?y|onDSswjq_T>ej zy>K4PRXVCjb;33IXYtkl#Q*zmi20~&jWi2f2lfSS3cs=F^i{6K+!Wk`66*f}9pSfP z_Fvc`|6CgOC)+ty-<1)NNEojRUfx&&X4T)7BpqxKJ}@pR<%}S7Aj?TIHseu>5H=29 z0b#MHY&FfUf!)sL_ z(cWhDkb0r9G3TB$5q)PQ&HZk2Zo*f$LN!{r>Fli{H^>#}>n*ChnXXI>--7{N^|_Hi zcK2-Qtb)yEU}qfAo91ez4a!rD$_SDEmx1@qU|MK4TD)H+SU~jYF8S+rmWoV%cDua{ zTW7|vn!(0~IIoEO3pc$=(TP_IQ^lt5tKQp8KIiY3DJwKx;O}-&hR?4%r&=RhfzwK00K}ll4k;Z|Q=g1!a)D z>ZeYa(>x5EP8;@Ce9QQiE}c&)LHivQ6n1OrZR9~2Q4>Mc#D)rZJ!0A`uB(Ck?rWki zKA-|xM8x?JYu8Y^pp`nr;c^ynHk--OY5Z1A)POncs61%QxBPteD*1D>;>!%s^iL+& zxm~eZe#GI|qa3of;_SZo`#mbwn!(76NS_?~lIB9FGclG|*{S+rdDrxZI|hncX`5PH zkd~eMr2gpH=i79BBr&IlnCCTE5HqGyMvy;Dc?4OP91UvHh!`iHpTN2i|w22 zu1>pJvDrbqfk}fK^vJq>mBWHOC0(3lngY4)BYcD=_<)p2<`b1}TrmdAo z@>HP3mbcx0Xl}*!7wMt-&irN1eQS0XelCYGb7H#S8rXW7FA~LjPHKXmkdrIa@LzEU zYjHDUErdjNB>wn$#@AN)A5C%kur7X`(zbR?``Uqd#h=Rlhr`vD9f(@P}S;jz8_QvGmx_77r%zEy~k%T z|1QOv)s&p7=UEs2kP;67ju^q*TYvy5tkX^zgaP_)KcRQa^<;S7dOmX7d7GAQX-e#Y zEQ>~__QbY&fC`OQyo4Ky9SIl{MSzY3nXc|558uF9OZ`&Yed+V!N@q+O_nhzxG}s2& z)r<`u?(4VTrVM>B{k7NLcdhx|X?U=Fg_voPdcYT-^Gurt^Z0CV59wxK;k*`c4PK}- z_43mu)aG?b?iwLvUq%9aci2AXX>I&&H?P>(DG2Z;f8X!<*7D&OqEZ52ylj4nVZ2J| z_5RpGTd7!C&>&bqh@H<}&epxBB}75@)=g^w28y=4T*fi66uf|GI;R`l4nFGi_TcDn zT8bpsC&GPrXC|aL5bR-|+80Sxx*gQ|;zAZnSz@qmG$mkrZ@88E|T7J9l3fFfo?d51` zsL}X9{{1KwtA$S}Ug>ywtXaOxhVAFxSV6hJf4AFY;Bddk`5XDlqQ0V0S@}-9{C=e- zUlFl@PjLD5TW;)kAE-Bqt1Qc6D;$@~H2|%9o@OLwPTdXP5g`{m`Z90NERyMnd^-kB zEl-f#*+f@?QhZ}jbF|PF*Sp0#|J~p+SEUy(ly+*up{(oMYl1HzSsX~BbDJI;4$Ax7 zV)^wI>D?wyigqQ!^-IfB#5oiX%?Jeqm>XH>dCUUzM75-^!bQ|MfZthfVhy6vmAwfm z>;PNx`;?4EMw3c$R*1z=Lti+Fm_VQVg&y{IAHw+HJ*jtC5^IRoCFNE|^=0(+_Y)Dc z7&2ANT^OB>v*7bmhfW`~4Q+GBu5+Ip!w!b69%+#-p1PL#-9+MZ`SPWll#jI%-WC_| z4^`5yjIuw?$R^G|#e2^$Gl01`=sB?KDgx>%app|B4GD$ zqPO^70%ka}MJf{+Bp(ZU1zxQER8#9uZJgEv6I9)&v{zI0RPEf$=CIAn*ezNt75R+X z_k3)>vU8Asso3Uh;LJXM0}_dCR~?P3C5cc(6iYNyZB^Ars@_~;=HBtY?RsC)Yi=vb z4Zu6uUl(j@m6>-3up~X~&vPeHSkH8WvCII%b7cH45cn_|0kyrP9*9bnI2;m6+g?WI zYthh-tHW~7YQF5+e5F^l7^_T5pVwd{eP8n81BPso8T06@n*Qe}V}|09^h@>&q~2vg zX#o1cHwJkcr#S`wjXm*pop8My)J74VB0_r-ymKMA$_F#Z;Ink+CWh}*r6>LU%|fnT z=Tm+b!dUFLeX14uHb}K#-oXTQ0fONc4DokzOXbG%RU0b7gqkY9K+fL9HZqaa>+EE^ zn?^HmeJUowfy`mcq2ZoW;iqk+4DYnZuKLt-m+B$rTpIz4lsc9^!Abutg_qyf`A=4S zq3Q#mv>XXeP4o$2<1ZwrzXXljJ!oj7QodCd$4Bsa#q{-C108dHPYcoNj5*0b#k|LS z7a8%W;~$6)+l zDyqV!hG@T3zoM4R3cr!#kd38B0^rak*45Qvt<7n(ef{j`_R-#90isAq1!hMPGLE-~uW_g6}f774H0I`uOU=K4NP7 z(Zq`+u(Gm;tKH{*RZmx?=V=N3Nm_}^AUc~*B-A0&CjUvNn%~YixtDd-0i^p zD1FsH)PzL#n?I|*Op5x9W&*>)8vWvg9KVFyw)c6X%X099?g8Jk|lv!2A}23@0C z3{)+rm+#=+&Ey3a&2wBd#Cr0neILM;=E<7N@c+zkbDeN)jKAZ8I-wBo&FUEM&^8}z zVA&%|wZ@w#WNDT;D-G#f$^N-lm^E7Eb{SyVE4CMG9^JoE!P8eb+G{#xaki1u=;Cc+ zA709$UsE=9q8`P6(Eq`h-u5&5oJbh&nJJy5T)LAb9lE@`ZO(JpkjW z2E&Z}pORFD-EEct3zMWD48*_tF<3N2EV0+u9?8r;iW&~L z!RDGFSkG2^Ycc`Jwv%w?`~^x`bRDZXsdr!9vHCInWt&0Cb&&IHtHxl?;MgC=KVBC% zCTbs-NT#GN)N0i*Y8Ko&R1+Gs@K|e zbET617n)njE*88(4oAD*6uZR7n$1a??s?kLBqZ!!M!rajGaB3;3`kh9gENz=kRh^W# zA$ZX_+vlXJa_KT9gAt{X|6a`8U|LIeWCmi{JWQUvc%~#x3}-%Hk0f9IlUtR(s*jH% z?JE4j^U4~84I*Wqg#O`8sOTqudD%u~d>7T5+ z_AjS)J~Kh>P>^WFo;bir@jt5bz0{MhPZME* zKl!#&ts>dOe%k>%_unL(CC;6v^Jm-P{txc3^wd*voIP2&{K$ z$Q`qD#p+i%d?D0w^zp{)&Fj&*!oXykN$vma2nAkxpbs)^HQJIai&92i1yK$Kf(Nz_SfzLW7yx9ycy20dCRdZ9zJy(czUgg(np6(bQ7h zsCOti^D(t_4HHk!3VPS!zf^P@BXX(Ub3HWx2{xeu3UO&CwAo$sTvzPDj16N=qo;0_ zF4qTxmp=71Pb04vykRNaMiCJ?PRmPCu%mKI@7N@s!XobsPIS%b66@lJQVg0tXt7*Sks4`XCMaeMVz& z)8U<#VN&HeeRM{D`SKxjBlvSW9r&pL%iJ_Nw|u|ma(?ov0od&<&QDhViw1!HvwsEu zp7;N^I6>b0yT-=)f4}>o_gA_czwN_ReLv(;8b4qqrS$rzJykBqqAEsbRnsl?^AhzOY!>Y z`!#q_cRsnf3NGmU(d&48S^bw~fCb9cv)W?_W^&Z}NF2bB&T=ITEbeAjch=AiO%L4{ z#+v;9by~3!*T8I-_G@PX4AW3~EoLiM?_waclBiGrfhUnDU?2JPF+zc@@-{V!E zU-Ba=hn;<0EIq>XBxP2}i^c27Da)nBarX^io)(p`@!V_7A;k3l3!5fNisg#AXY#aj^pj-$2_Mrpz-k-~H;D*zBa;twwW z-@k7-*0oK!4`^JE(fqfaQs@IUkU&61H16{qUS3s(WG}GF4XD-Dbd)E zQN#nuFvfbHLiFM{qx;eJEg(opX#A?u=oT;dCSnd!nld2yGTmp-YMHMAh(upqI|PO~ zw|RN!db^j#Yvs>EH_;c&3W{DA@7gUXW_zP}wa2`T@L7ZBn}$>-f))op-0kV`X0-uD z<4KyOHWQ$``V<(O7%n}@8U_I3zF&8l$R|@@x>c1{#)Me8mnDy*^G^lfDS$xkiZmY9 zE|mzx`M%zZK=@~h!S$xfw_jzUTXs9DBn%+_G<=%(CA?XF;ppaUm(*g-w=PIm*Jot6 zCH%Sfph^GJckH6l)~Vq}HmpgG`udQSg15DJ#7XDoe6N{wirV@IOVQAlLPo*Q`c5b3 z&-Ui7s-UmB78hF`%2LB`RF>Qg2k{;C_}}H;Tv!L-`TNA)n43ri6hADf3Z_an;;OCX zmj9;Tyz3$NvA8?ibI(m1cJR`SAeBGq+d!HuKfA~&>MnD|@&07(IydpPhwds%!F%Li z;gxeC8*iy_!-2s`pz|zP32FAt-xHBNO+mDMw&gn$UpY=9VfLuq2v6glC$s??#4NdQ zopKEa1zVw(V?cj+)rs~VPt~%_^g{FCIR;N(k}ptWz~23f>z(z|UBg>yIUm^?1k$DSQ{G7U)1Mg9Hr+!Jm%}fbUzdRQ*Ug7H8cz&~ zvg>B+33&+Je?Tw^!Kunf2DL$6CdTMm&*bT$=0?TK8)H4l3Rb<>pLa#o3D3UIvkSkF53*@Jx zNX7oILARkSWNlHHh0NH&=Lj~G?Y?OuIWMR7mQS6z8#~`*EdAa@eQ0CVIlXSSZjn<; zW8!kwo|mYB{z366=I*#xE#gh+!M!|)`g)(DgDE$8%!&=he+hPNVu_8fyJ&6#+1{Ena7@ zy(4kF5&ujpeHlSm`x-xo_y5PG9i#uqU{Iq{jGAk%xn6nR-@_`u z%w7;bOM7pq8FAeIF3u}|UNvz+e|Z66en*xywy?O|Ry}ziZOa4*asFQA{Qu@_{|ty4 zYm1rMN5AO#2Q}^;g1%*rNKcEnbG; zazEe4Meoz1K`#5BnZw`ytkUjT-OJ)TbwBqTcIKP?wBEiKq2%$^k{aq*7lu5A2{(T) zRJI+!6ma-W+j9g_{wi%z^D|ECgx->zGi`M_LHPUNKm}477tmjISby^jdhrI(;;U+g zApNw~t2~4?TXpPxL(ovy@_Fh5>k3u z5|++WNtE|kuD1`r-?AlVQO#N=+YpMhU`biAImQzUn*$S@oJenysr!)PedOaoZuS33 zf`PvTGJu;Ni@(0C#Z(p(#7P%Uggxa2rMu7SW)_F2VnCVJllJ=oi`z1;d{Pl?&I}%&h0=%L_az5<#N)AKT$g8 zcul3^EjU_Ve#$}PF{CrvsryBP(_;qbP8+=EJTYoncG5kw4TGiE;?fPV!$A&+@fz93 zYH_miqrwi%bEQ?bU;-}=|3^Ecs<_!uv@K#zKenRf%a1e_K_2HCkBCJd@u*$L_AXEr zmi@3LbBxwj{t5}o9hfvv_t?Ooc-}cWf#BQg$I=}VJ4#B_-cGKXReiadGW`7__d_*v z_fkTw?J@Vv*#h?@`wcrsrT?O+5dv_3Bacikdh?!Se#>%?05k0;#>0fMZ&bt+9NW`7 zUz7Qp()xyYc1N%k(2bqWrXAG0cS%UfzFY%cPdohU^u9TvRxTh*#(DwWuta5TrL_p8 zp?DG%lVoNLbNuLdVQ2qZ5oVBPluz(%zED|o8~>#a|71m0e()k6?{jzSyNp_&6?iRV z(#Aji!gC7J5+Bm3-Hm{DsT^NzB~>M=--#?69#unHDmg<>{UiIx09#Ts&%%9mUD**c zSAowjE1;p&!uCVKeg20$-FjN_IbQmjvqz~%oE%jgY?Tgl+L<$$i+K2=t6W2vo)^4c zcD-Ua6MXhYH}Kb@N6lfOzRFfi?&cb31Fzoh7CqvM7=z6EF;Isj%4heU4Y50DZK`J2 zj8@yKZG(fceYUcipoaLxdaqMjSjCj?YG;QHr`p?YJ%tM8@K_SAtgHsX9sw7LJ8e^K z+5U6>k#V|}pzE8*yA+bBa_zrMlFQ72Gkru^Yt2i^rKobUeg zSn<4!&C_X-SK6S81K8GB$pq0CokugZe)W*GRE^yek&On2Tv+v6E`MNfKZjb_`K9a^&-M zi8so620!>z$f57YV|#48qTR?@1Rxb)`gREBX%1dhLWBK=aXkfsp9{KOyHIB<7SAT} zrxxEj$A%YaEA?$I5&W|ksSulI>93}$Mxr4VF0G365`|l)1^Av6IIlDTNhqb4(5Ar@ zMBe@6nXej5UaX%7Eze|#IwkfqD>C#%*VQY#Yrnz}D5UTJlcJ8)Bh3vFy^G)dkeYP! z8PJe30+Q15!@!snl=bySF}Fw69?8Eztf{ggEK1A8Ou{zS3N9_>3qw~nj*Cjk?B^DV z-PyRyWOj|7zFu2#DV{pC*2+ z?r1KmJf&gP!L7pUHg4DM;ham}V?lN-^@a24)X)2zBnCz6)ZwDA&<`R4>*;n17twPS z$V=k$J1_h|#KJ;MNOF^zdVE`jd@9Pt)_2vA>V{+m{n6!!>d>A+mApd!se1ppc@8^$ zC8lz3$dB_8`&?zB`{Sx-kVbuP%)~BvuN0f%Qcy-{Kyfh1$$N$I~pVO8(gDU?yB@utPX;hzh0h zJ1iVW@tM79e@aHmoZcN!vCQ$_d9(kt7py-7SMsPR zPhSA-^zrC@a-*x_gu)rWCo%~IX8f2Mt0RIaHn?Mt3sA$=fnfyTu8C96`$ytuT8;?X z($_sKD%myJ#>#$8oY(h#@x>8u3^`3SjuC0A#SHiE=QZ=SAsWTGBz!&HML+cYWG0W? z4w>GDs!kO(^{9i&CWUf#?|N8$H-SXpT2Bn1H01On6i0x@g_dSdD>}(5O+MDCOY+*`V?CXv+GYaT8kAKeq1YQ+YA6K2upy(AJnf=5|IIEDut1 z&S*fRNlZL?loBoiUZ=E@Y@f=XLyS7)ad~%`rd|fmMdyoHwY_a0^f@9`8UqYRzhe^<%VuU+5r0p= zvM4ho%5*rl=RgDmxiw6BSuPsRR^-~<2_rkFsPz$_b@Q+K#jGR=bl-`8xn_JBJbd;; zFTd7)LHhZUi>Ql=T?z~^n|NBWN4)UP=ej|Fi==h6=GC3#Vc$d+U`cd376})xTJjHm z*?#wOytlPDk=6tWBcnEGI%2z08jlPo4PWtUex9LmXO!jI?~T)lN=Cv?dzqGkeRHFh zn;U>P42}8yEdH8z}0%ARRA~?Vra@$_^I%tEvl)_M1N# zxhHLLI*Ry4oJ3ETW4?bpz!>MM1$at>*DrJ3%dV*Br?-YN>&= zbdk$B9!);(>|@QT((a_*TRn~6H}<^~*K4{zQRRV>JNkGmURGwRcsOhdQvPvff-t*! zU(GI!ZzbLBte>*W9=}T>ftaG8CINdMFVNzh(-4mBI1rt?DQkH)`?KoG=g!hf({R0~ zZ3)8_*>h3oQw)&;y46T*6)|_3*_HchwwI*@V{AMxH|%^r%i}K&Aw76WkC%b}n5LYS z`-_F;YwfPvimTb8DJ}V&xrzxQ#L9CiN16?tS_Nx^a)Ni4EI-`b)v(I`CUX9d=1yFG zHifE{UdC#*HD3ACif9#upW@;TWxM3*-yz4<=5dkGY@*=B*;|uUknKdL?8hMpeA4jpt!9b{cl<&az$Usj*0z9A_;Ky*s)U1FL$(1d*tFAwbQ&FT*~yKeeUN> zLH(-!o~JaDmYS&J(i zs4UzP2R;)eqqTmrjW%y?$c$3hyPNt52G(%;-`OjeFoJ#U1oBlK(!^!9o!PKn-a{*v zr+{BYk0+0-Yvv{lu;bUaU`b&!@Yf8zJ;XT*_HPqKoW9|_8PE`TK|-JHsJJxFs~?T3 z-lpTQqKvhv9YMNdLxuK8`XL+I4_O>G?=gxAjgNvxB8annd(kKD)!UBG%R>s46-BPn zmi1L%o|GGf+vE$eu#Q37pBfrod%Kq#V;LzG7hQf$8H;{tUD3^gjgbM8M@AML?B$H+ zt2FUbSReK$R!X=JY0%S{6>#7P)TI0pPitke_<$aSUfgoCco`| zUtE`&c-358TQxPOnqAcoHmJ;oziwwsn?M+H8m5obVGKlE4SQyZ<{y_A7&*~UbSz*z z=LpJeJN45WEd~2-q-O0bn?D+Qd~75L-PFA6;aJT`=*jYR)of)qMLa#V=*`M^c4jDB z<*~U6`pGe1frB#tR+`XFi#(Wlf%`GN*6UnC)hzB7t@g}2v34KM)K915Z!+aopnA79 z)mHxYb28hmO?h9HsHs#bGt_K_uxmWaB{0bXr`W#7^*eniM@n8I{6eq1^m!|~Bch>I zbOQ`6pKC<)%<3!wsWM|fCYK!C&Db0#%P!a4x=bFAEz`OTm|Zy;*t4$UL_9gU z{R9U@F7Hu!7ztb8| zSQ$B?>p4w*K=c!JsK7jf;`~s>i*#Nwz1j1qzD0ZH{+?KUj6L&HDIfhw<>XXq-)&oc z%U*L`U0y4#sFIE{of^y-PWKwJe40cvJ(~YhDuKV}O(-c1K4>$m4a>*4JDQ=slFC^u zVnKO2VdstSSbb`k`d!z2Cw*dnW1A5p=WQ!RM%9T!`o)6w)a3mnU!Mh7vuKyOXbMtI zc?*4Ze%|30YGb@-jCXOTepl{$$7(1^*s)!|Hi&s#aOTlZnf8T(Lv4+C*c})fj=aa| z55VgHbS^e`mhp8(tNc%0cdXjQdK(?VJTJ(de9C^t(*H%MT}3R@0*h&TxV@cKxqc^X zKFw)Q8)8Uhlc|A`YVt6{kcmF*o1pq^y;wH@LL>Q$9URbZz2OEX?O2oUZ~$1E2>?rb zYy}8EhyI=K8Jcs8g;LY*OoD3;8d{<&e<&{sn7IgTIfge>Z4HbPyss)bOuD27n0nm+ zQxA6xm{|Jc9}1x1o?ioKI20ZL4QB!9r1d&3W3%l&QGZPXY}T)P1{=Q;-Pug`C!@u9qEUbrcHRk(ftYD zIoR)kSQ(pD0oHq5U8sAY2Y&CTz?Zbe?2M9S{~idDxiiRfWF;x^(#GZ>qCP)gk6(~e zBVPw`0Nnk_M_;F=MjPWv=_8$*8A+KrmT*TNAjeR8Af5oX5F6mF6ZEd*TuM_le1*0B zv>Hweo`>lx+*fX3D6@b?b)XT3cd1UY`3XWXAAuij zjQF664_>_&26FLoN3GfVHcGq;f5oA1^lwY%UKdD)uOWSnN^2pg8NnaKsEGeERGaZ$ zR5AzK_)q`01Q1r_YXhBFz)A^Vwx|GyN!dcp9B-x+VyCbBO-)l-F4corz?4Q0y1vR!;T`w6p^1K7fPqJ=;u64#FOP{=rR`-<#uLMu7!lN~*2G_*# zImdFlG?l1vEaNvdUwFR8;JG`+dq?@O9MduWPQB^04S(I&8gN2IixfNJQHMRkGBLk2Dh4&(1Fsf1N=-_71UYS-5}Dv{ z(m;KGXEntC{5|S(VXC3K5qlTjmCY^mwGGJKs#?beT zsDY0&W#?B`(weP2FF;IaKd(-!4iSD#x1+h6#q%u(%lJ=A^1of#m^PSI59dQ*uKAsx zWc_Idf=acf|% z+|Cyok|AR5>I)TR07%xwjfRLn3^>*&OfllmL@KudGzb=w4zJq z4~XXbGi`*1QqPwPBHAPd23o3uL&4J_Wr|LLvPC{mPP1=Eb5x#`l&;7c zIqM(UgsqDJVm4BY^LnnU85~ysL*zj+vBhPtV5@>t0ynOc4(2Dpt;<{OTrZs7D?R8= zdH&&o$)ul5A@_aJ4}~2WddF-I>uh8f{;5TpC@Sj*;D2j#82U-(i&eQL&D3AcfY{#k z4v_}#XQnRSYO}k;=#(MXU$fAgvQ>kHT$b+h^dVXToGf|zDB^u@LXlrQ1?<{r$c+EI z*m8efNUgrg>b+Z$IJt75B=I5fi%#;vHZAv)aVAslfTR()In3hZeLERIT%M$ZWF1cp za#eQK$u91^e1YDXMX`xm#q?nJ&mZ}B&H{*M<-V3@f}Y`l$8*De)P*ck2RuWQ<;L$U zDpC%cp08jk!Man7ljNGg>a{6KItyB@3NyKnA1M)|I)F$S1#>XP|Fl$uMusx_ zD(zDaZg%*Zw)I3-8OwithChU*pRj5qfem!^sfWAkHZqv*?|3f{CWc7Tg5j?UX-JuT~BL~}}>64iiF$Q}hb z`-gKmvxIhXgr=|7i3d-=_jukEe!Bo?XG^ldt?80Ix& zr=$Cd+u9l%uCoglmQupNmaQJyEv5|d8APCW%-dv+czpxq|FKrtXmI5v$j*Z?$^x<%YSK^)4QlF;D9j250yD0wc z7a|Y1PM|t-0faEk-#zy%p8p{MbF=Wbf#gv~ReaeFL^`s7mTd|JEaB)A6 z5}KOnXW`6a5(^~(czulK@H;lwdT-He$j6SZldCgh7ay?S@s}7Y+Ea}fD+o+g2rO^0T#f~+dLO}9m zG%J!?SpgycVCU=o+N6s{`swR|6&-)i>=%x7PpZBJXfa04QP5I@5 z>|Q(8cTK#DhF~7uX8fX!vR?iK$5lvDgVC5=UQ5g2Qq6~z)tj=%-;Ac>XOf>`k;~f}#E$0WQ8l=Y%8c%DfR_+QE{d$Nlz4 z%;-L^LCKprUjv{r@rT>YeU@tkE2&lc^?lJgoo0f4kVqeL@`50#$eLS~!JEV=dp`Ed zcIZi&Q793=`X@8n2>q3%#YW#l!mZ{bS1^8u`}&>!`WatLv6+hl>Z6sX?j%{tt6h1I zJ_|eX6ORok|CZQ~&>`?~&<}+KvM<3EzpJ639Fjh(R1c=PnX-=!6 zD{iQ*5EHc_KL=MkhJWIUE&m|xbI!oL)yCURtN%TU*MTLzWzY&(SIk!aHXw@F1zV31 z+8W_rYnRxPk+wvtC+OI3G&hEu6D?*Cd5)nMN=p0?=P9Ach>2V;hDW9V0Hv9Z^G#=i z4yYvg;3;R%AsNpXBIrlbHG>`nIOtg*8#UpN0|eW(H{W+7j)`h_z^tjxCb4X>4`JX1 zZg{D{2y%!e-P+pZO@NzCprlo3HOqya9P^II+6x`9Qi_aDd=A56{voe(BgiHxtiQcc z+iF;A2w%7j@BPNk$E<^1^cuUcm@bNHnPe0#i*u^ zjww0aNl)Sit*nX`A-%mrfoM#Tiw^_L0tGO$WW2?@`H(LK+Gs#3(dAEKeu4OUU9WuH zp6#yN?}mw}R$SXjG@V8fsU48H&&{KFN}9POyplw(3UqQ#BstH)@i-leInM;EbbOUR zIlqg13|}0kl%20Uwx2GgsTD9q`i2r5JVw}OLq$E;&S2fJLNn{9TWzf+aL~vvnoc*VoWwCYYLRVnlq zSDz(PR`$+Eyp$9hPzoi%)MgwOylE5C=Cbm%fgx|qhE`gKLiHF!*sDI-GJ)MizaNxn z@W4&fbrJ*zAGBV5%VL~x1J4I*o{r|1E=X^%+F@yp8a^K?+P2^nr_vGrf;1@D3Ce&; zQ1Zq0Mz%?B8pWI06nRBB;@Apd)x-4rO)Kz~!s(pdwkU4oWAM`HSy@3iuO|$}ATZRP zTSR)X-IWh<$ho)7hsph1t>c5;w>Dg?$lH0LYR?6pBxXHy0u+3lP~Nd{#DheQ=J%7r zW1(97$xxB^T)chew7iTbFA#e>&DB_Tdg9%&b4m10-4tq%c7oSC@V%t#EftCh>2?Ma!OWySUT4q%bT%gDf&rmQ@J>7Dw?PVzZE(b>x) zNT&3$K}$H^7;^Vw%SAqEsgg8xB9x=QMKSh$DtHOjua^@Rz;?mRnU5zYJA5RukA(ODogfE;yumy}Rr>YHB5hhql^ zII*jZ3kPLk)|`%(w}l`G$%BVx{}+fIr9Bvg-Uu%9TGc!~NnLLvSDxe>a1=?+ncK2? z>h&Jq=viRGylKvpnCLhljHkY~77w95=K*hhoC6T()k-tf;JW(q#gjUN<@+?^^rOp~ zn?xmu!jDM!E9XGr1lAGp4WK|W13XR#4*+lBo3XAAeEKZc(yyu8w- zN%JSsU(wMRBTkvBp$zxoC+9zobNpq$B{@K~BrF=)OD$f8&$w{QN{q73=}HI8pv$9F z2fK*IE;`>^BTG?7E>QL*viCNmZjfFG7H}!$^%k`l_kEM5oWKso?BEji?sL^o^NfXy z`>);jy=v5uF6x33^({xd zEsL#OUDr4%RS8EfDx+h2Qjagt-ns7fzl)~2l0teKm*ls$X=#nTh zKu+szfa`dgVB1b}`aMNm&se5HzRp1ZrV7teArK|-2uW)UHOjOllf2hMs3THDZvD`@ zO6y7rFiSO(Q76BFr6_;ER{`zPxK8l_q4SfA5%LZGB;p`Ar@wura_Q0{WUGM(&9uHD z=1u(amO%-l|Wzw|)8g4p;MLT-s{uA9P@7Sy4Unp?B1?w)q~nTs~1?f}Zu zo`k)W+qU@WlV`1sk2dep%VSqi`RjO2VVP{k%~w-<~)KVN;xQEIV)rR73bKjk+3 zDa~d}lo(xQ;-Ei}+YWuzFS{Pj=}HEa_f9`PvUvTK&c87ZC!oMGkXwuyZm@dlsXGH_ zpsF*ekaWk28Wc?)tlu@Qcr`SSUdWvr5@4nFV#{auBP9D;o|n~7^-e%zO5}cZFYObR za*wZ0^=PUfU`M@$Yqv8N>0BRuCs`r?U1FRBh)z)G5uhi=D*Jj#F*U`#{sk-g#=1(` z92*Oo2?F0})<4BLNm2u~F651r$c}ZC(`UsSs$cFqj*PT##nZPPS6Sq)8XCAdL~#@k z%6kJu;gpkb&0M?Y(coPF>b^NKxg>!_GSNjnUdN;iS#h@l7h#_{z=UP}DntbB^5j~E zckGLQqt$}=BtuHT$YQ`9KUZ6`StZEc>pf!k)xMcSqxT;j{@-r5WaDx#`HxMx7wQ)S-NR z6`tZ4nSJ&67idx|2VnVl8qu6a7iGJb+cigdTxH|s#|azA=ek{C`en8DJjhNtzSAxM z_yH>Mm51R4Z8437Myg*Iu(VYZW*i?x_w?wWI41@N2dZ!xG(s6%v655Pl*&JO(**Z6 z;19(2nOkb=Dj-QP#Gp!W+q8?p_)|7caJ`UKPmLfZr3cRs$8DcPGABE-Owh%>GN|&{ z;$NaL|3ZLdd>H`~kF4)_c>S?e*#23Pndz5si03CX#-CJt^X~|@)4^^)>PvBFrcNFKV_oar$UEmvrEG!_5 zW=}zcDMEgqYdY8EcTeu5^gsUs)w&Dv>4=1=H|sRoYYn_G{=9`r?JPm%yJn1Sjd%_N z$6kmN?_K&N7ur{}XQFuSH9o+Q-;^fmefI&YDLwGTvnF=agP&bu*w<49*ILYGlWt9K z?@*JtdYisRV-5A+ccw?KxzTSD9w3;mt@00>rx#iUnn%OSmRJ}@W@G&>t6fU3UVdKx zx+yp+IQ9UD08#eHs6&qHSy@r+#f}E%?1V}6whQi{to%xeQ;ZF$CK4oQYd9#@0_|jN zUm}L3S|MNG)MriiI$Tvu)ZTE&e{Ts`iy`}So)90#kaps|X6%|rIgM$vd9$f^m}E(JOVVaZi+7(KTl!>G ze&K=Qp_Nmxl)M568O?JzkN2@jpq_1qYWYzgWNTjG4iDR$SP}AYmb?wt4#-#>c zcUmpee)c&h_3{=#D<=dETgyx9IWignhtAn^S=LXHFJX>RtC1XV+VGTMg#0XjWz#|iGH9od+KE?a~SrY7iYYppQg zBew(`D_PMeWjYC8Zd(y~9CuE4oYVRJh$u~^rJb;t9s)z9i;_DHud zc6+~#E`OzSkmZSwI6onWi%$CKu0vU6eIudI=9HQu4o2!riBN+!WZ$4GX68KF1mQ}2 z%fS(YRexx;FS-uc)q#meZ@^Sy3reS}c)i^QDm3<_L^_M)2SvEwBcb4f_$Cf+Kj=Az zw{mU{bJ6>#v^YLWFDZXtsAZfstA(1Y?Gn0R6aXb!eN;c2=m6QsCbPSgBR_IiHYsdO zM8Rt!;5g1i>xU@AT+R@OaxJG0q3h8aw})?PxxV>QC^qmHWahM{*G7HD2EAS+-33u; z!)zC*ap7#&>6;iOX6d8T_DARa@zNx@4PWIwa}kuIR}?YwexAJ^)MV$2B^-Xp>*8qp zdYD2MQ0OqZ(%yooXeJ#;{2+ydIS(XpPM8gk!;2Q9@Sabv4F8WOatKkO4EiAnpGa7b)5{foy1;gJwrFXLA9yAR zB(=(fyDyaPh1!rRDWw-Zm2+?qKoSAjWRXlio7TG0nt>?LJ-=_%YPBoPkMuZUN4qP} zaHCkW;+q>sja@8C$z5eQ=^0_vNK}ioA?t-_JJx6|4$IX)Y^3z&lF9ZNyKb{vv$ryw za)eZayo!6rII_w04}=J~M;y0^T*{kYXew+qN_SU_vM$a`Giw2ON1Aiqc-gp~_@0RP z9Q3k$B#AxH5fgG}JJCKB3Iw*2${&2%*msLv(C(O2a-daL*!m*8+mW3IDQPGwco?VB z-55d*kIQ}nUaUqW$rzObNl>gGv)LvXZPm+HGZ`3q07mM3Ej8ob#T&f+LRS{E%p*Y( zz;zlL!shp|OlDheui^4~I$#x3v6`^QnA_k&uHcyKY4KmJd`NSK^Ou%3CVG`8%%+0I?<&ho%9LLF-0vWSYE=?rz?@Jh5H^}kQS?iy&))D3db*y ze}MC#@B<{VUuzIlqxGTx6ZCAXkamUf-eb| z2)bxz!(UD(ZP7 zd20z0ptY!}!fVZ3@ov^?q{6;@qK~{qGK(y!CRASOrd+SjLa2Mtz8$FYA`RQ!5~Xh7 zEO?OHI}BkQ>cDBA;i?2}S`vT28uEH8@FaK@6{39Fq4cHhIu&Nstu?DvbL~&A>OS>B zX$wba`X=9!E2MK^-zXR;j26bi8COqJyc@+AjOVlGjkLDU{Hg^;mZPjZj(IZ78hr{7 z==F`$epcnWh6m0ER1A#~%nN9<{2zKTUPdF^1HiTy^lNLd$v~kMs@06oE%Y4EK>U8N(s?uyL{@x&2CDW$oip)Q0xGSqa0 zE#h~iNuPi=D0;~Ftpngvo*=q`Hrsyl=>H&q|0n8a|1JIPKV#mIW#CJu#&YTpgU1$+ z+aiu*o#Bs-zaxmsS{nEsYY!}cE)eq&+d=nh>i65NK1FCT0kFhB6gq#qw*RSS`d8ET zzX#`JO@cYX72FNQdtmT}(~aRb{h);wzuHF0yVS@2-#rRcU7QpD^~pStl8mb{&assc zXz~KBF#FAf@g4WEu0hN9y$B6UMv>tsGR&m*?Us{D%vJCI6>2dkIbzLSJYt2S(KJnU z(S09@RLER1k2OZu%0u2574*@ibIUBGPjt68eUKk)?xnG2CT4Y6cy8&DT2@+>TbIeBF&v@8GA=9=h~mvO*OKFMzKzOb zeN=khH8V;0BmkJ^bt>8U$^vC^H(lI!2e-J6my|Ud6`Ga*knt}xTKu2+TJrzO*KB7z zDA$32o;tA$Z=smzlDM(izxEf%1;e)~_ao0VG=|q8BQYvL;TaI+`>`TofiRtHVdQK{ z+1C+3>boK@NTav=xH426#&kS$eqZocKJx!|x%ZEsMr@!x{Tj^>BEzzGK&nHP6FjZW z^#_7#Rgs*|=5KVBq@|@G2zzV%k$q!mz5eTBazi?m5BuMG<74KDk8=AcWP;8dC_Oes z4@R?#e(bN>AjWDN>hE5SxQfT)_wNk-Sf+dH_uYxRKQRIZOnGLLx@lhfZs@imZ}`3t zkt_~FC;23XtcqWwcA(ieM@L6vHQPqsm-XUw?!A3pdM{Z$5eC0s{o66RO;VN!3|ww* ziA`RlQu{m`t!A!CcDG>?w`94fc{ztjB)QRxv(ClfuUlpr*?K8=+}(FvyeBn!0Jy5a zln`>3GV}%+Ny-cadj5#Z$Q|a{Z#lP?RkKlzYCH*($h5eA7PZ3D4SNWNDkt!`gW*o+y9qsKyj0th29BLZ}EzAQGZRHvlO zJ-RYFD~3<*mCS3LR|3*BbnL$6Z;dZ%DzI5dkadalewX-AEZ<4+04%g#NqZf%js|6% zS(wE3RE$=B~jw5D|D-qtrwE-*Al z$Zstbz2OLwFwRIqfv5KSsi7L9aOro|NRr9mW3teG1oThOB=(+XQX_vBLR%jV2X$aY;6uK*rfSog9#%^dkD zPfuD35Fl9J9yih|lo;`K%d0W73lx5+N!9A2Fj#dK$ZSI@?&)ShZsG(t#Yv(#qnGvyDH>5@AQ)>4#GejTh{A53N+=zHNfMTH= zM2bS4OMqm4Z6+b~icEd{yyNIAxt|u;Ja#a;k!YxhKR7`};=on!A~Mgbt~>2w3NYY9 z#FrZ6k&>|r|JZStkYJOl5gbGo+bDsn!wBa-v{N6Z9cSBJmbb9F(yPbEe~m+aK5(Ng z9Q&e^7in7ENI&NwfCC7IW6upSdVHYO;r4uszU4{DE8pzXdV1*Sl3d8>T1)611}SDA zHEpaC?>R3hlpNR)cpBrreia?XWp>A27dE)qFa6X1ydcRihs08AY^uwPLjye{uV0|vi372xr^R8s%L0lGUz>tefl6sl*R@n1 z_mrXzw?jNNxe%rmFVfzWmzhKw#C+?O?}?gBF(U!+)6LbZ*|w!dJBhH%;xfX?%ch0 zVG9aB0ww)(DUkY9c!JWvA0gC)UcUWA0mQJ7I-6?AQe9i9LaG(pkZHB?vG{QUj2jM=jTJ@?F|hLLvE zuR-S4=`#wbHdll`5uopmIM$U`zLpEJ8TOooc9A7mweP;h*EDSzn0#I{&q$1DqpZhU zV5o3=k_5;_Sr@Qc`5kDL zI~M&|G+r^**VUKy^{#^o;PsT#-#vQbi}bsvyeFgy{FSQQq8|t1mWc7%hL$_tVOP{_ z{K0L-rbXHou5>gzE5IS~!wd#2@JI$k zB|$MVb`$@&KO*W=o6|$dzo0&iaV6~^usO>Rhq*tLpOAe}@?;GNQ_)ipxT16j@NY5f zM=C%v)qhx)7br5Vg{cvpY4ujD>UQeb&WTnB(P*y`y+CY1AsmKKvu#xHHP(;WJDar(>MykjxN@0?rSnrFOy zAWt}>hRO;=;#Bbf!tA%yv?~^fc{k6&U8n`%vE6X-)BI`h^}YL>|9;zlFUa5Skc;wl zC{W>s3#jjxyCRYV78nzDj`dUN6HSYhhQ9Z2za$w2sq5AMhlzgw2+Qnm-}C=NuGt^u zkOtH}W5i?mykufgL(PySWmW?d<0Gf{!~s(oE%;K8$W{8yT1;ezAl7O{2h$$%{di%; zA%!e6hIx%#BH9~M>(x|;9=B|)p1xo+`y@?qtGXuXXEzS=og5Pijvq7(lNqqKi~6hR z-uN!N>~plr`EwNVIxs{qlH0GnRd~cCH-w(>&9G4(>6;7l7pXY8TG1bamX@a9j}+Lx z_cMG>P%s5jWQAu1)nh*?&f3|(emm=K1RJ0IfH(4Qh^UCE5G;Na8}9GzLT@=@T8l|j_g_XPV3Ry)& z@h@adhCz?**WDYwvUwRPd8=k{%)NWTTA$EMJZh8JOL01g2`h+~FQlY+P*L#qJ&w9j zyK%#SdBm4Hjs=MUWmTQg;$^Zi*wTL-ERNZK3x|(@BF+k&+FZ?M^M+Q zGN!gniQ7jy@MKnJytPWdw%9lf2IGWWq-J?qXC84{__YRceWqtjiDPD~9OkA=-p1%q z*x)=Dr@WT^1v2Pm z-Gpc4Z+K0kkjfuZYMs@3wv-7!Vwk>7WV5R+VdyXStqs^^WTBLh6&tyyTwZJKS@j$IQgl#ic9lh}Z zV?1}+&>FMW!$(`MKXwZ4G{Q~Rs76e6<3-oO$1KTzr|ZvHhMuT<+X%NXMgzfiJ{?GAe_f}ZC-@w_9fc-4gYc7$210dK_^dU ztjr;7*D;?77-J|epasF;#I;FIi!QN0r0g;2YIwZV9*Pnb24Id))lCkNKev0H>Ij6j+ivi_p6)KDfm{~(MXK8G2IEy$94y0TgUB`*BU3Xzz}^U z?TdGO`ulvW16jH_sV>*cG{Uu^L09s~4XpW7Z1(jd{F7Z>Pq8v96i~RB>1mnJ%Xs!c z1V}sJ+FhXLiwW3c%psDL4Ev*b^_RiY3H{2m4)xyijoz1=3?YwyER!$~49d!Gh7g){ ztx!$ES#$OF7O<-4c^B4OvvBGh!<8RtC#q8yX@({#iwf_tJSqYlD34Wu3o+j`T}IOv2ngy*?r1D z!mW6EoScmP_WduAcHNh@mWOilm4$I^u|FTYSm#*`@YVL#yue^JRP6I*ms(*>R~YYS zhoJ8$$|`=P zDq#E)W~lEc*4Pwn@!pZd1~y0@6{10pg10B*o`rkuyiSd}s_MHwf<8NR{OQ90+^t_DMsV_b9y$S5s4sH7qIKyj30k zWMPukqYW;(_Enm8U#8P-gZ$)UXB@pFSTVz49Z^g0%UQ3@!TC(#(QD!rx(@K@WvYuH zm0mtWCmKez?Q8UFNIOhVjX_91k)za5}0j)u3zBG%J+38J5y(nUsqm&H!;*4)*~o)AbI=EEAjj( zhrf$1JE2{-uYjV9$ehOL$$NRsBPCpJCp4hw zGO2EG5)9`-4cUPqFFt$;bXMH?Wh~!T)6zCFW+@WU<*-StAsG_>Lm&>ound5x#Jl`N zbn7)DG8}$e2>qc(De?b;0!R^0Cv)cNu~g+-y)^(ldh(=JSl~gzQ;j!qvQ8@8SemD@ zFx9q(pkNzO^$hfiJ;203CZ$LJ zH*CND^eX&WgC8_a77r|W=w;;2yRV4x81|d^@EiGoZv$}F+AQo8`l2KRxsf9Oj)tSQU7Hy6o#$ky z`c|e40Rn?rS$0TK`QRwMd1_dnvNARzziG-{c>g@pw2$@it5Ht&56ZM3O+C!dWLF*X z8r)ibTu|~)-ad4X*da(o4kTe^q7ytBac!>L$@g93#8oKY2hXQGm|WMQu4lVT84~B; zUUQM}huC;=B3?o<5Un)7KofQb?)#FjT@}aD7KAHXE(D!pn$Iail6Q{+{dZa&gn-hGbcdvLgLF#gqPx2muvp&7KIi<--TQm)-uK?m zy}!TiAM2x2CyY7f8t)iyJn!?UY{a51HettxgD5GVUFGiYNc*R#HI+;sId7L`!KE&h z%>o_#JV&c9jvA}0)GFif9A}me>NSE-`rSV;Uqj+~&xDAUfT;WRiCzcq zL}izGuI_*(Mb-e}=Evp6U{g~hsWszw1lQq%j?1^&Y$1+&O?*(jq~9PPFKjfURDPNE z=DgBoH8^(fjrp9G<6`~*u)ULCq2 zdW>+4i7iX@aQMs4(ZN@@$>T(Zd} zA5U^OCyvRj&u}4u!9zgMwc!)PDIZz9p&AemP)+C^m-1r+t*JJF0Gv$?umC(A6?~+A zU}km4LU)f_NCCivHW)4o{(txVm+!^lCcixbdroiQ`$r1m4ZKF?iF5~k{^v(sLYPzW^@$ctqQg!PdO#G9yA$8OIvQ?U@f?k~?S(Jh zPiv)q5#H8+dEJ@~hXIzwZn#p^ze)~T)@K2#4%qhep4HSRX825vo{?<}` zq;=h*x+Ym(S5JNXG_tc9>PjB+F8jH)YOFq6{Z|PP8k{}?8R+jy2KW;Q00d6wDB$p{ z{RG-hY3?Z$9@;`qIL7{_Jy2=nKJoOIp8&en{=8oPJ@NGaZu<`d`v2pFaEzF{TZKm% z5QN8>SQ(iRdNz7|jDIyw6%(KU>Z7`oDpLN>w2pQu&<%!r^yQ|x?55F6v!DDe!QSTZ z(>L>i?xmER^!`5X1+;GW3f~lv42TiT*IRGDXyR4|JAt#(d(-L&&O&ou_X*y*J&>Z$ z`ahCoRdG_*a2)7eG}l)Pm>9s=cYG*6STYlyF)n@B5!@(QMp$WOm%Feun{%b8MXdIT zCHj=z4YQzmNCbHT;pDw2&--YDrO9N1v*(#f@3usV8)uY(VA%0kSH|Q8LDMvK^;a%= zL{1-*R^PL0DOE#xo^H#m29o%)6AZI zju!d(*>A*uZPvL|)k_wzn02IlT_C>@5?^}Dq;lOzK1r~pOZH=uLt^T@a+OiymZ^Jx zPQpI=b%XPx+}K_^w8kQfty3PpQBOF*Swo0k^0j7EKgx82;!j;)H zvkaE5oQMrIYOxZ)2v>R)XN#6W{q1%$5q?=-gooBZ zYyL`RhHK$~dOc?##vaC9o`@v`De!H5Hvppa!m^ouu~uzV zBzQs9`B|0Di&M_G$>rmcK(AGOdjVCth;m7_C4Cqha^XjIybDrI zR)GWtIBQ?79=`CdE`IZ7<0`joJ9`lEago@O$Lrd1XH+6dk3F&ZHwXhwhH{9Iw{N`0 zEl7b7*A2se_9)V0)VHEr=-Jh3t_FIk`x>m$L~1Z)(-3W1Iuf`%7NLvITU z$fD&zhIQ%qJ&a*x0ggiG3wII2O&^FgG%8Unbn2<%byLjHd`G5O@}(OU^HRKYCZij7 z8W6<>=*o7CZ=>zJ<{BzwYtA*xb{9MvzMB=y>FVf;SZ^$jc77nw0d0sH3BV}NO4a22 z&ZJH@sv|C^G7H34=RCPfgB{13fmS<}* zoKQAP{MqJv8ZLL|LRWS1l)Q~mt)YY8pct|r$}7?;)O_0|*;Dt|@L}Ps`Up}Pem%om zp%6xXzSQg6cZx#kSMF|~jcjVKikLgNh-^yc7+P_v4h){`&ve*WmoWFc;~UO<9SYEM zuV{bw&^4Ksk;zYIYz2Sd)=$~KwRXC{PKsb&+cRp+YT8q&Z_<%FrtMr{>U%fzuxL(; z@Uykh3+f8Vond}8#pPM*nD?>6tE3Pdt`y-0KkiWlE4`RUlD&I-XNqd*J00nXLb0vt zxv+pwc)9XWhx`q5?{b+Fc8(G;$5c+sS^c`SSK?dh_-qdkXQ+&y@Ub1| zJ0f|Qps~UC_M)oz;Da0LS~yssin{t_D3x(l)WNK9MvNXMaP#t4R-mc?$Y}he%MzBL z3CKl8aAv!ajdhW}ytaL1W;losdQ7~2Gs*l#2E!Gsb1SLjH>AV`@h}IXi3r1Tr*z)U z>7P)Ob03{~ZBc>tQdY!!aq+!5TkUBvUiQM%U-a~iMd#9oOO&N?=p6NQR1?;RWnM2! z_YdYA%EmcJy=0_oCK$inCAog?SJw}qG+l`0`&OlB~SZA;9DLKaz<_TyR}h5W-h@nM!D zV?f#J7LhAN_#3ob3qZz8i)5M*Bjd{roYR~w7Kf+SAB?~555)Lum%*uYS%AK7;3%X6 z3f%$Dal^r%^`jMK#-#OPoO$eGS7fO&V!ZNluetOKNjQt1ls)X6>Z9wy>WsgRL~6<= zV0a@>j0Wy6UeeA@jq4gLE+S*83LUfsAycLIH%+&3BKZ8({J`mLqd$JCsUAbk`*Nma~c133&Ih*xX05@L`C zkiHdYZ}GJ#xf>yu(0nZtEf#ZPZ2CZDtKDan2qNt#Bh@?jK=N@1W)lL&yEGi2Mcs0HBirV&+%ofBDf(JNBL57sgcX)d5QSPI8i!Jjw| zBtBGB%6v|07bU$e0L5Sq)IXx7j}webAUmlI=NBoIp7EFZA)`MyBSy>GcQ8KCSLz~M z%S)c`=hRJ=JZY&li*E8wJDuY(Wd^RkyXR!FZR|V=gdnb2(;i;jtWoubJ(V-P3#hQB zw9+%Hy1%p-X=!DOEPrnnJZr7{9-9HxFw-IB@LFmo^hx!Q)EZ21+<~Ar2rl6o`TjWZ z;M3=*N=gDgbuP-6shRUH_ZHcv%wpX*PpN+}2rH`_VlEYx^Ax3H9YC>B%w_4>2JDES zsmVp`Ilb}-0filvis<8W^AOok)SyI)+);nWp@T{SOZwQ_{3OU1@dr5?2Va!yrw*I?6%YT z(AJhuRpzZlOI0g-cmRRXod3XwI)vH)|3VG^%GFqX{>wXkrdT|i5XQK)MXNSNoMQlbuC6~tzyO=ZN<62P-f{Z;KIj@4U4tJ9V*GT57>+P~d?VJrF+aOLZ9;!3CZ<*GX=VLy)$RkMsb4sL~0 zBUoJ%z1yublvNI1eRd1F(Ccs5-RS(Fyfr{xoW2-S+keS@qMR+A&){4EahF@7pV@-T ztTuwN^~?cbfCb5`l4?zSRds}kc0HB8Ne};2&37-<#C+`~UBLkl*THb%zMtgHd%xfi z>xP>A)dbuc$5aY|Oj1%RR^rOtCokpOYxyO-lXCCk66qKimPu+dezhOy8j4=%tKZT# zJKrfiVPDd#zM^S4KiG8#E99+66)mYT%g~f;j#r#q#iD!Cx#Tme z)l_2pN(JHOxQhZ$%KqR$Wm7| zA{!DnY>?F?1Ta35L57#^(*-I*|4wdo6)v=O)B%CfrQdUZ4I`EYeuK8QR+vxu%P!J^ zU@^V*nxVD#3Z1?KXz~|4>4D$<5ovw^$of>=%l!F8(Y~Hzc)g`ow|;|rIPJYzo$`tq zj|_8-5)H%}XAq|^uZzdhVMMA-?cA)kWz_gE*?{Gs2TRbjcC_plrFC$b7sOn@oa17$ zx#AaH8*!4b@48WdNX!74<{$J)Hh=D>nl|5#-!8CXDmh|UX{<_n zePAlW;P7rGY9usJl?+FMhakXdt?Ut$x={y~FXC%WiTBoc!a$M1IFj6czEmYpf*1{e zF!RzURh?YM@xdxcRqr$3U`y@fY^-jvdH5; zHsc=%NmK=5Go*L`P4wJLvRFo*0w#F6$>$+N0P}WR=ayWZFfcXRM>ZZolMz$CXMv(= zm$_$w>hEW`pN7$Y*Axas?*S3ZkQ=fluHPVkNg-Gvkly|$=xJQ**DBIfjq^q?8<~U; z^3CSt^H!|qyFQ2H64t9e9NU0~?f-?ebJ5FoCmClm1KSs|FE8mE1bU8NK-gfKg@-c} zFZ3v#4I{5-Zoj=g-6B6rYwfsJ&v;O;7npv^qzjp`R0ZgW!ny!T%Rd(rUj5hdCBVW* zZs&*CC$!>Ke}9QdI5agb7vtiFFU3Q7ms+bBdTdV`3X)UNInlPk?t~CA6!eZQi0~T( za&=oh7haomk$Ab4rx>JQ`O@_s1cUA(7u(LYM&Ykd4Y7yt_WeI+n%w;hyzmj z1I4`SU7JvvwLY?_XD?j9a*{U))WSWW`q3nWD^;x9^Qpq?r--SI_A8XM>}m&tEme$J zakBX-XNz0isoU9}fbY6Vjt26{X+ytsFPoEYXR(9WHL7-Om^nyWYj#~iF)KdL6c$}6 z5~aNAyNQ;yUnCuEa#*<|TzX#t!EA0Kt*Oeh?{OgHd5$!ZHo34feVLS+q+@>dbY81< zA)2$7ed&MrjNFBNL#)9r)#F{YjeUmNcWE8Jc7Ywn-+# z8=$-c4xf(aNZDQ~42C|rTd3DfyGYEPb-j`sw_?z@!KhLl$$6zcPe@k?8sai;t$#9}cz88i{AyO@CS#+IJpF^=$B}PMwYRf5iQ1o8iu&GJ-AwjMv zf;i+axg6zld~;ER|tJD(QL7(fmL4 zO#H|H^Axo{ZX=kOUL>ne5S=h_j{oo}V{X1zPAU!gl*g#nF{DBX|5cP2VH$NBbeEJF z&)j}Tjg7a5pi|EJQ?4^NdS!r85H9hjf=rorAt`TVHOJs;MlKM-R4;;|sG@;%rVd4wa4B01@axzr z2LoZ#)6~lcTvq%FXd?fs3X*@P`K>f6Og8PqWX8*WV{$(B%PV_9Dqs4H$Bi5h3%U1> zLc(`B>I;IF|Mf!&8STtWm)RGyc-MCUmRJduME}UjqBWDMk)&S68vD@W=l)NQ=un7LK+!> zK>p+gT5*$vR`8CG?m+AjaO4Pp2&e3=YZ9KUpp_Tj(wTttw?U3~+qE2sU(8s%Z{;C#P9!dR3*7vUPfX#Wvd74jXe=b$m6<0nE0nxw8#C3|gX%3!4w>u}Vcg$k>s)wCtZIpHt55#AKXqsmWQJf8JUC zO@)g+H7&l%%Id&oCuK3jBZsNj$qUkzM17c5VC4IvE}Yvca?Ms)Jz9>(&;eS6CC;aZ zv#Um86XMB2m|h@RDSbLv#&7&G4{r zTAgialQrihNBHWz6XxNP1!@0~kt*(%<`Q=lHTKqIDTp605@gMnR$X3Xp{ZJyYd&nZ znum#tVMV9lS1cVa#qE zg*S!s@9NFbU)tcoD%>p5n zRXh0JI_NBCmttgbbG4%dIsOWBLHLkJAdq_gtrYSoJqWUmlml5NgTQ6qm$Q?O?RiD- zWz(n+vH^`Yrp2*JlN#_PhbMEYqeNZM@Di>sjzUaY>Ln$Yi zC(rNj-jO(eUzL9%{oENx%Eqej^)sVHt$iq9=_WmY}~@_$EgKDUi6 zGbl})F?m?OwuZK=E_8*hMqL-hq_?e&Ec`LScK|T_-%k(x=h;ut zRpGlS=i5Y~*APoYNjo1n8p|_x0K5uGZv6BNV=OK%md>Vz_ENOpX-apXOP|?iG|Bls zj4-}1T~xyOVx%;K%#BtGf)`Hz)6Cu#jzn+Rr?!aD&6qQ3NL#rB2hZn9!0Nz&)v*d8 z=ze%g6l^Ct5ji@_g*PB*;4{k;D^L$$WgsrHh+0r68|#j_lb@V3G8mI zNJ>SYbD3Pv^biZ&>&-!$@iVZ-t~6p!+q%e^ohx+8xVDEfl{J#jhDJaFd%AmSqfGpI z2H$JHunSdaY7XE=)YAM0vCO)4+l3W+082*i&o2$Ap8e^aS~AF@-jtA3NJ9^;7)(jz z5B^v)YD);P!0d4F^{{)tx*^ z{thG-*#$?KNw%xb`hdx%X30;f*9Uy%r4Mfw7t6Xky# zFHEyeGaO#xuD(^G^-v|he>zLD@5Hn*+air*qL{%`G_#~@5DRDp?Zvl##`8R8ByBR= za@7WFZcYr{5-Kb?@*MmrBIDV`cgUZ2e1L=UFGoTGKbv1rv(*jmt^p8`y7w*RYeAxh zUA=ERE*B}9eoQ*uJ&|ZmT9t{QyGH*JH?v0NekDcn2sQ|3Ctsg z5YMcaW95CrdJSH$9e;zK?y*P^G__D2V-jxrB8%A`_Je-@WJ251$^WMbtDeM?U&pw( z%#!eX6Q4PVHP&1xj<)o?cDy0;emZ%+CxmZKM3R>kv8A)1+udUMqm95LJuWD;<>A=Vq*D4UX`GW3=Jhc2&>l?J3OPND6OCO)ZVwYU~-`W+upL@HQ zNud^7?lUXk%0m`zNjH4Uy7W`+MhliMoS@NEdrFnE#GK&c$3fi3{YZc}+U^(7Py6>@ zpd*70K`ocWcwUcEkAfDDb-H|I?SM@^*dnjqK2Z zt7H=8A3rSFclbr`tQ_{(%xHwpPwF{HD&tA~-)VTaIGsdvlm+o_-0ZqjCxkSy6m=!O zNS8W3%*3~Rg|Sf|(fbps3|@!@06cxY$B(^fVO#BKR4uaL9{2csYt~5ZdHKtN&44e) z5GJLSXQg8z*CWySXto*HBT3g+olB>Ro+iIRddH9*tP)!Q=Y(MMG(Dj%CTsu)eR8^d za#EAY5z-y-b5UhoEu7$mB*?S=+C64ikz**^Wpx;D-cr+3(>j}R9h)P?1^f{w#>6V% z>_LGcDw}WU`UCOD$F31~eK*;guC)lU;YmC|-S~RvT3uxcb5F@tuk&l+%f^{`y18A& z98-xm1rDTH;WCu<+~kTWR?d$e(isPuCPAS?%z~GP#R zC>{`ROee93RavXxS`7J;pz6(8bNnQTSYw}G%!MM87pYDlhvj-7J1hP)A^phcd+AX- z6vdtBbJYR5lq^(Bi?J#C4ILGC2Tna7e##0GG#kARgnnw{M* z%Gxq8Jo5ox*}Y|La!6ZkRhBrg94yb-JB67oqkXYz8gl|aNp2=&?AT;w z2;}qTi&y&`>NF&wd2ntMq)Qyp;Z7b{o?*_L+MQ~El{D;OfAlrM=%Xi(hXq%g7k&6eFHMfqgP2;L zy6UMljIe*LHgQ`gs?ZQ050Xjb`FA&CX{qPpGJz@Jk^a8rck+61h@ttpK#p)>5v^|l|K13u#d~JjHN;L_SLjf zruso~6Yr|IoZv2)O8<6U&F)rWrxx1U7J)OfqpHR=U2&H_P+RjgH|oUUDYptr3P^s0 zWEPgbBGn|5B_SBaJnJcyCj>n&%9wM0k2Y_#KxU#&@koF>1Dg)!Z%}LwDW8wmrCPWv z!Yaw?FT0BLDisKxPkU>HHcyg{z#E?3LU_V~{O4yNjynm4!fH9o*5qe;UUpg^e~*Bo?Jlk}B7&?q^GejRyf z5+`gcQECy`h?==3kL~Kwr3)UnVP9~OY4B*&5z+|RKjpq&jedAq zn{{apx=jH(LDzlzxS^({T*QVM`2kGO4uuop5(hCI~Ree94g-xdKb!sxVLp^9~phz zdO_>c4uGCPXzRMsG3{Mo&OO2V#=S_;076{o0c6HP{*FsmO-1;BRfg^Vmd2SFK1lJ; zAEeb*LdLYYRgUV3fcNg<(dC^)g~{eAEk|Y0wQjc2%23Sfz*N%RMYzu+#4CqmUX}EY8dK06U}0?L%Ql{-LEuu8 zrkYk0pMZ( z-cmD7m^zLrA7V!f4Mx zpobRQ6(Pf4TBukvm<=8l7iu|yb{J|#_H zIx@oURmu++w7$A0$9&>%cBXG`Ux#rBF=4#h#wvc;X>SDX9+PhKr33h`+i04L^C*^Z z>UAcpyxhBAd$U@>BQkq;?u_v3O`J%%N-je2A*be?@N0R|N%lE%-F7YDpn=}>Yu}$U z4CO74fMdqr59!7iAi#oC5<%4{o=yo>mJEaSTYSr`D8c7_Tscwt4^_k>-xWT3>SqrK zj_qSN^XS2(ORI+XRkE~qqL{G@w0fELV=wxg9w;l+Gg6g{hg4V0K(#W)F6e;~=?~~G z??=48r`|4ad5ngcH!2elr8NFQx+&^p zoQ`mB_hS^~jI4Sc1pTO6B4d+`v7iFus1>t{1Ss-oeX!?w`5?&3uyFPfqq#Tp=+V*Y zxJlhDYBi1U*wM+uzUR1IIKM$9ih+0QCL;${#lkc`y#JB){@Xu`3e9VHn?Kj^mc&(G z#MS{c6^0r{o;czvzGx`O7Y|Al@3mytGA|C!w3|*5- zdlmOSr8zb&f43A|!sJM-b~J1ouwOpz+NiieS84nzwtH)%|FsDk(6f&IM^0SgmVWEl z>gtk0Xk(!Ntm^VNsMc#>_7pX!;b)c~yLTLX19aVBBMlH4X#Ky7t`q#8J7)HqyL zXTm0?Zk{B2tr9b)W;O5{$KNvMpiyWwRb*YKkYz;=wCOh{*a9_B`_?%M`l`Fl%oQ2S zZxQ`?5|gTK{_b&g;SVvUBiGj>V*Mm1N2>S8&4z;)<>?U9ADe!}7SvT4r7(PX+-)nV zFa3iwwjxpzwd5ZpNeP^+1gF);${ZKoGV}JI64j;&bh&izssxIl7~}Qwl(CD3wMPH0xFL%v*QF3O7-d}Xh8!*QQk8G|PPrWB0F8LN|s zzlhb&c&u8CL@G3hNWX~E#fc}-A)TZCt*9=CMH3MKn_#tQ#iAW0&m!Wb9)JE$9IY=l z@#(j;$4sVVj_9m>>Fya1{wlruf8?4Tu%~~bR~b+CXb6oSF;Ca9}}Ti@0MlZk8NR|d!|$E zvbiQZpo8J+9+KcC1PH{B-leYbKwN~rI!dnE#4S$0i=t5xkY<~22s~#9Me0@wLIEnq z^?I;(Wi)q-xd5dSt}YbZik4_&**bm|f80a2ybLd83nlXt5n2I_fH#^WlqRf(7po_# zEgR=t&X+L9s;vC|xb78oJ$)^nW4 zXqQ)C4u6AO@?pH2P{lK%DGm(R7xddC3;Bi%+^acUJfTSk~OGZdD zhqmq^u@6j3EJG4;$K^9^aKzHZ=#CCLw#x(LQatRD>(BqOPaB?0yq!jFt_oIy(Rn zg69cDM1FNbu%z9hu>sas`WCzu32ZyLB}+w!Quk{itPca0yr=2>C3*3+-etP!5CAkp zzbN?azTuI+zjXzmC7zUk#Q><1VMKeg>iz1$SOzkeEepRv1mLe6t<|4?gCZxdpq{CX zt&{LLG85`hiMpu zo&ck``;^v3(&Q%nDk&_m51*ydZ0zgXI7whcuU@K+BaKA!;)p(Yqv8t+M8Oe$?uS%L z)`5)aL?o?s?v=Q?S#QNZ@ML>fqQNWi?2aIFRS$btm!N@VDW{fK(Mgg+@drI2_q#-K zbgA?NTSZdbI=UfB;*0AV`p0UIKg>?4Lei6jUUn~AE?YFHteL@tIMx9|3I{UZKT6RA zMiOHe@;G|cX%}XIa)Yak)I#Y|X5iKFhhub`f+;!8|$M%ZkZGH=2nPJ=P*t zRLmp=VqrboB=HcTV5jtbdX3zgux0Zyrq!W6S9|=2lsTnRk5jOt<~C=`TYRc&{D!7T zwYUxapeX9A!Bpr`S)mUVxB*I>2bE3CE4N^*9T}s1`bhOY_R~m{x=0_)aA9I2AK-=u z1?U-A7I$F!foz}b-s+fzE66eSH0!5AVpH&JiSb1Yu#5FU+5p$6nR4n62kC##5o(B! zxLv}uxMIjo_%X#q-4tlFgvZA|%FUI=1R6(&eAA2tNiBN^{z5$LPcaSLl$AZQ${LAsIKKycS;t;A;IT1@s@lxPiJYRN;b5# z#+n~|OULP5AWk3rLRK@=J@O1Vhsqu0@y$tojYBo&Wynu6V`mq&2F&d?{LsF=h*KGK zdv1elZO;}dX7!()JAVT;Kocr-<$F6(w$U2jvwss?hr6UMOPxAc2tv~Rs9|jKQ!ln0 zOm}h`s&Y#dd>(O)wyV1M;%h@sF+o)#>215EYjE5%WP>0cL(f4_^(5e2GG4ZNlv!}L z5X=-i8l%tSAKXKUrsDtI(x-$QpxbXbtoCN9SnuZFk zxpHg94K;OrE?oYnR%llq`mVyEJ_-iXrEmB&L4VgDPTwoY0mmLiymW(>02`?(EYV<= zynR{JwuAKD8ju82<~>Cso+nUk_6`QYv@SiGTVPHnn@bWWShX`uOjkRA)HEp@rBrp+ zTx~>>*ca3d=^A&Oj>Du=W+--h8u|%p6e%o`Iz-P^mz;IApC)?id0_X?w^asTP}~-nF0RR)HIJ>z=4YX^O(IO6947>I+I}ulMV$_Q4*jg-Z;20iWADl`8xMX+ zI_ZA7cjum_Ym{POzr9#hy&Zo9Z`Rlsq&$fTf^$6&QlGS1ZhS>0!%m}>h!f34^$Ihb z6`L*}Nj(jzhr{i}K~yJw*4??W&}nHygVct3T*#codRWNCnPrHTZ3F6^ZkGRcWal#e zGK$USHHsxk{7>Saw?H&TkMsMUc&ji>!I9 z-bcX~<+TXlw|Vn@o^uUNfF*bbvZCz@MtoiZWCWH=u@OL23+RCVi{l~mzkQd_G@R{t z9Prd;TcHS|6$Tu^<8%^$#b|FTbeJ%vDpsp&L~Rv*xJI48x` zEOCNi67XM*vApns$7mb+t^(W0(1J38GyB*I}ZZue1-x~ZH@A=a> zQxszy@6RfFFnLGu%|{oF-y&WMlm>b6vzD1k;o_F&7no4th@kjO{7*bK2+}T7GH_eR zk6qG3?^$rArurJad|M|Ph*G{Q8=~44yV9nwg`_V)%v^0`0pE{V&nE45;0Tf57v${@ zDb_aQUo+3m0myeY%Pg)4DV`qhbw~Fh+l;P;#f=76#2KUL`_H9i`_cab5a9fGX^^d9 z052{FL~GA7uvPvs-gpcI5;joCI@_ucu7wt467Yw;f(9;t&MJ-|Z`a$~@^F^;A-y1e zmg9lW2E$zv>>y8Ch-qh8GHNn1NJ7C|7rVSn5J-cZT>|k=x)88lR{)?H+|Wlr4MDIK zK)t0f%2;jg{`kTV8rt8wfU8ZU-z+y``$c_&mcTQ!z&2K@YYs;L1lKWH z2}#F>X$m->Qt}f9Nrf=^yYSUmft~88@Pa|-N%TG^@`2tYu4-=^rksv2VAkWZHFKN4 z?jp#NUEYXYtFp=u{jwfvqAO>BbFr9tL zqDqVdXv(aUsn+_HA}|0As|4BO?vRzny_rldZ1Clykf(tvw9Gi<8m>cb8Q6MRO%dH( z;D?^%j*LxWd9LD9d!av*-aAOv6F-dRo+-F`t|pSq)cV$8Ni*-d=$)5u;2v zW9tdWp|8>=Z=n6G z9Y_?wx*RD;8)1yAI`oUtojIe2d_9{ zKl0>0K@Br6+(r-{cTJ@``$?MW(BTk!2Oy!(1!eG&rbIWHG_DtEo22 zHUUm^C8WAzO=o&S}o0(xxm0`l|C3OB5hD>m&Ojil{ zfXd2W zTDlx-wUHD9q8aCUSTl;Es1xBuCW^KVGpzg#~k4tYGuIGY;qGf*L9rgZxX{4)SPykb$*XrvI}88#a%x@B~z zpFfb|GUN{Q%xxI@p#N3PA_fsNQvepRDZT1027w#$ z8wAkG)(*~I0=%-_`$m8rS^~M-W8WR{+4ZVC{M7{*A*3R-LU23{u+f@bcGuqJuHWVF zrys}aT`#I0w3rT8nOPrl84<7g@M+TfPMr&(ZNDbnOQW1*pNL!`IqRw&2^92q`Z+9m zt@@eb@hI(AYJY_j{3!1J2G;}yeTj0s5j35D8Sy;@+UWbRXRRksql6iv0AClF(;hf3IAMM-0~u#)nND z$glYe(S_x72XlW(8fh7UgY$QB)b(H?$~7(NcPdSb3@uVrzd=s2-SR7%kUvjdeY#_; zQzE+fgM=V0h6Dk&nJ%5o;Hi$h_z6X5r^O<;*|iOw$+3%Tl<#RIfr8rtTl~j3AoIK- zW3*^V=vO_5M7T1pK+X1RUWCgdOMt z62Nge9iSHYCdR6*4u0554#C^pJ9b93YOs&>HZSYaJK<*<*B&ohXE9d;Lt}jFGsh_{ z`v}~vU*ni!M5C^h2Y+COwZbTH2PZWnSm|wE4wS#L)26$X+3*AzIBlHY<8q+DnV-bCx9Y(={G~<2K-6W~d}rXx;@8u}%jNZsafyE!j>|ZMKMS*i1C~u0{Ekkbb~1k>Ka^H<*ux z9ur@20DHwFM0pP4tfS#zaFljoygHEUP28@soJ3l24{Tur{n;w(gMj|Z(I#S#yYx$D z++AR03YyI{l@TtsLYrDI(sY>wz3O=8Qd~;@`l>RJ5qQte5BW9nwYSj)Ke*ZMP{+Jk zj?zTF2Gf4Qjun%BQ+43((8v6mR6@zzuN_ohI$p$vKYH%>s8sH#Z4q24 zxp<~LeK014i*N2JU+~<_o?F2!AN{u>l1NOI3apT^NBUo|+*c1>~t_x@B8 zHpWSF{Rb62J`!{ksCE3s4kubMOU;^KRx5l(eYt6yUNmD;CUFD&;Uk$0ou>d(t1QT| z3J^O65__+jXj`&0x`a?#GU*q0v~$HLPX zuO3jV8!KI{59eZ$#$Q>91iiO^ah)A$Z1N11{1HK>dc#s6`-15XQ)$ZrR)0q5fDuF> zx#5AN+M5OwdzN8DrH1%!$5(-jN3i%Jx|OJGl=Aaz~UyfzJF6 zizD71ArXlq!x9inynJn)|B>f8UMO-{37*zi^&Wu6y5J+1o+axZo1LfXEd^0aDT&*P z^wgE0cTK@*SAMzgFjfCTmYgV6M6qPth;`u2pbB^xn_?Uj;UG+^d>`?n{|0qL+2upE zcUYX>e7w54A8L_huHm({za8;&q!c87K(WmV;YF!)LX&FiY7VJdZw9tn7n7ybXIp5t z3iJ9nKtcPDDwx;>H8MkVRaEyH_q_0P zLgF?H(0PeXR~p%fb@a6M^m!QP#)xFOu5J%T1+K6@v2V8s@g>KQr5=8hkomI+sdydD zOGnd6Emz}Pj-j#4;Dt{t_-}vms2b+e`@ux3a|TxQuwBL1R7SGK_M3R41mMv-KMDe( zJ}}Zyf?1%czCy1E)-=Ow5Oh=49Me%bRk0Q3!98C}xS{=TST+xEQ+$?Kv&{yy-ResP z^gr^3thzIQ=p8x}i(g4qIT64Gq7*7tx6(43tqBeu#CXBH(XRySNp!XvcJ0)tQaK{p z?^Km+Y}BS*r90yqyK0K_rb}|slBLN;-Ur#lcA>`0?q&jolO-F?lb_Xo^kWs5KaLhX z_4{m$mzLr_y+yEK5#vO+c(A+VaH=g@rfOfLdnmiR1lh~~Z|uEwR2*%bF4zPKK?4DT z1W1rz!QGP(JV1b;jU`y1ad!#9EkJU=>u4XRl}r}U`K`dnTS9s*{sb|2ZnVfsIFGb zk86vvA+`tFNioyuJDpL5kmC78ThW<^YHde@*6>F*bfU3?1JvRSX{F)8NpF6x9kM#2 zp0TTtOy~kDQ_1a2uI4C#2E%};`LpEYsA|5arCdamW-p%w<2=NF8ns<`vK;oboyr9w z(&>;!!!A>SH$K@|5hqTrKckdoOl@hP{)*wpOk#mwcTS*!f`X7s$9i z7igE5u5t?+)esbWQke+*uW?W$Ct#a7 zz*ke9sO>DAS?xBeMivOqPo&dh=YtHb_+b_UuLl;I~z5s`vCq+lV#XAHWLxvTxrtWeMZskC4 z0`#$|f8hH;0@sQ!?j&C!zc?90t|V?9>$lW-|E!mPrYnR^Fi~ZebaD zu^rBhHihb=k`!hfQ{Ucd%@ImQ@L1sVoaS=&dn8NU6Cm;Tm(`wnabEi17{>M8-fl(f zbXf4q8|=pC4gQ=Hx4lcul_z+m_N=Ml=d*$~RIVHb6|9_khXTbkuWGX*XSWs>RzSpo z|CIP`>F0YwQoL&V<>sj7$D)6dR^6#oaSJTTVRv2OTK{2yE_1>X?4MboQYN1qcfkvz z+8*sUiG)BdTUECEPjk#H>-X6jwxGe2oX87kxD0XojFf7Hfr+u{vOI30 zaA7KLc-n8RhpFG0!d=m7_1H8O%)fJJn4^2(G8wY*FVMz#P#bYMXYGDJRrC*EEQHcQ34Ss{n?|D>6k>0TQP#%FFs9+y^6S2lcI3KZrUC zzE;O#Gh;uF*}hl$Y89xwpP z_3NK8=p4bilMeVRKP2k7vzW5Ies=(X!mp#(c5_MVjpQ z(Z)yqyz7lE8lbPXIdMqw8fr5dLUkZ2LBUSWNtv_$tBw??W6fE6d-vmT(>b_ZMhoKj zu%Ab&jDzbIMq^h=*!OlD=8CzG^l1JSF#K4CqOIx_b_K{fxx>!#>;|~rn$vYrZu^{N z5}ieXjOlu0n8su%2iK3O_W5i@PCwPn^4S#IeZ@^na2jUcsErx?3L?zJdOMS|79Q3j z?!MN17bri4n^oD|JeI0{`cr?*A=x~~+5fjEU>Oa({eW2Z(JTV3m9Pufu7 zL>-(c{sYf;iScjfWI{T-Iw@2x*IBi9GIHs>;Qe-%n!HHth03836=Fb)MXwUf zl7Q$=Qr%W5!tQ_1a~7w5A6q7QTS779*bN#>Wtdg)U4z8XOIgV47fH|qtqs0-D^LFp z66d&isLBsg>DrTug0;bn3=Ld_A(+tfQ(@B#O`?7r7LFm25>WgYlzUv%&s4s=8z4H- zBDsljG?;YvcY%yb*j%9CVp>dJC?d^371SJ{?NWtG#d$v9ymI@} z=Zxob?NUsV6HFN%h+I~g^^?4d1Ok;~vN=WX%jUqkAuT1RP#w>n$_Bcel!5EU5nPZN zpgMp27pTM@kWri7gKe53M{|f|cMO1b^(_=5Zc_S^tOw^^Z8?QfESKZgk|5(sJdNTG zY?;nBOBDBs!|3loJU1_4Sprm>uPVQIk``<-xf~x7NlKoI9gEOtU~0>TilI1GxmxK~ zdXraksCG~C*CfAJ9I{v>t0+swetw_)w3Os-2ZT~B*i$V<)pVy`lG{)sW+x)s~Zq{lJS>K8}e}MTl|{5+gzsuUuZ@nA3BO07eD+G$YcB zzNc5`u`0)XZG6Z$XuheJ6w1oC@AHtlu)af;BQu#Z+dvG(tB#Gt^*CZTq@NH{im#6v z85pk56iRes_o5HGnAJt2732g|rx?oZp#j6`b>(KahrDLh4H1B1+3drFoB+ry^q}0G zPQ0A=aJ3PZrlL&LPfxD|I4I-ycooL@;jU4kUagzr?!dhogO>VuB7S}v19H75!;gnL zcvId4S%WGTteS96G{67+8uiQ! zbfnJp4Ry78sNG}w;9`;P73|iez;(m>-E}m>VNLJh89&IcM1u|Hne-;9i$$x*YeTE7 zF!ygw{%{m`zTv!|)VMHZtf|&?+1eCu1tQJic`|m5pu1Ei)))v>R<6P>C3rI0Wv&v` z#Ta>T%-j}5c~2y9QFFqIJ_9$^(=Yg)!SD6a@Ft&67{)PfKjWV-J@k``3bKYNMdWgLd0C50 z(0uiEo45V36F7;v{Y21Oyuy6dH1xPO!;v10`rJcK4DL>yt?WHWd@(ceg`oSM6A9@b zCx3ZmfbP63Wd&jFb(X#I?s=@3R-(pSkd;K#$RON&*vVD~k#k=l%e4ys2aC$zt6EIq4 z=$~!XQzhBCu^C`zJ;kW9_W$S!EyNJsPuCQ-P5 zfrJ?`ebTCbfox_jlUAwPP;x)LAchLCmJk(K@H~ydVFgnx-=2cPhC2>fLZ7pNDHd9M zjgjQj(sNU)-xP*%xzI(^qsZw*%LMbgwNSQCFUmuu;(*45KnquI8$h4_qIa1Xb$j~= zJmWX|06$^G6&5fqPBWc0Pu`# zv*Dii>Xdw|yr`4!knx$ZAGx`;_F1{fACzVGWtZbt-T zNW@tP&TbuPfX9jXWOmJ4=E=$PI-Y+BG>HFcpaJpGgXE*y*SJSYt?_b^%?Bb{Ly^+6 zXcnr8YqAuq95bW3@uc8^Ue4tZDd`fMWhxn_ebKr$lZ?_pYyT)mevORHmji5nNc4za zUI&fZjolYq<<@eH%z22GU8kp0Zl7@D=7b+DfuqN1mHkdXNR>wodE`A4XL0TMi0~Q( zYASK}(bQjd;yhd0tkeG=?2| zhGWOv`B9%J9$3U~DQ$fD3*>EJgf48L9QK;+UPeY?CNxb0KSF#)(^j96&Y$~g)V^(O zF>k3*c)Z!`uXIt7EY$uOb-Ar3BQRkvC7L_Gz>3(dGKp?H+KjEC_IyWXPK0e{ku9Mc zk7kA!qIs85$})pM7VB&KgdJ!4aQFn$!|+4`FSyKx z59O-=SR(YYkitpImn^srpXPh&k^l)G*9@ksB(PKG@-Gme9+-F+-H#c(75EDTU2Yyd z3%FXm_Ha9%Y2^0p^2ts*q0=}q4dvFgXhT?5z_~bSelqSIVSU8%x?$K<$8~e8FfNS$ zFh=)Q)-3g{@5a=dm3V&EH=o{m^{-BcJPPRtt*qQD>~6n){NgXrfp9*+$?IJLjA8>= z0We6T^ zHs?+ZF{|_PiEJENyW9Yh?idC%W#&yFS4dU&EmIXO_yk4gb)x-s#*05cc-xs8%Bi*u zLoS2-<$5l4Qv_eF>{2OnKNooQH15zKixAZDp;r#{YE&}>yd+vXTXhUjp0Qns|MC`&^k^Kmp@<4{KDNEA#Up_@ut4+|b_q)wEmeeNCj>jT_#sy53MG z9%(&$VnR|SzvSzr9S~=I@1kPA#LWVV4nua#HcG2G6Mk7Y((ZZWoe{X1YP{f3+LRMv z&mADXh)TTwXigq^azSCaA@y;mAHT1tOqx+%LL>YITG$oo(0kQW`I1{(J5{YNRsDO# zLnBpL($9RGN+jOL#@}S7r@s*R*~^P||8n z&^fVnC#`ivi)MiVq-m?(ks;UBz4&!K3(b46HzfFP-u-?ZV@5FEJT|-97P8I_>Efl3 zu=8=NpSh8H6EKQ9q}eQ3LH)t>!8hvL{E%U@9T}Dr(k_33<*ufy5ZIk9LjB%EpXS&P za6v<`;?ZDVgOD8st~ho7dQs`k?-S367Q*$?Rz3ApjrykXBfv31JHfA{BRW-^BpHk6$+uy+Xi1%xn)o+@oTcv1m zV5up^(DJS+93N;LEK7{u$}u=*ZTe?xR9_p{+atCd*2NLpey_)c!O(e`StZyc@2}}p zRgJp=LnW^gmJO@AM?Ofqb>UznS4eKv-6Uty|X60J=CEu|@j46iQy;PRk? z)h{-`o`BCa;pJl(X}Af_I9j@7$ty#nX6n^h1=L+lOKhXjzPn1sVN3ywAQ#~J?HdBP z?Q-F0#xR*DbtlaI@G_4m)-%CY1!Z}=oR*UJj82eYsLay^+qS2P0Hq7N@5<0VcH~ZC z1R>{2NO@Z`*!Zp4I$4YF`~4J9yalojcn%)mg+ht}K;fRDbx!l$Bdw5uhIk0&^&}fj zucFjvVvyCoiBM(L)Da-=y0mXNYo_BZbSJHo{d5j);lY{knVPIMn3)Az=aB8>2W?zo zpmo9b_1drVJ1G+>DF)#jZJU*)nf4uTiRTA;Y`wxe;ffI=GI_T0Q|5Mh9;ZT&$%sNu zRlZ2X1b-zV5g)dMd%2p#*h;u>M;4TD@| zkr{s{{y%{jHkBI+wEIkgS0RVmnq%b-utVlsI;|wn4D-w6hSSP!-s8t%e}Wd=MkMue zfOR|rNW9`tfEn$6&RwX_{m@!Rl;Sy;vEZ$$))YE+dDrI~#=Se^d;JKCrq@Yq1;mb> zbfOs5qUyYM-6FW6CaIczIs%t$-XGSrR(eLx|A1Qmx61)#oDYe_c?EB$&&6n1i}Oi% zcK}zVh@+!T!NMR8`2*~O&u{J!Pb>sE5Sa`LFUuF-*qUIY($-AL(CzJeioFUq(tM5`w3+X!}>9AIs{^~QUK^2L>* zg15cO54W^~UI)i1jncb6L%R1xNV%V6cWKr&!6t!nfCM3=KJ<$VWu2J;b&O1Juk#r4 z6L!&90EO4tN6Vv%M((-X1U;3##%hcEAd$0bb&65fugZC zD|~RvJL7jOI3ygKMtleIc&#F5oj!2IG@1nZfhGM{E_d_%&J4fzD1>0)jR$#9svn*e z^HYzNcX)@}u`Q-QypmqaaE+fs97!RmyKkJUun9|YD^XT1kzC$u6y#Z`i&wKN|UKL&I4jKMMz-@*NxJtWv zUOH0E8I5kdnef)+O=|*trQcgv#$yYoAzLpP2_QLSLWkg2GdM1LS8(*>N(9YQKu^d% zPJm?V+X^~}A?Iz7{0a8zY>1yMvRN| zE>*n#Z2tBpKw1E-9|91Y%x3-)z~kA~^<1`U1iaWeMCXt4PPd|6LaJs_`qnrePsi>@ z`RSlGb8ToV5`OK-JUex?L%$+!t7n|cw@--}smJD~xsOBg?g(?}160S%bG?>TQniph zSBSEo-w`d@ZxcSgEb0C*#Sqi7{$$z|fL3D2mr;tclWhZc@#SFKzd(B}qml!Qx9u%J zxU{rQy#p!vLF7nj0HKY`qOS`nQ7m|S8Z15dYFnZ!q(R{UNXBFJ`$O@S*{p!!8Azc` zwp4YT!7o)us^!jV_00{Z(ucU;Plucbjv%w8!vPO$>fxSfwq-b#o=A?(iT&LWgP$5> z#v^ZE3#0fnbrNbP=a)}NV5_(BAXNRzNNg^G^>d=n+|>KUoY*B0fBSWHh&Equt!a9-HcCX@M02+H>ufI^Wedr8>N5z_9xX zPoHyB?dzyX-YOqau|Cr=q@d=FEg2HUA$n;AvT!H2DK7T9TGI$aE6avo_}^DWZs>iM zJ$$3|t1;bOzn?7ZBbko=5sNi54F%RH+HxGGk5*o>H#L|s+y(dQ@)_v=W=zI;nCgKW zrkrS+?6MIgPJpNGA8m?R_y_qQ=H>bJlUqha+hRLL+WSnZJm+-w*Wxm-(JbXjy;|E; z;3^how7RkRRy5j<$NH4XMye=vXpz~>uS7tgFF5@GXne9u0gV~f>4J+P&zmo_P7wW& z2oo!K{4*B&cn^wfZ%b3cszt+<+f_4U8vt|S3h)V8MOoYn6 zja}L*MszOO-)1A;rp>3=zg~Hc48P|6GFa9_6I95 z&!;rcGF^rOBeIp*Dr^JEcV^m{keIx@J*?P*b5h6Wlb^APEPUgBwj2#=p$b>`RgIsU z650ej=f`Zie{z?v#l`Ausgg*fqh@&~6%sdvm2^@!LW=Fjd7{=HUXZ_q4ikU&j0<~+ znT^v|4=>7rC4sqN{CK0GJ~RpeCk!D6cTpV>=Oczw_LEtwk_Y|xA;PJ^fx!9m&bayf zN{#m+oFE0o`w6DZ-C|AZCVwCq$>qG(B{GkqoTrRhW0i_sU8xUI5wF;$$4>(50DjZ#cb(Y#&3?AZ$kKk!k@GsS_BKK?=@Fr{hb!a($)`k)? z6C?is1cMI?WQh3AmOtN1_+9)r^VAQ0n=39cuxW2f=LRnojh0%Q#lFRQfn)1CHmfG~ zk8#wA?3(7Wc+)!6ARjD^vK(T1O}AraaEyNi-YNRRlFKPCKL|S^dnI@nq8F|uRc(89 zCgV^h{(Nl_JyS2XObFb1@+b9o4S#`ll62diElAjihz~ zWvO>&X~6zzT`0GXM?^B-g3rg6turmakx?`b@RaB5DHKweb!2)b0VUj_NOYdldo9dJ z{d(UPP8>V9&gcMMNqDqp&ehiI>}ChsPd7Thk_r{A ztqE9E8pNJ79_e4PP0bv44AxG_ur}T`kvR9~sUZw~hL{`(JuyPsk`OQxz=37=Pj6uc*wvZ^8_^^z>Xe+{BcYoW;rx92AgY%v{=kvl}iRy=~@WnvH z3}77-B>NamyqXhgh!@Hf5!QIf+}4#N81k3sRyPppjh_xYa-hw}`K)sXE?_ zo-$zfVtwy$G;5PfuU2vQAI7!m)6FpK89}($a zFc%Yl2cYCfOczJjjLF3^Ha%hEEXv6mS}|8VxvhlEAm`){zyIMln1|ODGHRwhGAvW} zeO!;>=G9$+zW(`6{a*cWeW>`;ulTa7CSF=5?!@E>-P9jB`rJiBrFY}3zdZ=24)22! zofBOFk`|>~t4Nu$RaTye-^;KpJ;gffrMWR#d=z2 zaNJfd7bztUAhw$Ztavkc?cU|dQr6z z%5Txjky4RsFe>U@PUUoYwH@J>gYPF_H*L2)CBuH#^oJv18wR9g0dQU!zK&`~;^YMZ z0dbmPH%4Ui9<3)j+T>YdWqo|KzsMS#E1LcN#k*WB`#hGC$RN{iSXHkzfxPvy*?$DK z)|{+;D%}vHW}URXhX1@PbOvi}zB#O{Dc+>zL4EU}amm3B<@%gs4v1xkclbxs`H3 z=-6vE5r{Wc3TZ5voI!ZXYId!EdsyB zKEG`!5~Iuc*dTV}ZN<+8h1JLHcUj6-FpuT1EVUPFk{x%nV zpa2B~UDzUJk1<(5ZlD8MJ<4&<_qyL@=#cw3$=CW97(B|bf?!cf*0&%h!Iuh&+5sSQ z|5y0j|A*IrU_9`ltm?;@jylsDnbXGY#?O)wKN3I9c)#NB9l{o)SE5%J5WJ{ZUbi4; zi}2&}8H_gNyc`TryxEmXBXgepR{wpwaPYIsOPL4j^eRdYQktcmBY4SH>3&4~r-K|? z2QnFXjKR<^!$^lZbJj(+D>&E5oyM@>L7UE*O)t=ZiZ+#$50HhLw})s|H8iEbmrmi} z@9i^h5=$lgUy4&&e&BCAJ@=w7u}&b9N@(PsaUjifU||ZtE-4_8uf`+|IfRRk;Qv;fORhxfj(9 za8a6$PAIYnWELo(W)cVQ>t>Li$(=f6YK~X=yu_ZDh5f@uPLl6_%-4t3@XX4uUn(}e zii$;A7HiuxOgG1h&1^dLTw310&roevRlSC_mp9SJxUf`}cJJ)~6ow75ixQ|SPSdX> zB5j4!u5z2t?{#s%X7no&Nm{rLyP6Pv5*l{wF_dn)*7XqVai-ngjJ1s5C)^RBUN01e zAz#nf%k?rmnbM)D;5l}v{C%0*>`H6yYO%3%@l|#f~#CFpPhCK$QQ?$%w0@LT|MY9i5tU zNju$szV7^zUgv)yR`xIT0yO{C;+_9*j`1YE$C%a_^84|iC0VLw4VV9?qKvGKh~v{S zVERswlTUyvti*(zsVC%SS+BNs3)(%F_#?e9NEFNx?(=9rxYGJA{g%9itIzO}7o&{4NDEHIIEAU+n?+b2!;Xlx?MP>A#MFPDO8&*8GngSoo zvtTG@azBeE8oc=R<_%5_e{2wlArA3Rqs-{0ux)R^e<%joxOag`3Z>>$^9<~ z>I4z?U}S7TA6(epo<{VzY?eM(!u z(0!<){Lid=`<7O3bH%4cmm=6Acc7$f!uG6)Pi7NCyU&Z~byM#95r;ERo3p8%s_;NsZJ&A%pCu|D`S|FlA^q3l@LUtv~$ zBLCF!xV~hx#tSB|W$0nD>IGsTY_U~2>c1gXo;-Am+x$i9! z)j>~^(r-o>3pi)HhYh$NFQl#{rb2DE7@Q1|w#0$$3_MdI}x9|QL z#r9vA;r##n{=Z93@juKRN~&M5y|1_OczloMHSfpfyoJDfkI!U7OF&%7D4>GFw7Gp3 z26Ma)Re$`Oz=#_=my<-6@_VZlzNS3v7U?ZJLbHB%{GMgR7O^CwHHwefRj1`2hs7LN5qu>sMp>93j`<+@SX-D zNqC~7wMCnlQcf6>iawnL(fn$P5yH=3p~@CGd9HzF&}IF^Z}7X&p`OMRdh`HstJ=;> zhZz+Z08&^db-|Epy}_AIy&s3V#6EfJ{M1hrXkdmJ@g+Q(@0N_g^I++ogA*{~m#8Y& z7oCBQ0VxtCN>h!gmFfJ`TFMN=jAtq~RT)7s3+xHA98~H~69P~iASe^6ynsk*M+Zbi zi5bp?+rD!-S4%Cf!?-?a9Qy(rw41m?VtH%$A9zGTj_h!&b7{S~2 zWX)W`N@2r#PXfZ;sm9P1YZkAyP9sWz5gNJ~D*aM2ZTm}fG2Q_>TaET7kRd>pLqiYf zHUFkS*+ZIp)yf5lR$mUx({3ET&9q1~NK0$tWQW#$VOHmSsH=2Go+UOB^@TEV9$3fT z>;IpcZU0GrWBu==e5b1yE^J-~H!_OA;qP-7dT@5MRJ7^oMm<9MPiO$N+nuv5GIHy1H*{uHDpxS!}7leIptv6=YZ&olFMUHyFi9u9s_fYDej? z$~qq$LXD@TWCRf1yt4WNGF-1d>6#yL zz4m04CUW7`6Z<;|gDE8{u6GI23jSRKp6duxwv5&c)75#BR3CGaUa)2{Qz2#k!(f+u zZo$^aJ|m3gq!A%F(2G!fmHwg?CH8i@Em1nw%+B z4CfwJ|IR#3MTx$T3fY#XkMZK4%jS7DgmK&-YD4})68FdM9*9)oic96o8U#|BU}BAH zP|3l5K}wLRKSn|z;cFnX)@-U*iYnsHBamd*@C7_Op#UsLG4pjfn!j->naHR500a`- z&pe5h4X>je$tqn`l!@kv|0&#b?Qv?$bnqg#sd#{sAY1X4d8Tpuv*xcoah5}0W0O&b z`c@cX%VlaXkASB%U42%$VQJJ6HtY*QB8HBOpd8g>)55~xx(zLpXtT4_5FM+8mBv@k zkx|&8z2hM?Pvd>yn1S$a6Y1IfX77ML8++mqMY``pUohvfgJ-zc;h>o8#-2yNJGpOf zSp8cjCXKb*GVDuUN#Tl#-VT$u9&V+i&lma&gKZNQRrVLGQ?$IkRvtJ=ysr@!BqZl5=Lmtj$bm`gl3h<{;5J$343W#kW0 z9~oT34NJg{Ekbs7ITCbHE949j{J{FWV2xc|o*Nn}R?nm7Q9cBp7&!OcgSN({dvUsb zT)4LUd)s8POf2M>>)5<%Oc-MCs!%&s7J16^$S15ajhmU~C32~`DqRknIQ-}sn=%|+)INBBl-`LX957~>!c zE!Ju^wLrO+ZMsGF(_raddQ6jL(gQG)NM=xoGbai2>Ua13>}0k}wg|HkYC>JqHKeb+{p(p@G&K~aN zI#eePS(7{PDDQbzyt>g-QxURxB}!L2;k$IFy*mzH7Im#ICz2+x`6KG=tTnxK>8Z6l zjz~2Y_7r|L9kcYjX+jIDZfQn*$sQ1DIOyP6@ZoXIzT?~~_9e;qwPeKrQYIoQ>B;dxI9^C z{sS#+!sQj~q{~L_&0uDS?lv zZpF>9?{f95UhZB1&C~_4?)Z@~{j=I07HXYsgSQb1au3_xZfWPBPp5>&@#>4b9J^!~ zvu_n@-lrT*aKA<1rR(e+bk`6^{b2ulc8LpLJq)WUw7t?C{W+Mo6YJ1s+>##AOvvs~ z;-d1oFagtlQPgd=@^i0O*OPwBA}<8Zimqj9+hWazjw zI=m0UlV@K4HshB3a{EB{CMUhXB7^lMzBpVZp{d?avn=+JM%4QV4R>cLkGioGI7{*f z#iKcQwmCiG+}&|6u%42*K0MSGVRBO+mk~-(xhB;p0gV*GR98-G! zkM)+8Y!Lq3{`3(YtHmSV3Ux*Osp@@~2He#VyO5m&A7j9KHl@bMNGy)}UH?%Z)_bDF zK!Qy-Q(9q6jk&dZ{(=G6)>){@z3Wf;WvC93OWwC@bp;J!-U#8}?!Rnibg2foXPV-l zJY2dL_2`4O&EtxDm&aeZvXIB~QY@$O6pI=n#lJea59TtLK#re|w;lE>=frMlBobPd2EiA4${s0kR}+`UdcSntXv?(s>wSzq zZGrX8Q}ot9EvZl6tSX~RU(xw+nZ?Ac#E@M;MaFCW8Tz_Scuxeuhp8Hk33*ArU&H%} zIeNVZJXS(xWAtPgzHxG#ne5u^yEOxXufYSMxdc_kqrL?N$rtvl(}9NPHRr?G-4%5J3L@D~EgxKpP9@~kD)v&B*~EAyy-u}NKU zA&yj~ZHd0F{se94k>DO^|73>LxtPqAtG1)z{q=kt^;hpFD-3DFZW_4i$IErc4w10s z^4ew7mi=r~Wzl2A8bpnoYWiy5UUXJNaDpBB!nf2BZ^>)Km~L2Al}?{DnQMTPu$a&c z-JO&*kjZe7;hgIg_TD#P6#$YPcG2ieA-V}H^!7-`Uaw0Xf=H${2a~2p#wUj79g^k; z?A?7u-V1ymTyp1r3=#cPuY9mkr)DyIT(xKNbf(;mi?ntzl0L0Y9*3(u9}DU40?z21b$hMm0Yh7*?!v zFjKu@zx+wLG@-52?&4{?7(u-3PR$_deCfoQ_m;4{h8NFbfyA^H|b@`ZO1PYel8z zA^e8#a?GXYu_bjf%WO65)&pc|`}Ue4EyKfty5aAd zrgB56xnq{Cz3Hf};neGMc)$t+sHG4#UwI%$g566E6`#dcpiwK4Cs#3+@uMMqW=`G} zO!TyUawzvTHBZG_x0bXcZgcm90aaJ0M<9!H!pw{Ha$me0d*bBSR@l!Ha2IX9ZR@?@ zU}MT%&8{V7SBSmaG^>Bs%`Vin^pPBCXv@#Wi%q>r{eod%$)jnQv5E}+%<9bHw#8>( zaJ2VZQL4!b2DT?F0DJ1`XEETqIJdo+I^RUtBaHRA0kPxOpeK`Easj}z@5xbZOyQp?788@98 zq2_cqMmRNB>$Vj?KL)nU^!baFQutidC6d9{KAEf}#1=)p95_zY$3ji-=Ieh*)DwHJ z1w2cXKV&eR_ZKJm5_>-Bgajn4LQfH)h1) zC;2t_>hOM{7|l9;sY-(eeHNig&G@0a>_b~P1;4^Lq9XI?v;4adVi>q^FfL2Y5+!ik zrR|k6A}!b(z%+FUvcL@+U(mPEq6c#8rIFeQ0u84pzGb@_>t@}Kp0ojHH)_0{PGY!5B_ai zQg@bkAc|7ukf>H&1iP zq|V%c%}W!Lz0|bBdAZAu5S}&$=WX@l+|d}ylP^A(HO0HbHkZ~gE5534qHrbyT7eZa z^DQIu>{f^tw{gLVeDBwk^})~6JPd(?StCRD?E8KuWnw71^bJLVb5V@?_jKkXcwM|X zT+gWN&bmW2*1;0o3-6Xt%O(kEkr_Z-q#PFAD5I~d!N>m-5L%`D@}pa%WCm#KPOhnEvr%EaZaXn`i~tR=PY#4--j zjJ&#>oy)hevXdf?d?tuCnG%&jr??Kz-~oJfYhgQ}dXWd0C|dI(=8S_QxA53UF5UFa1%; z;e8^hlj?0=xQhfIjuKc{x%L$kz{ZeMtAvZH3P;f8WJmj))FpQ*R!+CcrqpH*V-v(_ zpRYYHZ_C07UVf8EcwkYJ_vaa^RI9`0ej04)YOi54RBEsJ%f|7wrmBV1D3->gk&as9 z{^mHvAyFAgw?ecWp|^Fx&Zf>tK_HP`cy27+kc)q1PEpBYL%+Y}lOyd5c(jOlq-8zD z6jEL5B&`_?Ml17(0ZWf8OZU=%bXHXT6&^__F8)+S1K*o1GA6D zYKloejQ{+?kubWa&D@hlDix-?*!;xYOMAD!B19eGd%~-qN#%HOOU;ev3Nuu&p(dH{ zc#EM_B_)vUj$L@4u{3I;Q)8^6uLLNWL^D`ZKEJA&O}3lKgzuVFpXi`PMUbeZmpMw{ z$T$3Nd6*lboo{o~0(RMg6Lz&xIo+Z+R)yw;J2$eI<%``~ZwD?5LOwpz%&dI6QNOFx z#__BPS%2v#K+)3H^qJ75-AgvTOz8%f4Oy0@v_IQUc0q#{zWY8}I4^JWY)Wb|%HsL! zN7gtiJQ@=vv9vl`C2NUSwas-Y%1c*^S35cc6cAS99#$J;Q6A$LNv+3Lo6EWWXuvpb zJUWLAWnwI zKypB5yiAFxavhY%B(rJhwk^I2I$b1@eQxfSVI%G`Kd-2J+`MyGpH@uLzP6o4rGXPM z{vFS?^o>Wn5tcS2n|0bAb}iIO3Me7NM~NOtK`*C5tkyb+__mL>%a!v2J8kX?NH1s6 z%voH?mgOerdQW=i%*$c!8xZ&p#cC3diNNx#rQ214E?Wjrdspo8m_u($=VXBRMFgxstG(S#^n5Ul11iRwjDc5!qy#fhH-TR?_L*X}vrT z&{LiCY)_~+U+eN+rx61C;z8aU$WF$zb4mHil~joTb`s2PJpZ)>q-bid_Yhrhikl{b z;SHmqda}-l70YB##*iR8{97{x=)fqKlBNHZWE(m$NCU!twoB}6G5>y}tmj~sRDqn03SgT>jR!dF$1+(c2lX+pG zCOVYJQsdeTpT*j92X5&Jjs6`e=`!$sx>IwV0rN9B$H#9U))LfdsC_~Vb<$SL)h7+c zx!t9u@4a#(%5cIW&g2t21`Hf^v%IIx2Sw=&M;-c-Or(-o|9-Xf%E0hATuAnzHzNWr zl2Lq3*8;7B=P#KYI3OCHSYwOr2OHMvS}DpFpt$}P8qx#QU~2)Pp_9yFXdc=1fe)&O zn&H}yGa|~7UR?ZffUJg>UF2BsRw+rzf6lJMKXyXI|GB_x>A%o`{a2qqXMbgu)?(V6 z5xymUYt&J^GaBD?2t!r!e*+U^2mP}iv9XRzJF)DBQ42uTm?{687#sE9$QoO1OiMPG zOO(ywI#aSWE3J4_8XL6@FCO$xZ~w4ezZj)Ly}ndcEf?%Q-Azxz7u1@Ificd~R zA`-xY>ileWLZ!R?{FY>IYE=$RibiWF5=Iv&aHt5_i`FI@?wmU%pC27=c*{hu1>(R} zJv~dj;*9mQo1^>Fw|tfLuDmwM?tP%hc9NVX%J5Y^rZryrlu{P!SO^O#q9b`P z`DJ0{A)nZe#B9wt_%0wBv6<4o6tBLtmBU5PCHOPLuX^@&d;$T!@&Ol=dBdP_XhzbA z;|uHAu8i*=gPCtBx(46B(2(>VaC{Y`S%KRB4bPZUqiVhME#(T$<_PE$bRM6I%?r~~ zT+g1~719kf$Q>#i;3}74g)a}x_3e8(xXUOTbNM;LX8=)f|29lv5+wTtvUTRyO?Kqk zb#3fxr5O%mx9jK1IvR8dNks$OLV=y~D;%}biW=>+M~*C0-i=KuOQ!ta{U>BSgX^(D zL_~UJ_TIdOa`NBDG&SF)q}*z@Wk3#&4#!1v*XuB>$c?7ZeE4?k zk+3S5BV`S~cs>(v7lluBP_-E`qNr{gmbwF%(wcjI^6n7+FtlLB&^rpTzy7#G0sbv zMjB4&Hi8=+HmXvrQ{MfKu7qQ;23@XG7X_|z);8#cYoaooS!;^*!2Z-78;pwhN@y-P zdwvapGB}~tu{7HA!tLm-s|p7=Q3JfUvbda)50}d8HW5R*plFZf$B*9z!87!k9;%3J zn2{U!kQBDl1FNfNUjV_lo2tEx^rxy=S#OTI47WIMYc^{wW#OH3T}R5-?=Bt4VaF%j zfNCH%jk(iA;Xx)FWO?1N9Su5Zl{7-S$x!=~-}bl~A^O-8N8VQ@a&UWO{=(Pz9x|d~ zbY4p97=;$${m?ku0&y+%PnTnDQPl7yWYM^qkk6V%(R$Hnsa_{k^cvK zUjY|Yy8b^%w{$m32}pyKfRqRbNS6pmcjqXnq=0~efYRMvBdw$~(v6fb3PkcDLPbS$}q8KP<~)5sap< z3$y;{dkaU8XI6gVA+67eZQc6bUI$<$(mTv&J9mx*HfcS?Tf*ibbra>ojotD2YAK)Jk0|$PBXmX~tVZsU+r}3l=d`j|!pj(SdyvT}Ax~ zt#-a=L(6GYN={}oTb<$U_MOnX`idxzp#cheN6+Nd!rsT}>;h4Oi7v&SLwjdhfyw%n z<*}&Mwrd=e*_grUBMRWi%mXKngfhTXTNP?89L9uunqzIwS++n}k+pqF?+8e>12 zQ?&Py9>)#OeBzS#n677P>-lGB_ncl>3oQp#)l7zeoN-V+oignmh=fxCp*Xk+S?7%? zS_#p1)N~J$3rMci*d5&EiSA6ZS%RRqEsCD&9`3HLlD}3!bX?yX#U|>Xb=Lo2O0v#> z7s^jrbFI3jy}Ah~c2%3ohcjAtrK(wY+vfr_(7YBezgU9&9uI=|rr0l=)5w(hk#27= z(S!V4Q5A)$SI~oeL7-IJaxC+WuBZ|L8s4i~QG0Rpj za=?o9<3HvRA=c9_!MCeYc4U>m5PdqR}aJP&kE84Kk=Z}GDp%@WNMu+7 zxml;bTA(6OVX0+Ot18c$d(rCiN>do?$Ly+PPgXn?_oY`+Akc#*y)cP7S(%S}-BZ45 zlgD$hX8~OcqmpKCIP~U--J7<7LNV|Gmfg9qM!GDRw;w5RBIKx--XCm_HevQRU^X>j zkT89J(sZWD3e?G$LrwRU9?@37EY%N3+m}AYY;2n7qT$;y>XFqR&vOxRZgQIyJ%;i7 zTSB(ksfQAoOE`_2aL=Ybev}l*TbWD?Z^U=W&{=o)9@5jDQpIW3DSsKrY)@q|L;fB) z6-=3?4P-|g(kLm^+4(ZN6IHHqm)-I0WbGE2>J%dSFrKBRTd0Y8WIn#l)o>noC9v?F)EZL}uMK001gq+i4wINB^$Rp;& znfL_pu7_Q;X2Lwq8#zHmJ0gm2vf-3Xi6Asi-7$VNv4b}4XcfYvL>Bz&d6tT{B6pZL zzwzFV@yiY%1AB1S;~Y(LPs;(p82yjusJ4}?#orE2o}(AsC&%1yT;TjA`_Ty-va_ff zV83l%T{lXqb45BT(uM~o^M2r-wwtVg23E=l5F_-M8*$UR7*{mk1z2?7 zQ;9A+D85>#!i1XLSW85%I7+AZTco#35{Cug#W`e1kUc+*kUr_%9&Bm4EthvU9U2uT zF}Z>ReHeEt3Lm53VT&UmcS@BwA2kl z%wy8B{1WPA6)&qVOK5e@I^L8FHq-Y^Y<&h}+?R47@k%5!a!B@eJt^;$yAsvwP3=~4 zKRuhg`+799DTmPoh}Z*oZ_E&hU}07{!gAj^VvilTBn&-Fez7BrKT0x;O6{g5-EQ;& zG6LC9B^=5G;NsZc-SB5Yd}uJ(sXIf7ad^+{oBx8GoG#d#gjlolZhjHF!}2_Y-IBv} zcXa&Px@24cT$8z<8$lW!2>Aq*n2N0cLrLP(VLL6SZmUJkr?4cYvouMBShisp!9DI7 zk+(*TZtF&IvStM)=}C71Va^!-IKqisA%{do%jXPw2#8r0$*RD?)3<12s9OaspPf`7 zq$^WCPI;a%Q#0rk;V&Sg2XzSMa$|Gjr0S?xzY$bcsQ#WNygN4dEGs51me&hKyAa6Q zA(Q45z_cua%G(_+SDYlZQH2I7OY3p?s##Bc%uyLIT6_KpkmQ0>)9X_U7Ly~j@9Fd^ z@mD){#^h}f3f$px8jsu2b~UDfNvbrC78@HADS}!=e%QyY#V{_Unx!_cAxDz_U1Se1K)w-2VN7i@+xL|id2)CCPl-9TX!TKFHJ5yAo;Oh<4=ZB` zuL$?I>$CDyWkuk4>je7<$F%@9|cYVv~c^E z`YC0-Fj=>vbe^2-##fpv5*pb9z;E`I%bKj*qG`d_`Y*blfXgOv1m&oli@h)L?C6Xc zF_xF|W<|G0GtMf`x)6T4Gu=Sy@ba;^vX38$5}mBzs89)vGbgd4%!aS6qdHIA4!kg> z%584i>#9oO$)VDadb-puM0WaWgHWj;+{&fWRrBs+O)nOZ+orzpZlx~`04W{~k59e; zJt-avYjxW_q~m|{C;3g_jvQfOT@Gdq0!a1StLUq809J?E$U%7ac!0bKpUQ(X;;!BY z5g93srcUB`);aJishASi{oD?>KR&&ze;#IlNqn8qernvNv{N+c@HYEWSO$qXg`LAw zJAhv@ICU;8)|p~Xj=`2HfL)vBH^4V6W;frXSoM-ipv6&ut+zP4+k7&a!D40xK9jgilD0^rg^PZ3)*UbK;)R&c*Sg=8RW^kS$(Y2Z&nCKiAUA}Gdl!K2+rj_#EsY*ma zZln6fxXQS9P+4sEF@6s!Ga63`LH6fcmf>)Ls((ZZ{l8#8f9?C zZ>Y(x%7uB6uvm5-$0GB;Y{6o>CZ#Fx(^brVi|m1)>+{=W6?oWMUoMGh;8F11^6Mo& zafvz^FkXNc-s7sEdiT;$wib}loIe`o>>@#UV9Ga9|H&HkrA*pCg!_Ie%}@N3CE6v? zIxT9saqu#o-MeTOONHs0WI~wOMzvpfN{lOy8ZEWY;WZk%<$Vs}aIx@l=Aw6#{#u8oT#>ocuIFwP;sVwaXWR!cma^ z$a?UPce-iG25ls4^#cH>tg>6$u0GN8(g=&)D$SX)TVP84K4ptQm9^dEDS6J~JUbiaRyHXUW*6IOc`99%4?NEl z`GI;v5+jUb%{;KcdkM34m!Orkc2VJ;0iwx|$}9ZxMsPE6TaY=fZ@zLe%n6rV)GmgB zbwiIaj`MOh__*Pf0h%!ka>k1nAVtqhuLzUp;SoL2!5n;{js9U*F4ad*zHcayt2K$mN3m zMT#er-6UxkuKc*{K0ZL%Nlni*oo0ol7t*TnIBJPJtuH~P8q7frpx*v2tOhl~-2Yfg z@qa2c`Nz+})9y6hFAdiOykzB%&|J5X5eV!O$FlKUp z@a$yb>;>E28qIzxr0ql}*YKfG3~zn76IH0uN_m6oJhH#(Zqiqx5B}$pw0}Gjzxek$ z<8Zn?B{5*w?(5H;Up@rD6QQOEMT-c?7B(O>q|^S6Q=$GYJ?p>9G5N2+(tqoFEB++D zX?S8H)^GzIUHP2pl4F({+B@%4Lv3T~m(8fn2r&e{;Av!HSUc$ey*1^NfXVh)rsV>q{4K(!e;kx(&wRE;?b+> zh8ZKSNHCAPibyDAy{s}62M2ZVpt+&!_14hZlrFQ@J~2bXdi}etA@CRT5OqleWZ86= zu+;1_4g+%#gMNgW{6*IMNAxiOF8yDCasDfy&R^>uNjGd0 zamCmK0L=!QI-FUYX%X6;$rlUSM)@N>+!N%U6Bxax?f$_?ug}H{M`KDQlDh2nJm3mD+i;ZccI2~z!qZ4B7{^GODR0F! zfpsU3VD>nsB?c#qb(60QDY@BPL)kl~cCdV9$BZ20Q$bWxoz&DVE{(!9Q z2MM;!S2IgBPj6B=r%^HAYFyFFawzt4Evg~;BS+?^D-qRW00;x9JZv)k5#IseWU{%V zG-Z|`z|G7n%Zjv^AY{25S*mcwkU~-Y-V%LGfGbBllnkz+y$?&}PE^t{ta_ml(EY}J z<}qBEkck5+#FZ(5N1kFM_g^jJ-}2r!DfUqqjycNtF(vqI$ldUX;!nqPZ-e0j3)Te< zrgwvVBR_`8teJkkpVRZoqaTR;#$S2x->;?ry^2$(?*Pj@)Hf9KO{Hq+b?=?#3_5#$ zrB*yW&czlTiNd#3A(nMGKeu@Mbz@c1*d93m>`f{1ARZY2_D0pA#h`yC^%~Q%*m`Ic zjAtm5vk|8x5ieS(CA2SM5jnA7w`TgD`5Dvma|FS_%c|^k%inVG{9NDPWKsQbD#-ku z+2k*B31dTbz}}dR{<{aMldhI4VLiV6?V(xK1s>dR zpHmqZCc2iZru&XjzI{bPngC3@HpjBDr)nhc_;xdu@r%h$ooO9zw}9(8eNQYHuZ7$! zEZad7j_Y-!ivaJII6x}Iq==KUBi$UF+|oDRc1KKMVw*bCC}C!p^YF#$Jmg~x;gXf( za#qtX6<-Y7YvS&j6(8lFQkL#oh)%Zhz*5CYn>yO8qmW^1xhp3{O=~>>ze+~{`IK-0 zT4@9H%J%V$YZ(M&4;R3vC#pc;4$~y}I^WQz5`LhnXWOFCIpG|<)(!$ol3chBi@X5c zssX~gs2mVi6OS~(tDy)Spsw+I^hzVJi#S)KUXegQh6KMEiCY>_o+1b7@VL)#rruRu;5r@&AFi&k3Eu_{%n zo&_KmN8=1@+jS{718kW!w!E)(T|yRQmavnSn8TkHzsQ8C0=433P{ExVR4iRH96)c-?$e+rj^j(f61F^jr%bjod6x?jIL!WS6#w!>qfW(aj=lmeI()gH>aTy z^Lg*F&;}Z+nv0=35wCY4kGS9(7C3rEm5Mkq*EE6^W94OW%0X@9j#8jCXbJJ4Rv3$%h&40He8F?=3zTD3aD~CPnSx95u7l)U5HjqCDc`K zAlOVH_H*ZFvL{n%m7VX#mRuewRoJgcp)B*X7&Veo@^%fkjcFTfaQkTsq@``#$@(l9 z{qRQ{d%cmO&@)==%mU6l3&fiSfxAC0gWFq0A(Emses2Yru*ZvctXIK5+Wyr9zKV+j z=*As+I;sn}HocN&*XN2_)ZgebbJ8NIe^d_@0j(9eFIsj;4`toPtgOqBcvv;to!RUy zj;Y#rKDUe4Ut!BFKv7ksKDncGCYa{1;um|ojRm9@PiI2ji71C6r`EkI5eHzC@?l4( z!*Wl#`7MT)wV}wJeN<1?n)N+jxoLCMmP)!H!*Yk`8=>6obYYYvoi&BJlk(?@M;_%3 zmb2qLWDcyaf~K`s-!2pB6jlvuL_Um*(C}$s5$+JMcsR2&>b%*YEa5ZRrZ54;KG5BedjSIqF1F^z=-dJ3>kZ0lqgkc*lk@xxakDYj2 z{j3)5FP}9iOR}Hc@*752e48+opNUWUxl`#S| z`Ffm<5y3crfmS3JccDdfWF|AKMdWi*dZLI_M_h;C*kI4w`d5KS=Z9)AQvkMiycK+e zQF#FxWYbNfa^;k7hv2xSu-vLSQ+eaGe4^%XZ>HLEVy_*kHSYbk!BKFwufd?JxajRF z2PE;P$VT_U%8;$Hdv{-#fpF$s9tr0q{-?n;p*R5^=S0c z0}^)sfd#*@D}k+^NslI}qGreC=iVyQ6%e*(ib->{aIT%q3uboK7rs;hFmxz*R081t z-I9O(RHvRk-ayH=ew1_izR2AhYiD4WOm$a$JP;_yaBsJ}>2RcP*(QGv)n>*0n!UsW zvR?Uz!UOELk4Ul>II-1AVJS;9dY|Wdh7VOs{bCI_eCOB+OWukAWq@xE*p6BJg$Vh- ze2`VOQ|HqtP_EqUw}N!9^0nJ0U{7#whjHgo#=P=`5Ty+|A6lHf8~n*z1;h!Agtma zhiQSn_En3wBc)09gdVctr$pBUq;WaTiEP3pm`8Cx88<3ijOs{%g$gmp;D1 zOna!S7I2{xe#6@{2>mB+MXG&I zcHcK2S!pj6;7 zGZf^_@vq(1f6Yt3(I);Yq$Fm5+Y7q9w}appAlvQH?XO0l;&3!^GA)i2xkfvg90>aR8@j;rPv z>-U%xwg<0N#pq-_y2*``CEZ8Y8|u8*@Zm-VO@{UFa(Dg~;VZwa&tmYsaWkJ2SHDY= zmDZb&nagjjPWE2LTQ|5*igoXa47%MX|68Vvk2Hal;HM?+Ud3+~=cLQyRAV4(na5W# zU-Gn2F@>QGFf_u=i0^yn4}9RymL?`^)1&-bGq(liGOjDDErUSU)Hasxe?{4{*Kfh1|~qLbE_wdp&e;X2{;kA&Svh;JJ76n(oV| z*Lr&uul2T9@Db|7Dl*4u{j&1vUm5X{{`>T${+fQ<->R=mUm0`v8{5pkm4)`d;kj5} zYx4aXuhaLo|5Nj(U zewP7%E1B+V`~5Bh{$`uxYvs=GGT`qtYU`)C*moK5KPjhu%V&L;0e?H0?x%Lnzq-O1bP*)Q_BE(%$+@r+hUY&F?w3|m;4?n?jV z(wA{@uy+Nn%3Y`D`{4pGDBL=#53J2izg$-25dxkj_?J7t&A-fn(3j?4-sSJcTIh%F z|Ie}JyLTNVZ74%;VsDjeVP*d?_t~o+O^l$B`)o3(F0V_o>QG;y-KGMHb`RCPlCC|N zxp|69EIm~F_BsBOOGBFXH5u3pDCNAbdaq(haY4DF7^0FGbPb9b3?3VRdR56!eq!K$ zc(E@pDfQH)xS1(6%Zbds23v(=PZ7Z`cOGkk3Iu^Hrxs`<_Fj^wQz%SBP zt}b`Yon;*C93AYw*;e z>uCOY@m)+@%!4l9mQ$1iAt8Z4NWeeP#S};egoc8OhKhoQhKh!cj)sAC84C*&6N?xR zALlX|F*!LIF)1k}%@sOIDkf@DQu=H3OjlXh*x4xPIInZEUcbW1#`@(UNa*P3SQuDD zSXe}?l%$lbU;TCQ7DRx7-iUgNjKm1KM1X`$fOOFgq66L&73qgB(4W7ME+GT&i;jVb zg$*>Qx(vF6gp7O%1sN3;1qEpB2iymt5TFt=@JOK%shOZNIuY|ej(LN@Bwf}*qCU9G z%=f@K027OpjGTh<%2gItHgVCRa2|J371Gz3(0x z9vK}QpZGYru(-6mvby$ZeFM6;e{gto3_CgfLKhMU`A=j4-~U9|kLV%*=(>c0f{cRx zg)XE^9>9NO0u)pR9yCHJHFOgvB1YcF7{t;sZ^~LQnfTOqNgg;4Vv#cQFI<6sA?*js z{yoA1ei3DVCG2l>O@eTck$}lVCICr-PP4z8DE@1-uRm#P9Fj((_Xl0On(7qI?n2wB z)j&qNW^Pf!N3VVrT{*zUxr6ptkr{H@fU^ECL+Ye zB*R%5?T^*lW8bq;M<=i`-ZBZHHGfMVf>xiu;!op$rZUF8a#H;Hu;2nDe(JDiPdh1b z14kA`smriVggF*zRL#gO(^IuA={Vfi$*tIuQZCCmsZy=5CPA%tBT%)1LCTzkf_Z6r zY!1#5jeG(6kPM_dk+cBFksr`CWu5el1Da{9j|hydj3{>_51-fVeda9W%!=Gq5H?rG z!(iILC8NcHx!Hj&OkEaAFxq^)AX{U5I{Me*wkZ6Z2R^8~_Uq zcA5vD68Q>UfC6eIzkpSK+g4%2z`Jg$ov9vyLmHVpj;xXcD~0>gc}s zifVywc(0>lMqC(Z+p<_2LDKaYU{lJwa|kQ|EN{{BpT-=tk^76AS!Y3jRb((Xt25S`aqCRE(Veu9nW|I6JGlEZb;KbJD{@tO-9L>+eo_wbmsX^NbJoqk`ip$7v(Lq4 z%b6a@dz0efT{K1H4Ox(TrFH_NFJa6mlW{1{mScW;d#oR-YpJiqE;W?7)Kk8V@YWLh zdiLA5(GN%;-PqvhhAh4Vj|u__eU$6h8ZmcI5i|DJd^s1CUQm60kC$S<;UC1sid^;+ zZ)HC)=bTT{i;qMpIi5A_ z;y<$8epuhQn-=`K`Z1-Vd7^U7y+~rS3c^|tNb%~Mp)adWyEN?V_U?F)Lt)lHqLZD8 z-8F{*sW$d!j#6}YErBs=Z2den-;^>kR`U!l8nBA~1*EoIJ91>c0Qnt#00zIFzAss0 zeaKipU8u`+|0cECt%N&!qSUB&fx`fF>5;fZ*kY9`uqu8q9Qgw4hKjXp)xcmvU-k#n z(QBMo^pUWn;kObS+oQNU@1tJ5 zw?Vcjc^@%f`U~>5za+qtbi)C3-x%k8M4Mg>;*~u|ezEpK4m>;&0IeN`94tBAL=OZn zn?mQ#LoxuPom+~VW;aX-Aty<50h+LuJQva(*StwfawhCK-dZo;5{<93(R^j_n!|%L z`IinZU}E*F_U26Ny%_K4&oK)8S)jC`i}+DU4Z|xl;|66rC!3!&e`Ps$y@Bk);v9J+j&|^gbG{OSjKh zvugW&iY4q>HIX_2vGew|Y!8(~7ECvj!n?c{jFC3H2SO)m;CK8#qSnRBL)(Z_`g2|p z)iA}p8kfwnI;N~?FNr#Ji|E8|=;6FEOCi+~IomC(MI99sI96MNVr4`E*gjQN!}VY_ zivW`PM#8fzO{Q}yMg%hVCMn|hGlJjezZ2AuhUX^7UwL;iEFo)#mN+7I@=QXVF` zu#K@pE`d%ouW&Ln&9~^L+q2j>Deb9M2X;OV6#CeK8C!-WeSTzM87@sW(Nq9r87mn9 zfHgmngoyw!x2(YVt$YE}0N6MkocaQEM54dgOO}+=P6x8oI-M=coV1plq_gzn-G1%9 zw^NGQ&0E%KB zz&h{|c;GXvb%wfOG4waoc;a!d;A)b#;jC;RCGn)fNZ#^|C za?3W}$iqFa+|_@N87AGTnCNPK9U>?%LV?`O;awt})#JwPW$4qyzqfDf{rSq)$6h^O znt=W>Dt$?6&pkjgFb!j^a?GP-eXEId9dI$B0!PF@4szqLfDes|56m{3SII=Ax=uYJ zTSPFVi{2tcBj%aQSc-YR?(Cego?b6=ZJArtg7ho7-Zuej)}z(Vn;U zf$_>}*z(gw37Szc{RK%H*p&1K{&SBmo{#6DJ&2((QyF_&#_hzoh%(bh1%o2nMrres zl=6#t0{S8cQ$;K~L*@z&wp=eMmbj8*9!pDUQM4QhtdJdF*N0od0EA;dx*!IV`?^Z{ z95ag(eq%4F?FM$EmngQiAr@=F2xj>0*eUgqDkZDVWM;C-C+FKkUh2`;Y4Wiwg6Z$) z8dwym*q@ZKt9oGBoz^=!KxxDQSk2x~lI8;^3=$L;hn%T5w)Q7>Ao!9MM?#`sTYC7@k5A%PCX0Hh6NiaC zE4o(f{+jvnjkv(a9hx8DE@0nr?}vE{1u1kL1iDSrlx}^kV%k!k?JlqHJ9bDdF*ypa zHV${z)5OSK-R@>ChhzZG`WyUBhlT73HBXym2ZYB-wl147* zO0ZfDS*8j?!QoZ7ypvWD!`40`(K&sig`P7b5J=Xpiyz{O-MM?dT>rU_NYx@k?_CK?g2?RW7ENLf z2IgJt`xX$?MPaUMaG88xo+{mrlBZRyhZxixC}Ew_1Ky7xy*ZA2(K2}6=DZ) zm?zErQ2RF|i*qs#q!l!WO_1ljX0L)sllShhx(kqF^!XKQ&;dTS zv2{{Zu#H=Z72U+-^W?@Uy2_%i_z(uLXQSXfw54pP$wuI)0+>=P(W1kd+XZMSw_xa? z>2}u-LQzw7b9thKVMk@b4+vN25%8Y0FL2n|LryhGKuqA)=t&n~hs}|1 zA6**(BO!X-=qS^09*N<(p&;H(H2?tF+~+LaGhOkkelv|0?(E0W5_m9U!(I5ZPf1*H z8P>bkYs(ue%foo%xY?;u+un$g*hYDqVGYA%mk1Uic>F@uc{!V>1|dBfrJ9rUFFmiw zALFY9`0+a3q^8?im@mQFxB#JviTmZlY;H9-C2rH2ck~6Rzk}61$Ejdr0U;e+s;=5* zxdXFXa=^Aur3n$|Rvs5X9W2@69JVDCd$LMFf{wNDjO*sIkVIAZzr}@%W*oGK{h44> zt&@mGCyGP9ta=sq< zPQwyTMbTJqa@b3HInJqal7rs{OYK&ZH}Wh(NIkh&+XdbCuNGvt^Hka8(DAZvk!r>v zPDvt5Jgu6q_^OY**wh<~F#EXLT=^Q`li zJ}yU)QrR`HW{sMc5PJ{%HFuX(OPDIK0szk|^8Ab{f}n`#OzDibdu{7gtILX+BI3pR@VYiX9WGr6gqXjJ za;&Og0+vcvp1P)8M@Gom%hVg>@K%^@4J5Mc$BJABD+7?LD>{vjP@4ecYJ5XYX9%g} za)M_M;%yD&lwmXf=Y{=R2O{6wg9mjvH-OmJ;a-zgswGJy-bfi3{_>9Wk|RTeyYGr8``sKq=k_YK)w*bAH_Pt z$Ot02hXVqA;#jSaV2GkrNuY62v_IwEmyi)z%r&|C$wZq!VJH0pBy>WV6dKlOnBTQx zwE65~TR>rwa?Z!z{OQXBObVM_a*;71iv5mqsIxC|;SV^qY|hm|9@lQ99E`^lxK0yl zo8tz^&GQAwc?o!jo!t1C&0Eu@2Cp=BE|;Jqc(nw@J7n)!-fCVkGwT306a}Ha-PO)n zSB8wFS=2Cv31uh(HKxkT~)UPct`R_kw_wBvzW!QVKfTrz}Bejxn6^>s0=bAG}4^*Py+7i|? zYx0#|$V>Ys^+9;izC=WN-5jcC{&)u|-n+DiBX&ncGszOG!BLKH=N%$T-J8)IrLelY zn@Id#u~5cn1lC)8BHoZIyf^~^VF9KcYAf9a#|j2 zTdrDaB2;QyJ@|G&YAAn0;tEVZ&sfGMchd;7p)vIQ-u{fMj4PUih83EN3o@9&L7_XEJw(8+W$34~irlu6jbTgt}qE{ntG|DHKV< z?#&%a!lf=iEkI0+iGHf+E=^j5gKKh6q=wEg*TI13*8X}THg+^WtHX;taWZPt0Pit6 zIJ?7X8Uj#s=GQJjr6qC+5_DP_Dgr0e8p_UdMpmM8gXz=)_MPC)NOsf@613}N4xHzu z(5op{9J4|%_KUmJ&4f?F@p7nRt#>*tW5wZ(>e+M1(O$;x zH3G>l{HOc8Z1H4wWFLqi6}@MIPD-+W@-?0pmu#ObD$X50txn9F>RQHb;`%hz!>l{A z!UuA$Tcd5-R8rXOOHEw#0~^*PEEFG>RGhz|d7gg4s^$(tqCkHYH;~0Pz}e6B(XaS`|7A(#>MkMYrbUr4lk2xK*u#v#&EbS00@A5N&Uv zhJXi4H)IU&b}^FpIj(ny%8m3W(&u`W%W;XB_HXuVcFIk|j?+xnQsf5OG~iCqQ9vt~ zH+?-|?|M`jJFoNE*)C);WP^o?++eFq?G-fYjhJfA)LheON&7%3uA`~GlZTTPm7yYG ztfpBE*9V^ExGGI&MXb6GD;PdPNxp;oEEv4br=2w}VFULB%+hIy(bgh>iwqCu2iMoEDOMne#z9skA;MTw4&r==-kW; z7>1(X0*0Wt3y|{ob0kAJiO-cS?dT;=uM$SND3QMGB(qx&uvs0-%`Ry=i_UxBOQgL# zQfvbBX}3sW;uNCDS-Gl3J3r}S=;j3o>jZ9!jXotX{ad@4_3@oCoJsF*%rPsjsL~$A z)NuDy(4(S;y9^T}dC0-sU4`%5V0n6IcY{=f&B`QOI%ij3N<$w;x;ly2JDuv7oEEA{ zmKb)TNlp6GK~mXcM~!np!%iW=*kYOkOINmU**e6%fpuzF5Nqyz(;Vc6Fsi?Pj*H2c^c+j*G@+jE-xMFVCV>#}K17RVbfab7=#v6X{{ zqhoR=^11Hb_IBaHM8=(16%soT1#?;+zjZ2V-RRbpvQb~dqB&iqXx`b?0p$dt78G;6 z4}k$lr;iPc!~H3&B#?Hqvlb;oIXzx)B;8TXCDyOY4p=u;A0RGkc-GyGv4lcR4K)M| z4Y7&^+~QW$6iurC>ZiI~{|g2$G= zgx2Y0*zF?p4OKSPtD#zDcp0vjrVZsyv#(VkJwmRn>@h{o+cp?@(oDahm(zw%hiyl? zsRT88`fzYohiam2@+8KdD6`v9`i%w3{j!M10kX>T_*8T2U^G{8jrLQ4UZJZTN>mu8 z4N6blc%kiOxAAVuF!6MkbaY0%~D<1uyIYW zw>(L4QkW!?mF_Gx6p3nuqF>^S4PR2En<1%XJU3f9Fg@YJ!pVz~j z*Kn~hgs86qU^R*pOeTvvPd?(V-jEv>siJ*2edF_|mzz;H9zGH;KoIyDBSImB;JHXq zV5I_Hh#!y2zA8%FLLbp$b$Aw>y;-RVO`juYjJ|q=_VeT%)g3O(hgd7eIG2R4HW->N z;=LAA_Q`;0w|a*@QAzrg6xps#px=dLK2wGhi$1!MSXx#~v~h|i|+d)rqmg`auUzIZV^ zrbs9lBxdd{a^kGeMnW#KG}b7;XuK8a)0T9LG<{=7r_S@}q(SV3X+&e}fe7-lfm2VE zCGw4~7BcrsPNqw^?h~pUs;nWy%Bs9_A{MrK&h3XRadKJn;Y}*t`r=BRl-nz>G6ua&4QO#ZLFS0Mk4t>3-&-$pIA`Xxk<_er^z+a z>$CA%GQO;8cZ1)#gR?m4J>&HjEwte;cC$gN`EBVR>{^ttfIr!_id;tlkJqJK(iebX z>r!Lj{9N?a-ICUw5O0Cvr-B7e#a#J}IynU8jeH8-wI+eJr4(1Bqf~4@;i9dc?#ox! zjaCB2%Bd4mT!FOI3NOwXj}qKywVTvq$pH1d-5laV0QD)aWC&Uutg^c(an_JzUn9p_ zHt)*Lz#bWUwi(u4zFpCNwQ|_FCq_kcT=3O(ag1fHgoY(t7WsNoRp#;fmz`7C7fmR%I6_Tk(?WwFt z^)-)o-2B*&l}CWXPqDCs!N+T9uaL~wK1;_;FMtqwY2-#u{ z1R2Y@M)Xrj7!yF*=Fma%+%^q+|Jl~KV479%)id!Ce}h5$yY4pt-heY7)lLZlq>BZz zQNa1;0|e2+fEZfR=pQ|_MBqFpI!u3I?dij)jVYDg!~CiIC*(~X7oeBSmfdS0d2+64 zoP@XULC^fi7KMVBq4ID>gns!2$lNBOKI8u57}BjM@a%RU2rxWiG?!d}Wageq?sTSH zfZkPtTe%AWr|KQZ#yvOOK+9pY8b3=B#oyq~ak2+(CsbCpD*RykZlc;87J zgs$3CVTp?{F*fP7wI3TX?&@355|=3okeXtB+)%l{_fS_*A@&)0K#&lKdMU8q67gx$>9WKi?Krpr6sZ|df`g3SPw01&8mf&x)>VtIo_ysp(8ClU|4vQ8{Q1(5 zm`r}l>ra%aC7!WO)oC`I(*>7NKQc+Tvohn%Q=5gI&?$xLLi0B$i*t$$KFWHyal~Xd zcgEhFc(_kaI)Sw%yG=zB6Clx)M^43)eIK+q9lE_d%nGs|-_y%SK1A2M8h>gWf1fgn`>a|&| zDi>mZ(}amYdt!^%wDBhgrAHAt6j$RRbnoEhI!e+m5pinOv-We`Y0GY|+Eh@1&k|j4 z^e1O$KAx9o2TUs3ne#Mv;bEZogCFJJSPNa-{T%6+KMBh*;+t$8Vw^R6YU+$89nUcx z;V)2hjoAXDMpVQyKJ85vHHx(~VZ4$^!GCVK25y+tCqd$eOL;EskyfU zAElvs-IoxJUe|8fT7~K@z zT@4rD97|iAnnA6?E>aod;IAbzC~tgL_n?8|5yiWf)rS1}=OWK7JV@LN;q~x=+ttRD zzTE=ctOZpM8{DSyCS-tPJy*}#TmQ+Dnms3g*~OMy8~9cX9|Q-i*hxpDgV3d=YO@L7^zl zckoJF&o!+yuF<^E&pYe%$UkS?F`LoVcr=HjRj+H@J#nVQVzZ=iP?qE{XF8Zz?Y}k? z@uw)pH>uZOvasnll7fa@hcoQA@{)Egbt{)US|D4Z1%DtW*K zNN0zDB1v7Ml-|JlP`FV{gmv_}w)xe>`S*_DqZxgY3$k#)3HPNq8cQ)4wnDd?jsSdc z9~v$|apRJ3=O(VOsWS_n3()JQfOe^V0pjryc9%~Aw}!)9Evv5Z$~`)OiU(|+{iXqXxp5B$jxFuZT3Aw-N4W$C6wqR&xOFF= zh;A9%eq2K!6os~CYIe#xg@jb~)-BU(3$*f#?6Y)56c6opcFdU*o&Z*!cP0iU5s+om zU6%8Z%rCCy6*tXyzBgZdoP){SwXs>>EfX0HAp&#)!XZFGazwMo-+2PabJ||HjFxDe zDh^fb{DHO!(EOBqY`6P2oaVB;E&i4kzhsWk+G( zin-lb{+^K)>0aF9_rf&$n*LV|q!vdDxTod{bnf334oCY$?dH{}NIEv2LI z_%rc#^RDFmXG0b!r;odC&qa555FrNqsp49X^@7-PszTz6rYEOrYs;hfW$Snf7^ZvP zzC*!Db>EQk$Bt_`%O!Bngz;SZu=s{GL>`%o4HQBw2;i2OA^?x4jkpr}wbQ*THR zC%2PLx^GTok5Xtlc4$lmw}Ei)*Ky0W^ee*A8E%Q zP2EfGnX&e;o5tgN{ObR)_ttSywp-iqAP7nd(k-c^fb_s1NQ+o>DIL-cLx*%JAPv&p z4Bg$5(hbrxZ}{y z4@EfTL;iYheq$9p03KDb{X6KKHRa4)9r#8{n=VgDl&6&v$^ABFigo=6T#N5ZZgdl( zyZQLd+E@vYbpRgr?_c%;@FqRC5&T!cSZ=;n+N$jRd&5Po2=D8vh4>UV-s@T>YN&#x zbeyiwZwbpXhnZl}a^bOY;flWU2T`q{Ev_^nnX`1JjD^7F8h)Jt(3&XKUzJ&paD>~1w9|{W&UvFJ<8nIb!6bgwY)B}QP7b@w7d3$sIZh=+h#!K!Q! zXBdhZQOGWc)Xx=W&?xCi%1_GB$EFN|WSZxP3uEqz7kn{O5DNrBdV zA9W3nI!R@Ty3W#Qan7SX{;8B@g=nm!tLXr?mo9B@%wcWgj!z)H*lU!-kVx3#{vtJy zLtEbIk%|Xa@o9!EecWK63}YQVQn)KOY_2^iG0J8)V`NJ&X=D+RE(jvNCq~wcD%$D^ z7H)SJorG#Fv5Sssz@_q+a`t&YEjre}kznIW$e5~wDpv}}iK`e=_Mili+Gk;>=}58Yy}J=juW`<1Jdpmwvw zvV_#B!no_zX4_aI;+!nkBlBCFdkUo1XZSiq?WCWsjJ}R3#`Ia7Z=VX&Bq5%0bCMjp z# z(qMP{Z?c&to931lF~q@^mb5XXzAVJdXc4_!o;I@G$GoPhBdYU)FSPk@s;TNf6nRXP z3GRHYM{YN>rc&Fud0n+-CeIsvt23JEjD&Z5*X~MardSGJCaN<^swJ}7;El~K5gehK z0c|`24S6(C;^4=@&%$UMwMM!#HJJTwH*1<-nKr~;GDF_^>@L7Vu0HhZ2=^SG?hFmU zz(!=9W^r#nruSzxTE2>WOPD^GCpDKawpP!-ICr<*7MZv5y3&NhzuX>EuB`T>ruRdX zmKI%Sq9*vfz@wafD1@1Buwhwc#kTGieWQ7PoYYHrK;yoNGh}PJTHA1rMbY8 zRD#e2H#et?fGN$)h%M$+E>#|jC01E_D}y`#1|AyfnDVEm`g+=!^IN8K6SY@Y?pmP_ z1(0ob74Bb@My>5tP69zJ;44_)MII{CYxHF9{DrVo4Z49yYwObRN^@qrb7>rJItIqDfNNTOGL_qnnJap>L$(=!uL-(sLi26M8x zGzHo>n3Bkv$M%&}MdGd*Jg)i5FODTDaJ>ulxU+O-cJ;PU(EM=bSaB6fLb&A21<{B) zbteCTJ~KGAWj=TS3o0(U)LXg`)=)FA2p+Y*=vkRz?CkDYQe;Vu78GFKoK$I#jSs0! zN)G+3*pGeHsR!m7g1f<_S>YxF@wbScBBHl5&nPtD|)j=YT zqZ)R43{Ncsm1dD1v_y|GvkvR*i<-^{uAwuol@BZzB;BXY&GC}0GOnU!Bsu4Le!N>~ z*%)+HF+ELSEEJPwlxr%a++>S0Ru!{pPc>$JW~dsrD9au}!D%5_5K8|{h(81hImrbrq=t&vaMj98k%l$MFsxjCP}$Yh zND1PHSyk&-jHFja;9Bjnir(I_Lg}h5$i_6qO?17 zz@@G>NRKrF9@>w8OeOT&~zkI)LYW-Dv>!XL*?V|ie+B7(Fo+^ z+fH%x@GZ%5<{yW=8FNOiXGZG(QxL{~W}+A3pjg4&ztI(tiVok@xu@ zs3%{XRH=y5ve<;LZLIvtwJjl?f9~WlB-3z4G;3D`N|b{(|*q7J4l^c**4b0c!^Qc zgncp(V337l)Q+<4RZ>u#EiE`2H0|tHhbDAREK*X2YmV|3(kM>s3}oe-QT3C}5?xU0 z(S|)#YUX)vk8rLu zd^>vsnG!jEf;6rFV8QL5Y*v)wcC>HfADq5<&X_V~m#?~;Iw+T|EYK+n{?PU6HJ;C< zsD1dZMCQy@ox^t!4+cef(u^(4!FiBJW9)T-W2YWl~z2w#>k}< z*!)a|P;#DRe`$Au_N~%@H?*F;N)Od;)5;t~wiLZuI`v&>S0Rp{NG+reL@S-0%9d(~ zBo&fR{!H|ypkbAk=J<_sW1o&|#3IX!;C5Zk0gfxqI(N(Bv~weD1N$6t)dHonjWXB# zy@kYzCM_ahg%^JoE%~qX?*7&P?+@r#{Ns^Xf651|C}|eADV~vVLUwrbJvKX+0rufP zST!9;8!*Io?t%OclL^=sOM8-u6I3czXKF-f`$Rxlzd1E?ndFBc@r$kMaEG@oU0~ z)t?A~o2kl8Am8-4zV(+bF-$w(khG^nct38gL&`t0_Q`ZA`VJZzqyPx16agm}Z1Py> zgMw^QJT2YyYui?hbdc8gsKYPUO>u}%VOSlHuh%p5>-SQ&n(n^;Tz9LHaNC>?D}_k) z49$yq>d0S~@AT_{RWAkAZDr6gMyBaBlzxO*+@4)eXKbnKPM=-OlE%eMVH7i1|4pg3 zi~bGn>^6ZKr~+roB`S+1RanC5tZswGW#szWs@bPPb9M_$PQ#&Sb91uDnFwxI7VloH z($`vTw%C)FOCJ7{{Qa@+4`+y~@5RdaOGYwk8j-NWlC7|f9iRndnJUdkB3NzlH198g zdM*`(!YKIaKPX8S4tvw+)*nKSdJ5IgDbXLlZ{-!dIMC8waJ&>e7Bs?sYsLOLcYna% zl~b~K#e)@4SJd7-O8bMu?LQ)f|I2G)u&!{PkzU>gaCEtlqd8{)0{j!GD0=+=DXIMB zAO79fKdrqV>}GP0?i!%p`L<94kelKs{P)`HkNWFB=m#aGc&o(Ng?B#FE~rbw_KIJAzDgx|;X@a>)LLZR!6%cA~5jWbd)V zj=6P3d!f*}8#KM(J|yZ)DvhmCBEBCP=}3*flZ;ZRzUHwiywaqBhwHI2dC-BxUz_RK zD?BM0AOe{pEoH8|N}>dfE|hlrhwL3~UYW$J2!iz^^7uaAP|c&`cs4sx`2Q9v|L#iq z7dDH({O*5|tcr@xaygyKO3B%+%Yq>5e4wUXz*YN8&bCI#VlDNjW% zlmZ1rDntHA?%H5$iuc-UWp#>+sP203cu&^4xo~>@hg4q=%eg3oj4b;O9k*eyrM^pU zGoN&w{Ej;?^3KA_cHbJvG(VM!1%~^^r7-5N?rNBIK0(C@Rz(83%wg8`wH~k1cii!4 z89deNp2Eg(0Pplm4aUtJT+W^E?jlt`d)`y7=6_a9C_al94O|;+uT@QTkpd6ZKANr* zX(*}2SbHo?NFP>MPT!<=O`Ma8x%ZnF5>#KD6RLlKWhJUYK(LA!cUW}X^Jq1_ecT2?uwr046PasRCL7<}Fypm6J5zgq3 zAwylWzQ>{XAH&7;*^?#z&(T@zo5xXI(7-o-(RRr*oxhEA%P>)?jY(VL#A!1y!kSfN zK6%Ps_UL85)twKXcpyVhp-I=$)p!1=b<_@q^hQs2&)hAp98NAN3#}}YwD0>>)365K zwsG33vl2dqEG;=S@?NEISP+ozLfMKoKs~9XlL$j!6lDBSD5SXwuae@v#xk5Hqls+9L8URI;h3ldoqeL1*QLvP#- zP*U))HyvGw{jycvm$w!zV$K(9!UvM2xD{B6Mnp}hrDmGRKyT~-m>%Xz^LNk@6VmcK z=%$qif>&;`r3shgSa?@xrcLy2{CT^SMXG|(Y(4cGM*h%x{TouQwqjI4|FiJ~oHO|* z1hXDLQ!>{nrOln9v@GVWMU;nbMomQiLsFTZZ-s+g9Uzyx4POuVBv9tUg?aGHvm7p) z_}%MV-En_&^FgrFcj$oZ&A#pI?1Gt?BbK07cf>L_Naq;jYBnYs7@&f1K{9F__ylB! zKiZ;o4_x&#UsWbDP7j)lWQ1h&x$x$nQlJ;wjaM$IT;RPV7 zKaJ=klo3`E6M~)gD*kg>L1~LO-5DH(f_f zcTP@P7ipr=cAkd`RrQBmg(C&$cbqBidG2*3#RW`_3cKqhG-)+7;X3{zNCP13|5_^v zv`O?^8!){}17Fb)!1qTvd;YBB)=K`n?)|UdLGK~IjH5_tvyAhzKwrJ8^DuC>s z{r3ZpwuE24QU4b(D|}K@$a4hBv*eog)^0+DNrZ!vp*OETZpvHCV33<9atvRLD*pFa zQk*dYN;~1PM14Il4Cd!Q0hB`^pwhZVt6T zaJM%xLw6!J1Hwx4T0k#45%f8+Pc8?RqledpEEf|tIe9o)lTja2{PC@pRHi-Tbd zncoo}2{!#K`1BjnL#e+#g+57pU6Ey^xI4~MpF)#(U~6Y}$1^kVjh{{JH#DUpe=~IC z{Y{Jffus3(OOXCmLhQ-!d1}1(V zHU2Y>{c&9QGs^$-4D{y-@z3+~pV@^!vkSjJ_ae|lDzZ5N#r+WgqQjWRfJ6?Yy!bz2 zP5ZFl4DW1z&GVzNOrmd&F9&FN9aKleGKb~EP@8P8iJ)^T*U$nFIM;U&a@_d$F;j^2 zRiEWr^zU$>ar*^vvSnsb@6hQW`0a3gk!gznwk4+O$4gpk9_^+F*bk6Uw;;rZe*f-&+ zA)g>^)@W)c@ww(MZ zaC*pP*MsV0v4T0HSL0Agc-JyhgSDW-jeXfV1-i+;=TEUv!z+07thp(p$(j}F_2aih z=}h9A0y0J)VF}yBwXvTOjKdLYoOHG8PJs!fBSf_YuKF0PIyYw^A- zdIP^|N#>mIU}LYE^`6oSnL&AjJ}kJxfSQMV9n9RCf{_ta5c+w_yMP;SkDyuyeaLyq zO=Y>tYf0pRQLs!h)&TcF7Je&3HH_eqsjA--T>dwAKzbpLV3LB%XJ0P)KN~YIC95Ajr%T>OP^!NL;49)%1pz z1km@8wJ;ZgY`LIM#wuvYjfp2AcPb#n()n92Ohz{y__#VJTn|1!iDb|fb4%SD!U!sd zZ^%zd?~)+sY?r}P8Uu!>4oz>vuQ&wRS06H6u7M+7>)Tw|xQvkxy@y&CW&6g^pc>E9 zjM}lAj$F&jYl-v6*ax1hKO}nbnw6{N-8ERn;Ipwi?eI09Q|#;Dr~dnG*YsiInGGaz zq52bW?weeZv9s+q4}qjGY7k;53@V>4owEf?7KESpxEXEqVEE}*2Cd6}k-rrqOZ>7W z@jis(p$2*p)D%H5kG#9&82GYxOFsp`czPp9g*U!ugDvmsv`>=s7TcAVuO;(_G<_oSKJQ<{Zh6ZoUv_kN3BeIC zB7c?SDoPY%T%|zrDIwPJDL?(%X(}#{&M;I914!`uBg`7T=aJAuDnZrs%p#D;nxUjQt7nw*aUh@t4`<$1L5qK@4G>GQw;n-*J3o{t?J2|=-WOpgv zM^Hv5SqWF?qRV8CQ+b=ZV~`z1DD$gOUjhyS)six?w&*B6+Fg6vJJw^$G~t(m1zKD2 z(gBzBycsUnagCfzhjbKm&U|N)JREX~d1WOSXtpf{4~j*ZkZA@Z8StxYpWQfbg}kDi zSes90vu`9{R0dFzbn>H)J;&f*>6wV;Kol=UXG>}r(Jg2QT@AUZxrn(AwOxZloGHOj!3yf?ndeEr4!e42>ho36ej(|~@3i+Q0_cWA`vKMZI zTbV~O$Q5wk#z3Qf+yRnY(IJ^~dPc$He6xQhh9cs@hF~hTmc}&+-bvy932=r5 z3jGU$*L^3&RnGIuE9LI<{N|n3owh;Wz*xXa=sI`2WCqGI01$l&QVQ^6PGD!rmY1lc zr$eS2$Ch4AxRq|or!U9AA{*NCPfzdmoXkWo(3ctt5CGyIi`XiU7j@pxlfs#2nwAJh zMO#khQUI9)nHa4Le)Ef+ubn5GVHGIp%Y_7rcTB!~Ez0%CYBj(SRuhnA3P&S_MacO? zMpat~(DQD1l>0?jC1g9DbapcOk4$zjuaURygk% z+N2(a?pQ}A>d^}pO+Frn$}bgDnz)lA{9y(~(giKHyrHWOx+6+aR0Rlbbe1-~Rs5lD z+vG}&4hWu8$_u35B9&!pRn;gC#qPetzF#b;?FzWYMB=VI8*eI$pLn8?a%7*ft2`{rAcdDe z!H=esXj8rHTY>aiA{qljmK5Qp}|Am?ru1ZVO0T*)r zx(y%^ZPpF~Kn5s~I-{ov1MCW5^CcAgS2AgTaiM?Hg!(7^{s+ig{mw@1Kj{_!keU05 z%L}NH|G{0F*W3Z>7yL!E*`~` zE;8JL>OnyP(F&ArA624skX_57f$2TV=8kRTBqwMu*gHBxGj~EgEJ;*!)t*==IZxmE z=A2)<5Lz$K*{*sivP8wN&{$DDOg~OnQ)?7TAGvWKJFMo6@4-Fs80KoSW{hlQL)bD7 z#bcQT&GDmMUIqcF`WhJtn#a{4N04Y6a*vS820*rQ8PS=bXO?F|ZfmM-k-{Tu>4=$6 ztdEVFi(Sp)rt%@aA8E8Gf~p1wXqlXZCd%r2HH9->Ihu2(&kK9dm2mM4jFI+bMA zhKYQd+BL^OZ59)|tP@(Xfd1{8Z=ymA6M{K#vmiE@^5ClQNhV=`uy-2w+3lEj_))mV zsMGBM`o?X!kz#DA2PlKLsgaOqp?cP(p-V;`_!kcXeh*y_!?OvGY0^XJKI_hbTWs%c zoy7u=BiZ2eNvN4}$?#FnF_rEC7Aq-;TT$5WNe@Ur<)s*PMi8h@bHV2lXQ>#yup2%Y zZl0as6Q>O>hcGOb6IgLu@pw&_8z4vmD#uut*zfLC4W?%07NQdB<41g%37>~q(DYrJ z;t`n53k|Q8lz*uwO=h_2c$oStrl-be5_**cANqKacxexUOS zUCKcCJO(wlkY<{q6=9--C(3O0t+@~2;v4K!1a^I3lM(nPbdrkaoF~*-n)NLv#dN}+ zLPT`(9(22Af}!UdKi7?F9Epx=Zh%-YUN8Ik+ZU&ot^sepgQRO6>dOhb@wK1#;`?tr z^#j}XDXFqO3a7Xs>uvGVV%R zV=7qE$=?mhPe)g#P7SLR@6#UPtfUAP?NchLX@Y$RX_@1+f=fN>Q&-)27tgcmQ}F8f z5$V#yFEupWs5MLqqIjaK_`lG$ze)MX=9hr#mCS0n+~jk~1;1EYD-Ni@A)Ww4>)K$- zuR83_NM%=FfURrm!Zf*sZ;(dV4uD*7OAAbD8MCJ=0dX?L%5EK@J^Mgz7o2{vd@BW_ z_6NN6fo#vXi$cg$UA%=Rqg&o)I4!I|f_9-2Su>{3oh*8Lr>C*Hl~tqnh}I%o`5rT{ z=`rY=uPlU&^R6P@mYPDzMZKe@XTBZGDCkEf7M3;!qSK>Yrs=bNrNl;vQ6mhBO-QCg zJ5vnuzG^Pad}yFuJQgm~NCky~>&7DAXP&g1%0x5)M@X zDjK3RP;e!J*z5E3*9D}*Rr6j%W=~IIh#}sQ^Oj4lTvGpYHvW;bQE`5t_@0$1wD|HlJl0Pa=DF#3XKu4( zHimDw$Mzj16GngOS&*#KH`3(>Y1s?M6Qz`sCSa4S|9GJ?<>)MG@ukUd&tY$nXOJr% zv~l@!98~m6tOWX3#-jfLIP{|3P;sIIe!~ZNN#Ql#DIC@dg4>sn=perdJ?FcuIWYWc z`#lZTifa)6I^=c^=wC51f*@cDjbnf|^7T+zP2B~5@H-Bp13D9MPHg`i{TpZ=H+Pp; zd~6GOaziSTQ*4A2jJJ$YGkSF4Dyz;K3Qh-G=S5}Ap@#T`cxiaRKbiu#2|A|_*FfyN za2YK|sTA6o5ASPte-PsIN^PP-#O#&1^7MwZWOXEC+-rHt$npoz$!tjV-_|*#eh1-I zT9P@bEK9DJzo~szxZLGCqprZWf~mn0?D42bj4{?4RRE(&?nn_S$Ln#950?E7@;KVR zmdlIL7HDXwY4VbVgaJ*a5$Nfd|*Z%YA64C2gy9@lje5N|3C?fi%%FRlspk>XITA1c#V z(Q9tm3J1_){(-shiVcT7o<+RJj}5rgDi+1dO|b9wRy< zR2Dz%4oKF5g4Q~b9iu(@9?~*R1L>#@cW5fqFlkm--4Y_ivV+~Ze>LTFiXQ6inN3ed zX-_l@sBHd_0}BM?zyQr0j{_x|UuUGM1gf>nc>}q%uQZxDy1Q55nqbZ&sibBh%O$XI z@9Emt2qMBnyq9Kp%fx|sbM8GSwUR|~ZhQr`&*I3+!se!}zQHYZ*l?yOo=FL`92H9f z&--`V3VRAF;y%tb)}gL{GpWv($&s=wvy)Ld_9lS00%8O+ux4E~XRLnVlNfX?s2gS+ zFBqvG>QAPskN-^)$WQ$I2-25~SA(yPR{vVEXbFAKlk?jZQ8Z7Rx%5dGjvlwqYv?2|(w4@hXU(~6U>#?XIA zyh)X>cuf$hbM31L2pC=o12Xo2NX9SE2I_^w+7yw}$1_@r*LQU&w%n!mj}+vU4hvUj zGu`dJ@`S9~wtyy8mg9Jx>P2#7mvVLuCSKA_l+NAIb)@urcgJ}%F|6{#FQ)VQm$?Hu z&+V2Y_fS*SpdyX%RTY(q!)=w=2`dT0z`F$@c}jtarAp7OLkJX~s>ohQZ^EXVTEg#q z-BecL2l}(5^uekEGb0hU_yS63i6F&c@^8O1dk+6;9i`%8bj1R6+IB5;%NqkrR5}XD z>l*fB_7Cby4G2wG>MFR6xHtOlNew%b-Kc^}v+sLB-SV7bM%&`EU|D7kF$k?tx|=b} zWQ%M$onY^+9wu%fXDA|Q)8pqZ)4$%BWu}EBnG>GUPLX8xj9fW$xrR0ZhuC4HWTtz3Uo0g z8olt#c>cy(m<(8JfOCddDiTaP*}k4Q8n76dXD!VTE1Q)7-;5^hiqKMH=#*CEOy*KM znZH$!@bJB+o!wTq8mZ(Y7C1296R&HbI>?WD-t1@p{Y3}GggL|;c})hzBM-{=j|>*gqk z(xcCg9U?8butd{#YHIbkV_{z?V4@Bj1D*L!?`bWDkw&xkf9jsA;a{YQbu4uF?>sDO zk?Hh)yBptVE6Mz=iHfSI_3`82eB=soB+yl%h|a2Oa8?iVA;x^qQDF*xpX;IyjcGDt z1$;fXa5H``vtC6{#>=4E-wBI_E1b5rOg1DeSqH3JtMk*MN&nerpkg%->zE8X^>x+` z20EL2!PYXUL`X5JLu)ep54$cm4XGW_qt57 zBD*>J=bnS4F@w+U{6?fjd7ha6V7A=vxu2j8nMZfmqT&S(uzcQimC zZY<^8qW=Hl`?GYHB)y!A`>J`%;8sJ>Ubyw;EuH>r;=H;l-dif&bDuIq9YohLp*3C5 zjbiw<^8J5_@a;!{-HUiueC3y>rISHpQms|0p|L-;F+_iBDcIqb)&V!iO7q-@=0{E% zGS{uch3}|6DrPx0EiCxn9d1O1e2|ARaGa6N7%y5hFJc0^ND=#CSMR`rK)W-YK9WW0 zSWD(w*mT_^rT=}Lrazv%!ck7q9j7H(9oklGGo_UcM`_|hDk%MSN&NM{Lg||*dO1nw z0!zHMNk(+ks!q2GwopElEQQ}jymO~+RbQ*itB%>zOu0tq3>UdS(bkrNJiaV-M{{;K zaLsqiFP9V}ZzLXplvJ>4V5IEwW7TRTgeHK`R`nQ0c6+cIr5vUOC`trwR2qN&m-a2c z#}ED1Xa5M_#9F8K+z%Q$6(q5txA!KyFVS%j;QQmP>89C#nt#%}#olf~qw3-QD` z3vV_3S0F=k*S`V|A8(CSR5mfDElA!ePh6ZhA9lA2=+1eQKtqD7;r#k=9P0mu!IU-q z4YHm6>wgq?^q+MflwRattEc`V!TGy~07Y5ScPnORuys-5zT}RIooo1___YzdQfaO< zN4!yLr{msX>FL8)YlFfYA|CG#O2uyeQm^?t+N*!*S^qzIt)~589N5?^So4p30KZbj zYUXwU_?5SmTox^WUy+=3q9}7hFPt(ZhQavZ8uE=AWPS~;yY%}0k0NlTAq)XF+=5-t zB>|B00Tp7Q@cnxTV*5<@^47ub>Z$UDieZo^FO)sQoPz*h38>g|eo7!`hnFV5ekRM?JJ__^mG`H=$!O(=kBa#- zvl){cY#~fhq|4ZOZN@X?gSVksQ=cL*S;LF3v7zjh@SDD;wLrCU+MR98<~%I>9!Gz_ zBcT+aXt4UBFXaPV2QRc{Ta3(j$5+2RHox&Gs*lz0-};h+K_G??nv*9JrGQjNcfOf9Zt`qa zX^z2CwCkfg^ytygZp{wdX;G12Nf!I}zU#i+!j0g^MP@Fm5`NxWcHRWp`>b6Sk!-!Q zH_G0VqJdEP6n`eF08~1p%n@XFVMlQ{iT}dv8Y()LVti5e9W=h-ICoZSw*jaIs$9~? z)0~i;FrV-xTm=If&NK#!Yq5EH1XDuYmC?E5O#P4|@=~ozFQo=1xFMiPEgf?7 z9dt|*&s1~gj6gUiqa?x7$oa#7ip4|mHd4kw3bE<`eAGsHnmMFT+xeVtlfCxDmt#`H zx2Hk8)ecyr=&u7x=&>SN94ZtnWt3V9=|4|LU_XhvDG77XfT&qw!j ziq@Ri4P)*z)*0XQyd-{n2c}PM_11j-Dk;3*gCH z4FBXQYOoQRLO*%jVB3B%snKvyKtq4Q-TrBj#r6O=&beEV=HF1}CuO2F6CIqG@10`b zNe!BxAb9DHpld2I<7;n-hZ zk0?XQ`gVSujVl<>`WlA0FgIg^5M05yCwDkW>!}Xa93dCyWv9^@z1MYD-ZT~^;iAqw zS%p%6HAh=$)f2iEbO@P9ROD}=0Ps~qM_`ZRQIY`B5mnf*4}M-+nu1_tCC8 zzS})IVc`r{jlhR>!ED@q4w|TkAnD#&xb^x%6?ML75*#|+9Qnv4IqhafM+@U~^iM=B zi+g_3f{Q7-f_;4&t}{lk25;72$Ifq=Vq0GY$uNAn(epaqnDZv#y$aMFLl4?z4E4|0 zXRp6mWz@UDMJeSadSty~(^VIL1W#0yN?$9c))ARJyEZEIS|KM=4`Tu+n<-tJA%qsD zHWo9eQ7gkW5ro!?%ekX|-TEa`l%J{n#c|2HYKzG@caQs>csgAi1NDe6mMbRG#ZSr2 zda(mgK(%(9_-tIXAX{%DpZlBJn{Nfif)c4lMH`c3#(%t+0)#JLtNt(d&wqq({u=?r zKQNG0vR4qFlq-ojxYl8r2j38nG1L{$%kz}y;lj*IjbQ-YrhN@_e5f`(VDJ3sxrSIM zLs{?A%SSJw`V~Q-+YFNsJ#*2f+^KDHU+(ECHDURzX&FC8j_xlBfnIB}()OxheECr; z&bM!&n3zKX_R_|9Wej}eye2d#Zb#&IZ`r`^=C0lm<7#)`z+LH|E;*|B37oIH?N0QC zH$S7kX>?gAh&D_ZDgW4!KtCxLY@q+RPoEXr|BVv^Ro;En=<5yyaqM8=GG5h8G2M{5 zue_g59i=1wZlsL>w;Z!YsJDdWL2=NzMK0BaQ&ZcCdaN(h*8H~LJ~3lW$=GL9+_GM; z7+%c>Y8J}(JnKBCJ~c>mCf^yWmp03hFj|4!G2XWFl%$G{2*mPyC~?d8>nsYb98VNC zN{2I3?u7_4U!^3bO9@%?pB=hx8-&6ilPOozE_%ABK1W|@S(wIpf+&bNR|z0sCu!%d zmB4n5ORcl1|EMb}u6hSQ+zur%3IjITN#ao!8=|~rP(A^Lu$MUC%d(YA?ZhpX1$=!# zPlggEqNu(DWnYF+L&@Sr@H$}X%z=-v%*1-A8Vk`J`K;4)mw99L%c8}ssYf2(VFh^P zcveWi<$a`7VPWCBblJ*;wTS7A)H9jf3UG0Vfr<+>)nB*SKx#vWM$&`ZBs*RsICr*B zq&c-I0;s?$H`nfTx%Q^^12Zk}qqIl>q#7)ypnq0Ql%*-ADo^@ZsMEkeb?KST+ZAxw$qyumD z^^Hw^jzcRQ!nS=(CrcPaMRkj%SL!jEJ>mJ;NddG@`HLSBPSSY8ZkeWACcUU(rB?!6b0*rd|>8LB4v zV5J#C13{e@QMAx(m05zFaPM4F6a>G>LS{=QYh>aP(w*>rTs1=HmOu^5 z6Qi#t8k_tkz2)^+(mG1xl~`Kzat&jZx1kBF0@};Yd@)nx4-5rB|E6646moxRZ;*iOo1gI3J;>P?FfW&_b!2G`rU|ds7tU2Vy;7&QR9>ZFJ_p{&FiuB#jSFlJpj>0n-%y^t5mSS+))|;1kvUj(?i+K!Sl`c0 z|1lS{-4V1n*{jVgN;G`QyEKI7^r7{=?BvVm=Z$F|S?@0l+t1=SJPqF*f)yjgrpZ)2 z9blsX44LD2|F&toVM31PCT#Lfq@|-Js}w>8_x0XOTyAtLXELho4NfwrCY9JO6?!#z zRu-@7(Y6^@z|LjO(qUv)M9M=xA~i!Pl#;LDu*YD*XlX?peyWrCAuaw*^C4lrd=^!q zDDS$;3I~_XbXPUg$4k3dilWqEgC^|uNo;Z>Q)i`t$^wH9MXFW1sSy-m0v)#3l*5lq z>Rnf`ob8fCb{8*bqVHInPT_eE-r?Ny;3wggdV%>qx9A#;PWRB+ZrI88tiz&?8__l79jz&ny-XjC>x-VV z*f+XX%-R@UHj#@FEmSk<-{{>dbaaJqGJn}p$U*W;CVz?PQ>-efd-2J_Tvs(Cv`gxy zbxPW{=9XEMQ>+9$I(QIKRiRI!K>WH)JiGt7tAQttxV3s2@`KVief*FNP+>N6@Nhf{ z6=w`0E$`gf6GnU!LcG*M8fsA8eWE~^zF^$`h)(CDLNv>kqIq+&oAs+_&*J6pTy1YU zx*8w5PU%En24o7ploQBxJw3J9w{%c%*r}<}=_y-n#D?$0G>U!MNhfWNy6-L1xYRX} z0-e0OEPqxkijVZ3-!e>Ic~*ac9aYle!C}`TdLcLY5~`LXw`*p;q@}Op)s-9&feL_i z9p8kx+G^Xcgx^s$(I#qy7uZnjsxMm#oiBrLMZIGFv`C%dePab?8zE`8c4RhKK&0rL zE4lB=aO^IBIO<9jr%iMBWd*(RfvHv0#EI<1kfVW_OP%_ONN_Vh!%WRw zxuNX>@8Wk*`C$1H%^j$G*#&iU_E)V??r#((w4w3}jdQVmw9r+Sfe`3dWq})w#l;oP zhgaH|pG^vR7ZiApA>w^nig_(c3bL$bjqzsNW`0CNTgi{$gV7%Be%B3I9l6q;?<89* z`?x@P1+;NwpYSV{WZ#9LMk;wMvDG%LJcbX#TnZ1cf^(!&FlE0jFJ{b0J?4lMXc2aN z$f{*zJ^n(oIC4cq;>vA3Fs@9rA?P)VIvnX!nX;j!R1 zpOpegM0VW;#mJ|PK-5ZWiR<*?<;->Y6~>OJPWGkyBjLlL*|`wgkRp3KPF!PmnnNIU zZ)eW`^zh`YNw-o4I#4rHXH3@ljAxXo(ipX0lxY@Ob+H5sCf9<S*+@@x6RFh z-R0MrLX`*vZ-J|$lwLkW^{IAovuPrR0NmWZ>tJ0sF&v^AL$sga>t-W`GLdd97Ium3~$Q@>T) zxwt@vi^)o@Gl)ULt}rw-blqYTM!7LV2%5p_a})2JKj_p?o>=CMd7vk#B1MLcXZ098 zyEw64^W7{Uy7B#dI4?wc*z+e8NTtY3X*Q@9T(Y3A!DyH5h~B&UL#MH ztCnB;yu6TkYyC(TOO`a;^5&Daq^|5HqDqRKOD;s7S)aemPG!T*RU0Q!^MpNSk?$Z! zu5@WiF4unBP^XlIdL6v&2%QyOQrk zhfEbSkJv%T%!H`21Auhq`{~5%`wiu?i-`LOQ`T~!_bLasG3k3SdT2qS>Y($^Ah`Sh z&ZP!oL!kok#E~ybe$gp&jBU3lj{7^v^z1XY)MFM(ASm20-qpfusYdr%847T}Gdgd% z!%nSno9%79(Z^ym89KZ z1$lbr*?`bOPZ^T+tFC0u~5XD(}9KJ{ddcIeB-M0Bt~I@xLt(x%x6% zjgh(K;+Gu#mUk~3I7uh6Xv=0<1$a6#O!B~ox*U=rCFA=QGis?7rDGYRD<);+ZvsN= zb3s}1krjts0?eps<45NFY8p!81?KxNC+utdj6wv$l+ai`T|K)n=Ib{WNiN4cE+~&V zud>Am0NinX{Av^4N{X241F*_fTC{6a=jcw&&U4F!@DuCg<1OB055fuxwMLdprZ0ub zW~_qfMZPm~FIq|Ol=*M*V~6-8JJF7Bv$HB9EfFfM@y!0$Gw2_d1vq2O9<NGsF|g zDk~k5Ml+D1v~19M3Mo=t%8!6Ki;Z1qF5S1zv(hXLPMlI`jMOwa8IC@|Fh4YGTj6HfW;Gj14WiVP~&kj8-*Mm>3XE(?>Dh|Q)2584UR zjgf!jf(sJrQlK6bDrzG^?EA|t6PR_~kzr%P4Rta03T z>&rcjK2iPm*#~xgIT5`Vi^|6%=hFvvYqaX(UtHL0Dz9yvu(%}GADA(iQgg<}CLbk! zzdNh+6_r|2WN4e?zWlXONNa>+h^pO8lVj--J+Gz2cPxYNP&3&nFX_Db-55jfa)p}I zNzbjtyS-7d)jp5$T}gqOaF`$7n4Cte%H_CO4~hQ{_AV-}pFtEAIc@RbYjWgkoF;$| z7y2v@?Cgq9JvhO3$(wu2c7g|;Fe3d~lX>qjW9ef+ix|SY>K@dAGAcGRt)e3HAwGG;!eV&^Y}HM|ckuTq2w` zM~`P|AGEU5vh~6{dpXWsPe(Y^a+Fwaea4DW`W~zGC8J90FF*>R_Da`f%n&7Pn(XWc z=!v9@X78mAAT!Wi<-WMK07S?K0wh+Ek{}XC=ZU%s(sD@nioJl>#Gyi<2IJg$xzx)58KNr%VBx6|_~D9sH%S9Tat5Gg9sX!o%H zv_e2GU;imVZ4$qAkReR&T&YR+IS&u-_))6(WFyEOShl-#CmOJqQ*IfPBPN`w(U77O zv)n){o&q4;Z}iU7IZ>uf2xIKiA0UDT%|g8alU3wbVSmm_5vM{*pK4j6i z1G2=;&E1L%R=C6Wyl!UeEZUNZ#Q`x|5VNoE7N7fDd|G!g{rt#c(3QCJ`O}r!0!SGA znF!dkt%(b{{>ehMYL`k`4#XitolJJShb9>i``UFbTsL&3B?J?xdt+-2_rWX|I(Ri> zpQM1NSKy7zG?-)=+4quPy^_b(yJqf9UwRpvAS7F!so_Zq*ZHHHZ7fs&&Q$l9dO0> z2*ldNX6!&M3@}(+AWL+grM%DhLTMFA`gVCJ#6zLz%j~qRm{Id`-)zDmB4bM6wDLmE zOhlna-2;`JO!p95ae2tEd6^g)c`ArFJFPezkT}zZ5XIZmX! z1ZDSJ%S#XzE5|n<36u;a8RmAx;)=Ns$2$bz#*1&AXZjVH&vGOeIR68LHCw$XnXgKS zR=l9@^5H_U&NdtXG=fq9hB?>wihRIGzcA-!Kj?w)Ii)ENB26l=*3&AH{O~;|&CW&g zL!SefsWiaaTqDjx^6_=oBDRMBy0&%+S#6YV)zH`LGb`AsOX(H(I&C8ab`n>}mXpE- zz0h%ddO84diR(pUw@M>}VXcaol+$KJxb3F)50K{ixs>bT{MBkbwa*#l(BqdUs=S>KTQW=pa7252c>E1~Q z?gwAOFVTHDtv6XgPEn%UiJ%U&9}z-GxURhPkgD(k%&26AC4b034SdjkfqRkJGwF)2;Zwl>A zmGTfXU%IfY`1#5F?N_mOr!nBOaSzj`4%@Cf{Asgc@zZAGEs);Bib*PYi2Yw0()H`* z{qi3BcWQ&$Uj+$Yes_z%9OKvDUn>l~Il(!T;{Pq+Md)<#)*1ab|I49e+k$_M`s@3< zkOERb-tjm7X+K+34}Twt_Uq3|y^QmRvbOr+j`CbZ&bv0b9Sj^LZ!RCbx?x6j#E4i^ zh*)gX*X-Q}0B>nj+~8*)u(15Rh0VNq-7AT6#TTwz)0%Jb3+AG}<1cBMIK8&P=*xgynfyW@h&Rt_hKKyo{ZV}{uh^Ng+X8fZUd{4u8G~X`0yLp{zu=3%gU#_6@ zF<*%+MTldznxT?z8V^+Zxi@#4D_ObI8^$9R(Lr;w+M%ms6;re^<{5W*GolMvgA)-D z=g?*XTY`)o1#PGwwQ?}Edwn&*`&bz2Db{0FP@w2HE!qB(eiUI2RgtZWb|a_sp#}RT z0-Y9Vnqt6gdtA`95DzGAuBkUCx{i%6gDdTbaBaU2ROPe2qrPbK1hcgsa^%Rr=)UE5 zWUtXxldqilo7V#u)lwB;&VeBg%9hSQ9`RR0&KjB)nohQk)phpupRq5Dem{WpUb(;+ zbQ3tUDK6kn;s+MsqU`TGmxXT<^eO|xD!s|3lD&OeFX3Q0^^TDY>X$K32mXIhz zSQ1Y8&9e1n_kN@9G*1b}z8gb=Z)I&nsPvgoZpA3tEgkK&435vvGuTOd2SAygNwssO z@mhRj4D@xiBAPTHP>JRE9-@*!Y-i|2i0JG62i7?eGPlZjTJR)GjkH&qanZZRNTTcd5F!#%9#w6s5pxO#wYt(4U zx84{iIlj0$(fZ&otDvxVmp{6j1gi&XY}o9#MDLq5(IC@AQv$`Dq*#9!^PWZQMCax>F@tOx|h;TcHnOQ zSCLou4nTIL!&0QXFT5U3`Ow?Kb5flHv{cx3CYbJhvC&hvy6)>^nt8hgv?-cnmx_lD zU2*pkmktt)#Ag^j(f3wh7pHcQ=E8q2>P``pYw{XY)6>-mvWMEa`~Y=9sQO@_BY{R~ z)#|bN!KWc=jNN_P3DnQU5TpgD{6YB9vT8`vc)nM-nB7O66D2cQR9R{}Ul2x{Z-2|WQ`YmS+u%f#X30s05_4zD1z?$m)iF`|cZ{nUK*?@z@8S2GD#zEn7%0y{ z#nhK3I~ajcllq9~^x@5mbC;mhGrb4{fo(S?SZM6LTBRo6J6%H)QZO7|%-R8GTm;C(*C$7sF?Z?F%gWD~j?B+?Y!6l{eS?&t+}*ac(g6mx__DpL5wC1t^yvwNY}_bnp$7;*Z2 zJ9V5v(q4e!i1OHL=g=F4&IRmt)DO$oXJ?<3Dtux}X7j~WV%<@BgJDLN1ao+)e1XO; zpG<}lX6Ciw3!lO(_$VxS?TNeT_$YPQiHF}^JMI8kUW$wy_aSa~(2-3{Jg*VF=UyUD ziKDmSU5*#Yc%=)%=^iAKUjM6PKvHcTZqd<<-s#I z260tsBAm93u#rWb{tkt7fi*G0+wXmktnAxZM?C#v!>o#_YuQiv)+>SN0tH zs=tcfdB_EBt?b2Viz7HZZlT;19QCqA;!Sfl(Y68bzEx2fIdoB<-L7pC#wx{X6P>tqKA*0E(^{0~`H>qH}bE04O>kUKRvE(HsL2y1@rJ zK&J*JiuQA7#~k)O_UBxtZ{@Z$JdDXj$6$lBL9YyYYenySU@> zG^Q^-a_*z(mty|1T;zz`HDd-7*>@YgH}wsAJUN z;dN}EO|t&gxWGZ# z#gH=g2UFC5Cxy712Y2&j7&Q!#3}}WbjbTFTk5;NX>L~k>N}`kQ?&}p z-dfc}{?$eC;(4Y+W$^)}P(?q#KQ^nmU2G+vLyVH8rDg3hjA$ASd8SV*YVfX8DffdP zXZw4Qxg)5L2J0ILL@J{RveTZoaF>_3?p~cVj|uHNl780X$fj%Vp4OLhYML=IMOwoyM6_PA zl?m;1G$@vYbZeMxqNLQ~g@j>JO{NpwxjBc>zJ=L)8hl4OS)@pK? zIY41p=D|z>um@zX$<90NLT=#jD6O%azF~HX#;TE}@e6u)8POocfT9mNZghKmVZduk z_5(DtGE(#)y4@47338pZU=mrgik|*?Y)0W!aTHOzF`acHSF>H#wuk2oXJvnt+MEOq zqOC5&tG=55nr;s^1$Jym6F({~$XPl-N}_svv$6)o6-;8y<$TQE#2&`sL?Sxf#vL6a zC96n+H3@-Yq75Hc;`xcN5PXX@z);(m4cJDwyp#X*NYUd#h`rqtRJfP78TjPFAV zZPP`9=cMLoVXOmbI@CAaK2^USp>m z$=v3y@en8TwX;cdG`yi%f6@!QbZ{4Hd0{+RaV4iT<)Y)9C(zAt9cJb(w`ZQuZM{;;&0>zX|#@9=$ z6;JM)){*(PyoSoxss^f15K)G-kH{|#j{BO5j_hf7(B&Ofm{$|8FU7N;K5xqrTQGe3 zY3aOQqGCw&R!PKw4DCAUH)t+~EbSCAb@?C-`5tC^*=&Uwz0ihBZOu1Z^_^9l(UvY0 zLD?~6+^2=j$YfY6<5kjH8utY~Ldq?v)*+2Qyx#3%lkOO6*bZ}l^iujN>8ix#6o#Ol zKE5!XFF%j%NeMpm3tKvTgXi?~@uY`sB*UgRbAEsj&!}Ib0wW~kSEyk(?clJ$WANQG zpwy?n?lE+ni&m=vnz(D{>!S=)uCpkNe0NIeC1Ou-Y(ZN zz?QnDNA}`f^bo`9Xu+;3O0La_rVeF@Y0lWWHaKlKzone(uEt?4{H%1SrfI4Es}Auu zfV2@1atKs;#L$9v>AQ&IA-tW*_(a;xfcxX|Hi%IZ{Fvu07MjZ`AzatuZ}7(sVl{(T z=!wxrM0d80SewcxPuL0QRP;J`CKtQox9{BPMZS}H)gr3l*YJi{hT4Y*owhWDXAlY@ zwmYq=Hn6;|ohaYk%@G~ICfQ9oVlB4tX@youQckY9s=3Tjb^yZQ(!C?HrI_sqyMF8` zDZM-x?2Z2va$@)NgnBnd+TB5-m&w(2=ea(z^C8MUmgxPlG!43-?Xb`4%RpA2X!tdX zC&&&)rrBGoFd3!p``QnD#e1{u@}~`V+~6*iYxj(Gf(FKKEd5gqTf__~I(2R;a1oum zg6oGIlSc>V?ZUkWldGyU47YFQKD5dF(4M0z!t+3`*%hRDeHD+?Y!z(ry&`3?Gy zW(QcYGW7CVkf4)!{x&_%!J^^?MXTIdu5C-NDA8{~PLdJ>2#e{shz*$rk8MS%AXnSr zS65evxhU_1J)wx@S7j{L(KDnnb9=YF24b-9@I1tx2KH)qwteV-QUK}zv*_?W5ATxw znaiHF!S?gVop0Pluxm4AP=pC9L{>j4I$kx+5BFW1fQVt@Cwi$@D*>Y`yQXB~$8 zDvHY1u(F^dCL7vMMf0CNeT?bv?{81dN(7zS{sJGfYd08ESNV&cz}muPuc0q zIbf#nETxN<{-jxgBk#FaTH0aFYi3B+tAMN@pfQ*AKR;{Y-=og{Qa_C@Kk3$d|kNg8N3G9H@D9IxOD9~ zNCGRd(x3#`+^<*_p$)45iInfeq{&}vG$k%O-Kx47aU>Sxz@Kje4B`Dk31J9J z0eM1^F)U|C=Bp`}IaZ4BHOIVmn?4gp`5q9})~_s=f9w6w({H8YMbYh{ zr0aFRg)H5nz`bsDJ?tWJIKx+>Uf%k`TwZkqY^KGTcq-gDgw3;lFfT3Z1RR!TyjNAB@N8kHgpX0{)<1Q~P zT)$lC!7`#^0SE^T6liply?8mgeMS$z_OnjvPhytMeWPUwN?$X3J6mz#79qW5gj~cB zAOGA`*T;i{YHmS7ZpS`91BD9a839q6$=IZ5PIvyQ-D$ihF>_ozCi0 zm^Y&4YGJ=-lQvHfA>IYMQjJsKE@ATkb!cQz~P+B@|%sLOB%| zDtVz=4PC|f9}obPv+ppBTqa^c5Z@;cpw6UTKFX7x++!;#H1b(z+CGVOSxQZC0efiC6C!$eDJ;-yprE@J<%X zmHJclEBq?Zw;mO7fcKqJyz_k^!C&H^@-U^rNtnCru|OcEy7ULQ$(yXm5BO&TwpaCC z^vB##2#`Vm)T4*AAV*id1i*M-Pj8zKt*?yYjXYy7kjv-%{E(1zJ$O87y5;`OH5%7^ zfP_rCf`BYd1@Cd~|e)_oD7P&m}wA&F^UjYvifd;fAzzdIqV+S;q*Vqpz5 zELY&j2X!KYCmMrx~Q%w^X(%`^pqg*$bm= zr|N+uL~K{R?R(=m1FXX@G=vj&EylOKXqUpZ43*ocq^BVk?`Ip*Y!eMSb#=nTqbIbJ ztwO3#4`^V+jmyoK^uR;c?fmNvfGoU>kf(VoL}=OOGESe7tCSTxPTAeFkW>TW1Awo= zOWCQ2&2&l{12+x>Tv4U(7yx?9vCGGqc5Z$zggTFP2f%N33LY_kD9D3Thta9xHEaLT z86C+M3Aig4Z=sjH^qIrNHbZHRjLY(3#N~r!XN#ZT-h)%5in7vG8tX=`L~ngO3GH@djc3$cWE&gh8<1IWOOV9kY=6qiOj9|39gz#16DK{{TD`2hiPMA#Cr*g=Q(ABiu`QQCMAijh@Skm;{f)T z;OttvdAGeq8zsu$Y}ORigg{u7c*(ItziAr((bSb+jJ>)DL{5=7kn6_y5}XdpU_!L5x*SVU{?5If>Wyn&=@J7m zd8w|xq)wd<$@r5nKMBcvn?*9I2fM;mO-4xtFe~p62(N0=x=asiFQGQR%(?H->&cQ| z4?ptD9brL~rnn9yj7zqr#7wHH$1*G97mkJqxh5^VEj@d$Ra!#c7*PFN|3Fg% z^Z--L6Xa9?nG$bfKrIhv1g`4zdH&%Hv_Kn$7iceNDEy?fY>eCJP_b%)xhDF^PU607 zWrJDaw@o)0r~npxM4sfo)BEJF&;5_1wKpj7ZysyVU1`)gED#WTNtm{?7INb(9|JDy(_$w&xE}23=kLzr{(|#84Uxxg%#=-LzwsCHudt zWC1=d@$R(gvbw=*F-lB{pl$0vIRoW?k{A20+q3-NwFLey`1r4H>0k6%&;M6QHUBBx z8Gyja{oOLo>~rLKq)}IHH_@$Ou#LT1q~;<7f9uvEWde>55&${JVWU1QTW^45hLEA( z8fv4Z4qMoJ?4!CBk>#xLIQ=|2Ya09ifL$rT{<-%znKqgRC9N<3Ux-9 zKZyu7%2_$Dg05+vERmR?clJ(|vrJMnGOG+rjy)n{1OZ}=fA{!dk3*XsLS@BYt}L{k zo~c$D?>~mP3Gn22q?C4s#>N1h0j4S75o=RDC+7x8c?GcT&XxaJqL9Dd{QeoQ{|wQ| ze}n08S%WU1`D~S5Hnh?`lmfOf7J{3x%~qe*)4l7zIwYHkh#Y^$FY%`rZsWeyKiB7w zqd(Wbd7#V@UC_fww>Q||-xZ@1+f$rh*1(zt`*|2@g(J+*!w{sUlmmzPtTOB9kZeUM za#E!CA9)IGet;gVZ(apdLas#Sv>(%@+i?{*hFZJ|$ovW0r2w#JJ2||`8&Ugb!D6QN}-gr-}5i$DI57U$O+K4OC6+f=NO&O{!lVOTcWvRRMcd&eaW&Qpy zeg7x;jojLw9=~tQ=xQ2uK7H}=(cvcTQEGGBxy~0uOW|m~x=;t|K%@1tdetRtA2Vd) z|9kCNYaC+Sh-Aq%8N1N#9R+aDA@0iF_ytSpP^*-B2<2>8*xFAqkTT%i?>K~M(^JJH zgSY-81o*WEjnylnwkbYcftVe!d^6XyR~bsN48$9EW#!|<13&iFU{^b=9pMx;a}K0k5}S@E zj3v1{^miLn-C3NAq?JZLUyr-6zvyAoICEnYu%pHr$BnuRm9VHyL(t!;s)rTH7k1>> zYLCbfjM)g?>5MJZZ>VzM`Ivw;DkTSNOgtR=BgvPUkb_M6RvVWs!kL z^7A|yA#5RkM#b?z>6rfz)elhQCj2Zf(yjt3(yl~ie=a5MiwUhG{d4k=yzOd!(Th@f z+G8T7Fi*COa(+6Hy6UxmXOSDSzj0e!x448QK z0npGA%{$XW8)*9}vnSgA4avML#-}R=tnpABKPieE$quWf708!{dTGq_$&~SC%3Pm! zC(gMJs!xVvJ5q(lC6t?{o?kqV%Ltq+mltnybHqKiGupma|`1oQA|$6~tRngjKm^9nh6}N+0@I zjeO9K^5Q`Wp9-#6Q)OKU%O#Sqj&mgNrJWsrnx*DaY!j7vt4AM>Gg&nhL; zme+nt#Re`U~6ZpTGZV z*;4$A?Dg;OvXl1Cpd+>}e;aQQgvRgM3rxG*CewU%cx^u>fN2TwK+4z5O|-i>zhQUf z5vB`u=6fkuZ_cuBNVgV!xL5OAjw)o~iJzp@Yc~?Cwo5Z~O7ZrBU_xsmO`SQ_>mHoA z`7wb|cl_9bnBlUopnP{IIM=R+&Iehn`f{B5xA6*E_U8dO8#e*u_8YZ z&K(zP=%gBxv4&mB-|Jy4TB{Z^iN(>?Wx{djV{9Wx8hHYY9D{5;w|M zQ>ZVb5lYJm7vMQpwR2OaK(D3b=q|b+pl3Um0K4ZV(Ram5rnDL3wzVt2{*eu7nTI|pjqb(0HH+tk#%EZ7>L;Y{dgj0*C06U|ya1!j0nulV z;GDaaT^+@Cb@CI*K99;ug{IVl2_F7a!4-X+tjc2f z+wH~%Et`dm4!s@m_9FL_DE8Ph;wVgM*mODftF*Md4dV{LdoCkwV;y2H?k`>9CiaS` z1?$V|z3SnckC!>Mb~Q><1Vu6eH7Zhy_RT`Yu?Zg=9KFj{T{YMYb4jB>`*gh+Z-sgv zPcvP?SIl@7-V{Vf@plhNRSW?CXRRt!cg>`L>Ru99kJlbH8uRApGxKxpboS`(&1)r> zK#pyU3$Ur4rN5$yD-u)74SP?c5jN*3P`o#4bL^&{IGTA&=lh!v4_4K>RG8xC6IFyN z-1HL!b|EB&6-ac53JbMmB(HLgK!Jn?E)K0SGW+S=jWr&1G40QbUZ#^|i@(LHj=j#s z3{;UDvCS0|WZZoJo5_pz%jpHvC|$Qh9i8gbA!~73mqQ zd@7Jh4kk-Ii#dLd37k@^>!E6RO-X^Pg~#BxkYeP7q}Lc{LCS75F}y`o&R=%h11w(d#JiTJH37Mk)W^duvQc20{>OAizI=M zO{B{LO9R;){OY@p)#`atyMz(Tty9MdudBr&+0NQb{axu9z);CuV^7;*p=&*gZ#x+~ z3c5MFtI%8kGtOQl44F1=u&l^odtJ` zcYdxb@8Q*cV5&4$iuX!idu<(9Sf+klU{~0BpKCRad_(Q%#_%Cp6pvz!YSaJLpii$u zGq=L%;!yp?pe@vPKesfG`p_B7`Q5N_5!~Ip3{3EO+8r=7V0pEd@2%W=ieYT1oW#r) zZEHiUqhD8lklA^k0AIcC7r$mzU1@EM4uLPqmydIr#vdW-aSC}sbf&8ePGi_io1byh zhrQ$++`m*M6>MwR=tX|8dJ5N!W0HCEtPc6KyL)%Q?x2;c=!PTwZ1P}8v7|Vl53Uhf z6p-6JF?Lprm{KfC&<2YIXF(O(R2u^h?ZV~M8{hMUcwYGNE2h>fK0fKNwSk+Hkqe&@ zle?E#9%Z{RB>UeYdF2ek*TOjq<-WVIT`_;{;Kf+sd}d93#7pB(iv5goeM@UJW>I#} zfTT&S$?u%0>2@U5Y2ay2lOWI$IVE=LM@m*nkYI|AX|BDud&RuR%;|xZ{f1`VD$7)b z+s2s7u2o6>!r**g-QXwOBI~L%oGJ4>J_&PNMFspUbGns$XqRf~_$B`recLf*oWO*Q z0VZR2%^Qx2co$6p1zS@0YZ}J&RVI$}DvA_)`Ln=my)g_T%!W`Vb90xC&nQUHalLL) zh4P0B19)l-Jb?KV#b!qzlqgso zRPs8blx%D!X|{)qG~gbEcXkA>a?1^TRTPgXN4D2&htahu2}viR3nlwK4JXdI8@kUx zH!ZQ5MI`o*MVRoX@-Tgv8xsuZ?9V%AsfKl3U?-1g(LN=j4-Ysy-?$mb`%C)8hJhA8 zFJrR%h|Kz~W+7Ego)C0ez6q?n_R*Db{G#RT0{nbo7Q;H~ieW*!gI5aXeTb;6Z@RmK zX^Q|em+Hec;&Kv4{**RP{LS?3(JJX>6STUKz?J>1RzjYiBEgsbf~!?pAZTIOo)Hwq zAHzSPW)!@WTyn{psCapfjs>cf0YyN)rv|(z6sBj1PKU;-)zDNJ&&24Oz#5wldcuz0 zR`A+8Vu7M3)MRK%evNlkyQ*IC96HBEEAG_;_}J-WE(vw{Sl@+6&5&HmEN^u9jL6QH z&xG2)GCdA29o#R5DxeU>uD&(zRBvUs3m?l1FPF~wK#IZDWI!noIwA_vvkKZ5pw^L) z%R|G|MlB~Qy7$41BUxen;V{r_?Y^PI5JO&f_d)b10Ls-MrLS%_{bW!X&|j+1-vzjA z2IWBWZ4$W2TxySX z-mt@TP;NmIg5Cva$L)a3lAXKz$o`VM`Gb)yxg?u7jQ zx{T^`9+n9m@n>3T6h8QmzZf;1tU1^g2=+ZlkHd6-0_TG$C4GyNR(--P@&R)_{HYgy zPPw`&U-X_gb401$;?K+^eEF{N@z&D=87gUHu>=voFogVM7;57I)`Kq=ptrw~X~oVP zK~-Ca0grr+vc81YYP>=YsFz$TJ+qqHw4Xol|0%7At_N>en@t? zL<03GjfUFla!=3D8q=yk<#K2Sz4Ty{b+8-F8vk7pfE_h zcfDbjU1Z6QJX|x4_VupII%z`n#e*ehweGc0&rYQw-H6RTEXU5UmsByYLVh#S|) z>qU9pLKXZaqF5$3$|RMM5$6Y}D(_)e-ghnTw)qFDxKO`u_vQ<-F!x6`tHvoK+>Gom z^!Crr_i+_z%tn31p_i=471cYc8H&rQ1XZ~>b%s4RMpjze`!$uoN$zZORuaxd^uOlB z6yNG=AF*~_B*EOD>$HrZZvLvr5m{-jZ$ZrFH!X0$FerY-9#oCqNK{z^h)L}#!tHmI z1(c;C$=*tS4&eJHF2_sB{FpOv&~@omv zL{an|^z>*aITUGeZ0@#c%jZ=6>orh`X<<_Nb2t0fF$PsazIcM;mkcPW%oP;IE@_V$c&X)Ru#=FlQuC>! zvQ*?XZVJZWGI!nZAi{Mu(KRv~ow}-BHGcWHs10Auo;};9l!#$pUD}=f-Qla`ch~UW zc7VA5_-ul`u#STtpnO1C5IX@!vt#a-?B&6hMKJA{(WA(OtH_OWDXoc4uR%BaMMRkA zFpdJ+WywN)VCjGW2b(E{3!muHwUkpp)juJXB(1%wi3ZsKry(BVpU;UVHjIkD%R^_R zb1i(BW;JY0TY2T+cE?Jz4djdQLRU7+L8Xq&GGikig{}_4EG|*+4T4lhkrJt^l;f>R z*i7@&F?$&vBmIThp6KYxeHM)_9|F*88!^?^B4f?+F=xOS3T2dW8N?RB;ghlVOScPE}l+s_(*QUx!)HfUNif(5bJTyA>A`> z#2iq^OZaxJzrbQ}N_FsS2G$EmkOVh*Xa)B@TQZNPqHJrTV%>!nqM5`E1}1eno~K%b zesQaWBA;;u7h6bCkCD4NPyyTJ%krCJ58(8!^Qo2tNk^UYGefqBU$3G@*V*%Cvjt0t zvW_8r8$Fz$7Hi(&6eiLQgC9w~J{c06LF*ZswTlVAJwaDON@sd8OV(vH*Q-(cwGf?(Y0Xs^|89%>jyD+Y$o~sI zwtvr_S&+6BL0-MvirZ~@>9b)%M?Wo2E)INKYuzDfi4Mv94 z#{+s9zChk>V4eSD$r3$*t={`47sSRVu!BUB>_PO3mYFYzk_m~+{uzM1qyfJ+TmVra z>jU&Y%zuCUB-WBNxh&MUld3aQXxZk=41tnv5OcOa8KtJoRyx`E(RlYXD}@n%>vtN) zR=9y)Wrfq+J8tu%mqJ|h90S`?Iw7a9NFM?S8Jb7wb;Oy6dB#C+0N>%fOhe!68cOkR z*I5;u!)RRST!1i;>yF>HnfM->J2MnKqP$K#ZrVg2^rb=228`qB=?^fGzahe5FZ~ChQ?^t0Hnc~ViaD6^w>5wHrF)&KUbpIM z>RilsjRwcG%BMc{8Nr?0wruoipH(b8*cMlDoS8qW6}Ye&Sj<&VYejKiGMt*8VWcGb zBBezxFwRNk5$qq3L5&yOZIBA9o`H?M)#9$hX4KpdklxNg11t1QSnju}{o^Y!1Y9{( zXGP)sqgSV}M9y=iPIs1y6Ki65exNWUw434N`WQ6-nMT~y z0kx;J>kiNbwBPm)Kz(?*$;@d8u}iDZ=EcBz6X@#_vP>4axfys!~cK*^Z#@lp?(~y;>i=^tkp}ui5(cPiZvVaF9J7bZ;^`w>)dnb^o zqAK3pklbgL508v^RD?>b3R5SW;ZcTM9q=1A^_9r!~A8|9WJ z6S=&!^LO4po868t$dP^Niy!kL-oj*R{YfQ57|{aH+SQ`i9 zNJC8~0Ohrp{$L?&)qIfzYR&>X`(7a#>YCZu%EAukDj`7{s4tP&>3for{~&` zxCR|$FZ<;rzhkb8N(a$VOuj-5`madhwN*(mmzRz$sv2@_taEl0@aNs@9L%z^hI=kK z=t|Ke6+^j7njQ%Uaa3H8qbxs>kPX?0#8Xh3#1m7Svzz0}KA)rOs`McLLw5IOwbZ?z z*3xEj!<7VtqqFM6_JvayV*2Cpv|0<(3~gW4?ei}TS}Yhh%M5n}3S_(B*ot$xlNg#4NhB8bQDr zH`GCWRyqo)7+_b_F=BKto?R&VmO`>V`H+&YaJp09mm)fbJ?oD?O1=ilWrW6abSa8l zx3Ti@cwYZPd{4tY#A}faS4rxHV~r_ZKdz@`?TND!f!Yzvy95 z#{KV9lIYi2DO;A7B7U#q0LU(Xt-Jb67=am_dQl!fih)xX=zhqG8lrG^k$kMtLOQTb zcdL51GSo8#Pi>q-V0?~w^huLN!MiMi{Bzz{OUt(+ctieB3h@E36Zb-!0K3c~jOsiL z)r;^VT|(S$p?X;d3E=Q)v*74lUOmc6;3L&!zngEI^_F)n1nJ z&$OFtHXko)_yH24=QW?LbEoyOV4{zEyL49chgTPcG74O|fQ3h+%iHuUiV$H^v}D}0 z9lfnwOc%wZgypNI+xd`;a2#h!CHYuXdX|b9m$|6X(K_|Jg{&fTM;H~ z?6Yu9`-XejwJfL^Z6cOk&;PaNPA{=1={(&k`cCtwOV0n4lv%)W?%}x)B~*)SMcD?kyeyObi=roUftP_a|@$h!dlg~XKW=c~D; z^5?b8Za52>HsEu2@=xV;)s0okp^sK-s=W~Ltb|2=e(yvi-mxEr*&7?zZ%+5H~5ngD7hfbImENYXW!>iUslJ z=&)YbtRlKHPwpe1$H=xe=~?06lAS2XX!;CGeB`%O86{yHSTJ7C`n?wMWbB~SMxPM& zV=or6)Vnd6sut+;@{>ZsTk*K>t=09oJI;JeyDDS}SUOX)*h($}Aeyj--hV@J^9ZZky>ij|j2#MtHk{bTOu*sJ9x zL1W9I2>KI>XzE<^(eqz)cp|L6f!uxH#Vjj~Y_Nl`BjE1ERUw~R1s|*mI$uPqC$n|5 z+6a7?R9n>xY%{($(-Y4AV$?propG<-t+yT54zi3?wf%k@I(xfns1>ydmqy@ zQC~O_*Y}Tcr$f6+`9JErQ7%7ip^1%>+z&alT@#^7Jk&k^KkU7CSX6D6?p=sT5JaMq z1O!CMk|isW1q8`i0ih_1oKqo4P684nmE;VPbIu?+Ns)67MJ!Tzw%?ha)9u^+y>HJ< z&-Cf*{J~X4QS9*Sy`Qkwy?(dA85DJo#*(7fqT(tE9tGL2Z^T@y$9#%Z4k*ZkzZin< zyzv7=XUY{;g6$)^hN_eq6_bjnuIZYuYg#{{x%YX$)3DCSp--pxg+C*&(3^xnEo1r^ zu)4a-e2#AwHbKmp8w>yz&5B zKlJMC0E5-LhYa12iPYmw+s8)?E4S&=tXk)cw-J4o|-n7H)b4bi} zC+x#Xp~YHjjbZSmLqS8S$;55&{uH9^MZS*<0fR9Z8#Pg&yRV;sGSjUeDx7_C?~>-( zqj4^8nR)p*nosi~Csr11`|z+j;VcCpQVj;mr1dvnDSXxKLg{^wz{|m2Q8}A<_ptw# zZOelr4U5yU*>hK3SKf8CESAV-;1iqrW2c4$^LT(D2%g=jXt*(2_Q+n+hQN1c6MU%s zIazif?rxq}+YY_IW!rdR+G6m)&dp$bY)QE01w+7YhW0RNXmMn&?jF~5Yf;xxuDXUt zNUYFdUA?EN8~J8I+DnhiN89DSbLY6!uOCQsi%lbKEsFKP=V@E9LPxWV!2@qcptH^? zflr}v->+>WFs7B1MJ-Zfrg%3C!Aylan=?gkD|Bn~^qIfc*o%D~$**3GsjYMbK1~jG zTrRMO8)qV6LCm!%3qIJbR@ST9ck~}p1$iQ{b4erd~7lZ@Io7!056_5OWO3Lnq%k zN-Y3Y0fF5%+#J_=?fqaRt(Z8w`VFo}2j5JU5kYmwlgzLCkcej+PsA$0+Dw^Oh@mOn z!a_kETZ4YyJ+em)KR->IsFm+W%s@QzT0QTh#|2JKV^qQCq3@qMKpBG{^z~NDn$COk z43xV}_>~P{YzY}#crw71D3i7i^<+ye#B{U4ce7a-K{Qo)p0qXO_Xv=y8F+d+J(VPq zvN-YrXVCEQn^-?+WMhN}PtRqXTBimWEs?}s_s zh9V4h&siI4^-n#&%!u5Cvt?YL)~q=m7Kqg^V#=6Pd|`;Kkfr4u3xEPYcy6H|=_-)& z2rC^(Q7;4vQv}|{?QTBGb3#9%1Uxgk=JnnC$|a|9fp)1-h%Gc=isLc0LL z=i}zf8UrHVyZ-m>_ITJo>*ZPsPi%R1X9Q@T{N1{T*n;ZX_>#i)j&*k>>6^So_ZX==^PsApuxYdZ=Lg za)4hQYxUD{jD~O$kYHn+AaKAYv^<5cIXRu`?j49szX12dw{^RXG|qk7MQ?eapWkBy z^jcrh13y5-Nrozim9Q?css70jk6me=WHG-S^I1;x=x7R%X#C*Trs4S(26Hk6d{0z8 z-%i^k8o5Piy31uj?)(6mq1z{5C61PdupwfYfMDmWh%t7S-i10ll{At)GXoz14+3sq z_B+BmjisrjuSv#;MRWtuxygsZW$#iA=0b__2px_8A(k7>l;`H8cj2_N-? zguS|#63s>_+ZqPzH2HRbgar2mUu{<(wfLlscue|!ZD4oP5;GtZbL~|vE^#go)x6M{ z@6vvI!QEVL%~h-E)5R=?9@PlNQrfpbD`{T7iCw%Kun5yTG9` zs-PhK^p=EHL}JwX4M;KyVhOz@NVj_H@*sGJqi?kALp6||&3(=KIz7KqVIc2{=yuXq zI}M$(qKUk@Cw$#1qrot>aBzxQW;0Pb| zz6Zx5fO&QAj(p0^A>c7=-75NIv82u{yA!%(b?YkSt((HbLQXR+<3qe_*DY2g*d*9o zUDv*3j1-czH#{CW4t{7VBprJ_mFhE5+F`~gkYf%g8c*mve*2S01{q7lP>%44)$>}2 z>6~PP%}JGmQ1MWXLV`ZyZlpe6$Tgvmns^i~GW!>tChF+w&5~m02pg-y_A(0#tB~AvkMfu@j*eleGB>!L zvg98zrMbFPG`KPX6Hc4N-z%8@XZ$-h>k{YL-Amjbpw~I#M>8(^>c5?9{%$4suP27} zH>9+G3%2y1me>M|U+N-X*wCfnlCE}xZeS7g7-yo;$W8XO=75q(d6LbwWLTmiRuZHrWRNH7@++ z?!EXfB~5b-wHbB9@~)z-8SzIvKATNfJ<%^{TK0ikxvn)X&>+LF2*uB2{Vy#5T;O@* z6`>~pFlnT80tyF!tT0YP(GQU1T%h<~2hgE+l@BSC2-&Oz849+e+4&t*+eb6*X=Pt7 z-9eR2YNCne?gD+s;SbQK09Z#jO2*w7_}>AvDm^f~l>cU102GB2P>~LvE-GIjvtS48 zh7%T!;b;s(^z)Gm=_0_^eEv2dGLdiG4?}gL{o$ACl4u|^F1$p0eh+pKL5LbF`lWT# z&_o`FX#YlH*jy-}Ba1LU-%$e2p$XW3m;Xtq!Jpno!~X2Ff&F}N>JUE?`2kwFPm9bm z+z;LalJTObDD)+G?lM^BH?4AvNCIjvy(ED>5bjXc|LHvokh1wd2lun>_CjFmE28J;YGxU5Rytq+zx5kuI^5q%D?m2Gm*#oQ7R)B5x}u0 zo9U;=hry?Rp2vUk*cJY)NtFNJ<@?j+1D=^bzq9nkLakiDnXR|7Jg!n4tLN;N^K}o} z!arGaaf#yr6U+~WngI7}uMK{>qy#)pIwCjuQ%)L5zFX*I^k-cqI~XYE2$Dt2JzQrsk2oYN}PbBbeoP59N_<^grR!i*mvCgs+-6Rc67BlGb6 zJ2LmblwocG)h~;Nm%%Zx6Ut%O(UKLwEOwa-_N3pWlnJM5i5KvDJ6?Wg_(l#W3`sxh zzC;lk9GVNls*GBH9HnFJJj3o#ud9e;k2l{jHK_@)<7vFmj|vI^5=py4pD$0Ff`qqNRkz$E}dq>>+?vonTq%tU?()vaw8z^jLb?4h@2fkf z8~9Uu3(=+&X$@bJE$H7zv+l6A>M(_FYai^g=id){-bJ?%{gmQ*cnUAZ${n$XRU-{F zmoFOjX?^3VYN2kgyw9aZt7Ww8m{5n#%wHqK(vAkLzgSJ8XhQ!0b|m4hyehLzE*Y&go1(5F`?N6OiNa0lS-2+ykJ!*fsK6{xZK-$G3Wz7$%{bwFR^nj!u(+ig!`@* zl{!hsDHCpDnUtrR8YN5WA4nXCWd$WD(wQY z!?nkCeAv*Jgf^H<5OMyA21!|nse*j+WXv#}d2M`eCf%`Pcpl$R$)F3K*v%=Lcnm@E~gF9Ul z;XcBcd4HptLf_f+bt3gGzZy|PjgcdWq(koP)Z~=+9rt0Z>rq}eTq9*cw}7gtAD~-6 zpI+;(2e83q(2?a%fDKzm^dfm#lxhXL!`t>9%T&iOH%GzlFe&<2K?;gy%ZbxFMDi0x_Ps{8Sm{7V&6%Bxyj!^KdhrBr*nDJt;?AGP|$h8q>8q+ z7`IcWbF7XYNxr6D4_8K|olre`>*PIwCb|H-2lNu>)K%!3H+3&B@nQ@*-{~;ikGZNv zKN=u5hnr3UM9w_gQkSVpSl(IKHgs*o`nZ6WOstM~eQCc=gTR9=l|SbAyNneDHaAoy zmhSzBo;6Xx(50z{Iq=A;64s)*xQCJxnSq=A00o+8cuEUcUVPY{iQXEqs&`PsSZ*xM zu2mqUY0ok2%hqpRto_bd69*dpB>Tz+^L$w;~1nb}xnVx^GTTwX?T55luhO$0Up7 z32n6{&dS`qg1kqyxU0_6@9XzxIY3R*XzywvbT>{y^ro5PzLmaMzUBEepsA@}juGEt za*KS9sDag4S!X}bpgR@idULOQRt~~O^y2Wo^w+}uj5snjXF4biQlkM7llK8Y(Bc6_ zfHL|CW1aHqB*zp0eFO4s@Y!i`vjaNv>s8E+{xh^yVH^*MtWu!b~a$RaG9^hQA*YISzoFuw6(}~ zQa@`Sqwz6HQ3C6)rC3m4VB-DnK$(}n+rWSAL;%r)G%#_rQ@@y-JRhsvt#N^y&zMeB z%#~ZM+m%{Tbp7$QH0EC`L2o>}!v>W1@Bwt)jgHwGfZkL{+YTo#Yb0<^x)c{n%AebW zPqwOt(TI&IjnIGUEGFe&Jj1F1k&?uZ54-Cd!}2!cO$PNhPHXIm^yOm%a%w0@Bkq4} zBg4{Geuno^Mjo`DT||s3Sk$glnQy!{V=hp$>u*-*96#Kh&Od-?=mnjYAjzxBpwEVj$K)OhS5wL zuP#Lg-4*SlN;kXm2%*c;HTi_2rj{0K_@}1;mGhd8s?Vs=!RIPikw`F zLz%Tgc!mz&w?+1;7a?h>KGVt8Ht~mhxRu!m@oD>n0<#FJ~V zQ++hPXM~5Mn3k5g?nP*mS`6S0S(WiOY-VRWMOt*@p!fYinR1LVQc|GDn0Y3LgOrOL za2=G-q6bWIr2L}(W~-}6Y75wYFwe=s?kjIx^!tM$$?UsSf!zbwyhNV`9nN64dNS_& zm1nlTF0`0s9OR%#ty6sCyj)dwroh(CL6&GqB~J~HBO07wnjZ)#cD5X8*A#nHJz7K$ z@2u2ePqRF1_?Azadf9i-mZIz1&(rjU&?>b=gtd^62+ZnPW0{kgC%aBJTJVkJb{16y z$wxw!`RkRnws_GM(ypO2XB4@b5{_fXgvadu`P;SVEgPXr03n*wg z9h@}qXsSa(<)fUqqnB`~reft7y*KOEK59)OJzs1;=V>9c^`3&`YlWK8bGlpT`&UW! zABG%+*F~N>rEeUtZ>u&Y8{RIh*mok9-cCG>itnmGZYR^Cs*xOsOGr(fbrR1ur2!m2 z*3xT={A1@`K?yn4&i9c#-J}>h_L{;es7B?9OJSEh^9cQ!1jEOSCBSNum4)L46D^e< z(#!e@w&0UO%oZTA7PRLn%I6=@@?ERZFZp~G0aajb$XRjK*agfdFD^uic*M70!IolsPXGoNYxKU=Wr!yb|M@x!JGU$? z%&j)O0R(_Lml`h!fT&95me79;p!7eD{QJ58e*pF`?|zGef}`1MYx+<3PgrY2JNM~f zJSd&qzd;EClJ16n@B)%7OYroek*RL&*i{S;=^aJgE*Yx3CuLm9`lZ0blk5QaWh#zx|XHgZ&SRq^OJ9ejSfiJOQO~S2Zwid|kl&1Ej?N z(~mOxHsOvEE+}IDTe#H`q8l|q;vC*~dm|pJxO&tcB3(CjSvk4-y@BVAa{d%9=GPZL zKxA%&C(!Vdq7(S{g2u8sc&SHGkD+kSOl|L6$j%H9Wf2*IWNA$fIEZE>m67OIMJ~#w zs)OV3Z`j_@S_+8=AqPT)(BavrR|}4{uN|(tGNAGltF#jXh97!&DQ)kkNIV&MK`X!Q zE{Qa5j@O8?aeyw;aK5ThZzI?77}gsQ@%MfHoH0@kb0k@oY)}qJ-xA2B%cOTN%upOv zr5~o1Lhgn2Tq#5&uy4Bvol-eU^5Z_bkDODdUsP<4g6YUkLA1l~)0a zZkR8cI(bWZa4lj@H`Mh2X9fMuF?7WtG+j`}cKdye5%sV;UtMwaRXV3<>tc1CDoHB= zb?mUn3(Tt=+)#XrJLcE#@pM~4X3Ir|I@L?v6jl2XCqw8*VUIM-$DLy&A~|QQaTffIM_*#cXhUM| zk)h_6v_Am91)S(V1-wfG=671q^#0(M_(t8qQKegix4y>?B1 zw4GVjW~>2z$q>7{lzlOw*zPrkgtuhRGev|!3DGXXw!$_N_w*MOt|Z$Hc=kK}j_d08 zd_J5CE|=PGgI5%HY{|} z&qELVG!4`uTJdf4om%&B^~^~il!fIESbG8GIpc0y0rwwwT;uq@Bq1lP?jgq*rO%&{ zrEL)t4#}CEGSC%lm9!!53K1RkCIH!5yGsxAS&?Y1CzHm|xPoybcNcpcoY_(^_i6#- zM{6<}#&Zk<@+R(&O}9OHjC^Jrl7@Lh1*FQzYsBDYSqN`gzj3la3})t)m)NQnjh77Z zv{x8qbEqryFfb6Q0Y61&dm~p?7cjS3FFpt$JoTpBYm;en_H ze$g*FR5eYAV)^%x&tH4z6JEb9OE-wkw+{!{&OSh<%oJcd6W6V^ zCNm9*h+aszzob0Asz|J4&BQ6>n3f{fnEJ%cPx`ovUalL@CXw1rKckG?@hf7om6Uw& zekZ94omwcV5zBkPH4Q`tcUtJXh1^5zNspL8uHX=EL>g6+5ALdo`^8f_GeMe%m>*Ee z_734R?otzF8{w z+4PFP%>irvCQl6ddk^}rTI!5xNPXCh+3s(dmwYI-t!eKTKK~4DwqO2Vvy#R5l?eUQ za?kVtr6a2uP;e|Nk07OWe3;gd#1JZDs-~{4(u4<^|NZsxp8^g50G$&p)hm8M02V*D zsr)&s41^tUX#b4R0x95;(4R4-9_O@0THiX>Y(?Wm0v%!2>~|es){(MvRopJG-{U=H zLLsm$pWDfCi-39jkwe885q2Ud<;C;9`|0TwGH1d zm73pKm%S)B8RizhCbOj7NSzdA2qaTKxD@&ooKW1VJ`q2LPhvFK{Q&9n)b{S7OGz=@ z7U7{qRwA4W!bSdwz3&~Ri>w}u7T5YrRl`^FxbyS;U-sZI_*D8e7qBz8&=Nt*b)Os) z28mmi1ev((z!qpLf(Jsl*b-Vzo$y1#r0w(uI$7BTRQAnU6=-g(6!0d%i8sC?^uWU| zP*O$e_xB#4i7~L0-(Wcc{y#wU0S8?R$pLbqkR=c0t{HS-jzxn*UBaiL{)8JgiDAxk zl%UR0G!qz2vhN>U9;(pRo8Jx1*Z;2FfpBZ0tJdn3c_W`QR|&pC&aV}b0#$Eljs*RE z_Ps!dy*s*_AN>eyYTC4=GPyzxWSyw3Zq~W4g$X4?KJ8Bc)r#TbCzKP7M-n;i+LdUE z!-bss1K8KLc-oW7q*Jl(fK#&yfp`7Rz`A=_L;xw??8!9NADikN)B_}ZZPY)IOVVR9 zzn#ZKMjfoB6e@I7CO;lS^8!^%_|BzhqAjL<)EG@;>Fe4#W`qv6m^;x&%?2@p#MP{; z6oS}GI&x-Ze#0p^Lc{R8ONZysS|-17B6KFvF$)N^jr;&j1M9oWQBe0WA=RZ=)d+{k zs{(CQR_m#z%H)hbd(h;xc3D386aG9>`)LVLoGu2DiPuZY0r$xFWrNYg9&cycSEwTI zQ_4};g?y0ErPvE`I4aaV@rLLdHW#BrIqqEOwh6?Hj}Nk9(yJ#iM!tYKsNgd03m%gh zobPEgpo$lDId62PZ^VwrXuuJ!$(f}}!+F@|!*_jHd|ztCVCOVLjv@Bb#iE@A=Q7-0HcdOf z0O1=ZbgW*JJ(YL6J6tvU1#Eknx5to1if%L~1EgJfA_^r>uo3KXCVC%p<=9B63C4CB z$@XSBZ=)Xc$`_YZA+c7L*OY1+BUPa08aL(`SZ>o)5R)mZD&YW^D`Vd<%$;uu0?yiR zD8M>zk%(5~7IEpn$pC7irY3&UZXdnSfDbj`MChRB^v2m7s0L6{hXUW(zY%pv4ev;+ zep3Lo?J^6xYZgOJ?ocL&+nJf==xxLjXX84(yWM?l2`uZdXE2DYG8&g7sXR++w=yla%_J<|Dz8;-i!J5esVbW%OGceHiIx*!(2 zq@WOdcSZ3T+704jjIaGcm-*Qe$Zw}NBE(06rXhC85O4DoskLFiG{jkCIuL6Gg^`ws zVKC$6%QaoU!pQS{1Q^>b=;Li^PZTzEXHG#6-yR?oYvb-;=HiO;U^ML+K;~IRr8&v9 z>Ia$?Nm_{rc^nI%Zf1-zKR~sX!IU?I8`iMXsFCsI7b?I60~aZ9RRcuj)YLFWb={@+ zGx~a;TIHqtI$&hPYMC6g4^a>SERW?QY(eIbwV){vCnZB7nG}yKp}eVtMtYUF{HGOr zZ*Gv1G<8!ncUVJ67N6^o6}9#$u{*rbdGQiU-9M15`QA%lax&sMQ-x;J6xbInq~L2r z$rD~`KGW*RYs?NeI~N-`H!Bqt5C66&K^@yt<;m>4)`ZXuge|;fhfm@AZ-;)-mAzw9 z7{`GZmGpW2F}$PxPLmkJc-92q>h4@arBVRSqiJ)}@X8mc7$(Q1Qz-8Tu4?{ovXO?5*J5~0mrJr$4vyHv z>On%au;6=GRY=P9m(KCc#{$qGuZoU$J9vy8Et5-BB@p;1n(g}roW^+H^mv&_i!Vea z{K$n;dek&pq^c4mJwo!RpX;XWw2_Nd+vm&YbEWkaUnXj9gfE=Yl}0HJ=PyR;`YLnt zP+q0X36Hved$zXnI{A3>!~2U@A;}H+z-%2e8#4oG);56cte_gMjJ(wdF>_s!iSmnx zb$Ygd@m+N4T8SsWbIG0*iZ9POw#5}F&(E=9Dp;0}qSs93>zc;Z)k&{$tj&LF>_QXQX!2Q$kgB1X z{HB3(xWZUU%~1Z5izRcYR$TNQH_I26*Exl4;1-Kn6@D;8E_O0w#Fu^8XQJ0hp(ic1 z{wb|QsL@7(&S+%q;xy6aSJn+xoK8H7g1A!S(Rq{8c95$4@l$kj5(^ZA zY69pFFApRdblT(4%l z)^`x8nH)Vf!TQ_d{1qGn(y7>Y^o3?X5bwx^-<3}ma`4@~+#rNAZdJUBoYZeE`H1~a z{lbG#(WiBAUBQfGgGiS>9?>Jx#+B=f<78%yc-(I{Tio~y#-zH)zX4<4Vcf@oY2w4B zI4@8DY~vTD=o|37F-gT%^NTtjwh?GYf~tWE^?JUMz+z2pNJQ8a0;?+dhWR8U!l%@R zUa;%TW^_aZvR~OeD=_wEv`#tgs^;XORE^w8PHNlh&t5HpG}=JmBMLJ;tLsM|PQ-v4Vu)-v5T~HR< z8r5p@>etf?|CRUi1(&T3Yr&0%S$%l>NLP!uPo+{HL3jU-@U!IyjzxHl_P3;657i6B zC{fSWnok}7F{o7w}UE1GVcnRt{!_pt1)`!b7 zhWL+6>AfIZG1RU;4+sY*Qp^z#KBt1gnH!WI1xem(dky!qSi8je zaj*%JUAA8Sfnz5-ZuGl{Hz6Y7P;b~;A>f*TFH-X8z7nW6)3LY-laWNj6a`xdbf?-%{63ynwr1zQ;Y(fHFBijpQw_w4&64jRg1_59mMTB<(!m;olAjNU}Uz-SO z9{(Wv3!=WzKT~U?qJLF7sDFlD+7`t2%!|kChKDc6T;-p~WA4xW=6>(}KZ0TXH{vGh zGsW4@8l<<|=?{xfxn8aG3$Ka7{11x%%i<}QF7B_;fZn4a_~@XaQyeYYxPzW@FT7|l z0J&+>sm9 z>4m2y8(-c4pZ|=w`N!D@BNG9;Y1nk`&0bv~K->SXlhXTp(~AFq6ySfqnH-Qr3@}_j zL6B!APHRClJXPT}oOE>p}mqR4!}uAZ$pC4prD8_NL0OSKW5bS>b-KWsmseq!|%rq4rrb z2*_$~G#;p3O8x*D>GS*m$;|!VD^~i8=j#s$r~aBIi2G-E-UL6Pi~_pziRiJvzfS0X zK-`3(qCfT21;SUb{8$+(#B19Q5MGG<@V<)NeoE(~IwV0o(JK6Tx8a_%rNU%J{Fyz9 zp%$E2=Dl#6EstL0%v;LA$ORuq#7Cd~rwQEjX z^~NAl)r^q4g5n&<`rj!!Z2H`{<{QI40-;#}<2*>E#?aRJz2Izc1TI1@{=|vA=-qCk z^WFjDt;r_V7?Z=>FR=$32#};`Mx;!z&a76#^WojJ;nyljtD8*hw#%f~@q4L_Oy3^_ z-X$0?GI-ve$s5GuBL#5B-5i2FPjgQ6v@UU{9#ee1%=SyyqKO@znoWQM<0MF7S)NF=&VC;2x7t9hr)~ z@BKKmDqOmstkwWl)s2)WP0hxjPI)9ppobfWd#xJ;l@YOzE2#cP3BP^6-ZS%4?AGK@N=fut-MClM-? zvF)kyVkyqZVfvfc>x)4zn*8g*%`0^(dDE!cmtlMAUNUBu3)}Xmtv79jOU+Hi z)V`1HN0S6qjs|L7!5?C=1+~dyBOiM|t(Q_{lhWZhTAoO|AI4HaGy7@UgGxr~i@U@` znTE;ubu>enT~(JzoaN%uU3GQYbX7-qT#8}b%0aHEZfiU`VNp?JcK!5*GiKbhh!si4 z5;i2wtIPUnE5^qjnd>C;M^iANR`pRV(kya7ox^2R^!B{#)FF$(*e1%Q7W@|)yM1uG zXPtsZ)&d>hg$*$U>3mI14T2gFB%!i7%`-el@(v6dEY?oSZ-I2X%Ikm85%M21I{r`0 zE`MGiKy>f}G~>)N+zuN9N9k?6j5>{H1r+8>Z;#(ZJbJ^0%M7{&1hju|5M}&>@$BlN zK!XQ{*v=$66O-zQp6N34HH>sd7R+t!PnryneTd{3^xd9_4Sz-v%2y&{FS8+@ljD`Q zdwS@Y@k@y&%&%VvUlrjY$7^PpkZLKnc>5^9P^cF(i4s?oeACgxUPgruiNjmkCU+Z= z_Y{?z=CJBa&2kM03~Z)3Y|jZ9$W%a4E+0PPX+q7cYxm~h762T zda2PkD1TmJ#t1pL>>8ol>Yv(h=)zL-&Ci!>!a`G>ty)i2WU^szn@%;E-e2%~>iCqw zNDfCTUC$^?OEgO-CpSvUcL#iuU-9*U-8lvn7z^AQd(pT2(^Rw(w?fTQzF)<(aFZ6h zFxpkG*o}P-XN(^z60=}Yl$!DAj8&16%N8!G-5-5eC;(i@0~L2DK%f;rXB;mIDVHnj zd+_*eS8Qc0k@i$+h_4G3MW;J{qMOD+E1w2$>MkPqVi@MzpEJLlC;dTx`ja6Vi;S~n zb%(4g-2a@XMA>oTlrl2Jqk4~#cy09cSE1y`v$;}>3ZW#DNJgEIEeDs>+c~U>6zZdQ zgD)ZhGL6R9;=Bx8J5eEBCn%q*e&N)%PIF5|9QX+zB(tEl1fuES7*pZ8?L=f?0HzEr zEYFZxGyJ}1>f_mLACk_CKjUNlR0Lc0yWf=0(Y^owG}*yB&+8+5X+cYEoDSKWF!%lm zV!F_9@mh5w(p!&@$N0=)C+h6-sUSM=TEcd~X>%a@lY zMY#*p>Vk84S$$(*(={^>PcSW{B@Xe@wwWbNUn9oA(aA~QLG}c}y4vUk$9BhEEc$Rx zURq>s2pKJO2XK;B70UN^O1pv&^1Q^q;P1vGyUbNQ z(VRFgM)27P-CaCXwaNqdWTuPs0-TwHY_0ETa(`9vjYT7pudfq7 zKJG`!w7Qa_Nr&FTrA8QI6dvl&>=?6sGgg&jw1o_#nPJ_pYJnOB_E>ayUA__S79XSbV zQMTTtwhgBn5Wev%k9Q_0h_)g`=}?Eq%8A59lmDBixd{!RYL%SUC^vQvRvz1H7`O^8 z_yIC~H?s;$WkkQV39obRAXKV8$+tquT-Dsq#9PRLLL&*4x-AC14yxrTLGrypXy~y&IdcC&m6J94YKAU9+ zUJ}1mu;#a_P@T`oQ1zjP8Wmi- z0uR+cP$sIWpG7H0GgY#Gh*};^FD)Tw;cp^zQGp!xJ1;InF?G8Zr8nXx)%>tSJRnvJ>K!SZ9R##` z2k9l1qfQ%O{Os$Iy6km_kxf_A-P9)bf`-qYj%9O*FI_zU2}uOz*co3Z9JPb8l*tr_ zeG}uA4fZnQ-KRv^;8aR6{84F2{ilUOLC@@TIlor?i zXY$JwXEX>d0|l5rqof8U7XKcTx35F(kK7rcMHDjD^qo zZ-~^mO$A<>*;cH&u-oSmA3VMJ15^;Nq%PZa-B*d{3OQUqwe&XGQsmB6`umW0_8tpH z6D*>K=4)?hl)f{5_M(na@9^B%Zv^G(fn#0Ez6A2=#@0~KFkBReUo5Dh`H(JGgvJJ1 zer1tzuG_%0O#O^D87W5f{f%ibL{5rn3rGWZzq%OuoMxfZ*V*O+&0R#yl*Lp9b<sC*SKQb0Eeu&2UDvO~hxK{(nGWmkh z^Wqmaax{J6{+BNKeK|8y;Cp#kb;WYRAEeEF7#=c*c)grIpNQLD=~Lp5y$@y@-#mu^ z(*Ms(^8M~dsh{fOZR8Af^EQemYa5DkuHU1t9z3!lmCBw8o+=>gjAr}7DkS?pl`t%e znPM*}SgmBVj@9f&r9gny?&O0HhEldYMka4@rbsbXmK>!CE$y^VYu=z@<&ZPzL<95k z8-eUW_n7$C>x!~Da0c9Mwsh`sjhBU!qTaHzzG(DbTvLm=F8jvXL}it=*mSc>T~uO2 zw*yy+g;jb&ELf_S{2W{)0Ids)8<9^ZHBL{DR(3G2Hkwm*(iEF=p`=XJa}I8+jDJB{ zunGrfHB2swQYyRO6=0n@A^|kf9sWFO?}kDb2?@b>#H*6Yh!y2=UJlHyhH& zvSM2S#(3Hu(u=L4NWF5h?6QffH1e_w<(P8-_@wXZLhWE|L98UU7(%UI8MU%H0bAV~ z>B{==ywGE{PwZZWW^~2NIn*@7A2qJ(<*yCMKT?>?B8@%vBFW>?=A%qO!+rj&tf@Hf4OQv`29#JQ8!*goTIK0YKUjjMewRsa znfJP<&9A`qdh}z?yQY70kdR?-ee`BTq0|*>p2aTY*2l>(-os_#yxz_3F@7`^^Qc!T z`6B2*v?l0bQH8kFe`N>z&$O+7L}$-hYy8sJJ#;cia?N6!_`ZPp{vWQqU{<(733OyLsPB9M2p`coNN6;OS zcnz;4k24{Do?OT$vwQYy|0-r~2M#&7e_U00h zj7_qQVncD+DE)!ej#0J$lS$s!6XKE@W`3JJXbFA9DaqAb9ivwbRg#;L7k__7W3JIUW}V~k5RGXZdOuW^QBCSDUK)rnL(@mGxUwc@ zb+#YD`WZGZAHh<4B}>6)5!5G_H@Rz)#pEX1b2`osaEKJI^6zl12|oxCT3fd;Uy@Bz z3nU|wzLwpDxuKLAW(F~QYR6w~l|ncPMNghI-sdbo^VCfGYBia-Gc!T4Mmn`crTC2Y z0ebvqoLy3sG>zR6-UUG~eTs{>$TfNH0XJ1yA zt2sTB&{B{o6KA^e@{!brS}>@%1W(*W@cI|M5DqRm%ODJ6uk`RQB2-^G7vT8c!H;{_ z%5Ywc?69uA*f!ASU*4JC-YKId_)d+X*V#I7y#dbXI^Ib60|YU;N}i&RX_swYHsNg} zrto{_t%8e%P?jhfEO}9(#iFF{`NV2v1#Pxj_vBMA=a&`Tr5LnAV`6C#ml{e1*X*- zvz-z}O+dVcbDlI4d-sT>7v}!&V8EYsaDQ#=;BwbqP~>dqA9|1;nbAfST0d6j_v8!P zlV)n7kLhVQq*-b|&1*(D`?m@KoCJo*rB^{WuUH<}D9U%eyPe&kW3C;1-`l2IN8(!P zlaN~gmVLg{w95S*R2?!`biC=}5~l3?&DdgSB2BmG@W`xB$1}w&yJZA?>Ue6y`i}E@ z@O{4~!7fo3+9d5FD3aEvPJB`BI-(=mO7#0vN&~vbdL3R-*q~tWapR%>myu z7S@rt8$;(Ys>#4w_0R}1E<&-79(h(BtTLK~9vL68R!Ax!R}`(BFG%r(8R?G6dw04RUt=2%PW#*6;jFKn4Fi zc7_4)@LodV`DPRB4?1aDpu{Z_k$0%miz9DM5_y@vv+g0Z$opbZI<2q2p_BVOzCB2W zvE#OTPU^?1Fq3X3$-B!~cVjf9DNGT7uV%x;;jD)8JFW`tH|pPpjo%?ACUL=htl*{a zY(-6I>8ojLDz_I@Mf?0g-i;!QgO%8sbziO-TjNpyAP4!9l9Ct=4WZx9 zv}*#jFPXZcp3wWPt>+B|c3AnEw&e-BEYgv6xn7?$Ln2>r4&IwBAD&>%{JD5U5?;Nx zAGo50NnroRa~Y8NO9R;3XUqWh77bu;yVb`uh*6!boL1CZi|iC%BAKh?u#Tj3c%g;! zyUpr!-mcfU%rRs4ce9GXPBjPBe=J@J z1yT$xDtQ3RlOUiKU0O<@4~h7Fr()x_Q5C!A=8n%%6p!X_^40^|w+ z4woyw@Nf)Z5D;~7gaFYR6Da3v&v(!ySVkh*Vqe|qQ%jf{Nsa(S{ER{Voo zn9@_y3yD5m*!OEibq$A(TQ5_~y#zww2ANAb!#ic4 z#yI#`AC`6BmXEa(Vq^PY!e#6DQHE|U1M~*=YkSe|f3g7JmiL{b0DbDl+-0;rc@oSl zXR^~Nb4GUt(Ze0}lrDnW)$h8G0ntb!7n1XyWTI^Gh%x4G}Gh` zgo@~zr8&deRJ9DjBM{fO2{!H(%3NkW(%&+c@TtJ8J9F_MWt2Q0?3_phs2heI?V;t< zerd;C7|1!2lLVzH-{2O^KblcoUR_^VVeKBs=} z+?+%tOfG??QS%g63wmpoY9UJ$cL84J@9Zcq&Zmu*#9a!j5U^9mY^^bf;AfQO>kVv} z;5EBW{XF9hqa#ysL~^uYJ222Y5U?&720Bc6aNkrWHrFK5nfb0C#ir6V{G6LYKK5DU z4ON^?p1~l{7J#At<&F#g#}-h%EpjP zJ{?{jlz0!tBC{ZI_xCUL|03_bCJ$mnTs#DE7p8f7~&R$vTDeIj5?6ub3fA}yMM!Clvce$?X_x*v@r9lqO zzx#;*bN&Efy_r!##4bT_5e{gLBUSu$T2-ofJ&xEcYcy|{x~-FvV#!*124{Tu;c<=W zh!6ucKaau z_DU&8XY6FgbG<^|uSuWR^aT$khzQN{F`hZBRz3}WADS@&txpTxJ+{`^ zukXYurdQ$&2H$sZ^&|%Y5yPK)y1#B=wL_ARr$skL>WAkcCs)Fvo=U-F{c&8CGkQ{w z7wIBg`)iC%9yZqUPFQ%vhL;%UQN1P8vD5I}qN=yUV$UU$6tWW^pJ{r_yo^v4$e|FPveCQb_G zw|Mo)mV-1uq8iJ|1@F_yADOl=kg=$@uu^c+a8+haf8*8U9Uvc7t&%qiU*adt1<7ND zQ#}DOy#3Hp#O7u~TV)OwFSH=}X3$sYX5(q)IrYV6uT&+Jq+SA3UhVT*>-%E~+jyjC z#i;k7O~&FILUn&|mAj$5VIl*^tj-Hi-26)<&KlIvMZdfBT3T8P%*+okfAf(u$Bsf) z3lKrKEp=wM5zT;h>Mv~pK-)#_07nH6$Gp;ua+sIwq+YOWKeNtH=i@_-s7!u>f(U!E zyAoH8f?`#BvAu3qEob@^2(MK95ni0<6avd}boIxCdr#Jdh40Pq`C%nLXs`!5_+#-P z`0kgjrE*^1631WfuE#Li~dmzUKBV%ea@6^>P}C`D9&M z?AfOgXEa#YbxrGIiKj}SePy~8vUF5L8->Bn0OdfZW}ga zug)%fMXjQ1M@z01mdi+*0u~3T+rx;ibo4E6X=_4^3F*wS@1cby%lK0z$Mo!NiQyqU zv)qhcdOv^S{6JaDO}c(tyJTi|mh|i3w}IBsqo?n*rn_3h@YE)4s|fS0&g?C*^)Fld zRHLzU@@Ss8N`IyEMfysXjz>`8hhw`4`TE2;#M5&Mn3%xI=R}@gz>YVhNND$o!a2Yh z)7;76+-qs*$U409UHaBMDGZLAW0li=2C$SPJMy$^#fXrl>ZH=gkw=zwH>p{< zIw5kW%&d}`b#d(R%yem8HTiU@jxhjhAOwN+U_K3wzHA!hXq0OarK6bFU*{9&XpPc? zV)NaljE>37mkXCU0HK(EEpPkI!>4GmjQj(w=04S=w2)%`YVpw>74FsOc22SfIu8WP zoDP-S21vdpHs$4;M_VH6Jr|hRva!*&XU=#-$8ur4;f}uERb`IAYK;@Sdzcat1TC;J zt*AT}fz_H=;9igoKKB(W-vcHntq5WrMe!xs4vlWOyfBm}x0_{hZ1 zNS_9{prM3M6^jag6~pyE^HQ`@8Q#ljjF+&M*?EbHvl`ulVILR;wviI2sHIuSac~t!^Wx@h+>30ORFkU>SkYs& z#GSC?M!D)Ywl#R=Xg3`JII4>|Sr3FXEei`G@6PF$bZSp_Moi@7N4Sji~ zu*uBOF~Oe-@UP)U?Qv|kCOb*OkFxCfT$E4(P%6LFh#x*YDXr`}oZGs^3R)TpycRC8 zbWqODi7n0S_M4bx#4j3PlbO`ZKC0cq?j*XD9IADmO*@b1D&+N9p$)b? z?_!vKD9rCfhs=fk;#dbveFsXPc1t(i_3?y)I4UPbd8A5qDG$*g&~(3yp7^d7Crk<>Z$I*0w2K)=%ZqF2i2}XrF|MeCU1L0-~ikj&|P)-eg4SS}*4#32}rgD*)nt zmVNT~#&cW4*Q*6rk3dE=M(gGaDE_oYkHv!r7HtVEs3;nn5944fio9`_*FzS|-~eyJMFh|(+Kec$fd9wAA|Sl*ch#w_Fj zT`?V^Ig1lNjJPF=56wLq9lUL8Q06wDgY`IuUYb?uPk22sDgu0BQypM>Hl%Qd*G&b+LO8`1dSyB+;d^;M*doe~!g$Sv6i z^RVS6X=Nf_zFeqXpF(Hzl%2&phmGJnR}}jKg_Z<}5*tZJpQxs}8PT|;XRFpv`|41j z9a~9tWhhWy=I-LsJM!Uc8?0nJOIS%enhGs_AMyEpt8SQ`vTK~I@{}5@p}Nphy*}v4W9#~!+#bP z#UH(nKc}AbAEdVUISxizHy?N&FQ0GWIH8#WsE`e;;FJ9>)c z-HNrNnCkTW`+T!dMMd=;_1Hjl9g0{j310JJJwl)ZO?y6}%IN$dvK#yLhtg$KJR!7!f;x?xF7_~38W z<(8YD!(91bapH}!y|O5}a%4o@P-8z=BSBG*m*~ylSSxA*FJ)k{vhcx+e@PkX2gnxk z<|b{Agn9;F`v<7>gIqIn-c_5Q&%xUX>i4P;0=H6j)E%(jfzqLIrfKZwn8J!=$>zyk z^z#&m8*%hJMjvv0d7WwiVD(T2z02o)MNyRC%HbQ| zsyvGpf((AKz)maI1gb+N8D?BL349K*Wy|dr_~!@Fv=JdI?$@lYnOli6A#Al}JM2tT znmMgAMISzigpTlI1qhy(i6iEK>jz(R=LG}nV}aZD+mYjv>*ieuf6r0V@Ez`T$9k6^ zptnZzh1a?W@1-!a6__tTSceV?UqSW&in75LL0Q_Wc3$jo;9L#0E7?IJ=sZdAaoivV zo8b|I+jw?}8-(@4nk#ONh*_hF+k$RPo7lba)0(#(I~Hd{4%XKT>>lZTINt>p3BOII zY->xy+HHg98NOXVzx3Y}!b!=L&Fiu|lyanRt%R0*3G_n4fWsd84-^27PRQvphfDJugdj zlWN-+tM^@CR*vlJ&bM($;5>6orx+=D>|nA}{rCOH(Ao-a_gsuOkr}Gr$wW{_n^*J| zb98$Oy~GZw{n+&R>J>e&9>YD>Sw-h%R^|*Nw(1*gtjG@!*~;PS4*uTneQ2PnE^G7L zD0o*Fn2xveV(C;ti{gZM#G5geQPUxwkZN5WiDyk_iBZpoKXShd>yYmfIOQFl^hL~z z>a^bCAuJXZsTJ$SR-3}I>Z__6iSMjRfm|bo#J7(In*HPMfNZ&S~ zJ1#*m6|j3eQ4j&Vcl}qK93By9>DV#3oG!$io1@I-m&G=qFIuw!ifI!A!a2qK6f>nS zV{9iES&ea=ro-}rRNBmFtA6q{F*U~{O(BPOvh0i92-`eTws4$1b7yq>C0f|v%zWYm z<|rPYD`iF+6dsYiMBXGdksRa_HbXxu=*6iJq#@|% zkf))HX-UVmT5fJ{q+OZ_U|l=E)K3R0~aNAKs%Sl&}q9ufUgy1hyNr0%J zXYXk056~4@6E-sQHb5jG-o-@xNw;33z@SI)VzWgGg(4Iox}vBw-8s)HbG7?sea#42 z{^{(~LbAF@5D4?MuaDVth<1+?L*%1|`H^z(O2&;jO3ER6Nb?daaQKKD^xd@Ym*ay` zx3K=>hLq-pt1KH=?|J+1TL`d65U?2GJSDZH4FkXoq~mxmeWAj5Wr(CFgrUZ zmF^`IBwJfkYd6FS1yk3vb;W&klN6PwJm*2$5~D`ED^yq(en8zZkKcH>S{Eb7vz5Bd zoS(;7-&-$xThiCS>RZu(7%x_g`{B+m*%c6PbV>M1cGOVYFyB~hQ#zw`cov^$ zRo7H$Vzsud4U0LOYUmWR{ggv{A>X>vFdB^DkJ zV7!5;(wDKcOPhvYpeJOI?yg1UD;ywhn!D5c_umsTiB{O^i_*+lnWf3vx22;9(8A~I z$AuLv46k6OE+oigPubtpXU#&8Nsh$>3jZ@pI)2W0a-TL*C{41eE~rC;*9B%3@C}0k zCGHZ)tgMGi#ssO7I-_c^p9N+tLFRTwX16^gF2XDN2&xLtuT;||p#+>P7QWdR?@&!; zxAOtR>@`R^TLbUa&|S&5b=ucQn^vUKMzhn^Ynqy?(eLlOpxmgq(+O+;ev*ZT{BKb{ z|JHCmQLE*o)!Uv&&yFex9tS?X%Psy?7#O$}bd}$E5xFid z1f1R8A9em@HRF~i=S&P;(w2YF=B{%w)113+k5C6-eShJk2zcE6rHvm7xp-hv-V{RG z>A}k>gH3Mk#@R3KC0@}e8`=I2z+Cz*r=S20r4y}LTND0mCww8KLH_Ij7&mtzo3|PZ zwA~-N&S4K`DpUQbPCqW+aO)34KeLs1*)O9b^KAT$=Wk6)qL3ksx z?ax;LLgXw_Bb2T&3J|t?3$L$iV{fC@-0S(CM|;opCGU2rOhn+j$zGXt`QXDDW*X9V z@v0$N7dj0;Kw=N6=V$r$Iz1?V0qp%`rwIMoz7M1VhrDCs)pN7DrGVpX1UVm6dPggK zcq6}@%HhfwO>&`Gz<~Pt$bBnB|L&nS!r@$H?BG;q&Ps30g>~TOn>zhHLlu09SCY|o z=&BALuhM>Y1N_;`@Y@}}u){HnW@27(GKgh@b!oH|Zqk`|CPucVhCFIgEz83#sPj(O z6FB=)Ivn<)LA)UB)pyyv>X{m}=0tWwLTSd(ls5A@5?!iBfeovlTMg)$e=?r~C3T76 zSHZ2rvK{sGzUzOVWgU+_%?gN{SBZ*>ltd-KjFd#*v|?{fMQZX48 z%6=mO_ki90s>w`ob|rtnS)Xu3dAcqu7FIASph!yd==$qM5&y{=(pRXE85n7P zZo9wQ4KG+9AK2}nB{41VcJ2(X`ods~3Y=7FT);3lTRYhA{J;wEZ~FreWwqFc$ckKg zzuA51fYQJMOg>m5mSsm<5w3Y%ONvh_j|JK}_JO;^)EGa=tTVr*+Iq4m9D(HyGsWmr zq~D6Up_}EtNHbrEIDL26vI2V7DFEPKf4Zli?Jj|I0InOBIt-4JTeiGFqVE>2C*FTM z6sRCqlI8C@=`fxK!XuoOW#aTc05jTmaThPaJx+CC^4UE%;}>fWV~U$&S^$c0rX zqXqkN4nTgl5BWD7*6(#Re`F`n&qFOx7U*UT4FfV8s91APD=(t>7#$}1Vy^j+ zL%M5$m(s9A2S{l16ODtJ4@UtK^UxKJ<-(Mc=NwD+xxzw;LT&3b;o(_$gMb+~_4+O}W|#w~bNF8$yHk1o`cDYKHB z3Z$xxTvxc}!vg7HfydvxvxS^UP5v=qz|Y}{f3@vDpiS1t+s{H4mm5M%}yN z=rBY1s2(Seup?1AB8aENtbO{~xe#whF}Eej(+;AHI;LD%u9hq;DouL4FOTj$$Lm9*yTe(ApS%CeJmi`ODQyk0e0-i}emEZy5#N0! zav67Uc0F*0*a@r0f+B6c0y8fjAYv`+x&qPp&ZzYE z;)G_*;(e4(HVc2a*y^yZ$gJo*Fm{~bbeoBYtAeV$0t$$ob`zFgNbQ6|mR9_8)? zEq(S`?lZlNk4E?q)+CToAH%wlo*ruD8FllGAL*ch(c&Pcl|WCN_>81lJD?BZfaO_ZngAc$3+I1}9TN&*Na_lM_ouP? zv`TCr276izXT?2v$t4%cq5f{s4+E8ay@9m=V&Wa&CUkmmt++f#lpN2scFIUdPp84a z)k}*q079nZw!Yilk3{|PT8(QP4@lTzb`hO_B$Kq8;?SgZigOUc&887f4(H%%llq!U z5z?lu_I0Dj9pd<;wy81xU{2}#?8cW(kV*>(X{1K(7DGo$bZlZ?1b$OF_hORJJ557Q zebp$sLxY3MC$>4=eA>0mN~b;y+%Zoxw$kLb{J|>z2gnk_f&NI?36m__D=kWj+ZqI9 zE}w4PFf6C0ta<@Phpa=>p6(FyR6K?Hvo6UT8dR_>NQV|86492M`)Wq9^g z-bmTtc=&z#*B{wvao;cnd4+t8>^7eIB53r@JoWKtl~rE3M%<`I7%-oh{J5t3$Pejt z-a#kn-tkMQv42$<$ok)3AEf2xs`aRwoI}g?ouQ-Vii6h;GnKAM(S|e0@Y{M9_p6#N zLCUgSscd&KA~;*+#{QMwu8WUS|Jx%qpS`IKF(1xwzEi=KazqDQylpE35z<<1y7O{u zzj)v2f@*@pusU{yQKail`eHe%Y(s$tDl&&x(UNVa#Wnh37<220d7}4tN8V@trm{6* zGI|Tp)N1wJh44IqA&*Y=t&XWawQo6}R;(mn1u*oUxm5Us?R(oxyW<5k32zF8M$RDo z1~;Tq5EgUq&)$W+#^bf?<#5m%CbFBdd4GAT%OsQ8pf~8HM9@U+GuS|BkoZMKn#fGx zzj43uXY?1pGJzoao9v`tKqPI-7bNWBySzn^t{-5;()b-SqtH$2~ zenlYzuozU|4lT`ly}d1d#l6@BU;lmiHg=8tc$m;S~VKZRCY0fHX zz)q-SbK0fWGVZb@8_e0ctQmqW!Ud9u=BMUyz)lv5Y|DQHWlYBf@9IDLCOFClfkDTA zfZ~O>ko7vVpx_7et-eI2NC}@ShqTEVK0^1jw|3QYpyOFmbal?l?%=!WzM4<2;DN$k zW2|H}dqz6yzkfPk9_BUn&}QNT=c}0k>SxJqON;dH+HF2JOTVT9$0t8k;<$I+-Cn&o zLsE`7FWzp#`9}Ng%|uS!NY!UHViivt`cy1qb%IBOq{OIl!Ted>l2M`c)sur0_@d)| zLv|b}INeGzhj&1ttq!7CaRQ`78%FlHSWy5rqx*`Aq-W)0`~(y8j(N%1ymD?5-T7o; zWf)897RhQ@rb+<6%7d&2aa73frasP%IdE9^T8ywZ$FV(qeD}!c9jeILw4{J&=e~o7 zMy%0hVraCR2gOXMyRk6r{)?F7NDj~?IATapX2+_m6DS|{i9AGT6TM>66@cQip!B$$~x?WFZ6jsZKG7CTT?03dTc$|*||H)$qScBn+?uR-jp5AwBq zvpA@EyfgW2Fm6^0Wl>kVF+fZa={pNKDzAd0#c4a2=>5x>2wY+MTSa-tiX552OoK1~ zJ?Q?A4w3(7pZ`w@xfh3EjI&Oq1hfRc4EbKVc~0FDa6PnSS@di2%0GX_{~OT)yiX9z zaGytahvt%GD+3y1_igU4EMQypLw%gS8~*^MPNh*L^?3PEl&4vql}-0aeA2f_T&obK zs0WYgg_IJQpDTb=#yIB$S--v24?1v*3u6)?V4}Q*=b)3$MsqqFrrHN~jp0u>u(Yy1 z*Dtsw76&h0mdBFIi)JxiU(A-A^YpAVemr1fZ8C8q(h9*w#`W+xDWt-eQO2DLBo#11 z5YwsG@3Hk>K8xO;qjTEqqPf8pim&VTn3?Ij0j6V0x+D<)3V8ewofRFD@`3fG@5 z(g=>$m@5!yRENTtbm|XsJH>#x5G_?LkZcw|LD6>o;$1lC={@81eTv^mpu5vNc!IRl ztR{?;<;ttG=b}0kGf49Sx|LyY8jooxc{_^jBNgrajz`dOOwrv3^j08yUuBqRl9l1kRXvbxA(`h#TmL0oOIA=@38HRYDy{$X;F!;sner~-CDqD z9I;kBo79s*(({|0sUyzRTgeJJ&GC1jebVM$mtC@$YuF`5O1$uv3XxF+X;fM{)+mN~N#AtDg*tx&=9J{ZL3wKQKRO*4# zHdaThmXkc83H@)e)!x;#J*4nGU5u2i>E4@sR18*w^DnN$mXPQ>LXE&c1SK`9)dgcU zw7%Fr$bZdxE&COf#-g24dp8j9!;jOU>G5eSdF41ZEvE#M5`FT`d7$6rN{X`kVv2mlF-=0e`+*Xg8nmJbey0pA zF3pT}Zg;hf2nuO;z>BTlPu`=SiItW?M`6{2`8Q!9n)RZ&oKA*$w%k1vmaZN$QCQr3 zGvYMtQGdkILY;(awzUBA5&^FJUCC1m;(6;O)d+}P{v_^u|4N6#0Ld!5U}Si*4P9z7 zAJY8M=;gI|nN-K1TLool3bXu~B2UB2v_aezPqyOW&ev+6yN8>j*7Tkqb0TN;bOmNM zUZ}tNq8nKhppGeoM#kdMm7f`WMxdf0yMD^_ym)qff4=1WEl^f&{IB?G{*i0`6JB4U zUjhBU{F|>e;8uCf1K_$Hj&IwvVYkUP%s?9GFXR9c57hslJMtg7;h*=REUgE8C#WAF zOk3G6g4{0~_M7>J=0u_PUv82mgfu>1-FP(&XYd~vZ0FtcWVv2Grgp}2p)ybT=!rSlkWJd9+c}pE*aCk)*4YHh) z3BAh~6LCIC5f`yXhp8Wz6$XS>^uB~&Z|x4*Qm#Uu4@7* z_ja8J!)5usaMTouTVlfUs6ddB9VxNVQTAtxw^_13CH#(bYXtR}2D zJ(akYz@v!vZna}gKN1Ch#i@F1hI#pxzE_2w?lyTq=dkGM{E(2k?yf!P1F{=K6_UD)sag0Rwwld6tSAz`)+PlCTAY zEYE{9;O2V6-Q~m9e3fQ;MHN+9dcZ{MxNcVMGXt}=Wfv6w@{3&nrH(SXe{oJjppI42 zMv_|G^ed*bAxG|6QcWs{K-Kq=+%N&MmMwGOoy=Iqk$3V2I#(5^W3*y+wt*SfNUl5X zoyuf|p}MPC52jxFCx4=?XCGG4mOIvNWBIsNpIJ#GI4!qo)~Fy~B#coc41^z_++|D$ z0_Tgkr$8lHtD&pU{yfK7)ab^~JVY zte}9RR(fV-?)aMs{pyjY!n%rBvjm(~_;O^TM+zWu^5IMs`>M;}m!IBFF94BICV5Q8 z>^DRToFJrVWlNAYbC`eU0n6cRb(k}C(0Zg4RqhBIS%|gT+Rfhk6`Xy6lFH(!W#JN@ znRjSa(Q`d^2KC&adi%}y8{$D8o2kUb{070dWoxzLB1D!Dg5xSYQ45$|U40*<9^ z@g|?pC&0+qj9&%AtK{m;e>52z9zL#S07tPn=lpIl!L{vC{J}&=GeynPX?A~>aR_Dd z%W{TFQc`YQ(aG`m0mE&f@M!I#1)HQD*pQJ+aQkqBG|pb^F6>KFis(CLckErfn1H#I zHotl$2WB>LvVnCeN5_nLolVC&I*<3!3>wyj+9Lbvbm7Wnk{VyCbSzjKCYKg^Cu_6q z)U#(_xf~k=)-B|e`2-nA8(Q7cSc|O-;|O)?DXT|e7g-S&S}P@`%mk1vdYn%Sj<{BH$7`Ch2MRTAR2K)1qBWL9> zX$O47pe+|gQVQg>d?HFB@P^Go%@PU24%IGK~p_ zSJNr{!Z1wkmeXBEU(9*^S^9+>J-#VzcHVG6nNHq zrt{rEDYYfGA8oCzAW=MZ==$-)+S+ditS5qV1foyn35)o~S*cu^qGcH- z(=!Y$t4DO)%i5Y_%k082p-I8v^HztGIww^J-*z^OSt0E>d9z)Hd76C%+Z7LEt=~8+ z7K}R9+hvL1>9+;Dge^1LzAZCp3^>`^#n9CrcIuIwd^QTdg27VT zZ#2pnpw(&2H#5_h`V)McrB8qRS!n~Jp$`hdx(7iB5)y>qtZ%4k~hk|hR-)k$-|Y+E_=n-@pm?nr3{FPT)u4W3OXGp$dn zl6JNu3;r7!OZzl*iBTa==ku#PCI`FdF(BFz{eQbO{IB}IKVb=a_ivW;6?T6~QJqWp zu6x(yZe#F%g#GnhgZ^9MKL=UxAMpjh_A_%VBI!12Ne~)!%vZ%Dq#_M?QMwZ`n0K8= zqwpkr#iV7CRObb%4vPW2Zrd_m5R1==wiCZlaiEyCpi79G`VG;DXC^vYs0bx3^8cS= z1v9`|iZ9Wc5<89y40NUqxudb)eKvNYP_NW8vDX)vhKMd9$m(Gr}u|=gejaKF% za#E|6L_xPd7^(ZB5>rBQe@1(=ta`>hrPj%W<(V#_wN<9|oMrWKxjv52LKk^cwXTuBj#8s+#+uD0Kt?+I_42>Vnp&^8Bkr{ABR zv{f)rieFcp?~D&_;I~-PW4N+<%AYo4KZJY{;j}wx++C>BMu00RTDWocLhKQIzma~X z?@U<1{4Ke_Y^O29dA5zgXZC&pRO8b@=A;TZd%)_Lb(x6l3UioY2_2Vq`?O~prS(*B zq1T`=;ixFHumW5rW_|m-Zv`ui>GJYco|HA@MG1&ThVK*2gRDSOk>g}FO)rIzX6|T> z^|g2nsJ4C&!>CMeI0^CMMQ!p3j63wQDswckM|#JaVzjobK+!S7&8(}=C1Il}#c;LF z{6#?jn`Z``l0c_y+Ni8t9oXABKRv9@x)i3$tN%`-rA%N{MCb6f?OB?_?HG5PW5aAi z^(aY=>(y?TnVJ?pBIao*)-yyverY7(YZx)kdcX;xyJva0klFl~l7NyAx((49uJxf+ z!_1qhb^K-CgO&&;MD|J#8NK?BjpalAWwrzy9V=Qr7Pg|?Q{w%2FBHp3U%v`U~t;@!uE-j=1 z&oN7L%f(|vTWdxcyGgSm!Jp2C!fcMT2PG9ko(6_b`ky{fkgK)!qH`gHuCtfF@akmj z6`bkhC;T>=rGPI_PpUL&y?Lr}t~OdWD|Dapm1^jd0p^}&7qA6gW@?JFqIChFp=e}& zg(%85(A6PH9Gsu9^Xei^bF{X>GYOLsvLC54AnP;33oN4>JEdsk`u29g*}T7^>$sFJ z5@U6dN{CQbG(Pm2*=)H{N+YC${Y+;~jWyv*b@;hi?2-n^vXj)f!?XKxa!DiY`GbF4XC1} z@j99j`1}C1zBFr@Xx-nokgsmS+jLUKDNmWU}TZG79pXATHv0!wV! zqbFXX4c}~d3u0v98nwl`ST3w+*A-k$`?@vQ!Q9EXjTohqT2QN-N0)y8L&P9d6p(CSi)qpmlLtKG0arH7_=pD}j=Bx#Yi$g+*)0Szxxjbg9U{yE%E86lW;AkE5sGN8lm*I3LU=IS~BOoTUOOfqFgkm z6R2ZE9~#9%b10{-q(f=L>)7GLduaQ?3Hl|*fTP7MT+7}6L3GigQYU7i zFZzSJ1#{C}mG$5`gG^yd!=2tLfh#hH0!R7$!NEQKN$@7jV4c5RpIjLWq1~re5w~u8 zPs=-T{)yK)vO&tdPD0_QQ8N!Jf5&bwkfh`rA7z=c@NnKrX12)Sa~y zwuEllic0evy6~{U5}f2z>ui7n!1DGE3g7q6Gs?we=PVVtcp%-FO({5bRWJuIgj9Nn@Mbnw~rwD_AP$wWw34Wnh4KM!U?3 z83dk<>?r8XSU;OcVB7bSWJ+iVbJVRIK4*Ky)d_OIqofpCbuO)64wZLa`rza|ORZWD zs|tH*{BHdY!*{f&jw7{O4JwBh4ZOG^)U8vZ+!cW55@kf029eq7+EV~MK=)I7fdQ1A)on>aWN|Mz}ym5nmy`|!R{pzbDmdh|D3#AKod|2Ya&F{2W z=M~UD8sdx<78==;qK;`te7xp-oaJnv?FKxpz*E><8qQSYR+LC%>%?z&3L zDww~O1YAe4N$~zYF7BX?D}$(mB@T6m{(b*fA!-xXT5M$y7n|rqprOr1dq8wfMR$>ywE=rirE?DYy(>-C?_s z&^}&)-pq7oK9|HX3gIqthTxXMuV1~6EF2s_GyZ7ow3%3;XrZMz?ttFE{Y&7sx;ke~ zD6%E1Z2K)~j7nY2h=_%APMgQf{!;MQg?{ULS7t5~43L8;Gw=Jt0ugbRMt2jd>avk5 z`cX&5MXN$~%nY`sbCx}qQLCkZLKjMS&NC(KRw%mx!5T!a>--Mm!>upoAFm$$`@&AZSF=t2TCqF zx{f_va)?VzOpAeE>k`&&C-LIqs$+w{Ua%+i! zM)#pZceXez?cKGrTG`kq?cUDyzKBO(qj!&86)^Z@hV|~9#DkwxsQ;a}|BU?jKdA=i zXFat;GlBRBl-Zli$84hP_2@KJsuT9ievB(niIGMBbx?9;O}HAMrId7CzYu#o)pnja ze9~1LMYrZPlfWnS2=9PNXYPs3UBcJK!bbly6660X`td(CI#JP}RRn~2#h3KVw2s7v zZ&FNnH)5L264o-j>%Z718wm)Uq+^$%LUI=nNm}Au^Og=e5(Wtb%&%Gd&4#@Y`+Bb^ z->A-VbCecVG%#dCemM|@%<=6n2f|59sRRy`t~ldhpKL}Za9X1Mn;^ws3!nU}{{Fuw zqx1Kk26HTY^3rLZdf4a(NXN6_tHW+Hw-ixPNL*x@Gy8oN;>9N^cnp|x>JGqi5zc`~ za_659ksT$N=8uRywlQAdv4J!c*jj#dqfv~B-0}T?livPDA@2Wr@Bi7p{|WsN=0AO* zPH`DAz}h~HK!CL-ydt0wGc85;)q|;ZcXI=qT}m_3L0nNr6b(Sd3Xr(9i8?D5xOc#n z+gSX{LU*j=%3uh{aP+JZ2!4z+lMmm|S-%!*N|I^3+0Vmv(e_@0HZ%1(^<03FK}dbXB+Q` ztBl#bVyT^t+~&#fD2ZcpOj`ue?JcZDv{1n>zazq@_3%_;Cl~|zP;4C^3Ls;2b+3i* zl~?!3sdn-bjf{a^zUgZZSh-^ZwwYm+<vR-8v$Go3RVD&zcIoIRZ|ndyd$$R#A7FRR zh^+ljR`T>`tXTfZOPWf-%U##eLu8Qe#OFUi&qSToHw>U+MPgxxIvuVW1%y5H`Orra za#K7ZSgChcS>8u@IRjiuaN7Z?#UunzjM7pF8fB5_8gz0|cEDhEjQR%ETa5lSh^F5- z_M3xzK$H|^)W|i{_^I-T>Ee05F`6rez?B8tr5$2tQvp+Xn;a13b2}ql{@z^muQ-jj z!U(mcIq)(`2s8o{t9~UrUW-lL#5+=_Q!l}{jVW!u_kL8^F{Ik@k~(|qtWGA@EO!g; z&Zl9Q(}2IvTEX+OkV_sg&6XbkX=esA{$0f^zrBA^ktH#3I?n=2f(zhujqOL)!0A-` z?T{EiRGj>ELIo_o4A)@7WRFult!h8F538m z_pKg!LaphRWobcy<`yx*f+ehaf=|V$zos_!O&FI1nJEo+H2PRDC~jmOt54IWc>NcZ zu5qwtGN97sB9jAX*)+i+FUDA%h0Rhs4fyC1tz4{g?CDf_9B?HzH`_#Uxwr&Nea>&6 zD5_VX-b@DWH}vqLZC5+Sq3R-CgRO1MSUHaMhKZH zyN#9BHvke7WkJoGXgQEYO30Gh+$LO4(>qwFQN9>#(SxW66XCy zoSNm@j1B>p8wBsU_&B8#Via?sSxI3ZQYicbG!?(ppNeuQSUVO^K2f_7k z*TW#d%OcY)A(W5gF{?CTf4p+70n;)E2gY}wBjm4M?X&M!@9^#!a#M$KS)AkpyfV8+tX;lC^H^jib!nll8E-yn}0L4ECil91jsMqSv) zNWTa}buw`dc3HiLDurE%bf1Rov16+=DVVf+rX&kUB*aSH$AmHZX~ATAgLFC%bc z=+wums~K;)x6r{F8cufo#0v?E7II+aVrsx><9)0B33VeHImc@fF4bjcnHcV$zgg{o zaMeCM9c3J4OUI*6Do%=`{Zux9ZB6xzi|N9endy#loOqMfU=!Ol-8Zqv+O5+c#4J+~O%a_uoEC{$lf%#=q{H5` z>q`dNM|~~zYqCNRBzAoTGDcK);C(Zax!W8~1%QfZV|Rc~#a{sTCH_@apDhzfFQv~R z;pXKJP=|nl7ZpDA_L~p*>gfvSG`mWzc(|8WG2c6ig5{T1xsCSa{3XLZ z<{oMH)Ff%I^MV~Q+6m1RopzD}vWEfHpF2D0UUOhpPz&celrTS`OU7o@^&FrYdO3Ad z%EoKUE@p6+(;wfY;jw$1P;G`HY@dw1f!x5%{h|y zVFNN>Cu>BwO^Bp}IYd`_c~ATakoUx4V~7$?OI=`n1b`gE0(FnNUYETXIc0vJ-^#qlbT)n2 zt9#)}JW^cVgn^Eo?eBN@(qDg_nn;$A%7aT0qH`t|gB6w$8U$?{kazbfaz17S_yFIW z|4~8D|1kkcUDtL%m?NKV9%7v*57Qol%}muyFPJ^VunJU5F7#@6?YBoBopJOiM{dMF zc`kZ9VY~>F!-u2r{uW0i+)R3E!cV{8VcXWtoY5gNd?@6i)V%~K{y{{!w zcbAx&;~-Is&iY7EWQeDN57Z|d-7f*cj`0O;f^z)s`-w*FcLI|oVzW2$rlx`{?a>MZ z^1zIVm)^%V(X@*5cn_`O#vpCgM?QJOxl$;lpd^0#`Ui+D)dcX7Q(6AE&xf=K7GGPF zd3G4Ai-%BztMfZ8)27rDnn*htN!pA@IhloopXhQ(OoQLADLuDyu__ehUfKvtdl@ZC zVh{zX`r=v(BKZhXi={2GZR3(1$w7qmm24jwpejp?dT{N8P1@}@ZSE>mwCn&!Q` zuO(5D?>=e-0J$HtCr@Om$BgGnEb3VgDEADY1imG$`JF_!HXI=5D9P6e;@(l~KR|jB z6-Dr}4%gFtpNzJIoqn24jaxeKeEsG5)nvR8AY1)L{qpCi@ZZ0!qBr~1%!+q>br@J5 z^F;SC2LS711;D-$L>D7LIRW7J2+Jt7w%C7wsI;GPZo?So9>>WFdngxLb|}oJoKWTFi0#C|qo-v%>}r;jxc=&BR=7k|zsJ=`L}3|6p#v=9(V3zYiuJ`5~KvwAhBTPf?`ZN^B&6cbLh>F<7|eHT2%|FxEsl?(})Barksj;}-7YXaV8sx&M7Neevy(08Oa$Mx+cZ=r5= zg0(lNLej#g3sT+pJ&VL5X483NB4$~VpewYsrabqDSU5S^MRd`en*xKPJzFY>AdM@u zn{1(LVt>hc-!AU%fOf}KL)}!qdvdD)L3AZ!FGrQD2&>ULCutO>V*_1Krd_nSdE@+$ z>16-3`XhgyP4%3f<;l^L>X5=M{4VcwEA7@MNdYG4?BRX5>++Q(L&6myOg21maun?)tL5H^~{2!oKib~4F z+kQ8rUXjx;K2oyDG8#?$94IG^)Z^V7fY@fvD`s`whrv7b8P`YLGrxd0 zcR(!sW_)+RoTm}2`Hi?wud%tj0ajypRw8B+P^#iUbgR3_A;{cCn_j9TbGX-W5PU72 ztFR0q#PSy2omXSMn4LE^N&5fDd+(s8`h8tINE7KQN-u)+A|0uMQUU^s^cIyGF!bJ{ zARxU7NJonFUPA930qK&^i_`$2mw%k{wJ)b5fCV|OIM-Fl)clSz7zUff#>T3yc-UR3_H2LQK*5uVZr!k0Xd<{|K8a_=@<{e;M+cfBsN0#83}#VsNZ8V(xv z+M*-pqQ~YM>ehz*Sa*89LOm#;V+`b) z%g_}83Y+y`i0Xr*FaK|~)NoIxfMXN! zPO&Nvcb$fy4sWg;LEqdC-txvO)*^AjQW+;wA3yg`X{uv^4?Pv;1X_Ubf0Of!|3SBQ z(Wf*??t{ER3)?++_QdNOO*LZGMhtr3iG(q=#t2PSj}U|1q~|~CnDNyVUF~g zqBz8YOSbPNUZAgIPSm2RdZ!@NkdF_uZR$;umtEav_?w8vnqgB}C*VKN5lt;nV7a9Uie zx;>J(?`@Z1;^!4woX0g0m}^m~p#}*{v`hHoth)#?%uHjF|6Q3K`-Dj6?S6YtvGxaI z4x_b?jH+-E^)#jabr3x8yL7e*UaXYVtcM%1bZe_=~gPx((h%durINk0#{Sjdi zCf@uBo0BfS!z3MKRK=J7^T4R*B4Vf*shFc@rBTzImR;A119!TyrhN}ElQ(R#ts6>X z=-rV7H3eI%&rA4nS1|)sN*dIx42>#;tf`KBdQIwu-!ckN?Inuue5BlomDwtL^x0;p z$(-MRG|5!M2;0(4&b`%C(^lwi$#muP_oID>&jsTj&00-$IGZ6|M~=0_ zsE4kwp;r1czo!<(wvTL)=;8_^>3(~A4=sv*Ch8ZG4E55dA|7;|2gH0#1{tQ)cDV^N z?B3pC9s3C$=L0c6?E2QrOGW_pue8$Npy`jlK^J`a`w(mh^8S^F{BKa!RK>-RW&!dF zHg5aQ`O2yl9XT#W^>SqW#EQ@q?J~^%mPnxCNjC zYO*a$bp3r|g~!p1-nqluH`y}o?eU7OBBu@rKWYG%joy>7U|B{$udZ%fqsqeU&7Ci$ zIo>&u;EL1iCo0@h_bxtcNi776pX{HVc1+VgOBhGa^9?68u#-}M>KJDT)DU7Ey-;tHwa+oW{O2dF5;|{nv6}c4HM_Dr%@o;>0GMiL z0^LbyMhM?Jbg|yPxM-W3FsV^E|Fk#l~$@eJ@Q0+0VR=v5nm`dTvcxOdM$yc}& zA#6Zz+Fwg-htpNsuDyt9e~jf>IZOUrsGChSwdpknPuiD$qu}azmzAl;rP;1^=>AT1 ziDVD-VP$zWBH?MG#~W?Si|EH&HWQy>S-?~#bpFLcqz>0|7^Up24fldvd67i75bC;? z1ip$M{d|0v6|~ zTOE~f9i>SwZ`xUnqaW5o8hJX3S2k3J%s0|mJbi>8UKbEu>J*p3oEfr2l46*w`m*EV z0?-*fg&uMy6E%?A9ziIr_lCWed`&54{(TGvm0hlH#a3MyWWb@HZN;^+RHYkH8U@e= z7c1RBx2;LAa-1?uGB?k6^1^7XiM=fSwl?ng3&p{|cznptwIwY~du)Vy8e zc9Tr}OC5jSiQD0M7+9E1h}|9B_qy7=X6L~-P`dpalo2^-I^}g@m{(S2X8N_N22ok7 z=FRy4fq0G%WxH7v7x!L*KGybgkgvDGl*rGTG>wH2%o+u6qk?&Iygs*dz5 zk+Hnq@Q8Xg&YQ!vPQ%Zy0=iziPv=Q*k>tr3oXkiI&hG(#OKGVcyBhPo@O#6{HvS?! zPW`coDbn;ZXSevm&#Df4J7!_2JG8NiS5L28iD_$^ihoa^3d~V*RFq8=DE3f>Bfw16FT%fS2qtX)0Uv$( zpP{0|mFto$rX~AOuyq>3gMyw^&J9$6OkzcN75twJhy$qG@8KK9xg=O}A~9h%oCMgJKn= z?)vIs`O4&fQ62wnvBQHfbk(zk^{hVE%`J56CM&OVr63gdBV$+tn2tec$1Bc2eCnRp zM^l}v+~x_$Z1SU(g9nHv_`3wiotpe*UfqMK@t1M#P--v^?p0cCMXHmNQ7Q!?rDu^w z3$M3J%(P~CxouH-K7FHL2MEL$O*u>m;Iq$rY*n+rbI)wmV5wT4`KhJ7EId@5EgiBw zGW^Y>6-=JrPhv6$Y*TR*1K?x%=#coEM=R+aL*&YcZ(-sv`IOCOIBL! zj$w0ps>@VE5SKQQ`PEjw8-w0idCR%H0*HSog7j zY;3rvnnRAlW12n@x#owR_pwtsb^)TwwZgDltv!<2ZXpUyaB$H0pf|G%c za5t7ra9f9;Ut064vTdQwMSR*^PSsO}$t;xT+gU060a>S?yt1bdoB0T?4vY=og4*1| zv!io^ZP;+(UG7&+v;nZq?g%WZ?tE9HSph_4R+n9Rg6GF6SQU*l;537g;J8J;G8El+&M_) zT)iZ-ISI^{PNy8N;W@R6DK@K1niSGMfAb~q<#ZXymnT8H@KVmUGHwQ*XxDppW9q~d zW!;Y)+(RMEv^=uYX;{)lV!7^SXTR6^98Mv>#p%zv7$osJf;Ck1=BM}gI=CQ^ zd&(`zs3_8pQ)O0p45Fo9Lv?N#$axhq1nXS$3bbfS6VD4_@Oxs++>$LmBg98hGQ-Os zpWX)fS=xQX=G}TM+nH=nq@y9?)$BfQdPT5LHL3Drn@8qIZ})^B-odl9cYy1^vi7~7MUZZHR1mT%wVp+>x9Y=1amz2q2fXTYE#8T{IVJ#)W%V!DNP%&s)Ux9cQ& zko7g`_dX&CdNOsreZ29rs2(q4z1X^OtLYO|VIWBnly3N@#Hz^D%Hxp%6>14qgg~Ip zmpU$0Y^4drFM|gg^^_;?Q&Hqc3#kJQ@kZYZpR$AcW6`@WAvsK?|2Hb>|BqDB72RR@ zYsFKD=x&+njnToo`7~@w)ZA_R@_7EFK4GpfDUU{#{kfHXkqeSIusd5@@aL}Qj|SQf zT3*Xq_e(!&XZ=-Hw%y~$duB(<(M{>`ZH%;_@MFb<`6@bId6!N4jdsLntS0Ykka?_6 z_%=EE(QuB=+Fj&%kz6MvW)VYnAG!2U_tt?w%x5xVac?V%g(b;xRY; zQ#nETm;l4c(>H}E6V)yU3fVF64#Cbk5^)?MG-5FRt$gC^Vadauqgg!(mSE<9iCYzp zczTb(_+3z5AueTQ8WQps%DFxayjpp;Y>>d%lTuczk{|Rb9qprBI$IiWE10vaRr!n_ z5ou<$4K#mdx*wb`AYEq~CcX}Dq2z)js7g<_0`*xe-_Mv}iE;=qjz2o)V6wr<$LXcj>+#_IRP>K|$lK2c$1 zjcZ@7zUb!HeB<5^+bQ#2u*D({4@58K$gPfTTh#0=yyq_=YZ%XSnpUzmH#+s3l*vi2 zi(FmrkOdOM@E8}-!@gTg#K450A_j3)_ZzekXX08-X^85@uZG?g%-4QKeT(o3zFy5Z zFWQxE`;2AA-Mb1+UYB-BFu9mYLjGLWshdl(q%6V!zWCA$8}qrMBDJU=IP}TAUp64; z`5pTjQ1EsIFuEwFEBbi3E`3~m=>5n`mhwqhY3^Hzn@mu)6I?fEw9!RRl2!5MxW3Jc z^QqVsFFT%8iq2^HEqwhtf1WRF{>vf>G=Mb=4U0B8r%b?nxAlcD8A;?oq%O6j%Clq5LQKA_jAxG2<-1&Z9s}inTlQfv zYx;?Yj~tYjIq{R#X%#0uBC&au+3b@M+v}LBuV+Tjew04UP#s@JBtPGEP@`weysbgV zKu9%@CEssGoaD||+@%w_B#cFN3Gy;74R42hc(+bN$%7lM-a#3zR}?=U#9eKzu*>5% zS>3##*BrZ0k2bF^SmEg<)z}p@tc@K{N!pn!vtT%Bl!+Zf5=-gB-Yta^TT_~aaz=A2 zg+8KsvIv0PZY6~B>_v@t{3r7^GF`c&kx+L=d!`vfUQ?xoe=&Q zCCS1yADrtrAV6mDA)DSB6sw1Vg zD38WuL9B<`g|A;(cMrnSRR_0hZqn;Ezt)3CW;pJrLIO|JwjV(lvi|MF8PU*h(9ql8 zpgQvFS#6}qgOO#95WG^{g?3xV+v!4-26T*d%vM)RCWI#z87CtrWpURTC-BPi#;A*C zRPBny_ON4eN!gjVDh7{>vBH93pf~MfY;k>$Lz}-O+3{hk!N=wq6Ar5T3rgw9r)q_= zn%ke(c2&3dZwWk`kC367JXR}|Ag6$q6dCv^HB`Zc9w*OHWbMvQZ4@th9meb^xENjxJx13Pj@3ey!8nhoXHr;^V+H$!hR+k*&QJ3!QN4bmNCAmr>{n1%K#mVDN2*xWDuwJr2*oo3)SsPW&ug)sGl76jH=YL zI+eH6&_|L&f%*Q;!E-Gvsa5F5Lak(t(U+R5PAABiG1+_i^O@f(HXY8@uGR(fU!GM^ zZ7_j+B$%gI=D#lf5Lrd&3hpX5q$IxfV*B*TV-?-7Lq0TUW9XM*{}qMmhw@I#}K^{EMAWO5~Tod0Oa!f-6WXQ7563GV_qlpxk?zpp1 z;%q{|ok#IrmBk4mh(mAR{?=wDla8Z%?9~-SP#W>A`LJCLy<=r%priRS!{={<`v0oG zomzwdj?1XFj1yX5TdPmU0~8G_0_W6NB0wvuK<;SH^PglLfAxS0+k#CY@e@X$W+hMg z;*oF=-67M*$$!FV^aS6jl~iuk7@$lmp91Qyd32#$Me%2UEWNe$tDqYDD~Z_`ggXCQ z%tUwpmkhU#D@=A;Z>-E^PC-C&;NU(YU8dW;XG%avq;`pn@Wmd=f*`ZAIOCj78&vgRbL)1fh{cu^y+rjWpZ?13EDuSmHk~7TvhX z{RH4)$J35Khz$#?nmr3q?5^tv=!O2EHOgO4Vvh1jsfaEt%uV_kO{D{LwAnzJx51zr z>Mo}A`?B@R1O@_QzJ#i8>QuUAQ5>MspGgL0uVUgjhQ%tsOhk7g2ov85R@P8&E@Ykm z20cG=!WL0|QJrAT(;M~q4H|qU*O|<)7b+@VIX+i{e$8yUfKS-hM>zOIo>UNzf=uBC z&4&TD^v%BprHU(!?Nplxk;*;)07En5J-DH%1%9gmJZWqf0R0583{I^IgHBr>w~V7F zn-}0`3{jIp;olMrlxI2(WER}7)v^F6So599{~RFf?*YFcCScXCCU}Tnx79)}Sa=Gv zLjU0~4^O~Z(h|{eLV*5gype8oNS3Wnp>O5wr|)JRgSChhdadWT-1hjJ*Qnxc9+jz& z<(DQ!vXX1wQPs97>fZHP!0VUxUYQGzx3J*uYl|KjaM1aHN5xD5pd`3ek2UGeZlMff zeP5~YK+B?Y8dW-oOS0)K@A~QU-vpqHP6B`)D49_BaOvIq{ig!!hjfT6T z@m`UCPGAYmhDCpfeXqBE9;pnuIh$GkbpcU!zT;<<;_!Q$z8w_*|`M%3z>NTSswVW z$kqPaQWVqAH!ea*Y!Sk9Hii%5AVUb>Bk<}RJ&1tgxrzjihkawO22Vo$nesd7sd21Y z2`c?L#n7rI34BiX`&+%2ZI9zTnR??In#DppYH1_j%k65D?9O`aOBlHx|HQ)Q`>nUn zh`S`&(@3YWY+l;e7U^!ylz!+cLV>D_G{{7dHz>PCAg?j&O^-K7Ze?;1F-}(&-)rEL&h^lE#R-*+5ug6=fs}6;$(06#f zE5cXUtZ10hoROL#rN@G{Z%AHztBurqp-^mAd-JDdkMkiM#1ZY>N02p9467OWG>U@E zpymuVFQ86wnbDrH{T~iuBJr#;ypR&61~o>{;=KHrynoJ9%c@4`jk2L?FJPz5Er)sI zHR^J&bYWr_Q)um_@H@QkeH}DQj7tf78j_j5b-I~@R-1eTV z@Qqg2h2_ec68MmxuB72J3&+o|JK4wF{6u?DWJNDOXO0m=oCd-_r9U?uEHbt;Z=I3=2TZU9Oa2`StzIo+VeB)43KMKgV*A6w7>CoF;YlIk`YY)?BRZj-BNg|a~H zg2M%fJ0@ab&c$}rIO3#nxz671LCwBB5;mr!|Lb}pM7o^tRHs86}IIc&M-n%he|o;cp(Rfn{K00AG%< zebK9MuHjc>8pCt95i4bj*1q4!Yf>Px0yTJt&!u{9Jr%a%W2 ze5SS@B_&$k(-t8P#XGVuW1Ut$Y{n|zNP`39jqZw-<0aEOUh%(jkQP5fHQrFJedGRl zy|I!vUt)SgPuI%;GO%@#ZIv*LsnV^qHz}TQYpWZ4HKg3FU$9E!Gkqsf5_b?D_M}?Sr7BRmB^1!$d-~&7 z*UOKrG~@1Cdo7XeKsxex;2#C}042WKAC&kb042WWbt-Hdpu}H>V8asNC$wYmqZRxA zfD-?&%6@8!AP32ejtnwmL0=AZ1Ifqm2%#4h_`Tfal1*H3{;HX8oty`)7!Q|3SH0yb zrS%+~GlVa-dZePhjj(khTHNhMsC&qK4MSIp-goN4CcdTkzAHQ3b(kJ}8a&5mgbpZ{4kDax0tQZaO zu@Cq0W$9->kJX@hg3A_n%wEDn_+sEG7ogSQ&l7c4e!9}`E(i@GJ-z*~I?H%9C)n~n zQ+I-ClbH-gtEHmA!;h4u34E18mE*5s_pNrG^+V6|XZPe>^4t3^yUa?wMmy@qUxiK9 zmP{);&j#Jn;Zn*mg#B>@-@YcA(v|k(+Q-InE0=C+>?jnLteVGq<0R)g7tiH=bcMbB zMHMRQ4=#DbtQxRjV2_K;gla}^qw)CtGTR}>}L5a6fIAK=bl@7r_mks}wp3-g^BAeU5SD8I?Tvz>FiaHl;egsm79^|eJdQD6Z(lj(Yyj(zEMuiE6 zY3_7dSXqBOZ#?A{k{}27s#$X|h^lRd%hkN4^(@ z^E)`Eu9{6{Oyrzvo7aH%7R;Gu#(hGC)cZM_OMDZHq@+-dwscl?&2>S@Il%^l)CZ*+ z1mKl|8^RZ>&vcqpgUV zAswahjn^F6**sYdkIdghx2;lctEcOumtvu-Dqkbi8XNI-UH}7unKvq2_%yud?2W1^ zb`l*`maY&2?NzTz9>YwyBJDW03mAOK2w$W=(zIjiN^LE@8XNh?`+qIsWAnn%u zMw5t3`=z&c>$zC3C@;zSOZkm5CTxm*+io|yAa-8Rncy;B;Kq4?P(CO&`|Ld)ql}H$ zYIgV_hH?Jx%M)K=)y4I+gFXQOG&ZMX7`KqCUaX;#%*aPI*~6vnpOgb&FG?WTRSM=c_JH^>&sxv4g2;pCG&~~ z?11ON)^H>s2{Z^L7;Jp43^07(az!Mq0_Cdt?$hzZtKWwCY2P`Bi3x}~dv%d>UT@EY zy(O21KH%9BeOxa@8=OBA6n5xdbZbYF*N6^&=UGG7@lPICY*YuVn@dlY~FR{F5I*PQ5S(KUXC zn4I{)e2d*2N_be(iZR}#hWMd(jqRYrR4C&rjozaI8vOHfB^>FKQn{t;44KvtA03%V z?CEaRQycaSy2^^(cV_Q}fpJd3mmU|RNA|ZoD!2H?XKluMK82Dw4AL93V;JK_1d(*@(2um)qMUAyJmM42X~zBexODRaLe{A3RR{C5q>2c``X-G zQ}GGx?TlY-9!M(k0f!^Azf{My*-T}k`lo9c9kocxjMnhaP9Blb$As2#tk7Y>gCm(C z#U-@lbhmhNdQ}Nh-jf_@UQ-e3dYjFm$1UNO5{@MaczZmZ+pnlEbxY2DVEEd!@v7@e zEk-gPQa@*~U}QAyec{DWS?)@bBNP)Y-}fM)o+${%JXWLT1FNc?|PXL(R*Y$rAo&7IBWfwi@a8+}&dgH+I`N0WKgG}!} z3tafju<)NilK#t?>u;2nq^h&RLlFA!~H!8MdS8QUo=c& zahFQeUL+1TH;wa^a>QAi97wBvcL3qCrGvU%fM7uA+=q{ir%U%DJr{P1yz%bK1&x@V z?8-v!!u&;ikOL=fVJY2{mgez1jB-Zy7N6HCnpe4>oh#tYgPWbw_%HO2j8j)~-Q0n2 z%C@o&acCJZ9Fcwa23DFxJ)2j-Ab(t{d`-c0ks@sbs8k7D_cT7L%*lTE5_z(5<;568 zrmg*uwz392dgQ)As~hi|=@Cg>Piwn?cIC>KWZ|LTB4&LryJg08#_pXw6l|QRh=GUj z+eynU^K?4%g74PWsf@F zH^|H+w3eZEOZ8OOOLS`cKy8!(Qx*tosQT4VHCmEvYd57fdb`1fk-NF_Qa8=<+eX3| zUuW*$j@Mpr}mbd#`msfoISVUG_%Z6s+S6_I5Jg>$-) z7XV>kmOB>nK>P*~K&~Q~_TK~Ggqh_9EDiibWOF)OQ)PHy3aK{Yy)eP`)>;dulp9|h ztED@2Ie&3Ht&?X))~h~|btdR&BQmqnnwBeUsCaK$2RghMa{bFeHqB-s^q$exQ|0E? zA2mi-IOv<#!;y0HijUva|y#6+F?>A_1 z@HeQU@M4nDUDnsg-s+@vFk4{zvrKluNYUr?u}jq{!=u{pk$s)dNWa!)@Ak}=csstu zOJ1Uz=RMPA1FOR8G`JFrdf@%*4t1&a2A$>(l|%w2F`Y!I&U$`S)v!}<3Gmwg@+UCR zD^jZ2)0##okLvf{F$`i6*+OEQnPzm2T6Oi$0vX!Fl*_WT18FtzXIZI9&LLLQjfBC($s5ljF(O=|D z?py>))>*%Lv91R$LPBi)YPZbQb4`uU1hfK6>=k>eiWA~hC0s*FZ>&as^{HkIAZX?V z5fI*}Ydw1c-+G6!zb0wDr2X@~Y+y!AhqLyih`uOCVrO#A#6`kaM`vQCfJUm9?8_uR z%)Qj@)JNa)g36ApkN9Bdh?88XtFyZ=em;$}LmljW#+TB&uB*2I9os*x{P7^Vyg0oh@_P+ip_qrJmMpcY%N0U*-;{Y?MgrCBCA00$aSsy43r zqiF&(Zu027KwCgT_aBz4|JGHm`RH6n0Vy@U$bb#KSKzBy-Hq`EM>r1QgK()3n# zVgEKjQVgqdE@C3KBy04^wM2N}vqOK&33Uc^OI(@lljEexhs7A6E98_x={F`aC#J${ zxA!{uMUd^6k~==%L>{*2jL1DQzO>p_#}K5*g(brz?W<>?4y7iccRejxxvDdk6y(_) z^l{S3>^y=ynNWHKj#r3M=%pFux$b>GE&AK;lmR0WBbii5f43e@<7q!<-@H7DZB@<% zO!ai_}(1^WlKF(G?LTQ~7`#j#>TmbXbjHnEsFKz` z6!LZIW47_hnw;V?yZJyqEBQ&74+jfGXzxX1bL5_mniBW8a!iXvq&$cdB!>BpdK>oLP`?H0xRe8JF!_V)%kZn{1 z^M;OB6bn{o6Ddy2U$G%{XQZHGmqbh_p=Tpq{S5K5&a+6RTJa!hRQ@xGL90D`=YtFK zuKk9DIJOqjdjEy?6vHJ39_%)Jc%2i=c3$$RpfJgL+6%D z9+!UmD;sDVnw2ejck5kA)$YQU=doFEepVVJ&}hjQg`Ih-*fd%F>3CaTgj7LY)u=IS zq`HrA2IVMq^Ifc;&dVC@^jXX7@oL+g{k;z^n|!-<0IqjvV1X6iQ}c_r&yIvlH_%G_ zOUGiONvSwjz&76~vom&C_7%me%P`ji72B&uRH>DzZJ6#4lHJUz(DJ^GqW_e=;LpbT@8cOgbfUO;?KGA>eO?+MSkRxg|A^e5|8Nz25_Ohha}kw> zxK_9?>-ODhcV95|@QAABFD-Y3%2)wERA(B?yIypQ%6wgN+WxY*d65|WdTg(h|8+9W zo-1)mqCtFoeRSaB(YcNzTBn7%+uKnfc%{55eMi|3;gn_0r62!=!0vrqxE=GI(7)J< zGa8}A+s>zBBNsn5bRKO}U>L_+QUMxmoW`;yqf)4odalVPQX}PYgFT|>9{Ae}og4XP z?}apWv2Ux6xLh-cH>^5!X&KN%JA9lqO)P%j2gdH{x%c&mJJpR4QUQkLPd@O^zZMjo zZxom0w3(Z}b?x_VdYjq~V!2u`Qdy1AoAz#=0{|q%*4vpIV#!W@79O9I9zOmaE66)E zB3;2=V=LT=R6gO0Ljk2C8_A$el5`mM+BW>XSipx~n%)A@JW~^>v$j+7-UOy-Fugc; zXvp0g?Es*Yg(?1#L-^l3_b(xE`G;Cuh5v+*?O&>p$aBBvqWFT`|M<#u=z({doMv5P zhy`A@v||;hjlwZn*q=vkM^@rD=xcB%{EQ_7K>Y$KN>)9j{A}eqh=~-4a)aR4&$IvU zUQ?>q4q>lZF>*PFElmZ-Q!KsmO)D;|cA?9ORhHNq?m7Vf{R8sIl9PG#F!-J70pkTe zvvY>+)>|%IwRj=FESP=E9<#fT$OLOR;mo}>F8k>N1d~t60g}1>r*gkR>@QE4=;1#) zms+tDBLKedGa$Y3|Jp^m!TzEK$9wo2w9U)U5+#E&?A^J$ZYp}R>axhQtpJ}N1e5=N zyUTzc;BS!Y{-se1YG|Z;pR&lSz~l2HYPLK6TqQ26EMYsR;U0 zy~oQtFK-~Xb2U}c%Lq=CNEE&s<< z0QTt5%P~G=chmvdOKtJ29Cr^ux-9-wVIz#BKQRwuS#o zpQoV)e1Qj`9=J$YGvib4?aRXP-H{eO@K?%(Gq zfJ^$9xR?Jv5|Fs@XB7ZWys8Isg5UfbG)n$Q9(GR-Knx9Dw;@_DShfo@$r;XSFyvbM z8QlVSo3+V)jox|cRCC>HwP}`BqI|Y|nJT9ka| zw35K(_z*XwbvAv+BdUvHGa0|4e!|68sc906nECayMfj~|F^UMc{5b%!1&J>sLM$=L z{#aty%=zsGhV=SnTQz}dqXC#5TWz#z<3xdkF0}>ebw7{cG!v=l71_Y@X$PD_TFvp$hf;JcSm+2n%n&J$q(dxoJ_ubCAq@W-3p*oUmmV6u{B3-q;nvuA?W)+ z;*J0P)@J3?QCwCoJWRBrKvx<4hS%Q58UfNX%~uuEHFGvdHDbV0FIk~}aVfWv|PQ9JGO8x%E-R7*)QQ1Tz`dmp`(>$EcIkQ4AD((47` z`1QRh_!9Gv5?8sMIko49r|>MB7oOsj=(nws<|`6?>(TNB$0ZPrA3JOPyz^ z)aaOudBgxsf<969Ycv$&v7CG>0w*D1U#%vR$|!$O~rXas`9RMZybUCU%||`A0u_&v%EiR?3TkjM%nV|9@)T=3f&xy)oSrv zvS!4m(T@i92S>bMh$sjkihEy{-N**lWO60=QhbNjG=n73?mg;WbT9S!3-bcAwtkvl zh->n>lr*azo@_8)I&skM%_GZzFYOd%=@pa*=Wj3(O}KSBkl;|cB_AK-ccg7l5F^0U zy|u9Pgof$9p8zrBudp6b&dYD=s4wq-h|9BH?&Q(~qcq>wOgahSD-82Jp>tXBONjj(B zMG}zdQz9F06*(5@1E{Z`v-7B@-kz;HQwOR=Y?lO(w{Z!`#}XTolZAhzSek$MsrJ?r z{7S%25&hw({se9PBklPIWyXJW4iN04*nDA&B*@D#l`eqg8xBHwo;`5|QxtHkuu5>y z5L9z!l0fimsCOM%4up=Rg83I4=y(2;MTtIog{R8L-;0AEJ=C-5JPtos4WL3yQ2 zbPRgWFruTC69W7&gnrIj>N|tPR%va%U**}pt{3!+Za91FsnE&=yAlgJ`y^v4y5EpC z;IaiyRImx+DCEEGN`K_Ns7JXkr#oJ3f6cBx540rbyO$$Wuh6TXdBf~4TNkLLcYvl8 zbum0xPq$W94l%vQr(XMEIXF#Pf^E@ISnQMz{(g4lJCl0z#*LRtmwR+rtFvG;=N0n% zP%%Tz6Ze^tqcru*aL?Kqp8`BrV@xD?*x9He!gi@Ov`Gr;xhys3-f=P6dt&G6Nwo{J z3$1#l`y$(`Wh~by+Clztx8<2xmlsp;wUm$U_575}obbr?z(EVjCHg!Crh#t!G%O?> znMCE@Ejbl|xzp1^@uNxHo2-a2u0XkT!Nj07iL{}kl=amEY-7^TH}V@fsqUZ+tU5+q z?Z>gf(V0jF#kR0vL+;9^r1NaAwQA7SN;pU%T7^b}HFWh_z(+k%eN-B^(Bp-TyBqCr ziQNI%%!ch!v3#v$e>TLt57~65qDP6$u~ZstD-a@Wos5Vm@gcQ+Qvkky;`-U`$K3o| z5%#sDPT{I>Gwb2Imi43r9wn_-3jqlC+c~q;)sY*op#n;^EcP(!mDK!n zqpzj96=BWK_k|rR$#kOOM8tU9;qwf`#)l!4uR&nQ;+N874^?8(J}MYg<80oSFNh#0 z*^eAbmPLQT&|lXS$e$i5-4GfP6wJ-nY2*c>s#-F9ICq`YUc}DnJ;@E#aI*I=2=#J2 z;HGEsStySH1B_+!SN(;;*`J$8sty$On>U{i*^mZ53;G#wq+LqNNNs4RgRn62k4;Yt zWGigpwh!l%@}GysUGL<_(kQ&Ae!tcJo`!}n*9t3+84mpFq4|7&A@o>^fzV_WmQ`L~ zJ7J|S?rB2-rg6f}#>b=FeqPmBef9xk`*f=M=}F0*&U@3UqIcyQ(to*XbJ1Ks$}a}f zn*+KxvcVW!)hRz(@Jg7G_v)BgOY#8>o%+CXnrboHQPRIHYxhJHsy3g_q&)b0$hNt0NDWCL?kiHJQWJ1(R+@ z5M2(#8vqL9ES@wBVfc{=*@l6!am{ey0E5UjoA~|bL~XK$=7A#^dVyv;h|xoIe0T8> zcds<;4t0+8ECIEYfeN=LK)Q@JW=vU%aBhE8>7jfnr#%klpVG@`Zm{G9KUgE6KY&lM zy!GVw%p|5==q4rXKq|$1t^_zP^&bm}bV42PXfjil6FPpB36bQp(*SX^4At=Z9?*sZwDu1H(a zWRk0vr9@UO<5iltHW?L=f3nZNO7mf~%RV51yhE*p`KHvKGb7T%(KJK8ILpHM0>L#; zvpx;y^I3@bYc^Q^bhBbWyloW=;p~%_Mn-4UMF!IpPEGW&9*j{9AgDW?6-b| zxqh6s5WjcNVW>pSiWNzh5OFtq*sI=2UOIf8jds(=#+Bggei?(clIW|Y#sD|g%PjlK zTCBI-kmqz)uFh4&yS5jECt|T441=g2c&7ZAgzRO_NHIn#inBfN7$1c>)WP$%ra024 zwOVE7aU}{1&t<#~T(r^}nfS=0bETO>W4tNA_I0^$@=b7H4jhf&f4K&C6YgWpbz?dN z+~p}d9DU4026Va_eSm66o$_^T4ake&1K^Y1{RTmSm{+U(l4>UbviZZ))bG3B3BSfh ziX4w)DkG0>;pRnrWcEqM&LzsWwd(oQ`1$SPbnT*u(OU9>PF8MDnj-Cgfo}VMAd&Fz zBp3b#kAU+}2Ca1d$!x*Db^wcz2V-^(whC|EA@&AHlNcL-NPrjK&x=XC`d|+~dOEH1 z;nJwHp6!w?jVMnBscqx^9(nq#GL0ep;j=wX*;&MSk8DuOI)1P z4+#=0>`jBqQQav_H?U9lzeVNG%fr5zC^|Y9s+=6CV3f;?@l^v(b9C`QnghZgrbk7t zywxW})iu>?>PdaSRq{>nhDR`B>NUR;XomxAgoNp&Alnk?E z1$&wOVGLh4(1Rq zd!b5AIjKn2sRyHF&HTN76?QJc$=j_@%B>Bx-O8Bbm()}`go9?O^QqY$5z06Z0VeUr zH3!P$v|Y$_%RQl>M4uo|J5nN@vm`zzaZoBH+!LA_B+)BTGD3#c+Sdyaof!HysZn&N*77spf-0Uxp_)Zb)-qYfxM-X%ovjgEnpce zNvl+#zDUco%ET6B?EBTgVow#5|;VEZbZZvA+vV1RNrZeV(HpLInEw63~ z)R*jazBTYQSONEjkPI|tBUxK(evmQgZ7hWQ;dn^{u0wv~3l+yhP!q`cL$|ZLWm=qi^_Y1}>yyx@jeWPNc$MVoETk#-kU?j8i-DNO`lZz*4)Dey9iFp=q>(f^q#btZHl3(K8n|eWG zo}fU48+q3!kGG3+*Ea5qYdOuiTbGe5rK^BMJVEk!IKB4AVo8Hi%=OMATt8~WcdzyG zHW0(d7WyA+&j7iP}xc2oIgb)Tc3$MQe=u39kbFwylS`~NR-r_0-m#AQ#g*<4>&0-6r z6N_kdr`bzBh7fwJbealBy6q2F!16r_Z)aJUJZ62UPteZ1xI$P1GM;pyGf^}7aSR@l ztKJk+<0W77U+ll5)F%ESN3d^0OAg$koO)?O?7L# zXb=>Uj?$~rRGRb-qS8b_>Ai^5Nbem%K#-1rO7As9N(jC8-jUurfrJu5^)Ao3W$*9X z|JmRE_xxb{s&*qd9%+RO-># z-DGb<8~aDm3({6m99?r>j;!MWCd6MJiZcB{HvpHT(7#6=d3yE1Rr-q26xhJlCS4{- z6Z`+9R0npnX!42Iw+x3uUG$4T*X&pNidi2#IOKj8H$j~reG#S5$5XbF+c@F^c{Ve- z0B~5#YvC~0#Dzy0@;ZicH2-av65!v=qRk@>an8 z2?_FLL!)bbgm#-A>&agA5jXey2Z{2{AoFjEA|T=n^5Q(}DlyHXS!*|~Nv+-|W%})9 z8Rs`a+A*raQJ0!1vo0E;pw(!M{P8^To|K04^b^DVql|?`ev{~Lific&v*dNTJJ;O6 zLtSY|*{O~8LVqja*Pq!L*88mcwno!(n$t8qrZ@klcTns?fOuajv10A`>bRXt9UkoV zl#QXHUF75Fr)%$sJ41(ELdQx4PDe{u#bJ=AC%#ajNP%44jy6O9yy1 zZ-C@@3i1BHpy1G9ly85_fJq#;&O}=j<-Gz)3gYx(bT{|6K-Ugt6DLb29zFU&#jixI z!iOy%l@lU@`vW)A*h)+;)7;+DKUc?4Pakn;@_nBE!R)D9Ar)0~rbx)xpr z3*T!0L7g3@*>9tWS51JxiNjS0XPJ6WPeYD3$C?rO}T=B(%M_PX=gTSC`jUA12;sQg0N#lkxDD z@bj+KK`ZS1^tKtUj){r!bGcNmfpIzfFr#VejflWIqRgB*WKTRc5{~KVr&P2@E73G= zi)GmFL-*?BD0^4enn8rs9Zn2bsHk6{P~46*p7AVLd2Myt3boy{7Z0kezdo(UN{_eW zPZZq;JGc0WBZne05{>b9(5EXrC!aFoUQjbp$YBMDNW>0+Tq?EG**pD1Aw;Qg8(0~u?3){eQ6792C@9_E{x z3^{3_QfFn<_#gm@C=<_NQ~=}f$| zzh1gwqDwr00J(%rOLek$Ir)sxOF<&wup{aldkee*p|7#cR|6)$exvW3IlsZvPsAU*W^zvYl%5A|8;ypd(|MU;AwaYq`QUX2~LevTcU2G*k%6nk5&i-spYy(ea}( zZ!Ad)(HaxWDNB5hAk@eVS=TA{3ls*B%u}U}D`?)+=>7CfY)-HYHjk2LlQ$}Dvyf9T zFmY$E8LNMuyCb#_&DJ&a{GJ%Uc2&j56`HV7=yCn_$@FX0UKR0`0KR$vm&?rfL3&S+ z{W=-?doAxYKIFyRy>3(U->yBZE&8XJ!@tEJ{%2nQ7r^uX=MhaO`U4mMD12V({Eo(} zJQp{B*tHc}S`;8ryz&Tp71}D1;@=Gz{U~acH;Rh0H33D3+ee0k`g?rz&U^m5(&gAb zo7c6L?n#SR796AXW=o62n887OfkWbl^Q7DNqU;Dx-6i=rDfF7aM{<}a?noIqP*5XB5bem4L`y{%m?dXI1?C=VD@JnOI-J0!BcnqN1E!3BFs3GNkO#QpV5g; z@F~I1^ngJtsm}l!-x{^(P#+D1Um5+G%<1QHdD z&%b0q-byLrtmn797ba%F(vm(9CF`)G^=vi?hX@Os&W!H$E%tU_Jw|LF`5!3Ro_FPq zBX)cFk{W%Wix*7MY7$G*JTJS(1-zpPeQqPGidmfet+%u(YS)KEeTUd~OU^Ijm1ECb z$akcKkaj_X*KEsh*WCbhy1fb__R5Fs}+IBJVqOIH}cKE z*z1h@-JF@9ztpU#Kn87pu(S1FW`uvyFYTAmZ?m^lPv}1Dq22*+LGNIkUjpBieKy8# zSkHQxg12phf2o2(nx9OSn$efoXBItp zO2-{!l8p`>VQx&mkXspRE+cj4l+ssZssRYLe#<8MQL~>Q{voTqn0b`l?Q0$K<3b#> zV1mhh-Lf4AKJS$V>}yg4&ovnoqbLQ?AMQfS{4KAt`|VF?F9(vH;RntsmW%hS7Z^s5 z5$@WP?;$=7N?M10v%+7y1`faE_&*Xcc*MMpEqU~mx={>?_yzi8Ypbq0X*t$TW*;~A z?ztNuQO_On7$V|%2T}1LB96OldRdrPx>nz#g8*1}_IJ%d>PnC6vA2i(Cg!o4V=Ra7 zWa+L}CmZenHc`YRKW(C+JGPX~Jj6R?u<=}1Jo`QJ{g9(D9Rc$X_VqHNo8R}O?&&Y+ z1NBK?_;P3RQsjcxVwr*d0J^Cub>U^HkYnWZ#U}l3Q&zy8Lui2U(Hns9;XK}iPW6JA zTQM;F-Ztc3#e#ot8>R*Ep$q)LwjuK1=x)T4!xLQ7rwo9C%`xVh|MG!Y-P5WNTSaG4 zET6V}Z+VBP7t|Rr5aWB@>Z^+%v|lOcxtEP=t3Qg|wXb5Pw!<9V_>it{82zc9IRg`S z-Fjp&mer8f!XC9aU@=q7_x{U0T(I4n=&y8c9nY5zurc74*ugaF5k%!Lki>@etBNL; zr3$j2)FRZ)h^KV+U5jV=jA+sArVF(Ot#a5e5V5r%)Ahjh6b#_*x$b!dLl3AWYE}ok z&J+guJVbG;i;M)2{S0iOa*C}7W3EcJD(sxi*{v7V*g>&14jyfH$FZN%lmDSx_B-e; zO9R%Bw*Y1k1uzp{u>J$g)*mrkfAij{k@RXR+{ck1odsIh=JaWf|HY(GcRAL)Qpe+v ztygwpgg>4XkxWte3W!IJ(kB%qtkz z^mg?8NvZpC{7>Qbhd7Y?$WFVaWuhbLiFd;1a*I;{2|3TZ%qW$oZ4qCwc$X$0t=3gw zgC4NvO>fG?s0pwOLxr{etw7 zj)4lmBnO-@o6fiwDW&&Ge}Qr!YCf7^V-kgU3tV&MJJ%Jx*<3e?BY@kkZ8dFa!6wzm!gh5ySo5 zCMH>$M*pm?GpBpWgEW(WIldOERyUrNxZ@o*$wJK5 z>8cUIJ)9l$l!e$>8hH$5Z-xe{3PiMfbWXeT4uWcGK)xW5?@jM2II^upZ}Sroycpxw zd7y3@nkdVn*i7v*I4J^p7ii@95d{^8Q( zwkMJ=r!*4Yjnz*>-X3OJKIx{lv3pM%kh2!2%~p3n;vXt|wJrHp; z86aWSMqtN%$&TFakC>tSRJvaMY@W;3nXATZUh(@PL-l4RgfVQUzL5w;9ECu<3KI@< zv9kDqADwpUK261wZMr0tVmaBM*Vp35SdL0K4M(`37@E9E0==PvgU496geYdDC-RxF zyJ~t?>~CWH+s}c5?Cy7u+WJw}qrDuy?lkp%twHhtyEh&t@(dfl^O?>_?;OTp8ix21 z{Vg$92$0r3^LDAxvDCNy;S)z+qT~5Fe)tD-tezfYh^w#o%0{r`it(o&hz)9b?#mBl zB5}9GxnROZg`PW^msPa23C%m;2^fS~bxL5`<;tRW;k?tpK5*s9)=yD7hNS?Rl5|`T z$~GecrC?-dtbKlR&^JS2{w9_H-7t~gD@g`1EymK!M%pY@@p_2jtP-MTO*E3^YTa6P zilxmcW7L8ymrq`f{>b`mMv+mz!op3I^VOp`Rc9@MAzUjx%OK;{L?J1y0OWw(WTQ*u z*LBW!w0#tt-p(lzsPM^AmkUGYmlb0!nEGT65w(TerxepP4>bXer2t$O;9mR!wKEU` zUGHV4B%L@~RZ9J{T>&vS2z%oDA3!3Qy+jp84YHH96OBQA_DcVR`>Fm>iSDe&V?dRu zr2U35@yGJ z-wYY`M$ECGmnMgr6=LgD3GuQeINq>veWMTj*2V=AFhk9ISU}Wjtwu^; z;WYx)$rb~~OJ&CY1&`C5fZ+qHLc=%=&j(l)j8;5_5~pb%S%`q*1Bij@x#_Nica0IZU1Eh560_{i-Z7wp_KTV zEergW9TT5nXniEh3cC*WWLClsOnMlvN2L?n77VD+9YqVGPNUpUQY}?R%wWE1`yA%A zwc)*>&2JX5fmsjf;uJ4IEK4_@-r~9Bi2hx(Nd{^*RO4hbFQ%i)Z96D}R-Y)C7r$EjuOLZs10npsN2?*Bw?Nt6}ubtr1 zTZ{LO517}lhez!E#uoc8B#@qxUbYt+SG=j0oA^;hHHP3T#ue${{k${Vjq;|kRlAzh zf%@LByZvqzRbD<{2VVyLy}Ir#t-9p_;&ujkG&(YG$4~Bn15s5doK#0!wU0~PJSeuL zrTxdRW=c~QC*uZ* zs>x6>=f5$W(2Rc#`>Y8cGcqumd(PGV1|corSZT8<(%h0Lh1WCR^*n%xqt%ZXDg8-; zBO5G@4DzsBypZdq9b&%_!#%ugCx*MVq*zin59QkYd8NVkcU@BEYlEV-lXHM4Ag$?L z0;0a(A3!LuyDd1@QH%7|Cc^$^SJ3Ipd;24e(@4H8D{29;Zye+d^;T^4Uo8yKk+9&rtf6$F2Y!CcMs z-+H;PMOG@>eF&XVy-;5s>XKyc(xW6>e8#F>JYmVL~ zD=^?Eh_RPLv=#KHE?tYr}J8 z-SsVBv?_q0dNzE}2K58S#AhczDbkYieQF!-*>f2kzAtDf=vO3Vbm- zX^v_9;h5*GM~fcu*Q5tGu8Q|+Z5Jxb?OzXsSsrFC^i|*bS$udb%^z_&=l5k8>)+K; z{x|*~_fN{wf6i|IAHn9ocp@C`3fk^(hO*U>ZBVCa!+a!G6E8+t^B+H?8vma^jM}U# z>5N-`3^j2*IZ1CO)M+a(Zj!zF28wW>q!jO z#Y5rvKq7a}^2b@50UE7ymV|La$dw9Cp}Q}~DiC!S(ZaOJOGq*8@2XV?`^JT;=q_Zh zO%oy0n7Iac?2*sYw)X{5Qy= zTE#cZG8FMA(`wZ^mhUN3kNKWB)9s<)I9KZ;J5Qc6_xUIXsljr0&9B`hEIUPRa_hgG z--DbjBBi3U>X}th(GddE!jW(3jre3dTsd^cW28ewnRL*0Te^v_9G)ScyLnM|7;*z9 z>7S&30I-C#!@dn&xOzwDJ$73811*UPTf-~xaO=j;SL*BelHQVxCtF_CoJZJ^HUdT7 zL)jzO;+N4c0NV7Zq{%-*v;PZ!1K3`f7touAi^As+KCrEpiPVuS*pa?Hys<=$?G6zZ z%exQVzgL;EKTyK{Nmi)os{FD`;YZ?yWye%8b{w~h7j#-N=P9rd2UAs>k6wkb>&xP54Wte*d>fi zC~vK%I(t#peR(44+#}N5%%H_A-m<*NneV<1y~J+saHKE}PU0*wJOcyf7bubF;Iuo} z{a2;13v+LoL<9@&TL(+C!TA|$DW1JfwiA13gj*Z)uiP5^_uBOG{pf}?$ zEcUHnq8a?;nwJK=lW5x`F1-?HK86o7tOU>mE-%eOXz%$5$n`;@E{ zlU>NX5v5Dz#_)2@jqvcCJ=n)O%i~*w5G5Oz?A`t()f(f+D^H%_{q#G$(c;rS_i^o( z;Lfr$ffNshU$qZ9=k38ch8JbLcchG-z8X@`2Ij<%gMUvi9H^B4CnD58h)k%Y%LqRl zpvXU-er{I}H2D72&*i8ydmZc(IUW9d(`?@)zc0mo&Pf|>D-LSuMnjXBaR)iSQ3p#Zsk zw#O4tlJn6fb0qjN>hX%>w6<|UX=o_Fba;AZc3Pjc;R~t$Y@S;O8IER8Pct2nqM+bC z?GB;YI%#p+p80(sS-St5b3%E^4z*z*oFOQgdw8bN_2x0wn-fw!4RWPJcN#!LPcY0Pt1Pgl_e z)jd%&v%(iCdraK3F%7+s6HKZto=Kr1Nv@0(Q+xSze4f{OOD(AO9UjjjFz%o7sk`t# zC#)PRA0PS|C*>_%0Gg{a>6yX*My^Y3#5pRx%!Dkh$OcqFEU!n(zYh&gH}xHn20IwV5zlRSr{6yWdim0LRM)y=BGXhx=z4a?j^;p>Az?aa8r=G z^Fjfa#dour+bbaRjoRVHuKL6Q%vQ;A)}C51lB!mQrj(3@JuW-`C#Abdah;W|&n?GP zRdRN@&oJqsYuwl~H~)4)-im}fMB`1zPz-~y#~WGlqprUH#ypa7x4t>k$<0hKB9h3C zQ{2I{wbk~;F^yV*xr|)$-YPrg0HuWn`$NHpbpl?vfl^E=r&=?r;W$d1!KPK?69M|) z@LEd>?%mFLA8?!1@B?-qXKeZ_XbO9{=yDwOs^Wv#mtrC}bro807_u6~m*vA*8mpuj zCRjWLxx^Ou>HEtmz(1w-kE++Ya~{S-MAQm1Sae+UM~iqg&1qvMhng<$9yihrEr?&G zll%gCRQXPwLA%zx2mxa;LUB>VKCM>o7>6B;i5z_X~I7j^BcF zJfm*1P_MtG5NQF$*7TMFDzL}oO-AGw>N`WW=F7?w#axBslBrGNUsP2?0_dE6NII@zWr2O4Gw1amZYw4J*6Za;4e8+W~Pii%zjJZ#%|S3 z-MmU($Q}-PfK2VI6L6BJ!%EblJtzsECGh(;-wDnjxU-K#&Mb;B*oQ&3PHCvUKZ57E zHGCs89;~#o?IW%)+lP|D+GC8$dJ5{_re(62y>sFNTQrqt3zHnW` zKCBU%y*OSll_vPqF6vuWJ(jR#*-)-O{lT(ufk-c! zX|vO9yZ}G+FdeyXq zmYre)8_=tmG)5&Qj^#50pk(;c@sG1BeD@Pp#MdXC&(I6RF#UI9gkHm{y6O~||; zaNd6(o$O2(b(;!Z)Z9r#&MIzgA0`ApR8C54P0rVHUe|)9#Dlfhk}nCb+;vgJp_jx3 zJ6>7Vs)aHSJeA(FJo#cNdlp3E+~*>dz#i$NE*&c9OUCknt)lj5W(&bODl(x{zJ$IR zwzNjXA-y8ounujFl%s!nfj9-PA5B();4X^rSm*_^H;7=Mq?B3zI*?z;t|K{#@ zg8Wmgw`ncW9`(obj1zc_NV3L+o#1=bP8sEaSY6DL;4}!0OW`wHLs6yPoe}sZbbv15 z$8o{yd{K`4N4v0zj440J;zm>x+6)zol5YF?zVtrDshAF_ES2qaCFd^IAg&B;N1zfT zyR$DU-3Xe{WWg~trr*k7w8~IOzmfWw!xpDlNlamJVRo%MgCWhEME-tbA#~&iEWxU( zR;Y1ffxh4j^4%FT3(6iOX+0F=AL&90DO{3-Kr}={;e(2 z7wZlZX?*=rmCS$fN*n-I6#y*UY;B;}cDFqp;6^sKPQy{N)Z0X2iGsN|8VZ&ME$0SNe6{=zQ zG$(s)h_%8Vgy}Kf_7KC#3`V$vLh3QHp{mBJe71$jlvA6d3^9+VOWLxf`6cLh00f|) z|1^B*<%fX2;noWj`xVfSX*zpcY1$hXKSZik^h3KDkBf)-@F$QLge6>!Wq-@6tya`yC7`upWHDK@++ zDdIuHWU1Y#6^9ewL}(EFy8}@WkGa8I#zx2M{I4jxqJWK=eEzEAzCMXs*#W zN54QNA`(}NXe(^^Ul}=E;J3HNM)haFTw{M7ZX3^Xw~ zXCEMNbw}Uufx=2d?L=O}i}LbNtG7BWEqA(=gsI<~1wExr|KsJzU$W9PUENM&`2}h^ zcL&fo>Jsd$-dx%(94mzO-NT903=8MteIGSosjR$w)Oh%jtDw{FeMduEkhzDMUUWOn zv&9auv-QO`VgWxj?VZzej`pQJ3-u#rC#pbRJ%DEM2USCmtH^y8KwVsoIZAemxMmV2E zuXm8QhXr&0oD*u_#B#rNH1wR_7vGrPyz#PR4Wu@Ld+prTCmJM0fYNOG5qZ-1ZtTd3MXJywZ%E;L$5Q+`xBQkIXze?<u!beO^r#axRUmvOyBsXFU*%y3d0!|bryBGEF!))~unNt@P z!wIxthobg9KG$9!nRp2}O^KiGCdf%qFsQJ_tP~UCeV-MbUc8NBiJfoljX*USHn?+Z z<2>0Nn`|UX4p#J5Z0%u(A=VIU3swHyzd+wcU3U6r#`E9BH$eMF*zMUS_Aaa&R;wmY z8u+eTr#ggcJ)+KyA8^!B>%Z-l)1)@A+-Ly>dNQ0$YSVLdmMu4^Dg)qqbs9+I)i6xc z+admit|Bf++e3~)dFs;6ijEF$U0E)z9e{%2mCfn$pvLlE$t0>Fts$)#Zr6z$Etnil zj8VH#FIPxgA?C5^#Z#}9RgbwXiFcrzj&ktd(E!$jaH%K$Jz~JBjflREdYRVu9Q8st zM$vVZxKckSeDwL%H!{y~VvcIMh$#M<+JeW9t%Z#mbGazC19SS4bib;(y*9ZoPi%5( z?mESteo5WSd{>h0-T>$Je-B8{dS8W=^OXBr_`>~&uCDF+Ek!ydn`j1+>7UIfT(68@ zHHC>cpRtUaL)iM4;}W>6l$Vvdv>Zi9dKQ0>l9m&Q@p= za$sb}xxsfVsVHb4hBAEc_2(AryRh00rkb|d~SR9Fw32w7>wd#Xki9KczO`;i%9EAKrp(2Oqi7O6U9? zVZezvFN_mHUO)IW(mYD0n&WQdQVbD)#8d`YY%(cx7C#cK2B=xF-%zRAhCt3;M z{ZlIQ$E2euoxI-De#t&`%8%uv-a`4;(MgKx+i;@2TjVwK!E+e;XAG(G%GMBT_wO%v zs=g?y12Ako5YX6T!6Q2SrGYcPo5XGu$iw1YOi;E*h#C*eA5x>-jc z5uD7;v~0o9Wn!wlVr1i3hgVWOHaYL*ym4uZR};h^L{WPkrC^K8aE zS~Yahg?Efwa;k+UqPd5NM@Wihd;!3fDHc1_x|H#ks3VgLsW^fi#D@%hR#`|wck$1 zMzC)1+5>U3nW)`oK2$GV0kz@dyE@Nqn6CU|R05z#JlN4b3_Du3wCA0!LdsKNN9%$9 z($fiZ9q?+CM^5b5Ir258Ticy-vB*1^ze!J`cb=(7Nm#B9&?pOQprV4ZWR~aUHWq&7 zMvh0j=70O7X$=QOVV4J0qkoz zbXePjgvB=RfOD@$%dRJ``!8(a=%jdbdbwxurl)?@Su%fWUG;o~W%%Yb>n~7ujU`|Q z3jx|ODniHq5*PH3HK+fIS`vOq=OLT+8#`u)-MK1l>r0S*zu#R=U5dL!`W52%9|$rj z%M3^Mr9a@GrMFIi$p4gv0pRZZ%g;%G1@1)C@v7rL@v!=<6xp88hI zG8p^LdFn0-kJ~ue=WhT7OmEFu zzVz0XbE&(V>u-^))7Y|p2Kaa<+2=j3Kd)9L)8FQ8r%#*Sw}|(X$i;b#igG9Oj;{5Z z0O%fxdePzQBb@#|rd8H!)mmqK^xD8lybF79v8i@beC{ngF5if^>r1{ShOYRT2}hQG z$(dDjwl6=}-7S2D!B>6X#g+|e2r~@nfzGu>zjEzb)q>f&@enE6=g(S+b&PY|`6A|< z|BZ|whEkM@nO^dCq3f03M(+uyK;&aX?bp0#17y8roYpBf2Ip>gHXj73SxYfjHH<>- zxgR99|E$QJQxBk_coRPs2pBlJ4(PjXl8g0ymxL}t5^GPv>T)PSW}2@bHyNg;jH>i@ z=ONzT&_)6mnrnb_1u_!zol!_Odfc1M5Ko{*6CG|gL3h}EB$__XPKwaAOhySC(y%vCQdaJ((V4HgKA>@M*hc#L7P(ZAGpssU?+f@`<5lYTdkBO#+QhUW)Zq znmHIUQyI5EqnGPTs7m2s*VaK}RX$$dA?vWs@&fmnBp!~F$hOgalvxLS2L8#u@@IY` z7x(CM`!(!Jge%%%y~i4HZ=Lf63%oB78> znaiH7F7w~- zd#sAE&}nbk`uSXvA*FI5momtshW1*GH#^BVD}vT>R%V{v8V+*u@ex0mC>S|R!Y`b= zQEBPdSw}CX9xy(TcfFT**LLrK&$9^8d0WQ}r;OQ(R&`e0!F{dje75GCi(Pqfde+x= z@v`j?To6a&O08rwe*hb~i*fF_lH8mWDzxYLnzB`WP|0 z^!zp|e0RIP#2}Nc0ulw@F$?MNn&|Lax8!`k-r5SQ(`VR@vldghkm!5IS)GJC$H44mj;z z+%J$Oja0FlJpq`-6UJuxNI_*NL73+mZQ*9hFm47Jh$RNJ7H=#z-DAesCh4@RPFb6n zx?BdZcV_%(M#wDU-Ce_e|6A`_$YhH#ZC!dDUkcRp#SykM)b~yZ zNFFiMnMv-JPF+%F?HZAPZX44VtV*?CPd2sMpscd$emL^uBG<74J7)tZx1*qCoA4(c$dZLUt*gdsa@*+ z@Fi*1)}+?9rL!f(P?dIPG+Kx+o<4TfdyU_`_HL&K)EN`*Uv}xcBu%nEjaQc>U&G>4 z$9?xv{OoBg(~xR1eTT)|B_6V4AzmoSyU^qNuJSC<9JSSw3+apC`I7Fwj;I!1HO!Cn zVK!eS#_P+lNzyLF31PcXt}9Z3&=%h!&gW zHvBlPNzDt9H{*ecyZi1buH>T_b+yn=X-c+9TUN54)_R2X4hhu8LVIWZ&b#Y525$2Q zKwc4A$svi~CPYJ?;a*lzrS#%Rfpe=E&J}>g-U!;&@h9+Ov^FNH{0tG7t7)o@S}_&x z@qSSM?RLREa!uN&Z$1Ed5HkIihgm1Uyt>+`T42Q3dYzuS%dHrC(T3S)n{pJ&xl$Qs z+%(KFeKuKN%dw;P3)I}e+~Hp9)^L%k$yQyFGGy-(cIV64(Be%^Y|B7*qL2n*U4RgJ zC9hsr2d|qv&T0HI;BTF0!>2#HP)23OV&gdERLyd-1Gd?0K7Ubr5G<&mrLTn?ckVE= zwbL}6<$TLW4cNG5nBh2231GE(cq{!tE+Uvcb1{CsQ_5p@myeU;^=4j_$&GPM%la09 za2_#7>m94o=z~wa=wdz8&L_LxaHaFDNH*sYX{y|o8+_7XK;S#}3P>;2x~}gYV3g`- z(-Ay-LwisTr!y(-lIjDO^O`M7^y&WAwu?aHn=J9UNIM ze_oeT{vtnueg79|p1l83ZBzo!b+tjo)_d7L@&ut+4;6khW^3u~Sw?pAr-_hA-;6yQgvdygl&%5s}S}Rh&vR;#W zBLu>t#!ZPIdZi&HiBySSO{NzbG6W=SBQNy=!ujef-Vq2XP^-KSW%>}b{}B5lYX3tP zhNGPUG+xi1IcwW#Fnf&M+_5A2C;OwpMD>g&k5F2=m9Yv_-I7_YmlQx_ewE5K3q7tzw zY98?A#-tTV%L@$~z5Qta2Q1or)|3cabitUo+G{>4!mczT@!?ycc+vL>0E+ zf^EIfa2WM+;0iCNGSyeC1k>my+9#)*5HE;h;{#-960&o(^_n#8K;8;5NlX2{ltArS zZ_9_pl%?1s=fs;KPR@o20Q{Ozdd&bRg8rBUnjHDGfFE@N#u{+1S>L=0f!SY)%>7^L znE&WVq&ELZ>@_s#go}smu?Uz1YF&8jBtW`nn9oDlovDE@PG#Z-gqnqR3Dzj{82~eqr zuCmPq(^D(_lQ!-v&pPo(Y*JI7K+^^E4-i~5oxebRhm8~VbQi*wcI$)@s1!?xPyRiX zT)~$PZi~miK!HB;)EdQ#uTzC4QmPK}x1AZ~k->@Sp7iq_3%P~!FBHQKpRM)3?Y<^$ zW;C$;URR3o>eBVdtvOn${YXkaPzkwLK>V7@4PAt0cvzV%6R%xA4Yu6qj;XrZFxPrq zl1<-!5G5dKR_}W zZhE11hd&0WFzywypena%ZE$7kr5J#g%zdl}WZG`RpBmAFXUCi56)S{}m5b$VX69_! z1%`HqTq~}xf~h(CJv6DYrE;`N$ql9^nfn(O(32Ao0j-_X61(k5SIWuE!G(oGttyDs z9>lv6VJ4abM=g9VuuiJAgXig|^EewmWwJbj6Mo#sy?M)HpQE@$l?V(e>T|XBG!;rM z)O*jb-`&zlMaNgY9E$VKOM{YuvrLuT`kb)oTy~%*Mqz7_Q~Y%m-hLN$)N~Ib#YU}I zRP1%+7kdt)ZL89Qjkqf!uW_)7UBrEJ+TN+!mpyR50hY_-vdpVU0P2p%gI+lEn?kFW zz3-l`@Vi{49`pq=9sx<3MY-$Y3y$7jAlte)wCaQ!l7pZ+6>aW?`_? z@cEk>uC-aYoo{#ebiX2tM5s?5d|RIPgeh_(?B83A!Dyd`czfzR!TNANp^KoNG~FhN z<}i2gnwGox-SL>Wap@Fm+ug@>2g~IX^F%Em`yII+8w-BtLl zl`>yL_{b&pXQ{gY8+F|8$mgC;_I^L@*n~~n(>$G;O=@v8_2N241TG3o2st=#^uUbqcfY@J_RXE|qOtAI;zM2j?4)m zih`lP-Hmv7I0;U-)oVy7X*7fzYUOVk2ra7;_k9A-2;1t}^-k&^H_qmr=wbq+O>DR`7=$%Yf@L5Z&tFY!ya&Q(7hKwLY< zPVTxB7Ed=68R(bkXuay|ts~)9xFP(M+DR#jW5U%9MdJZ)MuKCv@9MKb9_jCjvV^Vs z?_Km`zwd1U;Y6GbrDiPIwO)+RncrK6t3Kb}en}RXARP?hg4Hs7HWEbcz8DQfV4+@a zStmZ&m^j|%TE7sp4_>cw=D6RfQNvQk=*=z)H7`}X#LFk9?^~Hwnpf*nOS@0ruQf$$ zQgic?I3jpQJoVXW<74_7J8USALEY9lPxls3M>6PP{iF1+XnH2tYA zxyn(}VUQCv;KNp#s>VS+)<2`XqEG#RXy}OKV@k!lfTv49rl@(9#S9(_iMJN2@YGAo z_caHbRWA20T1S>wZ{F8TjtaP|W5flAP5C|CrTA|Aaj#ytXnJ}kcY1R3lkRr!>@=On-mLX_?u!Um)>y)d;Scu@m!h=> zl9o@>RZW$y^W5hOleF=<#tlE}WCtg{@Vpjg_$qabb%f5xSG*ALQG|_im1tH*-%}zl z5nA;TvP6)Wo3PxVJS`9K5I2azKus~ZW|`J~O8}m4+QWQ=PZ|7?lam*6R~fE^V!o6w zhVR!PN9U!|v#Yk0xVkmm&q(asM3&5OG`Z}w%Z4w($ZOG5Zb4$wHn2^mpQ){1A4kFo z$TvSN$a?6x(YIy)5Ha?U%h!$f%jzaJCL$|l=2ShsrU;!PG_H}2Dl#&Wb@B!qB{u#< z$C2_YQpu}|n~jDqJMWVL{SU6S<``Vp*|_GHKktXi9K;X-gyLX$px+~Xn~L(5JR3d2 zcD{F}FB1J5RlM)g_H{Yby9l=JB6n$w_fD4ez25~x>t%}c6$=EHt(F;W67o!i7L)rp z`)IKSCm%F`SM1xacvjdVZS!`8h0$fRLz_8OYL69DBZ3vpO)Eo1sg5BH&TTVV4a;Z8 zl178#5N@k>RqW##-U!leW>BCg&W(rI?Ujo-9!;{S(BKpN&-TIB^a{{8AzOM&SlXcw zjv@%>?K5r8d%r+r*lC1@7}=#EB9Ewp71_b2>}eZy|5p8i*}~dj^TVl!ey^gx#LdM9 zH6N2NVC;9k|d%@Yf0Zg>B9@(Ctsc^Q#dlDP;Wm3?#_h=wSboejA#-u_B)fG3tYE-FxaU*=tNVI@(s|*M7+DWj6Nuw)h_qaohf|)CknmvT^rDeybY(@ef%ZIW@Dq$YE zsGb{?OqOHuXH)tBM{G1>s*+Guq25z%_BAYVRO?f~PTYMpjTkux6+S|c$t72a==EKU znCPk^vzTC_<~WJJQ)nhs8o3xMph|M-i^-}*r&|}4U@KWc_E&YydSETKkueHO*b$Lk zlMUW&Ga58RAM&gz>^Www(PersMq>=)1n%IIfChn|QeaP_nziG{G9`F2?%GFarg`|> z@TZY)nvx@(pV7~6+V^#Cazd)fiX5Ii#O|%PHsfjvx)#Rhw+;J7D~v959!@Y@kajYN ztXD^ZmI#>Xv!l~fu#FNfUdXd$BmLE?#@27_pjSFMv7kmbRXiVE>OV3}l;Sq#< zzWJEoP1?sBj&Y8&_ps&w$;+RBRtO-gdlf!=q11CBJad_QR1cZ0Z@>)B&mji+2b_T! z{Rh{{ALq)amz*N7kBtp9CZh|ZO8nC2qDh0=iWE|Vl>IlOUuzJUY&5kuol$ZES(9K6 z0AJ`__#a_P|2f9`|4(@8BjU(&Pn~0WS8Vc%YA|&Vv(0no%3ED(3odRuyeYVE<)qAy z&wEiupNT)!3m0rt60Sq$xM+Is@jt_yu>jo;vL{;VKMR;~w5YxVwMdQh8D+Yk{SuBE z?9cQS1$=6jyO}3l8OdxY6_m)h18e)*}gJFJ$9nXm9H#S$01*{}ALvlJ3#BfY1JDe;Fl0&HP! zM$56s#OhgOg(SXF_r90zjU8KKEO+$my6|-j2 z%W)h(rI%%##Sbi*_=Iukme4(gl}*I6)7!#s+I)&W_S6eI)~MRIZMY&!1STLc(Bs0l z+oN$1m-#G+CECCh0p;<_9qCfbX8lxaH*8SleurN#K>UY z6d&PxAj%sKU)85oUq-lH&bHgjeUo_hHrqov!Yyb!&L%hgBa~ue!d24m;Pn`Uo&^qz zV=>a+KZ_Fep<{6DCLi9_lAiGrybArc?pw5=AdHxYJ0%Q?4Dyebp?~D=EmIq^&Dvau zTbDKvNW#PzdQ;e4a%OFI<@@pqB}IJ&cN}ScfzpB8TZ*TAv;VrkYLNHzN%A=m5^7w~sQIf_p;u&l;Z5b@(1j;Le~fScKRqtWFZn= z=V!Yjoc${8KJS})woY-G;~s%|qH{_9<-ufM-mCe>q6DUgr8}gg5or1GQmN(%}|iPF-IbazQhv*~7&ZeX+d z7w62KJ9FloJ9EyQGk4~{VgTRz_FC)x^8Ma;9;fMA#}$rb=Va?lOFK$jF!ZJV2AOa- zNoK|quC{@9`ZvRDA9|n4;B+%CSQnTnXRs&>Y)X|kY*CNejiivP=kZk5!i6>v3Nldq zJBh}=cgb$R``O1;b#|ITVR=vY)2eby>xWK#$R`+a(a$75J3TkRP1Fq@NC%^|=Gygf zXDMB`Zzko#Wh9_b&gOjnn$cTvVZzlk{UNKU0I}7+glXOc^y`HMM{6&BaJcopSk>n2 z{A^X%GM6NcjgUw2)MW1>Cf5hKNY=D{ybqr!S(~(x{eaYPBn=>T3L^tNxE3M>O&En}^~I zFUsn}Mo9KX2)iLeH_P55@09lnwloPdapwB(VwAQF{>zW((g5H#L`QXkPbi}QB8uLoqBD$Nyo^wYeI zmcmOG9_E~N)QK%sJ=d;N`sfuxp7}hUHGV(WiqcJo^q>-i!nn=d7Srqa)RXqUb8v(Y zw$rwxlU9}Kt=2)PbDPztow7Tup9S$B_sT*LM9Z1qS~O5M2$NLn{p=CSr@e9Ve<{4=QGtjoLzCxV+kLp*>IVB%}-DP2M`8F^(puY#-H(WY6258f|+e60T-t zB__S8Ppx&&JUZX;7phJmk4KP}w@WR}pHqkrq=E+>8nZS(=6#*oXb^)6R=lXwIoUYb zR-k-=^6h2~9o~9WW_^GE&QVQdx_i|?bu_XiurjE(|W!=T#ATaGdCspTlB zr6~2DhgQ(fUxH}ozYeaf(SZkz=PrcCtzXHl!I^#LzuroP$xo9rTsXZ$n3@1i+^HH< zLYtyoD*G_84Yv#VuT^hcDKVPNg7UM2HJdwgh~LwGnZ9SA7q+$GPIG6=CUH?qt4sZ9 zZu$!orOr303ThXCv_C%$k+nFwb9JpSjtS~#* zdd9C_?4(qlIVVn+L))eXBR&RAt;$Gd5>0*zQLc#1B|7Y(wzj2zp)(!Ga2FrF#^yjf z_tS9f3iH&^`drxV?9qs85&rg;j#f@@qQ=|Aq4lZKS;vYu8(G^Yra?a5RZ!EDH^D^q zx;#07ueI8mEdt-VQ+v*I3UE|xICsn{%7R&cT<)cRSnN@r0*gJn!3BD($Z$|LO-$8HK(_o_n~?}s z2jZC1e{{Lmu>tTn0ypRmPZ!nTtjBZ+DS&kNulI>Rfp&ba^uO9pcVIYo4-1y#R2`SP z62+cJt{F8XO7RQhJx}k`F7C-jC49}1`}dD8|5K{xA91u{?Ly+eF0<9)1=qKSYSKMJg@mGL0bJ9Y87uerJ(@>M^F$%QwUv_9!id)a+F=ZZA zKfi%2CoO5Ki7B%3nxwU~Eq&la+-*pt4I_|MC!78e$g1n2k4$TbD!R4b*m^p*xuyfH z4zYS&J|SwsisO3Y_M?zqB;tq|Mf$vA)KjbXvWNO}%KN5`ANP*|XXZlcjW#3k{h}=q zr>NY$OAyAPI3l#j!TVa!guh8SNDT|d@?f94w?JV>R40_q zUX~O8+UyrPUkdgw+|tJFp2ah|kBHn^ulyKsva^&mCRh? z)o$-VcG@`PzuB09_+4EQWDk_b8pzV! zQ-z9b-K?qCk}WmUSxoVY$c7vn7XnOPt^(vv*R(#~Hs_75qup?9FmB{gRW4VT^K0I3 z{y{8~`+E-*8NIj`Y8xB$!drS;Fg|~_+^Fr9Ry2awMv^fi%iC=Xr|7e4>ITTa%^58a z#8!7`cvT|ajq1BR8~#FDn{%M;X>KmReh57pC`J)PWIqu=;iZ2>!&h|7XgT4|nr7ei z4Y?8`OC0DCgV)Nia?07)`C=+h4YC$gz!^7aTHg?+HOll{#SvOlpIPj_URdm9nK z74OrR&pQ;WJ&Cu{avFWJxQS7OJ8E4^_hWD`^sBK=Gs}F@+_8i>v-Y!Q`#Xth4SRc@ zj{-t+oAajXiZhD)ufKET$^xl4v4Y;sAj<kT%y}=#SX(^6|IVwV#Y+Kt7No#p z-zJ(5n2|qiehaxWBbUzhlz;#;GUuHj=>en;#oPwW;p{JdWFKtFqOQ+uocY&h6c92| zEJbL=GNOb(3lEg0()v5Vk>0yy&}{jHL{t1h$v|!_g2`Me`N!9)0|T+EyKgd3IP@tE zV6Hey(!hGK62%@tkKroc92u(T7e4;uw9kALCfA8hb4OIaO(ICe z>vU8C+j|o$80Y;{`}2&TSbk!QFiWrL7U2{#RC>vP8n zz^P47_kK&Wg6?2%ETiUCfCHn&p3ByGf3L8%oJ->YGx+N#07|L|u?i5*NFDcHsL71F z|GlOMKqmeU{>!hw{;=4L;2BwxGxN2brw{Xd zK7c5c=s7n(pqWcQLB0)QX#b)vP2yI6XF|I;^q|F5Xzt!qK8cOxRNP7j_m;;6R&pQLJ$@zWn3s|wLC20$idRaAbhyjmK}X~kDI!z zH)3TcVZgJSGUs8RtmyS`eHw~B26?%*Cp87nD?RtUD-RyNCePzQrqI_T(2jj-W%UC% z3VNv@M~*R_@ZIsu!-{?(a@977wBhG@m&}$Iap}|n4QD9urS#XI7WJkYiqCbqslk(Bh&f2`-4=X>B#wQ z))>z^Wpr^lnR@Z82f1R)Cmft6Mm}ZmYOQsvrJO5m7i86P9GV(S4r&hB6uKJi)3g_U zIP(a}=*`>Y>nQD|?0cP|+!--2W4W*Z^3hgFC6(w5s_-{dD|xdMhpzajB2G>x^sb0s zahI6PY^RaMr~LHid5kGlRoWBwCu+4{Iz%Xk)ng2V;(#Do-5Q`^E2ogGHSyvk9&(LK z(1Ck-^G$5f)U36>Rh<|Lg^HUIylq$9;(bLCWS&SZ$1Y~Wpdp?)Hn$U0eL!iMU~;qF z6WuhR&NEvRLxXzIt!`qjV$3@&cY%Fm)vjNmU_8%0)3;)^FnVanLsmVM;S=whD@GD(mNt!VIXRTHI zleuNHUGbQtB&Zj|O%#~q1L)|A12TEvOax*`%WG6gcaD^n6+1py%B^%^>xl2NyG}$s z9u-G(+a9lVtTGm!?=6zOZT0S5gD#EZ_?G*K|2fyZY;jt3idy@1L$64G+D?@?#G;s7 zH8LA=Oy9DDSnwD*#f)JCNbvqr`vkw2reST^y%-wPd-sg=mH3}g(9<-Z5fI1Ge(uy% zr>4=@pZ0Y(_6T_IOGu68&A3xvQ&=l;Wa3K`OVaA4U^rSJkcy|jC2H8rwUh)FRr$yu zdE*c@8zqmR)%G^+@$fYxrA66{>DEY`CGX=GC_*t}G zoTSjX@lHL!VI;}=Y#`d=@KNzp$eV~h&nFlf{%o?>bTYgb%SptU>|UR|Ht>tA30)OT zCA$P!ZEETReg}y~jzJ!}#`4m`n~!s(I2HPx_APeZu zboYGn#oT@8$bhsEv)m5ap#o$*<)Q2ygKq)Gs=4f0c}&|n+*4L{x@3N+N*M#%F`8(8-_r^dEDU8KKE%bRPwN@YILHfdc`PhMV1Wc#v~%^q*ywt1{_jIHNn ztL*L_v$8R^8NW5L>`AQq-fL=R-GpktBU^I#EI~1yBS@Kim>&O2+5X0HfrC_=%OpTe z;JzE?YGx)m?aT3r@ebp|Z0rZrS<*}5=h$Nl%Nys)j+da|Zs7?-(`@@BanwwesS!_8 zrc%{uV+UW`sWn46ZvftSvQBYId&>6EP)}bx+OPu>ruxLv>Yg=u__fX~rB1uOj}hiC z819o0vz}r1Wa241e{$RN7c-b&wa~q`y&<0$<2NznR#A1{2^aThLj}wB0RTua!vx(`WOD>J`SANJ4 zg-6Z@+>7hl&vYxi&6La%S$Jm*?}6gx9w703Mhyq_7bbmueH9K48tm1ITH7OkRl$CC z3d>*x6y3@fIOY4O>}8A=aS52=G>y09DV!NN*=u=NN6UlbmFi#N}l#k1W! zG3#O|$%>r~j-8DZZZ)`wO_>j~thFUQ6(lm4>1YmxSUg9T$MUZR`5**pXbJ`b7w0QB z^Dw~#QOOVsrhNA!W8^~a6#EYgvO0a5Tz7^WGY+mP0BkO{Z%2s7&`fzEG|)+>MK_la?jkV6-kF zQPCkyjcJBmKKURQ9FbI%X+JjyZn1W@w#t@qy$FrT>>peHQm(?>fm=fV1gjhLCLAOI z`^(4L0CD`D9a2Z)m!OrKbo<#XFKd#S-^QqT3#L`!b_if*a&aH>|1ys4WpIZoUyH_`PS0>gBMQG>xm zyhHs^aVT#O_@Lo809hh`IccqpLe0|vYLX+K` z!FE#~Mv11Y4#$bue4(Fcs7(&5YW;~$d`tt1M*H?9E1Z~i!>vaJaip+k^b;69m+F&b zy(`8H*Y;$c?xMCFH9?OXmm-E`ov`j%RF#)MWtgvv;JAUe5{Zx&+1NQQ0Qe_=sWa^S zGf9+&wMlcQd+Yb0PQ%N-Mxtr!9r@a0RjG$8zP=L1w@=gJh}HPK-r_Da2B=>r3S~tC!ra8+%zOht!p)sw+`|&WBnGm?aambHINw z=zh}s`3$Ta!aBmLjTN<~3mX>iiL$AL4Y;ydPQJw`1Lk8DQ+RkiOS`CJ%AymA>87cT zqGi2`NTCi!w94JkEUCBDG@arLG&9zTd3mxf;tWePKC8oq`hsy0r2JT3J8wD7W}Ta- zj9(q?ZDePA3Add+=9jZtQsZKbT{XLiy>9h&B~rzc8AucOi?K1jn~6D?n7nl26yTuc zU!DHnQe+75SnPzz*;15 z6UffF>1!umD_#q3Uc+Dz5p??NUaDUGB+CBQuG14f?PiMKx0;h9iXCpR;OS|*Gvr6J zJD>XT3oN)-qoGu>++X*ceL;~T>zseE`iV=eVPH3gI;)c;w`c=J zaOt8NpUjFUN_2FGg7VA1e%wz6#Vghp@z8v(HPZPxh^wfb0Hm98bY~5Her}@*7Vn-y z)mG*6cEt8Q2V$`N@#9LwK&bJ%2l##W@84Hj()AP0wkM^tK}=16E2?%58i$DRIVc8a z#n%{$?)i@Mz!@6&0JNyJOAs3X#TP*bjf8a~UZ%n`WDx>N@()fN^SKaY;6@-{?v;|f z*2SBG`|}I78*AHpu&vP@j<+xu@mXQbQQ>&uvy{GtHyvLP27x=zNeur-ao!DQlPlAX zi%y@Ofu|eCUcI1H&t|u)^WOqXsa%3wM|SZ;beZs976hrVE9GPyv=i-mhBEOBVfa0y z#=FleI%c18XTD=m`*sx#?IisXePlgFrQwHl2WgV2;Fm?g)aR^=lsnTPk3u}pLMZFBF4H+c(oSO z1$L&B^5*r5`WD+d5;Ms=GOT)sTobcKV}2)m=tTj1s(GbK!7Kx>2tbij6xw9zVC z3L;&wAGELWxVYL3zqrR97F|N+S$>S2!pYU#R+8SpGgx98I5K3A*gF!s*N$n z`LlcKL%DA6mhox>SYZ`rF3|4Ni`lAepg^L8-vyLn6KOo}`Cs@3N@ z^-*ci>CMLrYPHTt;dUqryr8(+dLe^jN z4P9}h3j8I*I4er-FTDQm*-XeBwKhClk1Fbd*>tF<7G7{J)9&*<) z9L~=h&BNTF6T9R%=@JV6xn9Z1m!>oV5XI*-Dxq60W$4|eMq4)pY9fQko||b+p4W%d3M9#;Njdr@fzY)4v(Vf*Zqt8>}HhAB1`HDbwEMH!)Do;SSHfM7L!qd54`@) z#iMuOmJ3gEap?JPYiVp%$KNb%m|o-pNAORdW2icv`Za`0%!K;3I}LMwLNhSe*H^A1 z$@>Uo&wi;p8FBEKF>*MU8!Z)>xV|$m&u`7FBorh)(}@xo*bcG+w@A1z;?H@FY>ybc zPo!p4v&39KxYbc0<}UIuhyU}Pbw&y8UvLut3il&APe}Qvz4WTO;W<|KBo-n=<>ef= znJh)>r_R)&wQASOcb;UX8yS2N)D!Hd9MPyyvWSETlV^dHa3X2hKrD}6HRW-8Sus@D z=yDW-+i&I0c!f&3O&2k9Y4FtCY<+ClJiVfWtTBJkBk0iDJ+(li{a-k%SI#XO)DfH6m1R@Suv7g51 zfdJJX+@g?gCw0ILd$g+9a4>66lp;!|-i(A@2Px~IB|$}&wQyX(%PnzlB(X{48rGlR zB)lf~MTzG5jqKW3e);7f-eD0)srG&35^-dCFq|Q=BOPGxCJQOF4BM?Jj3ONQ=uRDE zbZdZ0e1-XeG?235I5i~T04x>0{_MlRQsK&(DGw|apl*g#V5vYi4zU=0BTe48uesHD z%<+}(Y{fN481WKSgaMJXt8)p8d(0tw7g`vSHY>3boJufijO$|BXW(0{Nx$R0%*7B% zhV*WMJ+KMnpf3Fb=JxM2O{fcB0tTXiJdBr?YpOMenZqmRX`DhQWfBeA=H@s6etWvr zlz7VEKd|EpzJVCuSwF2|uHZ>2v@LFNWWh$B?KqF+CT4Bf<5POO8J>@>Yw;OF=2t92 zNWZb}|G{{OUz*^5Sq=n8z5-0ueu!%gh}KScwYQ1K`}vc-YQKj3`D&Z0TaNx0tbn~H zN^hib*&N#6=j^{)GqfTa&YJxc8%?R#I-HRcL?73&Q~xLKz&2=*UsVIch}%}+w*q&z z7qKlqPQebP-13++>GVPTm;j@l(cci-eZkN+LVQ{>#oi!0_zDnR3~@S{cP2Qwf{8Vl zr5V`!FuUk4FmwNwR?QeaKI`iSDWTqmj?TD{x^-_=Wr%qMzmkYH2scJ;G!X^;)T|hU`_~wn%k#78muC2x`Ah_>Ds&zr@B+Kc$@M zNFAQ?POD(V$+;rr^?r1DiHVC0Jt1%h3Inp2ZvGg9qVg#Y_FNq!&Z6{(g?iT4IA5+t zH#}lWj!_}HuT)_0^I!wW|Ab5QhtHPysb{MB+i@SohhFpPr^)ALWMn=-H;)I!z39nt z?|j(%@~+iXp>Bty=rEj$zwuSUF^2=>F zI%#=pM&OiwDj8fo5tJv);{vUAOrH<XZ8Du zPe#(ZiAzK*qscA#qnl9)WJuO@Yf^*#oNM-4&a#pURh+YwoWL1|bL^_V7R>zQ=sJ;F zGah73nw+mZC~&edpkgfu{#uw}n(7DG;W?eElT=SBq7&d3Z#zK0SfWm@G<=`XX}8<@ zFxJN^~o!eo7tk8-D*ILT3M+*;Rf+6aAje z{Y&=}=U8mq-hj*#w@kbzR&m0&+lu2LzH4aY_fE^Exy>&Z-X&JT-WKj|TILClzT!)q z?nJ!pHHkN|Jr@RdlR@_`>cAWKDmH)t8|`ko4;aIT?FbNp>wsUNXn*#2Abuf=V3U;J zTh}Aey$#x3E;ON+@OELDnm|Nc_dU!)78X(HWv?{W2zlg9=TsiN)q`lRbmH+rpH2>6 z5*a}Al)B!yoCqsJG?RhPn6?J}upp+iGhPg>BVXZ)=QJ6K?zOEB7cZPxVuG32lR|nQ zKD0b=Hl74?@;dr`30tjL!*k#&{l?=}`bnJ!$Cj;y?| z*y`=u{Fpix8}ocDrX}A&sk>iN&SQy++oXV7Z}WX(rvILm^Px-T$4wVILWRY+nm6sF zSXta}9jSoeIf9P|PPhrPD?6t?4tvStEUk^NraVKBuQ?8@T(EIJ9J4Mjt_oc-P)YI{ zQjC>%fi!sZgg^9bW)WJQ!YP_!H?)6ekvL_F@oqU(4mUD*4^2^=K!H403Ps==CC5C) zoI=LNhNsnH*txIm_#{d*0VXno1^eemZUS z$iH>u{SUD>zf%^gkb(Ysir;tbVnkDI3 zZbw**QcM2BD=lgw`*{kMS?+@ZNu3qaawjW+6Ch^crqUsE)SZ3F_={>tfuYi2T~yVS zs{QKD?8@Gq+LGvGYmu%ZiD5X((kzc^`N(zvi?>eP>kNXqSjSR?Nk%DKQy6$Kg`AL?UV(QtPxzCJORT34< zR%Yn-y)$|i7kf(88#5g&FH6`-@&-t%NjU-HfTG_1|zL=R<}SAUbq}@$E4tc~U1L!-wL{J=Q?S`D`G< z1x_~PaA-`s^;!P}F8kBjTleh_%+NX$mU?f(QCw*;!eHJ9mY4-E7t z46h|PzT}1Reo|IXzaL)xmf_KkgZBzgciM;d1%TDx#M$gO9;$xsF!ueR^{b;r|MEcg zpY-z|5>WG>6FU2!)K&lNyZ#|v{R>XLf8W@te=#-O-^6bHi=O{)3M1awowlj7cQ7Mc zU>ERMAz1ZWnR3!g^_trZ&hK+K6;LUEzr@MkQ|0<%hUa~3 zwqjE3DMM+dUj$Az!ugZ%DYdX;p4t6tm6@ny9-H;Z)tGM@FywDJG9tHEV*n28+or{^ zB}6dYH6V357>u}=zAY&NghRb-1;cItAVhDjQr^GU`QAR?$Hw=0@qJDBz8-$x3%>6K z-}i#=d%^#Wy#OZXj?;LG>s4l09jcaSZ>UM~mZ=6p%XmHQSr@Id2PqcqYyP*97aq2I5J-_c(e*omuKf1GhR{{T1)W`2C;D5|Q@m&S{WtHpS zO&$HN0{)!3_3v2rT?PD?sBgc=1pOryP#}$h^iHm^%!9AXDB>| zk9^H|ypPbC?u=#|A=y~aX_~|x3FaPcTE*Jh&xwtsbJu63RHye6B zVG*EA1Di+Q_OY9l3y=I`GdHWdRu)c{R@jn~*nhgQ*OYc-2{y6}?%i`gIb|+Kp8avg z=}_#T2m&YyF%rl@cpVG zG>rdTM}+rJb%2I>;NoPVY2`-GcU1*w7bizIpj4ih{)f+AH42ZOnz@~o#Z|Eqp9pX_ z!5^vuSAf6&)dwQ{|9#8(X8~@HR3zNpPSy|zd|0?eyDwe&4^OohuLSw$?U4Q{5H;ez{}o=K8?OMb^pTiIbz1qZ_>lHjk{+V<#8QCuSB_Ja?@; zY%Q!b6z=?atlgE>`qN1J^Jo@e5n^K0p`D>1-2@>MBB2l>UABSf zfcHd0`r+#eN95`Y2^j@=UkpqvY#g9M1px>d2?Yfi6$K3q6&1MZ4_pVK5~2~^;FCeW zu5N~L)0vn*F#01V!<~|55{)4!<1KTSAS`TBGI9z^CT12^Hg*9)Az_i*qOy18>m>>=r>9BXTknkt|<^M3KGzHD1;y>&{@_$o03 z{!eH8{$jgwNSTa19CGWduU4{ru-{6p4l>cVbdMC7UiEt z0$9f#@*k&lCOEsYw^Ld^@>R_2C+g-?wYHQFE?+X7!;WitbVIBQ&+xgJ6#-j|5Z3m6KQF^2+P1 zD6v3ZZaR>k_VIdK>Nfxb6QIEaa&uV$*va*~`z#lippnK?_L|)96~8yn_d)S}Dtuol zzORqpcZKhp=66-$yMpvxE&Q%E|0~Z5hw{%N^xe7WtgkD5vOR2eLh;nC=2&(=mBqp? zp=Wy>epDIHYhuvqZY`iWeLsv*qYV;s*X(tV0)Tgfs#Vc%yrEkoF*+6X(nR0P)3#8+ zT-`k7-Kx?JbEHV~fXAeSWsWl>8May|nQ6HjqAv=IGW9nN{2JV2m)V?Ala%)&M){Ko zFuNFnZt46s9R5O`UIa^kSmWn-goL!MP*pmOt8X*L05k`n+x zZA~bl5yx+<4|cioxiTZ5iXv7Tc$f^E*T`tGVeSrKYYSIM5oW8ecjNZ>fu14fn{5jJ zP+hKa7w0eTAMnOQ7YpDd(9KoELC18f31Q;Np!Jl)QgNj%{TzSND7WsDW$T;`08S5L z(e*~#a%t`6nOI3%ya$MF<| z8e@Y}lva(S22{F&F_i);{m7$KKJ5V@3d^$L0O*TB?4~eXS^!Anqc9LG%<=3J6!HPU zPFw;1M8K$@tF>6(h~_6cwtcf*EQ~p_2mCpu?E2H{j%PIwX;C)Y?q`wIT?brYm5+t1fw9L9HbRL1d03dzX{7st! zdAq;4nq6iiFp7-k=k%t%>|1V`Om3g6)_IWjGg5VE`n>4br4B!)VMVD(tNxqT$W6=! zK50uzG;h}cjK7GtdWYQHkGaw!_@yZxJwR7N*^~o$lDaxGmspuw0Pvc@2cueRL)J?g;S%(EZL(DpI`f)*2 z-cjv#+%qsFE2Em;L(LKy-lh3MLXk*oh@_3)qxG$ zuQ$ebv)At|J{6;OsQlQuUe%QL2Ow@^ce(FV*WUMHR^PW zL(i3=6VILUGY8|H9n2g!oq}Xq* zFv%!Sft$e%OcBfvcnzUT=}?x|4JVfJu$Bg5-y9NJJ>C0NJd~9lamLl4oyXTFFopqy zn4c^YKMYxj6g*}S{Sx$*wD%Hpm&yJA`xr>1o(=Fm(|-GEOzQqhUMq9nV!QFd2+}lP+%2emX)@e(N3Wux$e0NCMZx$H%99$)p&$ zzs>IX_ra<`P$zhJfY>Fdcocl*SayY|4fIi0=ThVOH3fiia7%PE2c@Oa8lMuTs|e$R zY|-ep)i6bDo7x<5?K&-n-tF(pu+k5tK&AUg;?#y<_yU*NpN6QN;FV=<1}K~qC7p0& zB=yeP3GGhZzx6EqxH&hq>}60LM;@FUdVG=xDIhvKF)jCwClex6VOU&!z~7gV48r(= zU9||tvk9Ps?Lf27G2}LnG(Vei!w-O=~!W0j&ulh^+&QKO>^=k#|~_u3QZSddWDhn@ofUm;P`^i}*h z2oZDF>e0g>k7buziEBp%l1n9nj^U6Nx-ZS9k$pJsA!+S%3SAIQRFxMezbBE; zL=pVqzTCIxb!=#>?;IAq_X@U`>p!bPzjiY0*v`7}=Z#QoD%gSA>R~B`He4rz7d-6)!AtswDSSyzPi9J<&)dMfn zCCBJRW1nq98({;+8jV3=g6WylEmqFi>pnsJ&foan$9}N|6S(zzb`;x~kjG5xR~hQo zG>md_qqN#~5oWER=_l`=dLkpg=?8hIwmK2ni^{_9RzU|}IKt)pFF{zr=^SZBo%X~w z_Z~h{5-n*p)4JX%(lwaI5-D)u3h{g;E`6rbP4c2*(_}-p*2M(Pi65h5rFlB?W$?yS zNduBQED~UpYO($V-Vt5#6TAd5mMsll1Xt``5U`>QS?o8YWWYtC14Xp)jMiEe6~DDJ(|=q5`wFX`l1`k=EUvIJH)hBp!&84ePzC-S@~ z%&(L2&Qb0Fml$J_4$NxBB}}3q4UAzcFBh*q<=6_48Z*=$E#E_h$?vt5ZIr&^UOBfE zdab~XLf+ct(uaZzaUL`nhtfqb>}w?!B%e8qzsa}d&k(L8yBEG6uiDl0kv)2*P*3*>8OQLx+Sti)R`{s$lj|=v=Ep|H2FHs_^fx5;RU(%CdqwQa+as8D5HM2 z7iXpPpv>=BJ`$~8i1SmO^MmVN{|o6cPnd`039Fgzhe zGdSO{ey6{a(+X|^%Z(=?0|T{@aJ;OVy_etv;|6Z< z31owhx3ZrE7LiiYq3b5HgrJp4km`?P0{ujAd$Mm| zQlRqt9b33|OTjJ!R3Ips0Mgb~M7WpkHe{g)d-D>6E-vAp3$wr5P#?cTYt`QWT%!wC z{T8>3jRk~sgj`v%!*UPiu;hee_nszLf=6{i5N)Vvn``7Tk@%}s3K9%#$ZKxtWnsyR zFhJ~ymKp^>Y`s6hS_5MLh->X=^;nr zqW1SVp?ud;>bi3`N^l(vZ9Dry#n56cFF_42EbQkfokC2BE0{&cD>C%F%u!2&))cEr z4=P4m{aORCn$%bc1G`TI4bGdhAyr|(9L<3%EUG@j;?`Acba(_cI@LLZhG*NbqqcA! zZ;cdy+`y#bBoI@Ww4W6KNK4)T|Jw;mMD20cBN@N zg$V=riqGxuB8CxtrPb%AUvD1P&9hPU%~y$4xz^qu6#U|+2TUvdC7yHSIpE;Bl)B*Hv!5%%Y+YOAc*0%TTZ`uMFh6)y|MdhB^Oay)HMWq(wn^Hoz zU>0>d)9%M;Re%(=U*qejD6l(`WO=cjT|Krd*B0iAd3b{giihppgy&7C3_1~I%}uPU zdSWYFx>4LIar8vYu$_URh+acmiTde%3}U3feG7z=b$H`84zO9K(H6rw-`|K|7z{Fl zCfE4aA0--xc02p!Z{eC+8xQ0(`j--e6sebwnpfcp`wFd7m!M_|-5t@&6#Q52*J$l^ zhhxl6Uew0i48B1qhB)n1J{knsPEbk(ir>yMc|oRQ;80Qi(Fa2@){yR%0Me=y%27x; zPbh%ue{^1de^0wQe8Y)rWr)_Y5URMm@C3vujq(BHQS`Wo9p-rnVwzrDyJ(R*ip?9d zL5YQ_U2W*ijVEZO08uxVv9?Pi7O)J(c?lz$DoxLBaQsF^{ucuj{vZhSf8u#~x*etm ze6Z_?Rt@k*%>iH>`zb`$A9a>Nxvscx{ZfFA6t*~FG;tImv~p~dp;yFX@nRA$^$`lF z504*numnId*F#)cdU^p%j{sol2`vQJI{_OGB^)@5-z*ufQ@J{em#s`sn@$5~@t7yM zO+Vn{bBo(w+06#d*4i4b>}KG}LdM1`yBY5}d8O`?g{7P=;{sx&c0R|bd0{C&MTCtgM@#D&&ra_tE+)YU)nBgZ=S>K#36Rg_0mls-xvj*La;N6nj zbT2%Q9$=7sf{j21^0K?la=qePge&#SQB;RPs1dcoc{4_8@;i3Ae=6b&>D~8^{#vfF1K(YIZAX_sA_zOo^d%Px9RGW&IaI!02*c zf9645yznOZTj6FXXzAhpMC&@IMd`D4N#f7}kv2ADJE1Mg59HXyLdby~qUL$Fv>Y(2 zbgba0A{c+u{Z*xaUT=G{cb$1c!~FjJo^B5?&~jj@kbrDoC+|=8@3HG@s|Mdlw9n>8 zk%DX5VnjXil$N4ih6H@;UIb$mY;_b@G>vk#MUXQ-=c;9rVGJC3W1Ir?t8IWF%soR- z&LR`&5uqOm6dEf-1-cm_+D|DMQ$GpV(E>GcE|Sh=fe})BF3{_WkqUNTiDh4V92R$~ zX9;=9AUHK7O>L671b*q0k{p*99|C9cwx@4xbQPqqCViI}uZec0mkaMB??@cpw)k8)sGW&(qIJlc#TGIr4v^XsHs zD)-zJVv2Qy@y!bzkY!9j#!#*oP@~94tLF|V%@#Xq--n9`d^C5(MUzwk8RgRVU= zvKpZ!aC`tzJwEsn1hoaGBZ-znohfvDLbGPHpiXvS-JR+K>Efo?v{l?gUXbUtxDE`> zsagO|>@SRlQ?;;{3n?tJwW73*5dG{RGGAZv3VRz;TjZEewH{+L(iGyjtF<+(C{0q3 z06PkLP=4^r9mnkups)34b!j_hc_W_*jIhL%x0OCXkF$7Nv$nl9vLii^U%K6_(MBs> zI1mb2=7#$N<~RKUCvp8N^V=1v*JuG?ej{gZs>Gy!Et59VIS1J9g2xBj-(T79^cF+8 zl&|b}PjgWo0uhtg|Bt=z0B9=9{(f`>0a1EaKsrbVL9o$8MUW;{q=WQcgCL?5DGDgk zq(}#;p-JxuC{=pzEujVo$rtC_`S0wk?yj?g8 z!>bPSvKqFM`;P2WEh z~QabO(b<#+6zpK-}kTzBF~ z8w7>)XKdUag^d%T(1WS0pVGZOL;@R%lC((Rt~TG{iO9Y`@C4+4dQ$SgZFeN;Wj_y! z{8zQ?KBu#sOoInSC+yJlRc}q(UHook#{Mj4DSyj2kD!FwPs6aUCX$}fsYHRf{W(Y= zjW%#8D-vdo1kTLPqYx&WL$Q%tC|Ba2=Rn=>aenA1`Z$uL?LQ6lk1lur2c~8Cp63LQ zAZ7DU!`I+~^dMx~yAFsf#`oiA{35w&&1fZs90Np;vLR}2$mY3Q>Bf(J(!ttDj0+@fRv!!> z&qul8=uJe!1cXvI45@+%;?&JdWoqx$<7N^X2dcd~|l};#w1<=PQ*7ZKX6$ zo}7X9IZwE(f$A*SF*DyS7CU=*7}%1u`8u=9(QBK?c{ju9)}19o!fIR$JKs0lUyQ5f z>ln`+8}|=n$0WD(b6kR6<*C`zkym`ytTy~$ybM)duW$WER^jk4p^vxfsr<`gOTz*Z zJJORDfk5sTd-99SCF=RSWLUFyr+JnBwp?eEd^oevt22&X9W?ErpDZ-rL33ra%tA|a zw7!GZ2GP1QT31F}692Py7FH0{Z!FHVW@TrmZo<;u>a$6EaDFrEe#`nNBoLtaMH@0e zVw!J{3XY0_G02eK8gR8+u`4~P(a{H*Z)-*ZSd2&@ zMBSh4Ti{@fujH9m`%0?wi#TXc$@f8^js_|Ewp80_Q2x7QJ3SIm{%eVzznE*d7eH_I zpLeUjInyoLSNcst@>>MzFWVl0uN32pnfBGblI$HFmGXVOeMjJx`^H4$=l-puT?uk@ARK`r_m_*4|=xYNQCt_RvSV?qkmp#^lSRp7Y#8q3jUo=SR9FM z{S^&N?JH&&S~L4jCzjACINA5Hb^e=kCBMgKj{+S1N*KadDz2kZa5M`3$r6==73fDGu+b>^k7%bI zg{_4~!T%~djYh%IC^#Ag|2}B~l$-Wj`#ze@Mx)?p6g;&;@NMadHc}7rQHE*QiRzbd9`w1}?-WgS6t>o15e3%? z2tu&9D%B1>*8)A>*3Tbp#gAh?kSs7J6!3elaz4v$Ag~@)nRgK~k9fof4@Z@Ynsypj z;%e&ijXN)BzS66$AI@v1CE~72K9>M_Cq;(@J~SeM2vKRcbtp_Pff%%K4+*r=CLlyL z4ntm`-jzhvb*2V|y-Sfu?q0|f`c>bKpt>!X1FHTrB|?4#2|&xG^9vdG@e&YNd>bU@ z#0y*HTpiMr?w;bPI_*J7fW;aF8)f|U_paP;Mm(5D0zU07$&`d}d5}-{!pyfvbiKt3n69PzpX(wzgR57X0Q#5yRjP;|{w$;h$)$?9W>zum5 zuS)W7*8_5#a?Hi%8VO?8Vq?!{_lI2Q;gRqsQ&#z{BI@`499rc4PM`q;sz|OV2NJN4 zMvQ5s4#a>u+CU?zNB|tU=(K2}zcCLdJ(Lg7za0Q&YXsrIEVW9zo!*(Q4Ea}$i|`e^ zKX73;XnT$K2+wb<@Yhu9ATo9yGVfa@qBc@Tz3}9@+3xb>7PbRgE~;=q9PtMX)$Ve@A-WHrS2ipc%Irem51jMnd^L=?a4L zoKf6zOh$h72oC7=@}`_gUFRN|fJSBWv|ITxgR_l5qAK%+ z?hHIZpvrvXBY_<`IE%*kkhgx^{9&5K+J@l9o=1tHi`eL@E@fR8g==$D6=QyXE{~9e z_OZk`1!cY$n^^^~)kZFc`Vec|F->EUR|&F5G2EVwu?yfC-ES3%&JBf-S?CQhB*@qy z=%KM1`b5tfX$o>GhKJ>MKPH7SM{#GTW3(Q$)ew6)<#Z8_EKyd`_v9A8xCibvePA3< z^ zUEtv$Vk9u!jCkIz7p;4z3m$C8I3106-kJvCyOECbYc8V(L5&~vq%_S9O3GsiRa741S63dU14i<<^-v`Zap!SdhkVt#6=grMj;lxI8vg4{p? zg9GmZYX%yco-fKShw}_1ynJpH*(3hShTheoR-Z>gde#DTzosPH6<1v5 zN`t-fn!vCIUpr{V*vel*E691PYUdYNoQTod~|Id~H?O zl#A;fJYo-~dw82!Bnu;L`T2=}DFMT*a9VD2C9U24O<+gUJks8Tds$kq+c)Os2tuq7!y#`l`34?R3@tDIGAdl>UQo&u`)2jZl%u z3tP^+G1`s9g+^0~<~otYub#JJg%LA{YnV@##O0C|Sq5q0X5p44YgwpgaNCWXohGX} zcG|AKkqLV>oQmAI>v)QD4#BJ`5(so?40RsgARL$rCpWv=u&pUIx&L-1#NWo4P~lvhQ1yjdc3>(>Z-R|#F?suESn7Qkm_ zioevMmE?o6iSR5aZ+{Yr%WkjM?WuNfzH?be7k2s82C(pNfN^uUR zKD`SX+(Wz{ZBDo=vghIDnNx?`%Co^-BH7oarZe!ACoQmlShweOl$D&EgY6lALInqF zSvDVx_9&&7rAI4MooY&&yQhdS3V^Cfg!?Bts6TxxMw)M=p7Gq{#d?sH`t#4K{ zzlHbyr)~dj9?q7xaVn!P zKk48b%T`(WLA_2<7>2xzDSXBmYO5*ENk|h&x0V;+5cxXtDk9$E3y!! z8M!n*(~@paT`qJhF>oW*+q2$mF7oPg4C=(jiaxkxg7ZOC?T#Ktw=~$1D+@beWq_ze z+cM-8{K>Qdi@<6NQGtx?kgJ5P>scRRv+TU%ODHq`ysAS7-XPy}gGPzWu5ilaCD#`d zrqtLX=i1Z;IBVkmc-D0UZ0n2c>3`aY|91cbvBvG6s7oR1aFk1J<9^;{B+xFAv`k<0 zT2`F)5H8_yb>9|HPuoe$B)&@s`E#dZ? zh8x)d8!3#6k9H-F=aJ!->0gQwzn0izmVgZhx=ek zDM&>j8z!}Wsklc-iF8zVVfVJ%qU8OxXQzrI`yxMOr<|YRXR^A^>b?%w78 zBQG^N3?oFt@CZgWJH>==wWTR<)TPj_pn!PbLMm7;Pg#b1HtJgge*T;GM`Dto!+O6E z)~l-F{6SBv!_w{e?ctjtbotjFL|irS%_hMre$ANhZH{OJrY0yhSsl*OFrD&fby^l*TXW5FjOrOmUA@ zW)iOpGG6kCpV<|zrOUsp)gfipsocFA_%LqwV@Y;YiFsAvZkGf2qo*=M)->NqGtyy6 zt0bO`2or-RG)AU1^gbAFYYNlB@!HcMcnjl>$RZLrP$?q`7$<_WQ8qDBUyM;d^TDLY zv?qG?Bw})inVIq3re_;5Ei)vy`j;OmpAM*>+@w*iFX;)^E-%^YS=)U#ZkklCadx$K ze7|?AoIR<^?9tm(Pce@R46~c+;8W?Jhs=kf z(0U%>3$k7^tJV2QuPoaJnH}=k0QMEJ1FHD z=8&+;0q+M(s6ddNI(Qag!szQM2-U6~qbm4N)apsZlltZ`)OO(hyhCAZB;aH?oa$7? zD18by=NR+^#M0aqB&7K&oL}PRXlkwUrd6lh_1)}s*cAjb=%WfsJ#-&Nsf@{p6G-6k z_?Bw*##jjwn99l`)rvperpEcPGS8)5W!IzH&yJ9c*L%hqH9dp;TEL7I1^S~qqVxZ2yIPjcG=kqlsi3UcA12W zQ$Q5+=E`07ew$xSS{dbF1vS|0bL#KeXoH|?(M1&%jkgaJEn+z2B2y3Ek(cP6$Ehwy z0wkL}352epim>Q(O9W$ixAyh^n1O?vv@>DtG<6ly_P2v0+14GU-f^ZiA%VRx=@l!K z?{+7Z5fT7Ld90IA!Z&Igj0DKla;4~83srlI6yS0wFVCvP4MAl;Wks76v)3a~k&7e2 z_$}NLPu*$C1(Rt$HIRX>)9~Mz**||4ZEk-@&FCm(@VE4N z6!IEz zScyJG`xn}5^eI~ODO&U?+Ap|0zmvUp6t>o1@f7VJw}bzwWqlMojYh%IC^#AgN2B0q z6#To`;zwdzql3B-rjXUWHG*PSBmymAC2;MB$679g8zkp zQ8Wtv7uswz3XVp>(J1&IXNMhyt%XLx|5If8d$v1@okpYJXcQccf**|`^~=zre|3E% z1{b=b(!W~rKkB_B*=jTj{>N!w|4RNJg}g?i;Aj*aje?_5a5M^ziq}3$DSjl98jXVg zg@92s3jP<`Y%~gvM#0f2_#bD79fhrhM#2A;b^AxXcN9B~M#0f2_&1^8NZ@QxhIVzk z9dU8SUOof0=vW7*HNEV@Oh}V^RtDV(vp;{v&mSOT>6enbQhM(;O5r_{j#urSD|6Jl zb5EQ0xjFvI>)9uYj<{FpHBB?Wy-LH{r{2^0%+B}1_C6iF;Mka_-;A%W-_uOjH4zOL zC=aru4bYn{s8E`~@-~FTpo#%G+Q1@R*LB|}B&^1jzeDA7_Gk~JHBYYT6uJKZOlQ3b z!E0$M&uoL94L{5`ptDoj(H=IBSn8fRJAFLeUaW;x(^?M5Fmlle8B8S7;-b7d(7nI7 zwY=Wk~@SnJqrfyLA;@f zayucqwk%QdvBP(KF-Ez9F>9}d>Es?c4r?q5;q`O%e*9yJAC*}4|fCgS%>!a(|~pOrzn$V?uOkQ zf^V)BkaeccNzvKV!1na>Gdo=67n&ibL4q)?%&$=APh@`l&_=GHKSyQkkd^Z(%Tsw1 zSJo#G*_jA|+yI>Ck<6U$NwED;l?L(03Qaf7rTBWQT^dA&o}bVi&fFrHIdc<6Pt{Qx zMo2NkkQoTfde={f?o)Z9Dlqw?GJx(0guaDMBOWmlp~?(B0wJ#FqT8U?2TdF3=K{?W z(EJcB3(&FvEep`H;IASJK$GOXyhvb%f2zZJjzh{k$LhNJt*GUPu3)OcI3MR5 z;~vlt)gR_LC;!$wq-bgNo7A`8Lz1FZz#|R!`#sfKv9QO1RZ z93(KdHga&ZH#V^Pd}VXbf`DI4nCTqT=POY$Q4ztv-xm4XZAnSK>&Dimj%G~!Vxp*f zDg=D8=Jy?q?fGQy8#o$YH8!*{GA59cBKTXsu0v{1^9e979lv_uDRYg-nh)A<)DuYH z8*&1IMTS#$yR$n_v?4^F$>=PJiAhURD>tpmb+)3L5gf_(rCPVHKReFp`Z7`a?c@$l z+KX#SOmvzC$M_KswdgqM7!HF1XJkUoq|V(sc(?x6ZejOhX5x6_ z8nff|hY0gveS_MPExZ*;PA?1zM)uAxP5bj)ep&z_vA<7R>~A-^UaEpKhQQg-=uIo`tdD=i3VW;;7z) zetrk_=FeLo{`vEt$MTD{7XRt%|Ls}}s-6Qb>t10pur^OOHMcfN4~uPA!+-ebF2@xd zhs3w3WjL|8*JyoG1G~#&FPCnOUfd@lyWCw`^PJ={X1Cg1wbL9rG_vk*2pVx-cCyNd zyFY0(k2!ruC-=0@108_Of~M;WOZU^zJ`Y!1{O?ovJmbGj;kMH~M>ktzJ_RcSQ)2=? z4RfQ<#3L+tj(|_z*xb|%wO-<4s6Q^7J38Dlw!dOyWou(?Z0*P-M!3Dsq?qZxOCuQT-da{U1q+|KEE4jr@?GkQ2a(YqAQm00ssCV4(g3ki)`7Y7#)4;P={1OdUZV+3TxB*#xsl2K7nl2K65(6chq&@$6eP%v>bF`s4Q z;N+lY<39{w=`LR5p|696U#78WKp77h+JHmbE3>U{v46zAk= z{!6&0lnwCC*pUf52!D;wd^x|CT;(H#Mev@z?=b=jN-Am^*0XHv9GpVJBBEmA=dWCq zk(HBIxOQ7rO#$3DMgcs<`m(Z7JUDB?&U-r#Xep)rN?Z=f4yH1!%Zb zFvzytmB^nrxhLY-IUYT?K44>0+cto^*f;f9`@u$OSTx(yEHGBJ1LBnOMRK+E8(D?J z!-PKGs;BZVi!BWcNbE>Y%zNa|+<$~s!QgDdYMb0XFZM*2V{09!$L?Rs z$o1ryz3Wnit;DYMq%awV+85_a{KZMtHIiWv6xWtJxh7Fec;>ROwoYfR)~t^pfrl2m zZ~6vTSN&_GIgfpMP3L6wlKy}ZQY?dB9`y43?U6uJ&)*mc`r$(Jo?kF|G;2mnF0^1r z>pf_-5Un+%wPv(Y@sEZiT5JA|TC=~ts2UPT;9`Uy2O)&DzAe5I=UcN${+`k6zgu7G z1g`b|JWIbrZuXLnT;ZPx2w);<^YWj-Db}25gJYhBCp+XI6_!&X)I$Fvo|4%qsU zm)Pd+iqS7%sR#P*ZwxCH#;om6aan5Ksw})dNlNC#-RyH=`C-7cQhkP#M$fZGch^$A z+=G6GQC6EIjVzYAOowS(j9zeiA{1KD{emiIvclf}2B+$f_ zndBp`|NFFJhow%f!!Ai4%YZ#wk+wOYj6{Ua?P>3}g&(fw1lx37=`MC$URCjO(I|e` zE!FyF*iHIg)xovaozb@I2^DnB`ERR5$2w&IV^E!23aBgLi8Lg(7X)i$gv6UOZq83B z!P$fv5&XJpWEgEo;D>3W#UKPd&Oi<+NzKtc9m#Ws_iaY~_4$Xv9);w}YZlSInc-I9rSyd=K^v2^{N?Lju9dsEfI9 zBp~I@f|{uV>d$HN+l}55R)Tk)U52bZ@tWd0!GbxZsA!^@{6Jgcc%eAKtH)w=u@1qi zdQ{N9)&3mI6cqQ-(4+3l?HlT2Eqgjg=vx`7aBJFC|CKo~E!N47b>-Kzz>Xq{O?ed8 zmOcrZ6i-KG0t=j~1VOJfNgXfgE9P1cpxq**4&;4(Sb@K3D1aRTf9wBfC69{5G(NJ= zm8(IIzi<1_U8wW}&?G~Fref;APNk^F%t+$d1|ZnC`GS;=OCllYAGcEO*Yj56!-8Ka zfa291*w9+!pAEeS?O;jYbW37=su8+wMcw&+YyGL^Y46jp+&TGmq47uV@AnCFkw8(q zhYeAyo~`=e)9H9I76x~_i}Y;2?)wXCO4bkUjI)DmszFU6a$IoyQyc4t6<(yAyd2y? z=zB~S$JUj|S|2NQ zQ!Vy07fb3@9&Nw&@PE3iSY9+vBfv#2DEs=Y(RCk(O@gcm5=u~=HfpOG_eln&^S86& zm=A4U&bvu~+;(M0)($GC>2J_P!y~0hK%XdYBY}@QWEg!%cm@$;lQP&Ks%I*?p_)vK zCC6zp?#kufzy{oTA8mn~u{->Q49RN)*`8+QR#)mM+;J053&P^p=tV?BBThS%n=;g~ zI_#;7`ej>fO9xj|XY<{=V`ZJx{W?m7`gn-hgdgmbCKAAHdYuES8f(|`y${3f)@8aO zWJDMg9hUe~Knec#i5C)Z_qh8Or`m5nF5n@Y52~nKfy*Ot1FD|J%y~TQasH&LI|Rt_ zn_>*qH$e>RA9wXMXHbeejIuFCd>9^Bx7ye>gn4-k5E@Qqby0e9!Mc(b4V|_Syw$F^ zL&t>dFEQPe2TD51*n$Uay+sx%XE}4Lu%25ogI#b&Dm#R6vCILtwU25BYq;sbUh!!-~ni&=8>x4tlt* zfuv~6^)<+ZU?@-jMQZ)y_{>a`Rcn9g1>B#hMa!wE)+Nl;j+T$-&+bKCK&5 zco@lb)Z?0afS{CvpOI1n@7TbZk-$)ub^m7Nc+sA=L#)+sCx7hjsvMlvWgJp2JxRKe zQ(Xv}WJL6BI)mfp4%6C(W2ddh?1Ja3EoMBOGPPY9r=1dlB`>oD)JHQgSn=eY0Y|9$ z8rZX7-UxK|rNUTpSXA@m%Wa(E8`4ue(6%h)epQEKHMT_IpLWSr+LiQet-U5u%_*>0 zEw+ymgfNheXkHoVN>L2^PIi4M+SJ6P$cQm5sK*qu= z)k6%Wo|ruzMhCPeEAw>pQW!BQWqSGuexyEH=jj%PwHB<4xFFY$_F!sva-3S+o zy{BaE)8r!`@M2)kni$SjL7%2gf-tofEYr=yYI!=Ez}y+MZi$ha-TY+`Jf2ugJ6$0rY7b9X^jnABFm!u)@>pYCJ@{l>9z};0M23t_PPZx}{AL=*KP8H-_{qhw}T4 zilb?B;L9z}ueVOC*^Aen^^rgVG;%gmpmXJWOQQ=%MG=VQZk}k&}n&1x@QRG~Kv#-)b(uH+yw@ zR5Gf7+!lH~xZpe%IN}POgX;LS&BHel+B{qb+A0I2_Co1G6%TBcsIUcqcXBM#F#t-f zG-D*Ts3L=rd!#IgiWI_3I9zmd4kUEyX>}St$XKgd4Q0zq?DGP-S&aAXUJgSm3hOpyJ_vQE}ia3fMoXGYo`}uSKF3&8m#F(qS{ua zeTeo#>ns4SNN6-X*c%%OyzNccx32tL2%Q?#(mbJbK!j3k-z>KQOIbchz&{!ZkZbI) z!B6XVbu`m1Pks{Y8tz&98iM#o0SIn^Lss!NtkX`>OV%NZZ!qGc??@t`&E@=;% zh;AG+B%Dh=S;k8liN$z5a7mJp?-}&jv}CoJqHfrt)qMGyX1>|H9i0(z=}tOa8RBsV zD*A}ek;in3IK%CpXLUYzza0rn7RUQkf}(j0pOK5S4{AAyoci@J!J&-|=ll4q{rmC) zUzhk=ULhXmIOCsWP{HOr(Xd6en@AGxslHRL$n?K-~65T)k*>>)b-6m^O$V@!NCfb@wbQMZ51G}i~mWfiztRZmfzl1x>f zu$4`{dum+vqw$6kXGXI^oE8mVv!*Hg%Wu_n9P3SmFdAX?mr5Yx4U9DAL!MxsdkI8& z*Gao1t{dDI^n4H-#T6#sD%N@auEw278@t;)=v`ArQKkt)=YvVvvg{klBe0<6{YPm|$>a52CNy;8FjN@v7A3FLFuRD{5A3VjlZw}%m3H1F2RAeQwS`zh;o z&L7)PU7LwApzETEP-0oV^i10ufP})&=tEHENt##QfuUAe9}?(u^Hz!j?}A*{n#SKX zIebutfa|0ozOJXPL&k@z#&`Hc51HFG!0VAS2NkcV#-FC80=Ct(k_xjhaNQ7%*?xbq zxusaYFs&6s@5nU@0h#gw-*ay+YR({mcBug2+ckRpo8J6O$55$!cOP%@NHjPvp9!&O z7{;semzUCKq5p^xFUP+n-r)`ks1zwzugF{49C=l-s^w@uE3$Vk3Fj&K3`EK>UO*?7 zK+=4&7|P=xYd7*rVwtwZCWqJ8hF^i!^o{Hl-Wg`*(;4r&c2Epay%xgavupi z+CEq(w%oYLGh146HHOPyzih5<+A?w;&(BxZ-5{OlewPNE9)@%Rg{H9xJ7|d4^Pe`0mcm^ zaD1SrufdgZayB{uK{@QorWPq2ME2Bd)+M0rWOhVlf{R^~=ycwF=pE+C^}yBCr#;tU z(b?Jy>=iiKQ6ifca(Ff*=&Ic#XSj^Xy?AQ&vFPIceO zVX7`F1$(>{3YgWzEz&Bg{?)!=3-NsU|9v7 zSWLX@@$byA0$^AzuwXeQ*!69`!!%vJR3yNvR6o9h(~AVQy!>y&lqOhV9?47P?iTh- z93B~p>Bm@aA%t$o311f@DgWW@;*i?rtz=F;_u zV-F=S1x2XT4?6AUA%T+kaTx~&Y$(_APV_B(IkNM`e%TrvjK`Raan6P)a5G!WiPy6P zQkPg?xZoxb6lCX-yu~T}S})e3$0azjXTv~-To17`aI-LK{Z^T8e?Kb^OHT{w3F6sM zKs6?cJ$u>8MWMVqwRhD?@mSHPuy)oF7yOTBFd`ajYXD4#nEMP&A9HzgtP=aS7Okyc zQ&K=#+XOpEfq;v|cjTGFo6q>7l+7#f`@vW@ zTG!Fw^{L9NMg$kEeo}u$X%)YUvGp(~qc-)@4A0~+cST8|Q7~Vdy{uAxB8gK{X&#Y< z9%nbVFxPsZMips(K~UQUuh61#pit}S%Klg%f$Ii#BMBBuJWf{USMwl@^ zXZt8MKJcP6C9gO7Iz=Qq3fIT1%A(B&E1MLCE2x`4V^3OvkQ~!S5S3#ng{wpT0KccS z<*3W5w25m!q$&QY!MGa>X_#w`{2ZJqNUOjQl2;Vr&_cE{2`vy!~@riy zlS8Xq*vnHGdcNB!QgE?Mfegbv5XD4&Vn}+->HfP@V zxCN_XyghMgF}Amg0v0Q&3{`+%NF6-)ky6g<8rNR3k!8VI`TDCQ@PgT6 z$|E-K>98r;n7dluOT!oWUP88kwy)Qw(|O*<46Bblj|uh)O51SWrfyZR*_+T$){A2` zxwInS_8qOetY%Td!Ll_*f^YA~*Hh=|Ct{ZJJ+5LM5DIa*+T%zq^vQeB%E^v9x+G6} z|IGtc_B)16p3@Nu3taE=_+TjTn0de-h0xQYfWn1g&T%4~^ZCIgrjc<|76!KDmdei0 z)q0RX00*e@JP7)7(0C9ES59Hx>)jH$Pg=dFYbAoP1|7&~RReCh~FQy|8kDYl)Kf%mwlx zzOrh4&%@-!T3GJJorh@U?>88wd_$#PawTd_Lnn?Car4OR3cdqSTU~3YUVutq3MNhWmn0(lZ zZOpJZd2%>cdOlc8j8$%o?Ln;b3>Nsm%r}9V9dxXZXE4sc=FzR7Pq_=bj5Yr7u1Slm zubFH<1dUKBAK}@amrk^+BJ)b+2Rf7#_s%TMahzd#qTK6SPz~8v@B9A%K3^6R_^>;r zbO22QZSXKcf|gM7<^t>)0&YGI5BeGAN4NTOt%PCEvK2RXg=uQXrRMhq&~Up+=NR;K}APMNcp>WJ!UcJ~pe$uNHAtP9=rh znCY+|+gL3>Qbke@K|?YHIy^qUciIx=om*Ze0n9A(ZVGqE3Je*Y%rXxrJ*bGld|Q6u z6K+c|DR^d6cJ-rxyO-yfRJMhB<#{vjfjTi771xs2^JuT0)<;<<9nXb-=Ok`eE!J?xJO97gJLky@#?SAN!;fs|$JX;-# z2WRILX1bk}VB3{M)EBj9r3GdpyXayEk~AcymGI5Sc#BG&BD9CKU!gj)xz9^O7-Y(+ zS|GMqD@o@!LNg^53w>VRJptKm&=r`k`RFRxcw^G?)sq}66IpO+$uZ8X^x%gq{7i9W z^VGt*nXL>EfExo~@suTt~Uf6WgS$^PR!ThHPE{*AR zcu(j7-PZVFhHg3}^)Yl8&RVCPfO4dFtt4>S?@4LAnwzKUTpckLYqs8Ut*(ob;zTqc zK19t)uf*LXQYSh6+&YS9Sgn9qV@@0kZ{!vp`2ork)p`sndRUI4BULrFhC{A;DO$)? z5rq_Rbh?FeKF_0s*~|Mmt+py0FCS5f>&M2+Y)DLBY&kgFic^eK3 zWcwKfPUAeFS9M;DiEd(`?y1yHs(P7q?4i`X8+2jU45O$|%<59vQ!#8|Ou2hKef`?g z3FggMhoFZPSe#or*J_h2Pn{;?BgNWaCU!T~E`B=|5>y*c2c;ix2#N#A74*JAA!1-< z@NFl8_SxK9@Ry7ntYyr24;HxcyQNN=#W+`|SmtE9K046u(Rs0+w@pzRA!B4@_URl~ z(IaU`j@{g6bqr+rki4Do9Z#?T$kM`Lsc>&4?S8@PNS4pJYW5m&sW~4>(V8)ueo1r4 zmC2^$`!UWrXG3{DdU2_vKs)Us5^i={0&k;RA~S}$4!U`DiaER_)E4f>T@R8Vrhzea z5M9k$wphmbK8TnQbRgGz-uluX)emFAr-e7ZnALpa}9+c{dwY%MDo z9tdwW zqnzu?N&zuEMLRu>7al#B*KT)zaOwD&yX0+B@ye1fH2zCeh5gqx6K19y}Id~`f*^W)|p5nZlHrUMr8dp&^9BUf0IX<`~ zF0}seyjO1OIgc!^patuZe49Ayxe*1)1U)ZHFroEjp^4MrrzVkSAZX?{`OE=#+A5?GY*?9RGw_yg;@rmHw4#4ef zj=6*B0da~(u$Ha+!7Wzo zcgD$7x%_PcXN0-^xQv&uyW?=aVdFkdNy6j>i;eD0t*3KiZEi`RRgc2rei5dLR=*Z{ z%iM~xz;4_a=hGMjm>DJ}EI4Y)5$_cMcI=3Yz%xx31};e4`;(XK@)lI;IiI_ZZJgCm zId=(r!dF7W6jE3(0v6D=Bl)d^Ub*`z? z0YR}=d2>$2Za29^vyNY|HcA?{8lUVj*wSA{9pqqp8blBu=i5>d2KYmlX* zm7-YJl+6^bmO`3|drxPr<@hZoT4~6GMaIoNRCEmcHX}k>SB-qL;MpsCkHQ@-GJ}9L z8r8mJa^r>I3s>r+z*5A$lIII_g>y!;`%~AfjY^-LuDUbCUu<$t*moa zlT5W0)1SYXv#wCc@w@Pkuuf(7=G>_33&%E7r87eL4hXjI9pHwkL+)z31+9^MyPd;R(QH~*d z;FKHDlQRODSbxe>I-bWaJV@PTl}hY^-AHb&@YyCv#;}{=gO^8X?|4 zCoydEJTx*jbdA6B;$1<%z*#sy)IkTz_ef~eMGoeXsi)b-B5H**qhB_(R{B_~|Hb4b zF@We(E7J$OJY_xOY}bIxy$B{aZ|Mz=JZoY3yt$sdAs7E_AF#S-W3affg#3Lg=KfN? zA-PE#zoM=HZw{#L)6iMVbs-lbr=5&f0*dy&SKgNCxqzu^x~LLKlG5{pOCW51Rb=K` zyMb{2L^shAcjNl~t(zG<0tLa6ESASvpLfzo=Mh`j;&UVTdiiJMRwM+mZMXMN< z5XNGWM(h=+;SCV(*`gtPgY%@GF^Hv85P$)3+N%bX9@=?J2l>5%~ zR4Pxz$z6;$8`rW$nFxLBli?apcEEsgu2ugbt2lM4Nn$7zU?roLt%-j9LUX zqK>hQ=2IDK+tuvJ)0<&^NY9H!P{0)iDkTmibzsrDAK9w?ZZZw)DP=tJy58=(cv_lE z$otM+srzfqR5^Kp?o}Dtd=FB4w>TVw9HC7yC04?9^1J-^F0*h}ql}7CHmTA?68m3s zm|VVT?4H^Qg^rw#j_gnmgJV%crjIU$x)F#GKRnl#Pe)oSJfuMijYeEWN!zzkuTXX* zM6E|7=K6eNYtzG_k0~ho(g)Fo1k$TfA(9|3B+#7xkAGJVMuDI;WdnIWLn*k*(; z8xnZX4u8MClD8l9Im{4mcO32xmZZ;VpNzX>RZQ4^@Q(3T1g-1P(vsp!9_u?h96YB@ zIZm7P0F%_JtMwH;*Pc#M<=%}TeB7;RtP)C_ZtTR2eZrOLXEir)@dj2WS`}Y5(u^<)178@I&{&NMj6sj(8C?AyvK04sSY_6 zs7Tq4E@NZ)Ly>;|QAUnlD-4zvmZrpzbbMfDI>s+$C$L3|xd$}K%Rs&PVi~t#NlLw` z#_v7p+Gwy*ev@E4*L>INo?)LcYE-+;W84r>&`gx;gnts_ASZUn?DN|UttU9H7oKAi zYsvKm5lOv1P|@mOdo1kl1eu|EB^=ikN%uw~@(S??Kb}yvMi3sYtT@qbMpY(krh%d{iub$Qxf|xda)n4M>8A&co*svSOi7l)8Kk z<Xo5IiRS09pm4#cgdaX|uS`fLKmXcBH7$mV1> z1ZC;M^PA+I3&3@Vd0PoZ3f(o0jMFaXn?iKsJo`mSSC`BSJ{^J|37AG2@Z6q`FW6YA z()EOA+gJYz?Ys_q6C)XaB2H2vMSrRMP82`4Gk;+_$$NhG|Hs~20L9t9>7Gp>5Ind` zf(8xlkU;PNfdsb%2@b&w=*N1bam$u5KaJek|045=4oq4&KRv@kUPyr9i1 znv*ZD!Y11d8NRsTX{Bx340WQ!DM>+fAr<~Po-EMz)RLYO+2Xp^Vhbzm9}U=^__8S7 zgZV17e&{H!TPP36dX?SlfBDMj8%jKX`N}>Et?PiVJiOkn3HZv^#@@miKah6nmz3s0 zx6b^CH6fzm8j@&FCH(;v`3_p7Br4jsf2z^yg%*xAr=_u?pC zchpYhA^CEo5~F|0iVN&AS>DaDi#8PP$lNvZbmh$eJ(5AWG{`d(g4uzwcIf@I9lDkc zDYvyU#9J6CvP?;CF}ImeAo6$fl_}FtI*oe$<|yo{BiQF$zn#Np1xP^3>$GWvfo^ZQ zi*tuIaYKtCN-zI_)ThRyINwz*^pCSi@M`^d`T|;rk}!l^wOa^R^`dMStB&5h2^D<{ z!9|nD?m3iL>i$TS>)Ec*eg3jaXmB~+7o9%krkNSJ55WgXm)ImqzX|d*v~nvnzjpno zRB&joBhOb>f1xnNLL8|)py7}&cS+~RS_zGgwDmNt2zA0l*X)j!YN}&xq$X~Tt*ZL0 z(Z!6$FgWmrwJFC}TtPSh^Pc)>jUs6*TxTLLid}L^OCJlHF}DpB!e6hM`6JCfBd5*( zA9N^#(tkaIi>TKVSj%GZ0C|!DkZcY-iI(R{V18tKPxS}6_Q*z_Sqr#^Gren!Lfy7EC%6bMoIVV*tm$o{iOhN@R75@YR` z-nb8uKLO<)@NS^!KC!u~D@sJQ_$u}1O4E&v`n;i%upyutJSC{r&RfsEP60#j%f@-m7k>mADXhisw zcJP~;8&FOlB=&$K?*5W(^4`gXcb{d-Lhkmr+Y`EfcozmzrP+3_GC8HdV}p`4^pM;=wf`9CbFuVDB?M=<0gV*_2ksIi#-- zVc#Udtp4()x*QTzh^*hO^dlHkW2&7N?q~=t-|?-fnKGKNqn+^_a5RrYTczOC2KQ@i zHI2HP{)fk|{P(1XznkKNm^aZHH zZ^xOGUUG)O`oaQT%M5gA*@t!I33T@1;lb`#^kZ`kA7tq0D&3E1n^SK3+Fv&|{c4)t z@ls%)n`ftx`&nH_`EzwX%`8Y1FO4!R8zOOw1=fA+R`~fI^bqqpLjnKpFZFwsPuYG%jH8C!gjoT6B-#-Qs)pvpKgK~D7r8JUx<=xF## z9Ed&!v>k6iR#w1f(i8=AI!gU8PkggSvmeKjrZ|KQB4{KCQX5{>puK5loOZ?yk-*@z zexi~EWZ*JZMCvdv#4f_9mkj+e?U3ZzCx8j&6T~QZcbu`hAOCq!F-tNEzZ>>FHh9u8e~95AB9*mRLBM_ zPixQc&E3-yCl8wEl3f|5cz?0C%{Y+7YL#+>lsBHy#!f_NXm!kjH(&x@yTw|x4S;2^&Nx3{zK5Zmh+x+@m_EHK z^Ts21#`FeOgV~L`Bl?;mwCl`&$ydx(WyZRWmA-YllD*=1#a4bJ!6Y)c=<_$r`XmT8 zg+^lrHml}zrP=o|MXI`CxyfILq_61l_N{tr&(PCV^x(@Ib~vNdk;X3%My&{+;WHcP zdQE*p!?>6HMHSj7As;s0W55YL7UzPS=I#@SUAipUHf8?~Cs=8It^T>iqzAhPH%3Ku z%~z!IIk=@)bB%Qd!Lvbu&FJyK@hrc+W!B6o2=xa6IZ6bvk-DM_a@g0%EiYqv7z#OV zZ@3bjQd471;`y>#bqnLvmuJ6+-}!PAsnMiGHVKgyQM{i**giu6+-s1(9&9iO29}Q*kOGoQ>Kjdg2v$Z&+Z3h~MW&YoOVnnMnO^Hz*on}w;Ymjx2|sxjLesKV>eJm0lX$=; z=X`v&1B?kSxh~qWkcs%bRyv3n;o0=;ZvUc5p!}*|>8hqiLvS3fQ2Pg{(dRm#N^XG7 zU8?ea=EY2wMfubLp6(YC;;A=_of0n6YO-SX(ZkKSaLqM9zR1p%1_DEr9f$;lOkB}- zW2N?xbY4ki%H{pjxWKcjl+77_VPXx?m6~9=hN)sHLSiGs3C>D6n~jp0HjT&$^Cp^@ z?@LMOYK!Oyws|H)dds=@)W25+ytO3oJ?3~By<0BwAmuUExN^TCMWY+6ms8$||U~_Uwjuk(^nbj6U8MjefUWGt!{7baYJiAX!6G zmbGU9S9bTVVf7D4haCsEXB~^TjZQ0At!cJZs%*rDPSK3Iyr}KBMu4A$OQG)@`X>(d zYmJ6T2tFHwv;HKV`~l$55Gtze#Vv2_%n;jF$AA&EwHFe%@a6EE`+SS4Rz~c=IznWk zqSgod;2Zt5h7L|HPO$}5OLU4>INmNXmKK)_n>UO}Xv``LhLeEWmSyQKy)~S;6j)Xt zSNjg_Q!GYYo*$2&eTOuu*Dlz*xJ$0%qx(;#TnVDl{DmWLvrkJ_1vN= z%>|l~(Y>knU4=8lPtP?PPrkovV;+(M= zVJBuL$D~oDIE!e6fEMN{HrdKvBwsAWky~uV-Oau|Q>R$p)M(x{HZj^AaW45iXa8GS`u|LK6wBWe07_cw z`JQPGuKvsucM;#k@N63J+^d8>YB2xZD*s>dxiVY=c!v!1_w_f+3w^eEE4m)4wjVca z!-2?Y?;_ryzT4h9(|Gt-UbRN;tCBiGnvedx{ffu|#i3y>VQrC_a2;`y$47vl;m7(1 z1PC1nb{fn-ARirIhavg{`U4`Xr2i}X5SwkOlXRUCF0gQt*9atjYZ&zJ3F~>T{Uf$2 zSj#KbgiI*v);Bz<41{_=hNTn|r_V*{v#)aO=>zF`*>0c(0@&fCf#g8HRUY)Zr4Ss{ zxn{vrTgCcw)g%-WCjg?Vr0^a5_Q|)?ggdqi<<^FKK-&)8QdSG+qnv}GW(0Q6$R}Do zmOr5JcikpYI8 z%<=u;*h+jm3CWEir}%G6?%*h z_%cKxrrlN8;|iz$>G$Fj679(C_Z2xSGMn>F))mum(E3jVV@Wj|)2D{7E+kLLBsq;* za#wtwkX%RvmH^0@u>bO(EEUBJmm2u(33fJ)?p!;4j^8F^Rw8z0DWkZ(4~9VYY2lwf zps`+`QTj}@0m2{+psEZycY~+A9PFsT9`_!~)7Di5ht!%2m1{>nXVy2it5_cP{ak6= zmjmJ>28J-%N7+hXsaOyILVT0;>eK#@qFyQlp%UAgh6pCIt+QJ^Pgy`kT+VzToNdz< z=d8{A0L`c~GtV#`?fU`kO-6RCwFV7sILPW$J~S2iOq7@VtS?JEN*KzmvjHA@`FJ0l z(rh*naYgECesi=-3&o7a*W_Y-1LesT^X5Rmrz;GNV2t?K^T$&Sp*=CK0i8xR4$-&8 z(pd{byfT~eZOy)$$K}JnE|B)Al3kdDhB%O)t*EM%S#*u*zS!ixTWEd%tu|qYW0dd6 zdAWEFL6f+B&|FtEVt$>JA(QbNEd8wAL>1;wF1~?tme9~o^iDD338bf&`YBW+Um%DN z7ZY5$`S7bH12Xmp#AwLr$Qz6Psd{)h9JwO{)OB*kpE#kt)MI6a^+ESdIHl^l?`piN zz9ndX4cpQrbA@JhHe|dMJ{b=xGyM2{Zd`QJ5~Cfn*AZ@09J>vEb8ZMK8;*24VQa9z$_thf8RVz5#zkZUWO?xT zZJ=?&Q%cxyp*4GBIlK6l)-A)E{@it|eO#ncaKLg3=(FE}3G@q{)vdl{UB?*Rj6U9T zqmsRQ(|qy7msB{WH3(!p&$n>kjRswd-?Zw$u}D#BtB7_b=&?tU{xMvUO5wO?Z`5kb zBz}dum%tS)2OaM`Beo>q)zOHzAZl9)csyljs@9s5y+pc>xTGjutg~@doZ{7XwvoA8 z!xpCJ<~`oBFf?A@7oJ)+PqFC;&Yp-HW14zdugh2W!>#CxItE^Y*|#OGF~_wgDu7Ad z^B|$~I9Cg83p02^=1Kyd@q5Nf!6hw^pqfO)Z~)=Kla(saLSI z^omuTeF>b7dZ&f!Tg~<57~R{Z54tzj+>J(>8I9xa#RXQ>Trla8eQ!><)k9L2hs{R0ACa$uPX)Dm{axA!P45xsaM3Vu+g23*>9 zYoA;4Xt)N=l^{6&@wN((BP=h4Sq;nP9$jy0{O>6^fQBNl&j#R?(Tz(07wF6;(1Wr& z0N7`xMbM<66HlHmVr{iI0%|M2Z86_Od_EwkufmPEXKx%ml;nTbBmWxqIGCtl-6 z>TT-gIf8>+@A8!lr&Uqj$@yDpLJc#m|BZ~E|53;2KYs6jjp6edd}Nr^BTkY+5srWVRLMjZ&i zN!OvjJb_JCvOW9{$bZP^mN*)&CH|M5WB8Yzv)zFR*UP^NK0dR}fLPS=#lm873LP=N zadp#wmsRdrD}~c{TvO!5jS3`qxM9>z)mBz<o{L!13f zsn?+9Q9exc@(g*SHF0et5tGoa;lW_Iz80#+@f)$C z;zTuj&(vu20n+`s@LuiiySy@>4HnsPdB4J{GlSm7M=6#w;PnxIgmH_X==aFqbO~>V z&|g+;j(k3q?29cOZN-F05UqH6!u<<}auoSfs&(Iua}*SaiSzkMVX}OVV-hqXG{y8> zeA!AS-M4t^U8PXQ`u0=78F58s?8%H~25zV#kVZ~g?x+SX_keKVcP~mTc!Mv*7h5O< zwoYvxb{{$%cLyvX2;+?hf&rRZ=k|2SsF)plE;MWttyuK6A=k*@=<>`Ed@(MbK`jB%*rs5fG7=V$}qZPKHDtIvP?JaX1G>XN|$6lXB_gihD|XOOLifP1}DDI+Cc6EH0YH{158*vczdRY28xz7i9TVSuoJZ_wg4i+CbO+v_9S6^7I}W_l78o} zbRF!B-Y*(NggxJ0KAqfdvq{n8eM>$u}F*17#uWGc-g0jR?7VejvR=W)uKTqT` zD{(B>73}oHihwPgmVgx1xQ=dl?<{DY4zDM5QLa!04%W=SjSH09l ze$Dnf%?xC_?Tem;tDn;fT)NZ|$}Fuh8Kat`Ooolb}@RkP(ns6TTcad;c=6bW{YI4~p6nU@)& zf};ld)<~x7PM8}O&T=lEiwnFM!YcQZk$QsLSpNTy(*A$f@g;E1*o}a-r~$9h`Bay+ z_7NnoD}i3}Z*)HXt&Ri`6s50x?x_FbDthytJxW(7LZbYO7Qyni9G=?chj@(ng|_ug zVWY;LYn?(|%enSx(4H8c*jR%~J=uQ4TRfV_ZXLVFq%KXBErUXSfrqt1xZ$<~pZ`YX zE%J)bg5n22tRE_MZz>g|(Vw0Jm+{$f*<|c`1ZdYTQq7MhDU{=GyhAz ze0q|U{7W6iXy)LLtzokA3s35+DrVgVMfs;+evt@Ge%pO&s#cOsBD5d-=`~O9d=L%J zOg_i_gW8q1%z&>o2OlSCU)jBS+7VjBp_7iyxJ$6s3Y+QV^er zKH7C4>?)&TN@t{^?~8bb0pp_ZTkAC|J7mkiv&OJ18=Chula~u@ybCDAzx;@h*yQOG zzZwJad-^KiBTk;NLUUxki}M0KDE>a?M_#(U?qN$7H`I7qM#)BQ{w)SPAQa1i zm@>!dup4()x(QLkK5CY!M~;JQ0}^NeNpnV$mos5XRL-1(l#QJoRw*6!6w9d#Ga>U8 z{pD_EFZh=LgN8dZ3M?VXA$i*ozL8$IhI@{@WWQS7XYXN+%%j^S)+! zr{y92k@aB7Ak~L(9U8+oqd~$9w0=jewAF^`N&Hqx%-h~hBN%lz>s=>*Tf9$=KgWBb z`>7hrm~Ag`T~J)u!{(T08S&isi<3;O92-awnaa^%97s)_L2LJWuD^R^;xg7fBsgyl zy$rfgzkh2rqygb~q)@qdPFRVI>}NmD3hA8i)Nh267oCeLmM&QJ>}(B(k`T8ejX)t#<;++AZcJ*E{ypm@UerL{4sMFO-;zH0i=#vCoO{l@4GnbeN9cz7Qt^;=+{= zEQvtA>pxv+`L86XKKVD4WR|}blm5OPqp>3_rPkd$vT$)U+v4unJ%7K3Kj}QcKO`^J z@v9I|G}HHnVl+CUCCv;^;{)LIc#kdc7_&O}!>CY=%Y#3Pt-lot7p^Nts79n*$=3v* zJDuIpw<7@w%Ojwa{NyI=`haf;$gt~IK=IBpm(zB3yhLpV9Pp3oE!HILU6W`52rVmkw&%y{hAACKGkzo5;JvFi9 z0<+YkMPF9YIb5yw+hmndj@HeqI8;Fi`mh%H9vcz8Cxeas?YcNJ2dD02h7=I*8qzK6 zgEp#!^1bt;Z4Vowq|G*$@m^u`7N8E*>J!EHWoU>2y|+c8eT_nmj`Dn1;TMxyt4xZE z*`fUpGa}}e0zy>-%?*`Mpw~0fQw+5#7tYX^msm5jt5}?qogrYVrMbPb?C0NM4oY+M zvmkWOC+e1l5o10Gbf25o$e3R@qr z3k70$2?v<~Zui=75!fae!oS`drk--|iI7yde?aS)G!SviS@vXtX$CStPj+@Xg6hJz zCo7za_u?S*iPDZ(u_O#Jr}~9Ov{64t?T!p2fEMSvvttC!#!&}ePk|n$)%}n5phdcP zbC-8Gu5z8+Fkf!_6cBKt>Jn#z{{gAawz5LU^`PqMv!jW{70Yt!b{eaBv05iJ@p0lV zIOy~xKbyv+-HF?_ycCtA<)@E<;;sl@eb>9z9!s<>S3jIBb(S8YhxRSY*U|4y7S3+i zwkOgSBTVWrQ8*}pKeFP0TbAfClWmAAq!~>{Qz}S}$A3Vo&+`Im)@_JdClS;vwin6a z^lpCpqJ64Ccv*Lliznc>k^1ua(_`+}9usJDKQ!YJ1Z|NVqNb=x9(;R##}!-T>f6(; zozcu>v-;KAM0b=K1=X#(FwBoIfu0Tmr7s(8hL3outBj{2`r3KXP654#6*d>U&xZOb z(EQ^-K+?ND?1IzZn{hn{?%1Q5*zq+*0{h^m-q9^Ja7Knmv$Jz)5RPI39d;Z;(<}rh z-Anb~^?$dsB&Xt7LT75hzf95Zm2RMtWT_H*d@ZObD*}t$!G@Q;t*2rf#PmUo?9bwulvK0CUt{Rg`Oc(|7bm}N+ zAoT4RRQV^3Vg4U#{XhI_s%cT-UV`ukeHx;O{f=VdAjp)jJDh7n@7U9eu`-eo)}Slk zLA@w#pNZS5D4u&A$)ue?p;3FA8{-@4VHfytJ;5J@wM@w=iEJYsOWYz1D?~#V9C7lF z59*!nQ;T%xfW8jJ&2iC#K6c(m5{G<*@d-*ot(%!qkjy~L=X4_=92D!QE4<1E`I`MB& zs|Gxckt2SNU1Vp#ou$AUFw@Qfpwk3ceITsn)N6N2!k@s~KwtVB=j8^5w_h%zlh*w; z>cqU4>`1_`*gRDUiJNou<%uFR{G4aizhFH}^C`je@2DJ2`+x@g+p=BJ;r!wfv#qj) z6od4w4egC_-OM)I(cWnVd{G)~T#bp___z{I7(0)|%Lo?Duw3W41f+3kT{~$obqfUc$wE;1cd&S?7M*`J!~)w_kB(yfJYE# z3GVeKn^N0_j#m^UnL2xy<3%K<5PjRQ!BCGn)%1N!i5Ip{H&;eKzc)#jR$utES%2u6 zOn3kBa9%zCc7iQW22aEX)WClXdJ>I#Dm7({Z#IN;lN`E}ZyfUNK-=cItWYLP6^`ST8eJOusvE=@}`Y-iuwA1R0^g}=t(R`5p z>sa7_fA%P*ugF?5J8c+!OHBzsLQ*;(OMi!$@wug2Evm$yX6S%E=Gzn<+%)%{zw6ao z)VFlh!~Cfbqb|Bi>aoOShV~MyR#R|xZ3p2MOozw#Sr=>O;6kG9~-_h zz&n((-TS35*d_UFhir~Qpy2S!S#FJr^YYhAFU(J>(x2VCr2m@5K-6PNL%{2S?V&SO zP&e~_NqRLf{dXz3+m}j-+1CsgwI-;7C}sdas41uE4~Pn0G1BEtk*PhC)zTCsL>Kb* z>-XK*^loN#Ah@#DRxOhuWVGwhLR3A{4 z@>XK4bEM5RSCp2Ldcq11`0bnpqXn@fp;>8L_GDf8P}k_oXu`FT!XDz>f+IXh4*F?l zMVb0Wt)YHsc@Gv;Ar9#-40Kdf$&$LI6n$M$YFr2x>A$PIwmGgRSg}~r8OKef_~QG8 zY2w1)PjDC5*-^pEGBEp=a3znQc4foir4$R~m?4;075fW-3{6M3<|}N;I$QvsHhw5A zSvYvafwh-DqpB@*7WT5FMKAIzZBirh!UzO7#UdNI}70RdA|Hm)|J=8)=(cO zY19>zpR!}lS>!#ifxK?`dsmcLC*5eUt=NB3DOBN z*8Wu_@BIO7vi^1F-9O^du@1O+ls;Qq$8LLT9f*a7y@8cV%f z``94&bfS-PGv$1d9P_HvX1d|5MRQuF22pt?Ax07nJTlZ!=zI913GD_ zT6k+$C|Ujcyt(QFVfCKKvn?ii0NSojl!{to1BhG4IucVl%$Uyb=9?xsrd?>WOh<)u?nvQL3V-%Ds$P&Mwq)-{=RU`yd^P`=#qF~ zNIM}^HPrBt2qaWH&@p*rnER+)&s~v@y!WXw^tb8rY*q}xZMoiT!5w4z9kLuI;aOuD z90w7H%u|ULfW~pE;i@0 zRFXA;^rvs}DjFW~ybK?MJAgcXB#_6CwaTxp_Zyp|&=oZDTSC!-gwbQf=0rUGAP88F zPx31hN>yi4QxCmwzIRJK4GyBlt|PK><+)VEOc$Pow9Z!6X_JkFR^k&mlOmhr$%IFt zZ=1!Bzjt#zJ}A~PU#}?$Ew=oaN32n$Kgt^cRwv=Xw^}eS5{^cNCR~7x`-#1I=F8Rk zdj>wbp%X-w7Yf~GVIS-kG5i57cU=|Pc+<>sbQU9LZ z+pZCqiDA%q1aOk1L2g)gvz2{@L~tFkCu7%k*!wqDW#c7!I<0u}EOcdDz4V}_kwkhd z5Skur_^26}S+J!-sO>4;4%1vVYH^z4RpL2O#2(F(UO3ujUi&S-qTMK}IZ5~dZ8$~w zLFC#4ZszKWbpR-h8cD)g=Re!>>{nLoIDBnMSDHx2`PMyEVSKe2bkObQwW@Lp15o?4 z(y;zPsHmNz(^$Kn!w(fM)c!$Ae6SenvUwKnvxm&U2nl>|I*w$U;w7z>f=s@YLnBqu z$r6P~&NFX^{0l#?Hz#4)P{qxTz}7GK;s^32=5sW5ZBYjls*2{1GXZ)EL;*in6F|mH zSpy1p$`CB!Ago}wy~NZR{snxKU{^KB#2l> z0@T0`OUj~D3i80y)4!xk@+(#cnW{L4iySizr!fnf1A4AEWV!D}4V z-D_}1#hR8FAWNgjW9zrebI9-6>ElM+?Bh2vV!tVmkaj6+@TO}?QvW=_VB{D=0u42$ z$uy|58!*6{5!H7|yZ|Sjj`yf_zi68(KiL|cAC13<2xQUi(7I3>r(F|6`)uq8iTA5| z@B`ifjyEcZdLaw_KO$H{(@82Sfg7$H6b|HCtAk7|+QX0Nl&==<$JzX99=oBc{#0VAD9q z$|H?&%KPYR)7H*X-R=*_bT4+kI_NnL_p5)4m@2-+xNY?U&lQ6&r)M521G$?QZ7~yD z=2TMFM+(;39?t|ubqv6L71_F@m_0CE5>>WXtB-Pr$=fBV(pYcCkiKN9&ec3JSP6S) zK13J5qZR90plekk+6Jq7Lm?+x+2#$e)Si8%aL{&1qqeFmSxU?_E72M?E!OFAwctL9 zBa0ChyrhL%h1@v~w%To4(F8vdbikN`L^aFy_f+cK*iV>dlt$A>kbZ;=a9N+yGB3To zEq-Kd$@~DXgDRY#FP7WdveyaaHyFng)TQ7D@5|E!IxWcpJ!2pX@`me#;2<_NsPJIf zecq=pgDepW#b^^4)3EuTGc54gvBW=}z5%*!4bY&YNIZJ)>ea8eXLL2L02+rcmg-on zWAoixg~sR~x;PxneKXt3aEs{=37<)8*g#_PwThg%ESPAg_e7hbYTyfu%C^*<889Z_ zyR4Y+_Q4Rv-4vN=ooE%Ydb-5Fogw44Efry^BV*L+Zq&>!+p}blTX8@>dQzEOY$5Kt zP;f!&W?&f*zk`OI0P^hIs%J(XeMHt;8sY3+G;IjoPVHNY;O1dwm>_(iQgoXd?Py>H zjgw92FzZiPT;OQWsv7FV0o{Q>2-X93{*ddznC*Pu@5AlJ)%Iv) zpN4}9I%Z{+g?nvAQas|F9k22XJ$By9UfbQhE8ljzy>PEuZDBeyqpEsCS=jlK2S#a@ z`Av*A;bXj7lk|T2p)b13&X{&~n_{!`^$%iDp-S zPl*C}$$f7kxyc7B*U4K=66Vol656y1m+b_U818R88VL5y6VC2tqKe3@rH6Q>`qdq& zUEWkzE|=S%ao%5<;sHAMdMCl6Pj?FJ>A3&#u75A)(}h;QLr1>BnK*8Y5jx7M6%v#! zKVfwxaUUFu%<8_@3#mfI!UEq4Xw`=}eO@6JpM&flTl4l;l^>^DB?>H>#|ldpmTpO! zW2Ib<=xlyubyvMV!H(K^;<4K_)J^~=)>pWDXyr3%aQ!ueGEQnTJXlugoA=a+ENBO* z>F5omK`a^cETEkfKDCOQKpbnFf0!-1R+}i;J?L|kmaig4l+m0SU5qbwE=@!OL^QLZ^miD(yB3aRvjb_UV@H@w& zekRf+CfBdxy1Dvt`OSpW$;&QAISXwGO0C3z1bd$IyPxw8a25- z-7l`AkB=715N9W18D@z)0ygK$JP}(=)ODBnZWZNFfz7$flC?vf;NaGy<)I9SoA|Or z%eD*Kz>_zq@}fv#AZR7A_lzMl2CS()*|GWjueoGD=Dc060ZX6rw`6MlEuG+)eQc)A zRmnI!@UNGP-Jrog)B(WVsmo&AyAX8X1()gNl7DOrzU@NxQ8CD3itpFg&ABJMl*dEEkTKlDB{LB1>r$<~IXLv9L6st6>r=#q=AT{foE)yL z?>N%+)!$Wgz)o5P>>y&s@>4s|qNW6=`*;de5>r=3hmyS=3Rrp*+3qiIdU*BeQU6_V zz=mB2ZlU>HeYYkwp)qb}H&$`iBASIipwqBbaWCK94)HSS|D52$abfdM6I=k2y1-u( zTmbZxvF#d9+FpZuAtV|d9JJoo0FZ7O^9z9W+)PW}jN3T=%4ENhk;-NMO4UWW z73J;p@N*Zap*slm!A$*JE3zdAjus&l?`J)A&91p8n<;CT-)eAG*UM4*C2yx}mm_pi zn8HO_T{p*x!Q;Kp5AWLAGuNSsf) zt{7uXJX~eeN@Lc~1HsO4j%HvpagQ!f^4xCk5Vqr z;L@BOn@&F6`n$_MUxE8c;vr$j)=p&fUM01Kw12hLOlaKp>Zdr3fgU&-5;?jv+pGny zA6uGQ=~YyGu4ooG;s>IvmqEYj1KAU&l#loLEMD{wSS&>~`1n-R1$=PJJc!p#-c*{@ zeF6HSN;4uA+ZeGYSdxJSV{FTZx5$PoO+T`(Ypt(^I1|D6)5u9<;TOivyJF?Fu{SQK zr%zq^X>jY>q&C$n16vh~wR8`4bbB5Wdux~G*M^H zN378#R!c?}&7MJWS(C;o#BDY$ZyVVLcF35Fpvt(`AD*&C@~Ah!>YE@w!AU8M6*mQ} zR66~q2f+z^%?U{KZ`O2u7t9wu_WV^Qoqt~0QJcfS<3OJz9M=kEzQQ_2Fk@j)mKI6Of4 zj0O)n>#G-MhMg7`tXh(LwZ<$gS|lDPUY9eXRHHiR|MVqE`)n3vjx4Z20tiX>lz&n0 zKf@4kN758J*&f7b7K6ZvI-jSybAsjt}!SO*b`8=*k{ zS*LmSn|#ei=kj2mPpDqU=q!rx|PfBmt9C~+74q`SrY>di`7gg5js zH&a`s=fq(|?k`qS$)Ywm^UYMe;*U`RB@0)Y#P6|Hb)9S96M}H{qQDc-h5&@DL^v%> zBI-&^cu%#i&O=v(or74>%ICE|-Km3qa(&S;2rUW>+7=Zsh8TuYQ}|FEh;z?69W53# z2}=hkS1qv6S5jJudSJ@8e3ciXqyN5C<;@75Q$a#l#cMZLd92DlKF{P3@lZFDNj-VL z9Ul=gLsw-SMKagI0OgFhEV2`o*o=N|;UZ4Zl4yZ4isJvFT3fFrkFAWLRD4d5HFln; z0oTvg7+ymYvYkS9830|FR8XOl|90+nf5R{HjJ1oQTK)j2sV*Spb-g~-b2V;W<)h`R zmgp9449h}X={K)`X(i=kje!tHn!51ptv2B!<>@+!V2u>fyV`=OvBMjKFhzSVHOcl~ zG5hXq;(ZnyvrXFu&aN|jFs^dzFaBzMI`eZqMMk5Bi`*Xrua=ZQ1%o2CZN^xqS}itP zB#7a_sv|w)@N1QkSo$8?xMN}{qXCM}2e}o76g0twpda5%S~E2@&t&XUaSN4yzS!Y@ ze|%1AY#j7ibTkY+HsQsZ3N;JmJK^kG64kNIgc;oRKVC`|Rfg7XO!P(%q*7FJo!I0> zw=}qZW1XsOr6>c(Z{{b4LcA16J*CViM{$b3igwm?FNWEal@4{Tv>zj#$>*ATi?q#` zRfy_WT7(uHoRhuumyR;mxvD=w-Ke4YVUix+B`5}z!jI`TB?v{BKl|{=QC) zg^NqGVwp&O;HM$_zJ%6JZP>5PZ*3O_PD??hKBk2nEk!Cm&K58S5p_4JWYtgKLeNE? z=-rmGY`Z@eUVK2}iF#3&97H*-Z)TS3}uco`x(r;X+M2;zG+jD`K zG5a9!Mcweptt)aw9Bbf1lEiiZ-0X3!3h0<}ajJ^%Md_8DN z=LgJ=V2y_JY$~3ic=Amm#7ahN_)ap@cg1RWWL$rrzScsX47P2`!qJ2%C);n1qk{>g zf^I&}p5(zaGM~=Q<-cl7hgdABq<2!HJ7ws>uwgVzc<+6si)`4;G17~_Ek+>1kfAhkcB)WScYCZ0ba zyV6;!LEZ<20o8}%Q=ghMn~KNLO~(4n!32}@eBI4v{;pds6H^sBzN5>3Oke(Ie~pA$ z)(>zfRc-0M9j(-BS|C7nzr6|6|BX4ypubD}5{-e+-a}8bb7eWh3l;T+hfN!GliEGF zumX5L-xlr5ybH8Xqne$Z|CeC0w+8Zbl<#I&Xs6P z;cx$dq`V3ri3Mm7@mdW_qRc%1N~G{cPLq^Lhv=@W011*=?F!@>+F8G3D;NImJ@eW!-S| z#n_!NbtjFFK`8#dZ_()L+{SxVDBylH&%sRca5tu4JBnT_@PV_p3BUvIkHTnGn($kV zQWkc6L!B$_Cbk|9h>!f!_a6tAWP{I~cw?n!$G+1)n&z2B^X0~v&QO|J45A5c05$tz zig)^FK$kz#)uk1n2;I@zLM9u7yg7J7!d&6l+s--dB*5hY7$0uo# zr?+?}WMp5La>;grkPc*h5t_!3aWsp&ownIjxBAH;ml)-0_SynI*U%7AqSZk`x;BuL zlZHzypjJ$#ncw9H-hQuFyw(j!8nKgEQpT;SZuD*UMDKqHY90WpExr6A+deOs(vmfJ#_oi zR~b!|98@Lk)}9SHBLcvMGso?e2Ra|S3dd~nXPuMNZMKu`+g{3bnJo_|4pLML^_jy} z`pDO>&%uuUOU?-ybqrO_4UN+~7VNf@&+u(lkm+8T-s+0J-kw0SlXlzdjt)@;r*7T^ zUu6OnqKFwJM;cvrETx${_3-8>wWD!{=$LNBSZxeWdh!A@vx!siwevH(+pW`V)L;F_C`9ZdL-ct$(t`h76W}mjg%4v$HlGyS~ zcUo#(ZS1_us#<`~%1a^mJy4ro0C6EAk9YM@=j(C{3lE4L;d&3RpG39gl6GBPdNd|w z^tD_+*)M()q@ib0s7uzL$OH4p0|Q6Xm)sKP@oKpXyQ|9cGTGt|75Yhl)54qn5s465qJnR0}g zF(jGRkmsKJOg3tKOm&1sp2AcwVv9Cni}#ESe0^$%g%FrS@O`^Ze59SPy|Cs6?nh?Sjwvbd}8X{igO5%@Yq_(ZxF?e`tP+OtQG?3ic$+~`|jGcdG1+^1j<10@n|7{Gzb8$e}bduK-Wumw^Vq($_8Kr@s6 zdZcrjkbQt#VtE%>SCHmQV9(~uB=*{4uU>dwZ1XG>Fmj2;;_Y&d?DfY>L}5!ZzXr*c zh;V5?f3oh5@wPUh=6OSv{*Q44;A=_%M8+g#*bB4qtl-I4c^$AlW#h11Ug=S{Q0ldDUoLrYTKPz-emuSt8CoQ#w7<}O^Nb@5ux7a}H)t{V$~SkNSl+SWwT?Bwc~>ZIP)>Z+ z(dRvWdG7s1nh-!I0=+pv^x>c44`5cv*J#K^j*pqn;cJdc3wt-~d9IwuU+-T!i|8R1 zX?_0>_TD?HseRuU4bnt9(z}8pz4tECL&bV*9?OJEAz3)Ba-8=4Be=s00Gntw5JAdj?E>lWCGe)l_UAY`FtV0cEI8#z&$?$kt_O^z7-hp{TX-r9$G-Xk zdbx{{tJFBN6F-gJEP^V74JY}bs7v3#3M10?6{jte*s52s_|Cf3C1@HE$x?<~J15hye{@27;z1k=L!7gL~ zdfa02vieQaCs$0`wbM0z0cS5vioLX&A|hY*EvHR_5Rg0d2v6V(@qYAWr;t z8jSAsw4@F;Ep-Z|GL?09b-k1EbgI5?EIz=UzITuC4QIT5pdSYp$Wt}2)q{-zy#4@u zN#xjmVTo_9m>l@_+v-_h0SufrFiRfMzE17|OhoxrfQjhc>K!;n6mCwe^9fqlK3h9% z`uYd&6-wKWQD*btsR+>k;5R=v^YEdl;qeuDN7-ba$xXc}H`JmH%9b{FQNUrTwi zE;V(P+HR)y(s}la>zy?^O1?yuaKHH)ybn3AdLMg7@A;u^EqrzMdg zS=Y`r3>iRcw_Zjpz75&bPZCH;hH0^I^yYJ*NNXGTgKDmF_qjQ^ljY)u?skAU*pJf# zwiC{SAHifkzmib&9~43-Nf2c6J%dgH$U4hAr9Zre6mIpqm!eO>Wb?}*xk@M%Rj#;t zGJb}|;b$#q(yQBoq9NFwp8VC6xZ+rl-1B~Kv~==9k$#%hQTo}Bi3>-f`5dz{f3;$oIu5$DFOB*Hh8+m(CyTx#;zP-o>L3fXLn*sOe)tAD%{pe%Wb?E&+#K;}P>!*Xv1<;x_n%I){?ikM94d+3hYMhRVXueGs;%+g5Y@ zS&Oy4*%Sn<+5;6TgN#Ho~8+p73BN;unnSHBK{e;|; zD!}9inB8A5O>BoT34?8c+#JQ9a6CQ=89p0WY#^PgIdnQWNsY?6n+Mru+;z&o)i!%F zcUN}zO0|YF&6t5vg5<{jt<>ckA-lyBP;Q%I|1UkEx9YPtAU>;KLP|Jog-g>I9()7g zJ!kdQkr!5Cbw`GdUFxDjOFR(!CWlW@^^t88x3ccNkD%w2Hij8>kZ|fY*$i~jmj~m* zMw$BZ@1nyi{Tm+CP7A{3Y$su+=%_AU2bU4WiF+@|Lv&S%9MA|NSUzJ1|18_Pp)$Qyo0jX4bu7QBy)nm<=0I9-@8I|f-Vty8 z=b8QB5I`uvkJ0OmcL>Kr#!h*h{B`Om$~j*8*J~$BiloFWT#wMIu-!wny0^KXLBq6< zVY7sZx9|v3u7ZFjROw?)FU!EdVE-+J8)!8|x6Ix0fO1p*4<*&B!)01d^pf*WQV(DQ zhz&FEH7cbwT|Blg-z3_}2{L`8vh}9Ki5H#W{_c|dR1!wML!S%Y(JX7%IDXxoUEp2j zIxXqZkufd11Fh>2@HkV`V2~P=q8Ub4!cr0;PIHWPt(%|TIn!M)mp@Gmp8Y&T_W>zV z<HX7#L?@6g>+9eY=1Cf+-8sm_$w;@8nJxUIw{g%O`}6%SEd zBTXr}W@bEm)xN31KGcYxGRtyZYMu2uyshTbm-?waSnbD#nAuqxB5I++2dXUB%GSuO z%oJm=G!CHva4br8&(I_TcmnXBj(-aHeCt?Yra*M0iv+>N9D?6ZCb>EA(asQxSB6(%54Jpo)LV}H8l zt>puj;d4)%2jB_oI}2%9QpF;-+ZXKy40uYyq&s;;zsu+cji0mDrd|@P{B*H2Y550- zx4atSMR%(0oamZ2K4mI2B_mY)Ej?99hq&BVh%ye!hWpMt+~8}hX;GgN$W7rX%C8bVahMs0WrU(h!Ff zgRoU1)76~?)z;bm;jJJ;n^gHIJ|oo3Dg zeGWngyQFP{+i@??s+P}#z@0(u$4wh^1?0ifCEMyofa|%BJIVb0>K9*(ccS+q*79v$ z*4j#sfpEhU)-gH|OLPHjuCvSAmh?=fDZDU{KaDxLUs0WEv;O9sTN*HS)F@ zOmskC@Jr=uC=xdM9uM}*Pc@ngMIQ&{$W1N`A0tn*OP!nBzSuf%?3grUoC4;!3hu8; zILWX0Bwk~SJ@&6ThRg3m5;4!-Epa8(-Q$RT)GoA`g|FeKB+}0x;VD8*HnV?>mh3)8 zcP;jcY^Sk=u1F-vc8t(-KP_cvW_y*EP6R?3oRE_{hO%KNu>*BK5gdCt(Q}6kn8EeF zv-9}A7gF@N9}CH)(YWsRj_%baPDIkE-dB~b)uVpCbE1!wu9gxG$6pN}-$O8PytQjo zjFF*B{NYaElueAfJ*;YU=uS{wS|9KFf`8-q?ck=yeq&_bs-%*~SHrI=-51vASLkql zU#ETdQ}sMf+f`aWd|N{2-%dEGWEp!ppjz^f^W-b8R-V4wK@A3sfvhs=fzWG!(aGS2~_HDw zi7_O4bYt<{cNSGU*+#UGK*WWc(IL;!&Aj6-x>< z;^CEs_W?s&IJx2_q4AWDB8PkKDQ|J^@N!;DeMZt6LjHxbK>|`|R)-F)V_Shv?Fr?Z z_7S}4TwptaF)dSZwPBe!%2WGF^(f+z)Afb0FtW$eH8%uVobvh8Fv@Hg{^*h8kVq`$ zvN+RYN+w|2u)qXnVB>PBRL8dX0DcJ_}r)Q=pnleNyan{f$` zIe)A)a3(&!h!*U_GF;ldSqt$~P3=DO6B&yyH<8sTJ&*cw{h^Q{(;&MP{rIyKrF%Oo zyfY952DIT5)ZG%vNQ)yC+RE1^yr;Hg?`0hlq?ZofHQQSus-K|c;nE27n-G{OFj!xx ziSza-SCNcE*fwe6T{1a(8~6`+Lsyig^@tHo3iZZM9xu=N^pei@ zaI)8!w7uZ0`EqV@wpB*_y)*r%w$Wv(wJCU+MNh;Y6%XlTr)v^!vRgNiUo)+VMmE6g zTJX}wavnE$*^2kLhMk_#iFS;T`z%?z1mP~UTmiH`5#+aw`^dJYqD6l-W4knxde_rM z>a^ikv4q1ev@W#F9-U>t+BvTK<*IOsjlbs{*>774qAEM7sx4-!6!_k&&!1qyVjexm-2 z_rCRFpAgz@vcp2999=(RI!L4vPq|5NU|wQ&bDTUMR8!ECPdRsZSj$G`$e3SX1K(Hp zx@dOT;K)}q(;>*fKvuzt^C~iJRXnJRkuWZJSq*s1$dt7HSU%x(ap9Be`P7hPFH1AX zH)6CgE(Lml5AtkdoPt`eg%G)57;N98E4vwjs^#*O7MDIh+`qdjRH@E>jt)+W9IsX> zw{&DI?FMWO=jwCJSJL2wQ#Ch@J~zGk!kOr>V(LmV0ehMHM!1Ffi04N^H1@Xt(@9dU z&gSu0cd>h>w$084#{s7wPnA#-oQq%GKnPrMr#aX%#)2HxW7zzbF*YIinX8zeWHntH zg$=Rl4Sa^%VuUQ?&wwV{*I+I{u-|xX_43+0_}llG{%@GV#jt@2@;&U3lf3c<@{obRw8X*{!e zte@6oCT*3{O^=|N^|#kR55ITFF-Rs^C67){h8j3?)1?Y#B~S>n-Qy3>?kV_Ji+pnVvUabd>ul716h&x&^ozoB&O2R1?BujZEl|1!@X0HlOU?So3o>!mhXWF^Nbu$g~PCW)uU`W1I8XIF^>^y-eIObw#nMuSQfA91UY{VgMfcV0|~-2Z6HaH<`~hoa59%Ko5nC)qo0Gs1b3xHhCP zt8Xd&p@1^Gfop14lYkr-VwBD_e^utR#iw$9+-bjgH9##oo}FT6Fr7f%eTK5{cJ3FGmH~ zXjYe;M9?yNpIft(vVAuMIUE+$Qr%F{w6AWudRuQV=#u5QtIho*`g;{9pi@;)byvGn z5%myaO?NM1Au#{^3j|pc9^jf#Xs#CoqAW?~jnLVM>Vp#4;(&WFe`(>gUHXbLCuxNyTgK7H z0qa`~QgXCgWf1rOsk-z3tDyYf@$-%ULYT8=Klwh*k)$0rd0uK$M1g?Es`M^KG4s#G zI(grqQ{S)pM|OD`Ia=CXx-Yo8anOl~c{F5rF&ES7TZ>R6?aQT^i&IZIF5i^mNqdns zt}@ULqXnvCT&N^KM2!ce*8veORVdI*RXQXE)Un`TtSZF(JuqOX6=oYn3mz$WUFi-2 z#l|>RTM(;pB>3yb)t1C)QNs{V;#C^el9KAz$LzJWP3f@b1)i@mht*l-T1%Z^;r66Q zwNB2H_sa7n>NFaMOcYg$h`XijsH&VNN*vW)p98eX1;7fdNyqztg(vgR-g|^VuA0Xj zTvf?weo%My3i9$#8!gu4D9sZc()-w?`@$?~pG5`$`~0F^|KkSld#UCLO>PJOAO2we zO39}s)a%QO$M(Qv>z7Tv6-n!~a^q~~eD)O%A5n3PySkP@`DH2zj)uh!TIj=SN*=HC zLG3xF%HF|uHH`-o3dlEVKa}1O)|Q3n0Vo`={DJ=g!~cu#ID^4@Q|>=3@yA_@7v%Th z%kz&va=aIYq{r<>WT4}rGxWZ0%!)u$w7@re?JI_no~L#>fp{~~j=%(K zV+*k3cE6HZGSoMNbnBec2`cW1SAw_u;6O3*XF4-~|L)(qG}4v~;DP=%ol8;xnEPRO zXs0q0m5oUX#RCZN(*+l9(3*;WYkxmO;NSL{sxgF>ViL0pP8ny~PY@pF`kw8iitA>8 zfb@7ObMW!vR_7?|ExRnf5P8*U)G`%3s+3KeeCJtxa#w0HA)h@7a}?1x^KOT z6H>;bQ1$sSg!zXtLLn~p<|qd?_@}5_)K8(>zI%Tx$#=>CiratZc9p3paMC&;OAJfC zMk;2ZRihp_c&lLeXkmqtoqoZqMQTh<=6Evf?jH~3m+n9n+OSvR;w)SYP?31u+uAz% z5}Gc5iGgJEwR{wdp1(Laxc<`6k@AHEl9v4RJCr zY#P=;;=k-U6|EzddVY-J!rshD{T)PKj^T$}!AWg;veHcA_D_N)thuNTj^C=K{0Hqm8T6!WhJDUE(UhNKKhSkN?{x97whC;$P}qO@Wh8fz_vmWr`Bwr%3|Edi z<{S+Gi-<A_BrO-*S zQ;7r=l12Ut5wL}EMv0m%7Zr=iUi z|Jqj1kJa&X_>MR|*oS35>Jm1I;f#R)5p(^^5&s0k{Q;N#%QmJmSNkgkrMbCGxS`v( z1722Ug84p@4PDC{snEhl_zLH2hVr4$LsBmysK&(4Fa`LePbrM)WrqR`Tx-S{6nm`U{p}%wV%y* zEJ?)^L+}j)no~}RYV`dFoo!`fq}QnYw?_lX5-W_I1NCc4O3dzzCQn;yl&Exb7m^76 zRY3DrKzL>qIMD@w+7t!ay(=FVyMpb#R9p~HtiKKP;LR!0LJf7!V)3q4<_pOn|H65R z?X(chHk)><4e?TK{2Hv{e8X(mN^DTb;q^;S&6_di9Vz|t749Ja7A(~&8fXH44IR8n ztv1^RqJLa=a1n@pAb^**=yx3cI{Is&hH!qxt_8m4{A!M>>-TS~wXEl;Nf}M3^Nsjl zYs^5A_xer_`jrQZ&GMhnm~ZaLegj}qh&$D<4(PYSG!dm@IFtW8=nRWd7V&IROudA< zao@GvPm8>pIEGJg(}Qdn#_2~ry5Z!B0(Vjlb<>dSQTku`eYU+*Xvvoyu`DCyxRgQxxMDHZCi zZs^tCW^j~uZBwhUn}8d$ioLCyq_z+D_qf)paV43r`0jssscpLb0y9tJoqejeKWzs_ zRW@shHC?J_q1U2CLL^HkCWgC#>{nkE?3i;vGLm z(`B$*H^KRBmz$ryQohtXV@7b%%%ZvazBo6RY$nz?_{k8)@w02a;rsCBu0edf?)GhF zp{48JWp(j?4fsE-y1WVXfD8kRrT$zYvcEfZ-xo&?xioi0T*B1#*# z7^G?{J1uUbQXmz>gz*?+06qRAy~|vny$;s0dUpdyf*tQUt@QR0q7?*?@&951@zIAZ zZlUhvjA)IV&>a1q*fEJ}h3Q*W^)Q5lBS7SN=h{o*xqM!~&Nhj96w8l;Hy)*{j*R;W zl}nCiWw=o;``)&9%!%-9bu1d;VRXOVHaxS*@O@sx8AI)Q##fTn#7lgB&E_*7&=YA! z&mW4&_u2$M{h~lwi%g9OrhIR)Y~n1L z{Y=M};KS^=CzbqO%;tS#KT!g?jR=}Ui%bL%vA|*h1n2dw3%_~APd_SMEw2pUYz4@+ z`<=xs9b7IUSV*-I1=knQ^?j4lH8p#JE^f3tLl+ZW!|wM61_sz8i10PoBTvL|Hrj89 zDbk?*`m%)P{mHhvu+&)$>8FIK8%~sjec#s~aDJ{|)7#%|=Q^0X1>ZH7)ccB2hZt`I zIz6l{zX{r=_6jexX4X}{^SaD*Y|;oLKH^^fh{(@hgz4a}Y+oSf%l~z7s9iI&G3{Jh~$KGg4+o!CiSR+IKIz>sQ2Q_~n>9Huol7_t_2NH1%#n0lq}C;j=B=Z7f6>8dx4nU2bK_vu;#h zy-D?3Ye_W?40O-BBjPQM^r*e#Dv8f6ggc3UsRhbs_EUueeB=4CE7iSCW9x4faZ!Ax zns!_yrtCL6ItXdX%!W%a3aHfxc7H@m2>9~$SDRv!sJPvuOTNhv-#JIQtTs|~ZI!Re zhn+T74mLmMZ}nidGQ$R5un{E~K($KWp-~AyH0!T+k$=F4^%+6YCJDFGW4r$eW&axiU zqS7g^IqtK#p4uZ3N?jL$G*&Hin$5|FNrN8S~=$TZ%$}|7OF2zL!S!8Ow z!ty%c0UGkl}3*dkSlC6aBii5GEJ9Rulf-9{`{O*h9fb?hW6YKa^X!*Bdim zWznRlMsd5`%w#TjeKE&h{5@1;)>~GGavNyC07g+yg6uLn;ZNYxhIT$=kaa3*^>p%d zB~WnPp#n9MIiDFN8F#QYYg=%Cb~Ee9{I-_&LbgceG3Rk83Qs`dghAfFiV_JJWU=ir zxijoiqPZhEzK>Er?vrqNZLpRqq@GkGEhX=8ZKc(oF2Oxm*ghcSZa9jzulv$qz9@E_ zc4Jyy#;rg5_JORi%JU@3@JQn}zG;j$Ebp#yD93`oWlt!Hh%(L6r;Z6N+HHLmsEE6| zZZA0=Wkk@8J*eqgu6!WBgqBuIHQUoE99qd)PQI7rAnHy$5eg8Gnp907Ac@~s>f;pb zg$PmUk&tNM^`c2I?zSiX%v_i~%xjh*0?#qhRj%V+vX zKtIv)sc97KKXK()t!5QR2h{9f6%u5>mkfsS04%!n zL@Wh(PiJ2c{UG+u)u+vK1;a%qsiX7d7W;b9eS;!*D#vz8jBq8!AXU|RQsGUJ*8A)u z_NKhYhhkdC2ewJKGY8fqq({9K{?$Xw;p!eW(YAa%4V)KZ$W5&eBW`?!v`>sXnR8#T zq|0SnWp#2TofMJ_e4IY4f{G&K6}6k1Kj)gEGZkK*Wql)eWge&ZBMIGJxDm5wWRtVq zb_*@3g|>nr5Nw2PmCofxE2f%WjH}v;rwMZ9H~VjEjL>I>UI#@G2%Fm$bPcni)q_oo zcKnXbR3OgJcD4A3*R||9UOoS|m}1O;L)sUVYF@>D|^1R4UY;#~>UJeT4+n zW}f8Z5PWPCbesz%M*4-b=Nz0_&?<=3=Fi;NguQ3=QYX-2*&`gHPs^90`QFBlls_nf zuy}Q|ayBD3A$;7fUVH|qrG5{pPdtp$QY4aq<$|PN1s(XtK9eePIXb^Y%)P`J5rKgEPXn1`B9JCb6HKPW9=(}WhcW1inbiCLxE1ao7nrwLOi-Rs(63uy@gGR@LLMy zG1OVSeC259O{W6t6P@tD&=Q!b;0;R$-%m?gq|ianvl_f3x^ulKE?+oEF3ArP64|Bc zh`NF3WeoY+aOqlDKh6JuJ@LbRxpKefRj+wXxe=gnu-PYxDBo6ME(o9TPQ^v%!ilDD&54^^Pw#kXJL05B7+KxE_k?IkB20b6 zE8Q#MNSjLqmG$M_f`6(GI;4CYp13HMPfnFe`@TiA%m>W=^1a;4;B*k~2BZ*kYpoF@ z><8&}_S^h!@^*7mUK|deSqeSjb-PRoSgf@vFxlwu(kiP0e{_Y~SE;XkAW1C}dm>9e z|JlkkLavilq;Y-iM+1;Xu6D}tshfC^@0(_IWR76}{B9-m zDUQ^xuD@3IY(Cvpv08Qs&?}su@K?NIW%%G2I#%Gq)?#37>LATd30It9dM_ij$V)j2Tv`S7L)1z0|~j7c!{vr(zXmZi&9-# zN?vEtF9OXW(HPANCLM;IhJ_CwSrWEQ_Q21RU#MTSxbQqIN>V&jToKoRsxldzp+P5 zjFZ{Td~=ws#0f(^jbRCbSC(OfQ8#;6xLRo+kK0$7X>)S3qL10hsYp9A8OC1pC!AK? z13`GuR|9BIavk)elhvzoi%K&{Dux57F5EF31>397vHi2vb>JTs_Ic|ESF0;bUM+`S zN#0^O9q2kRi~?DjB6n}?Zfd}LC%PPNh8~+iFY$)Lg~#h`%hsTCp8R}U+SM;-c^k>R zdzG62sI4G%?{LWmwTgzf3c@WT`Q`jzvek?ScJ*nULgu#*9M~MqJDxwMv3n{aQl%bf zjb?h>hz=-0>loOzM08EAEDP9-JSDoNs{iIXXVJALdM?%zoLR%1U;+_vmpq(5;uhdP zqY5CE>;u5wi|76H`)6k-`w5QdAb880=e5(=JG*p@#5LW{EXhzwKIn7tq2zwKBaqw= zcpX$3zKW0Go~#X#G-%Og?L|23z1Iq`#kW~(IpDQ9gjh5kj)HuDtkJe3--5eN|AX|( zhT#Ao6JJ`M&H)ryg?6s&4RFVCfs0>FC62@U`upHU=-+JnzhK_Lg|!W;QyuBMOBA3tN%Q-Rzys>t|bkhw^RP{HSr z90Dp$3i8X&TO#h#1Gm(K6B>}jSSQ7+l)!B4R5j#=KiO%&d87r(K3)K-RlRs0X{vpv z*7xgTWx?xW%?@i2UV5ORq${%hr*a}h2bLNK+#wPs^`#ya@{m(%(YDywr=Ww5jS=o}; zI6LmR#Xa1yoBLcHykGVZ-H~v~;f8};^7>GtV=#%~WM;HvRIPL{>k6H#!mW4W?elFz zO(3pM%#rzCb8b)^52g>PF_;eUIeFdamlS8>z&Na1Ph}q#`V#!>*)y1qg`tkzc&P! z=wUJ(n%3Oej;11d19tmu;q5Vjq1DLuTOG|0fD>onS!HD}e7<*M4QE_VmKA#xeD>D|-SNQIP3A|k_)jwE zaXC{oe-Z-2Y|*LsTRj26MC8$>Q&YVr8FD5C1*fsEX$G{T1g_5~rHy&@`mW+z7xbKo zVwC3Gt82s;E1#6qRoAsBHG8UUjJeQ7(N~J1L!kg zy-~+-C@!#Bf%nGuz>2Ky*>{7-MOTOWR!>_i2>=PCB=2NHi-K-8;21x<)VV%J=mlBs z-Ls$PtWK9~Nq=!Ir8{ZOt{H;w@Aosn`LJB5ISi+SHqnDR>_E)a^W0alD^VF?^fslV z`O~1oNLM!nDu3nbgzGVx?v0Th?tJb53#GyCbFf}IP}?0Nsk2xu5T!f!0m3C^!!`bb zbBfg2_j7IZmeg&rooze?N*||LRt_KMbg|r@INJ*SR>F1{?x`dthZ@yH9>d<|6U^nG zP8nb)Vm6mdmxvp$4N{fgp(TB-ff%NG<{rG20NVHdOWtEuwHEeaL2UaTaXCPFyS(vf z?PL~_+ke*k^epjJ}EOehS`hJ_1_g|L*AQzXF*5sq<+W=>N(|->+?lpYuzgxwD?- zFNy7_x4gJ@4_Burlw&GbO02tLWP;`41;5NU9#QxFr@j!}@J?wdW`kCL$i8q9*a?V5 zAd`vDDzRosxa-s{Fx>yZK}#)J!Dm~ zC!-$asQfPWqn#Bp)JmESV|LcjcI-B7zy!X#bf>=H3pk+$qZ%OIS6w#j z9w4S>jWUU8j&-3V3xD!L1hk~gRUHHaMO?>Yy)K4hsohpLy~lr8dl`4&DnOx0JyE~m z!@(YDz}p$j3-)^-EP`^O&B=?6_0E&+HO%ro`<(Zln6362R(GLeFe^>hhN0IGsUE-h z2we>uWmB7jT2(tT?pQF4$H9H{)1`V6zKZt6GE?Ziki^|qVx5DnQf{~1mMNRGbBuWt zayQTOp~!x|P|NglPl7ekucy_4NS*nu?sP{(MG7B!@m7-^XKgRtsdVDYuGz`Y^xw=L zUON`%Amw@|XUG9pWYl`+pwcU2pN!FmIG4M7+A!`4itV$tk-0|}YJZ*pi)*`xIE?UtoE z!pe>_EIq0{)h14UrXbFyt@gK2_b-kIpM(hO^~_LT52be;a2LdZ^~%H{`{GVsk0I5P z(;WDDxYZ5ck7}Ny=|Y9K1{vg1c=mG z@Zt4(^xS)Y>suT9lWs0m>*=d!&NIa?`u$edy{%G&P1uMJAfJh$NSe=2YQ`xa=BPlmm|Yr z*~ShohB2in6hWFR#Nmw5^?0eI_VPi@ieK~q2^sD?5}ZCVa`v|c# zV5;J1>((rzO2R`!5t7*moB?G(S=3MkNR`dCuxjpTRmGW7Ob8f!_&ccL>~eK)4s5}V znp4vj>F+Er66y2_LL|yUgr|jWHfC&cBqfFS?;8H#4FMFr)6Fov$ zhYQdTYYOqo@p_qct3rZYK$ly$KVccRz0k2;7II%V+NMtu{W1Dw%FaF?hFrHaW_>c& zgPE`OPOo_b{(M&dsnqp9Z@UY)QUg@XQrO|;wH@$hSm_snhC( zM`Iyb#b0Qd{CDuk{#*CaWElmB{b|Vo!YRL*3SLqCoO~b)u$uOf;5}j>6M0V+#vN>o z%z6hOrF)3&X|8LSsZD-LYBIHNl_bb#`th^td41k;6TGM$Sr38BgH^M8Jsm%PZw@Ja z!=Kp(7*&k47|$DdFh*SB@OjS5R!m)yNk0vE!_K zQ&5b30N*V@Hl^4FNdC%jam~>I@I2GCzNWQ+!`gW}(c(b!=`RD|2`cY&@z;9;KB|j- zduv|PGwZeJ;o#4vmQEG~lkl_~S2bNLI{`&p%~es{91!X4$rd&S^tckzB8{vZZmwkf zO3&L><6rXhed7=fy;rhGI= zr3NFmjJ(wN>J1FYcrGcf)_ zyN?u-rizS=RQNn9v~O}Ww`B3n&v)Jsl1afPLeQ~VfF=1)jgh%|fPUw0Gqn%)9pBWs z>&rDnCK@@|!7}RfmGF(fbBD=TX1PD&abw%3jIK70{DbpH)~c-u@HL)~OV@S7mHQN2 z5NQePKgMI&D1NlZ?62jvg1dDcXaJ*M8SA?{ZB%XU$2vyoH<^OnWgUF%r1pdRLg+%Av;+PZf3(i~iJ zv$WNyVZaNfVr9EIHZC}KQ96IcAKME!!Km?c-xeXeE*F`wD|ZV)PLA&DJ-~=oEbdTz zz&(E+b6-u#mR$tPu1m;)RP0z-jr88v#2EV71XV7JQN&lXEQgNc@iITus(70uyq)5; zG~*1$N3V7(x=hKD*L?qk4n5d3o)G6%cLYR(gtDxN)NUXGI0?Jsf}?$5MtZ^#>2~s`m+KE zmv2b30~7)(uhk(!dnq>uRg)`CiY;cVFlpJ_G7Ai7+|kr0WB?()8O%_F3~6ANH!L05 zsGvS@rZ=uR!{(Rd^XU>cw*x3%g{*}UXd>`Xb2Pw&-EF%7nN|}f$RX2N=e+tkDT^XT zPap`VDU4zL7*OkAGeSrHw0WXp+vnH$Y4fCbntdS$*gT<+nz@%-I1aX0P)ZC1^l}X4 z{&SC!`}JudG*Qi=4X$N_FSfQc5M)G2uD_YN;StayGW4o39Prkl9!B%I)H;5$5LvYr zx_X0V+p0MGH+mIX+-WJ3Ew7_3L?iQOw)IERbNvcTNbOotbdfcaWLQg_8=fs6S|p zxac$6%ONBwCBzF+-ZudhIe)5h{x5tP@|J@KpCQk#!hIC4*sOpOq&XXtsYnj~Mh6tz z6Q|TTGyi1wI78@Rpw)8?{LM+{O48yd);6hq-3G~Z6;u2>Xv$~9NAKS~x_?tQo@NLG z;0Dt?kFnh*4cNDCKWo!}0EGe$p8uP9m;W};^Oq{{KR%KoCViX$Q01W=28_4vfE3~G zu>x|YYy8glKSL$_=spHGO8(|aHiaFwaXh`-#DKB7TTmpBfv}7IY`t+bMDQ28}FNe&vJ%KfxF&t(JUJHaVx)d%8cx93keDgQZ zoEyeYw#*=)N12Uw8VJibVPhgnV=~7|%uLR7frnk>5t0|NuibKcO}zOgh3&xnn@Q4K z=|=4C{p}`uinSOoxMG5WB62ep&TG!4Gua!dELTtT0vYhpjVJNwU}>JS$;A~!=B(0( z#cS3f!$?m+MIG$xzKUNdY`P3oJYAuHt;@y@t}~Nl&f+!mKc76)&4ZJ&9fYOYIQ%VtR;O@ z-{lSnKq&S|$cBw&*Q728!XE&gyUz_dqX+w;-E0?t((k};+UG+7>}3NRr|Ul|+K~__ z&;eE&KQC`b0%nr^J(eig?;!cMGpy`cYh~Spm?DJ(m`P5nLiK}+AMh8-ey2&m=|kVN*8{@`*3$H#P(7S2Fgf;i@7nNc+*dQSO|MBYE}&OEyy6(>pTBZvD1v1eMDIGt z5>D9a`#Xq~bTt7Q6oU9JwwTAE+%{?Tr9Ni8Fdcawqf;yLj{P@@iE;LvIkY-#Sx4ED ziPY|^lDObaODEcnX2o3hyQ8T`faVhNMTja_Sg>@3zi2OGUz)uOWUU2qvMirA9TH)n z2yIw+>`UcWLqMQWNPc%(L9)Nx$&YTfozi=pywhFoQ)h8owD`_gLa%;Fo;uS<`hXBz zK(N&>86^RzS?AwG3L|so7k2ac(lsV(BISH5Z+vQe0j1;73zH(eo%?RvLLLpaQjC=o zF5H$GOLDWz(1r#9c8N^)*6lJ}CNl4IeW5&JRg(hl4Q&7cOR3Ek=`(D)Yi`<`&vdMn zTgVS@y{Z1$>WJjlcRj!&c{c~tXRg)H%7iXhV?-#bbqHLwS($?PV0Y^V8J3m2!tYoIiRs$%V~$ zhToN=Rx(xYqgX$UvYoY68#WBLSD!Ap0`b0<(@)nL#7(+ zeg|bAx{d|exB6Zr0RkXrLZ)V|#Ya7ZEIm$D6T*0eIN^AaW7jzn;Bx#(zm9yGIai-N z!uywZOI%xqa`!U^8$4gRXTFU*`ox^_WA!Ux9Qs*zeH$Y%cdM_<+1zx*+y@&4Fgw{7L|EL~uD=b?~sWrW;GS{|rla zh~X>%ii>zbpuY?xz7EH9SKtNcXs%Za01>xY6$=hRo|eNQQ5Oe4eH|fBn~vnlf_qba z=MjLhW0-^AGqMxMq|9X~W>VNTn&<*I9`Z%n!4f!{k?xXhL1?;=9b zdD&kb7?XiNQ=e&1@GjtV_uIP%`MX1u0Y~^a1;4@km;tLz4>S8H?@=$63Ct5XsmahR zqGzEP670f%aKBHkF+v5D8H2NsK%AEJNgm(Ff^LS*6gitwG3+v%ZfT<8J-fG z{gN7NuF0il3JqF)jk#NRt;sT`;7Gs%{LR?_$efaUee{3HZ2oyW;$J#Xe}0~a=7QDV zp}_;#m09m)(edStkoxUg#}WwNxxz#S;Jvd#y z^3^8MX8LWNrRs$;As(eV=I%|i;0yI=sAkz>3Zvy0b=w&1!En>9m#D}_Zi^R0>|sDM zX$Cnxi#zxod*GAy(`;7bwPv;qalkslAR`+P%Q3l>oYiC5 z$?}r9gvE zz|j(CWX;0>J@HXW5_UG!H{1hy#;`_k!SM9ca-ylPR#&LFRSvpcF}&cX3@bBov}q} zUXw<2fZ%i+n>C`u%~#d8xn9Nv{xa!7(3S-LwmE_MQSLPtB5$IyC9m+_W#(}CcC;HK z!_-!bufOsM;?XU;ZEEG_G2TqxO$9Ri1iW0z^MfriDTB(Ydr@RIGzF;!#ykp9LI#nm zAUw{DZKNM$b+7e9FswJ5EyGh>X?^<3JByznhm3Wc+8O|h(E3SZQ@j%3Md5d*1o`XE zf*U`34B|%uHcp!dLzTo*%A+JDvW$R#pyLb_Vv^3wRNSsB!e7{h=Kj_FMLLh z%A85+K#)-$2|M*u;sklR^=yKfoX+qy!7vAKy^JZmYx22lmQCQugRnK4yGCC&BXr4$ zp0d*n_8LG~yjr_ExF`7A?ClZmQ|@IyPp}-52VZ^5Y>inu-nipUe5s=|RZXGpg^PHE{f^78XGfN0xTj2yRB)ed6JcpH$dej|(4 zQuanY9g^sgup9_b$TB^tMQz3}u#}(LN3Ko_SVVkVjQ+-RYe6NXl|9}NbaLrrG+D=_ z-2xMpVtG8js8F+P%z1zG=^dbleSf{bx|Gp6){nPzsiHD%&4d=S)2t7!T1y<8(21<# zz04JDXM2U>?ym5BMY2FR76HTn_MjPV!bP;C9ADCQn@boopY*z3J%C+Nc_nuneob37 zq1Wg@i}tpD&0$UdA}!3fVa_b~vGrLsL1x@Xypt@Qrs;BU)&9Pt6ZlJe+X}|sn@cCj zKSZ!vc^J%`>BeFo4Z|syW6in)ZcTC2M(d(IiZ%^6odi>8Qr<3!R4!&k(M9$tuad6f zo=Ah`dNsm|dTtqtc9dM(Eg{@Y9^}s-z*y#5f@{ccN-%7=IW~bXCjWIvHLqs6rm6&K zu4U4DP^H)t^bh(RiY>Hk3H>~0b+AP-JSLd{wV`_ZwEIDSaj!G&;xaJ(?OCQqRYgyj z>yD2_xEnEPq2;CM_18nM-l{x!$K~RpZ5;(VHR0{aV6F0$sZ1{4NrSy&R;8$)c4@Ot z4AbF^3$H3Px|*!Mnz{OM0dB;kC1Y}Gyvw$|jT+8A3um=9EyY+zt-G&;2GF-o8MyIt ztBs3#eZ%-<=e$c)1K+=>N?FjiE=>*=qB30bvnVz3f~-3>mp$E7^DXj|%-)gim10#o zFbI1h(aDi37Yp)Dq3gyyoW<#^squ^%z>sdfCIS~4jZF=4(*xE*LPQ?^D?DFDwXdl^ zO3qk8vqhd%QHd7#qzoFB^*yk+rxi(FeAxHGxtMQmV6YD)!3kKJzl&N2JNa2um@cSN zX4xZ8zMgXam23&762ej>>D~>f$)=C0U1bajD zSj;2R3(`$ba%NTq=O?Tw@-7{Ovp9|NOF&uz{X?V{+jO{)!@XQ_Kibb#pL+ zE@U5^`_Xw0X(cZ6$!CU%iu$LR^4G69Wjo3I*aZCu0Sj{%j*BZ@sfv$ni_Y*pH_QUChY+9w2f6UJlhkn{w69 zhBN@5tQ6!*+TEhFU3&_2Q~5`)?e5~$#ij3!fP78pHlf$+0Xa}Of-0zITP zQDDi3nGo*fRnRLhdc)qxoCz!W=O~*0!v5;tqwoKNv;nAIcFm5oaWVjeZvreAigW+D zP=NR!qz(TlfA}B2J;MJWZ16uy6aoF80+Z-+D)xMIfp~Pots;xBaH)t{qu~#s35!+A;WGNBB%7b`)e|2`c7(F9nPVN#tXwc40v@e-mDTQNOzYLeN2Y-;+ zmo4!<-tZq!U?9Jv7o+g%D~L%2{m){@w_e#}S5G?xE`SmrdRhNfUUt##=xP*PKes%pJlJj9;qsSH7($3%`bLB;1zXKU$-PCn*reXD%`zqa9l=5 z=$e}3>QOc1ow9Gr73M-i^3kZmWM|VLh*~`?a&3 RXcW$#>}&?Umsru@(%eFhIy zP1>{0Nq!NvP=4w30;mtQ>tQ0pf9gY}YEz?vfl55Qz&8r-mByNLDMP zd!l>s1?=>4yZ~}M!rnytoH6bp&CO!a1F{4Sjy@mAdGyE);|RYFW0-6N8aZOX+Vy{DetYRtLHbCw| zy#m32>Hdn1x<95|vtsr9i=D)vbE%-}BZHxc;!Js)T}o+u47-xvge3?g(mA1KS4 z>;r!!l;=4V{*!X_*xzZblq@0p=G5C+e%M{rvgowB&^WK7_>Wtfah`$H!L0_(@UFea z^YF+j+Pxm3g29^|?)AZ;k1^o6q9L*-PyqUD@NM41UOS#MX2=bLCUd=!t7zQVhacGP zlol^8$f4W>BxliP30u}DR&$*T%{`}2(P5fyL8{$~KycC+;xM{Ijx}6@X=dGJI2tTUk z`kKE+M)JeZDXPBJOL5-o^<{8Je(E-N zc{{BsJ?M6ka#YEI56TKZWap#*p*EoY#KMHdZ{E|EaG_6tgM30fm7Acfm;L9@?vKvd z3`!i;bU#h;&@(?gHEmfRw2DzkeW;r*c%gRCA7Mp8rxPiz03t$=P}L2ddcX76VBk{8 z+ss+LlpDB+$%*{AzEM1Dob2lnkR70LD5DT{zbaQY_g~m+|DE0@2MhnYVkd_R1n9%_ z#!Hu4YoYUPW~NFt+V$ElXB z(D9G@2l6G(B9SH|H}TfTyG!8!z3DKRbMo{$o6?kk!%1ssy-V6G(s5ZOA1^%dDNRB% zXP{4VR0jwf50IRncQ4$)NuiHd=4~;UxRFAVFV=BfTArcQi?rRr0d)@q-dXkg*f_gp=ymL@}@-h zq$-|W9Zkp&#&UM!9oN+e7&$xU+~=O{$jJ+oF6&OPGop~pp@FUjxo zs}hoY_W0Lkn!rSpslryW5Z0{`Jd4^f3~HV_jb*61b0Hkwpr2=zSYT{Lr?ioYmuM2+ z>qNCn&WAHXh^ON;^EQ)izJ)qAa0|g7viY)Gd5OPyG}}%Z1v;i;HMC<4%U{(1^4?@F z_gjQDI9m*^F@;e4kYd{K^ZWS>R}gm^3c#?~+O@;(SRFsX${j<(Dol_E^g}@u(&34% zrYcGQomaJ%N$dE~2F)j|^k^ofCXI-Ot6SA)byZBp&prLAcc|u7>bsdeQf_gc49GUg zRP|8s8dRh2#jXLooSnUrWqoJj(rJVp_2P?_IUep9CJkV{7d{=n) zfp?i*OF^+YeM|kii7K;Eqqoh-FFo;{!S92juvf&XYU?XEu!&ZaxCg6a)V7S#42dvC`fr1%-Q7-sq^0zC%|g{Q!TChb zB<3WxgG{&OL9S-x6-BjJFha^`z$$o);@+gh+Z56^$8Whj-;aQxYzhavbM>6^1tt^S zq2;5+1o*v}hXl;Q!sEes1TG|Hb+3q->=7nLFWT#5nb8zu7{j6LfSl5UHZxw&A9qvY zGb&=%UB!3$c-eHZ8khN{%PWvBfAqB}q_Fggb^WYg)7!)@T|piBx?#Ve*}3~b@D&HPzNf5i;HJNTT@6` zerR*ZSY-b3TPHz>=)~g(o!yj_yi#jR9gS?gfC8u^G;hhSqzGaw)74YJQ}!%`5M6H& zwi*;c{+&E7fA(iC)%~g0TIj=`913g_glhMkG7T|MMF3fdNK|tb*{x3CAn&1swz9Z$}<=p_ETAnpvDpN8~~8 zSy_!Bp(|9lJNYJtCdLQZaMdK$`_DOFkIo4VCOz)6bhx##2@6*9JSE)Bqz}I1dOJa$ z=SN_2;I2y%N_zU_e>yS!*XRG=S?=Fb4gYoCN=Li+9j0YiKEd>9!qO`wb~i?!muC8! z=64xP&U3Bte+u6GTlnUm+QinrIN-3WAx-k6;um-0vsC?^wxw@J={nzTzp!*ZHosj4 z!kf_zXsp;Vq$oA9&&Z1V>~O$g;3PnM)0#aYw;ErZV0~^@5Mv!#A0S$x1y`+sK&|q_ zY^%q=wEEGO3%N3DN+vySf~Ss8Nb}~|G-`O87xjqJKW9!mzLkBTJs@T3O{TOS`u&|a zY3_RvhygG_N!(+M$3=HAG$#qRH5&F5sfSL#fAXwK+yNaP`V^Ef2M4U(Klqw-Y#X9Y zhDy#@R}|~xMj1E`u0tMMStN<|^H4u(w5RAV%?o_wra}5MgMVsS-xf_FKin&qJGg3s!L z7M0y!lfgU#jE@c8`t?~VY!5GmYl-*STnq0$jLW7*dqjGAi^4GS$dCLoBe8J&d(#nv z9M2o?>Ab4?rLZrcQmNpD)X-{&oqE@U^<0K}CugrI@Pmh~UV)S-pFF=qa9|#jqD{69 zp#cr;teRUg+JUdM1e6RJP8H|kj8cS=VyTf}}eXXd+?0_>@; z*|E1d^z^S2Db8!=fA$-sH{+)W$+v-h+ljU!cjE6!y>e}pRhlaZ3MA&vR`c^`6e#nV z=Qr|~bZg!9V0YNNT&PxMyD-v`Rj!ngRnhZuVx`-`;{M)@ zB|Z-xaY*98-4;8ZNsJ>*O}6YD*J~JGV{0OEs^)NYA=l2HSKX#jHuBX7u4r-1*iI}d zf;R9gky7zJ)D;3#1u_#yRNW86KOdS?Lsal zr0?D@?q97OhWhGWB|~;%3%_6o8iyXHJ}mA+UisM!cd|v29^A~&57hY>L;ZA@_u3VU zwei%+MHaXA=cn&t+QvsLYnnO9A5g>=z7J@wH0sv$YBjo=0Wv^Z2QDaZ4RS~1p^f|f zBXEW>^?>>cX*Ln&C8a+2O2(d%TeO+$sVxh;TUTULCW zIGad|k|*&t`)Db&fxpo48+5-{l_osC&NE5nD*hdA{yacFHkJ1}l|AQH5;T7vDhBqP z#`y38h3bcJZQ#vb08qfn0(4CkcpSro&*K2~jv1y(Fks*DqRm1aGvax=%R2st?(un{6W-2 zhZ&c-H;sPg26*s0L6REC5o@uw)x2g#ZrgGYkNTzER{c@Qae-_A>RP|#OzmIss4MtA zh^ad1X$6Z#kL0Z)G%>=x%T~?Txl|tVI4fYPjo*^6rFM(YeE7k_a|>J6`d-{EY+3Hl z9MU$yue;xN6L-N*JcfqdR{Y&&1b`f|=D};gsNpFKwo?dhD`v-U){~G5giwDPj__Myp6+#7dN(o<;td;l&6`w~2r0yB|r5xe5CMdRfT6 zhr)fll zg*y<|Ra{nvt^PBb=`+I+wgc=fswcO7sCr5caJ;T-u}EvnQ!#Ly~CnDp^xdoYJ+nv>y5Zy7p-tnLncad9UH( zYzIem+N&8+VL)Y=`;nLE7!<4DD!9b_CJ1&DZ{H`rXXKUFST8HXxHOx4`L5){2CGM= z{iG^2y<4>Vt0X8T(6!zgbs`Eis@_;p-0h4h+s6D?;FmTz^LO%(ua=-r$w{5AI0H_< zwhJA$aLAEKhX7;|P^KyyHVcw|jpzO#d#@-`B>GZMRaU(HpD(V7x36x7cV*J*aZ>hY zfKJA)197g5aV591*5V0vB?*odjua&q`+dPjiAQzSmwgfgung+`jV0Vwc2!jtdvIZ zm>M)}a#mBcqQ{o8HR!0BrkbG6`!Hs8oY)p2cnce%IdgZaO>;F%@kzGLveLHEr$cau zmaJ~7TvNXUUf?JtZ(XWBBC;TTR(#qjJr2ZL01NT^@BYetJ?aa9L|w~sk7{c|WX$Sy z_AGpkRbcw@1r+~`5adcPD|t08NELiiRz7siJhB?CMf9O68_(??j(9z)t&sMJPGndPe+sq$>4$Mk`y(=47twdq{t{mML> zcd_B*1#hC(rTGy~EISLxirIEF{e`t!yys_&%Wy*I=af4TuOi;CJ575ro|RXD5><3q zj%R3(AlrOw-mIGX(EOzK@jb7(f#O#Zk5UG^#TsQK^&-54R}X_k*`nEK-0YXgeoVRL z>>pM?#|-wTY<(Z&{=o)Be&c%98+dnAj;j9dmjrZbu|CA1H~OI0-2`bW>Ki{G5!3wh zj*bhrC&iI#H24qRDE=rGGU8ly%@{-rq@WJFW_4i@5>Y1Mb>j90RaFx)gQRVwM2crY z1gCSYxnGiaC48;#vqc%s8mnPZI&WlVpn6%cWwh8+(}!(%CR9!$&dyd$M-^EoBZn}H z-p5(z?xZ$!u(r+vLI=1}9#?5{#6dC$49XN|rheL|V1wU^{jzqwO>{L@<-FH#L~Yt| zt{S(#>dgSx&N&jlFyAj$YmRNa)X#Dx@MhoI^xuj5PJ-uj5Y={;Lvm@v>Mq06MNOk5L zVJb98HJx8=hMAGlUFnlipE8^bxV3BOFf5w25?$Avm*^vuc!y3V>xWoQ-3I;RNxlTN z)s!SL)0nTn*yF#$IM8MqEvW5i99;qY`v?M9+HdRcdQ>*S?H^-qJdf*4?}2h zS_V~CCU8i!-GPHA+_VTyrP0#(*!01~8{2v?ldH(}K$u5Gyd+yC2v|pL>8D{SxJM}D zN(AJc8A(G5vzFbt&aqv?g9lx0gHjP93tS)sPr-vpgovj6(Du8W0=9;AlPNu@JegI zVQZgoyaNo1HR@h43v~my0#EBN?tKR6b>Tm3A0NC$9zWv5{m|fU2L>~^CArJNoC|-` zvL}RojR-*sKi*m3(_vfmd!C~duWNR5m!?Nh^j#fS*GtiO1S?v(4#b7^tB z6F_mv2FE=QQA*Zzy17gH!bv2x6~ftsPs!mx(TN=^=@-G*LUz5)gq8NATZE8ZyXl zkKOX-@LCpqqfAL8ESdP=Ed2WIpPeanQkR`|G@;3{BRXiZ-)Bu06OLqG4bBOevCpRZC;@ zoA~f8_JcloK8QrcV$^l=0q(I#?VI;IK))J@L8OLqCj&~ zZG_JL2naRSReR>MCJOnZ>~Xl4V}>t~X751H`zIlpL1D2cj`C4dFQBT4$0|~VssKM5 zGF~Lxe1L{y4*D|wY;zO$8+7PbUxrc`)h|NpoW^~2&d2IvP62eelKo+wU3F;cQwF)a zQZb?5cQRdHooW6Cb!#8YwL%e;OPQ`Y4eN5o&L_E)pH(Iu{U{I>#EPidq=gp$N^z&J zNjrDk6gfvcHleeR4^-mR4E)*~_vanwcSC&WCZ~b!D z#icXx7H2E|!I6&cuR~%$K=A(olf3_EKK$>R=S}}eSNT;- zv5rj2CtpJa){`WJDtPE)1n9T9o{GO*XI?Awn(A!<_jfZLQrlz;G)_7|JZlYur_J~{ zhoTfyLYgx7`^1;Br}36FjjiJVTN#7h7QJvkUc!QftFN4CKU%p5>l$A=rGMXSwtAHt zlMDmR687fwRFOS(EgxHxa=HcY@tb%1FnMdijE}hn-tveKD@{Z!j$e{XrIpu~da;HK zjqfLe5HBzFI++XPkP_M(_^lU@-1T;*#RGY(7m0rd1a`MsOd5M~t{d;!=69BJ>^ean ze`>gT`8l@d2?PDX2?Lwhl1yYSWk(dCIwQj=!=Q-gUKXaUNlb?{d%%h@U%j~ag7)@? zZ#sto7fs+bp5mRD1gQ-844>?MFPEWThT%OJ)_X@c#v~t1^g=(C4HpP0>|YFwE`|;E zGmjIOPMAIc*2ew2*bxha{cwZ;w1fiQ71j?K%dk`2&x_RJV6v%k;e zD+K}yD-#P%cMU&usHJ2II!k|6b%+IgmqE(ki35do%firnz+EYTzhQFxVCp2cvGLQU zWwZH3MEolc@*hJ_c=~2KE18GcGz7afZoIasYCkc1tbKP|K-(4L;S-k5pA+rKZz;K$ zCg@yhq#)gQA+jdoMeq3hwifSOQh^=W;Xq+t>luE5H*NJ{yQ4NmfAa#5?Eae*cpL!M zlT`W?d)-^VVADzNnsl|h%$7oD z!F3`U$Brz@1jQD=IQYc8m2N#$UdU@G{+nwtPrv5nVRX(F ztyIWZnm^-brT9ys1^_f^;tFmh{>V!w^so}py@Dz=l+h-$Rjwk29&uBgVv~l$51a7r z$~!nY1bWgWS3d>fz(uE))f*a;7bWoCD!6FmGE`b1aI|wcnQuwAM|u|A`PMb;U{`4r08+Jt&r%Ew`VkU6Q0J9>vytdHtt|0 z{6qsi);kTU7$A(f;<6~pk?~&Z#KLvIW@Vmo%b_=5f-96ok?5oyJ?c4HRV^5uEwtcT zSamQLY3WHA$o>Yb-{*RHI5weep`d&rlh4m`n70f&tTi+mVJ+?M`;aeaQD>FE)mrCi zce$Jtt+JHSvL{$6J80$Xys0La%%r^etThWIT(9WjgSTfKkKNBN7#awxb*62rQ#LM%5A{>_xLyHV+5qH>3wzH6sE%O4EkbB zjF?#}8Z%-xGW;6^juts}0&pa21KVG0a~Yc}aE@c?G9!&gs|fXZqJY=O7Oc7F+LB_? zzd`k)_W`jjf)!1dlb*A(H1F*!a5E>pk=gn->xTA1LrRtLye-=Hit~b^=Ml2`^Zuh@ zOllVnuH5Bvdllll@MWUTiFU#6)kq1p>f+giYX|&7@_yr{65B(G+v0MeT5)Xij~BLJ zxtTyq}u8WFgn}OpmW1m7$lPxp6P=Hz+txVw9I;{bu=QenaITzz#=le(l}8pVt&G z{x75X|L)@v_=YNKrKd!oUPhr0Ox8Q=s%quWnpL7q*CWqddSE$cq7rJw*G@@jfb}gl z1MCq+WI@F-B@u8?# z8oid@X;aAVMmQeEfv$)r$J)!I+M|Kjds#nKk=|JI^tK5y#wuRxJ@LIjS!49(-tG#s zTf2%$XExyCRU6CDc%}(g+#n>rD9leXX#-g~7V-J)Zr73V`WtDC*N;4t6VrYqdYF?0 z?PzA&0_T$*3qJxt!S8eyJ%(Xb78uAr_{N1^z@hLZKl>3n#vd{2;^Wre9$=rYdwy_l zV#&mA@-X^)OkA+@UJD-Q|V?p>F51H%h(6(Rdg2KR-LD*hM>ne7Q_3yVDXE?`$( z@k3aZPfx2n$q?D8yY#CeNo94r-XkU2JWt=tQ=?gyZSu>Fh)DgJhPoek3x#m*k(>Bb8q;b8f!Q;t~vtLsZNk zxNW38ZhkJ9EHY^ASL2(~>-cr-v-k65p?sf6HAN9wmB*x-N&BHynNQg{QwDer`YjlF zHX z3$c&eHxlbIStUkgLOmdOU#9j0?kMMBQ`a@8o%k5?QKuu9@Ig|ZZYJqRed;rbv@bT? z304JoH~H1C_FhA?F;~{vyYCi{#Izu}`=C|4AT!OY!F_2b`^wHWZNSV&$il98|FBf5q0KD?K#b&WaJ z#gU;dx>*S>JaKn3tmkCmwMe!d+F|HBB-H=&?d2ta|6#HCPw75?8CU!fCjY(Z^B;Xg zJe^m(I;tUIA+CxulK4|XUhfT6&Kik@;)vYTw;E6NS!uEHU$N2J(W;+SVRbTEHp}{e zAWfzs+wz&%P3ba=_p8j~gkzx{fYsQC8{adm!>*PvfLWh6bca1H?0>r&MH7CmJjbwOxYW3AwiZWOpeN|;IA5NbqBOF~^y#>(G$Y&est8D36rLaDC zrccAbT2k{4x4Nuui7Bz`^1l~O!&72-=5djv2$7#A^dHMA& zYI?iXjjaZpa2__lYxzNSjhdMjnmbgWB2!tmkW<6iLg-CC1JP_EGwq}L2p-BO_X1@| zqj(e1Rq-eB#CGTRvdVh>rtH?%_mN<#47^aCs07Njk!GD|C4+!{PGw#*8v*v>B^tbW z8rz;-_H|d+u8Kdk#AbGiWGcb=7tuuKq1rZ zWePrGr8#E0q_;0@6ub#*k=kvq(b0djgpPG!Uz0yt!p4#CeOEwB7;cvSD&**!G~f-n zGGVM2-0{Fms_(qK#VJfQzR|SzdXv}Hu!w$)P>F;aewiS{nCK)n_-gy*#DT!R8$3&E zOD0cB)kEG}`Hi^1vq0e_$#Sbc5v~E z7&>9B5iZNQ!?@g(p*pwcqqA`ln>*un#;=RIzxYzV;}?UtIKVxi>kF7L%omy54|e2j#GfN`meMMXH!wsVT`-K?gQMT^(w4lc`9|h z{beG>@|oBp!S(?T_TqkxG?~46fN%y=MuqnnfptgypRdKk%k$D%ea*0n$h_lqaJq@;a|+|U(dL~{k3l2Z6%-Rb*3A5WxtIcB$1CP*>b`p6W~ys)cwTaFCU>%Ho= zFdr|l+<$)c^Cn)%swQ9t(RG4NHQQf-8?uB*{qfu5Uly@*N3JWdjQ#MgJq;S1JT}~QU51jkol4vPv6`&zC!%*@ zM9QQe-|PfGk?8a9_4HgOMlBtNY@o_p)LOg()LP4P`AJcT{`*gf-xFjWF9%8p-c$u6 zR^nvYV5dg$wL9n8iI1a_hUTKAf`onqW=9zl?H7>{nq%NijU)Fxb(^;#Z< zENs6*?(qr_Sx6rR&3)JnIFM5TZC7jxT}`0|&~^OW!6CO-m_B}9TwQM}#Nv~`g+vN} z&=kh@zf$mX(Z0zZKp4Mm($~JaLbZ23no?$WZmB1kns#^C`n^F)-WyNK50?0&lnQps zK?tN^_ixaG+59c2_0>ds@~f81b-8ruPs_hSkHklb@j)Ip@epR$>$BuEus2p&#DHSA zekJTQ@{q5}vC^`~que?@Z?RD6&L{Ao)xvx4%H%$P>4=3OqyP}v%c9q3G|ufpFKKzw z|Hb0`;x`7R7}r~)Yu926*fQEVlu-de1z2@C^7$RWec(-AOSD8}I*AA0_e0Li+8cw1 z`izR5zd;~d#zss^co?4+N^+q5qCnexfG0+!gm|13wB^P-SOt_V?UF}Fiwnk!SXv3o zMvEWnus0viLlUui)iAj#FR||PtJ*@lg^qN((Za%kn)$_BRyLnly1y`hjzbbNe*T>g z7(REe&%n5}oM&-Fz=VjoMPuVq?O4_vr>0{kyhA~LQGsYb8?Vy$>6FpSsadJWktJMH z>Jd84-b7uSC?O`(8bnA;`bFn`DJX0Wg8U6)&~T$fipek8f|o2?%rDLqUwH`J(Y;mOu={n*mcND!iaU(&RD;0F_f-cJP0;A+CYp}j@_TA8fese#3`#hFg{Cdyo zlIW*7bemFlel*4mCvGmP?2nEy@P!)0brk}Jz&rLKliRFpXg}lwnjOQft|Kurx}(rY zA#b^k7=;iiZ4pu;(>GM!W{p-7vC_k2TaE95AV3On)l2R13Z>F-fw^Bc4Z9CqXRg+kT8Y^w|YJ-Pva?tg!3`Fr(J00s{V z2XZIb7DRpjW!o8oio^C_h3DW3o?nST{>`yrw(@NMa#qw=6J`H=egN+A!Lv{9L>lP2 z?y{jP7PhbQk+{%rD5_gB@ZXZ%RmCdvu62p`a7)9d&lLlf#nht)&c< z#Fc0X@GF{*2jjFIfp5u`Iq0wSy#L1k#6Nr}sxSc=A|qGU7|WWSj(3l?F0&QF1Ed^} zepzrc^r;IQ(s}kudQG(XR@wffdy|!{9i*LH&&}UP>%C|lhd>YDP2=NuRiOMoezu6W zBpgFrX99@V=KEL>=o#C3OjbK<8Oq%FYvEdCg(!v9-A3irYJK)tBAu<4kEo#9m^Vd^ z!m}-rn@a3Sw(1Qy5RF!z~aUrZ-*+en- z;Kg+V=WuZbsF;ddzh- zAEw@PM#tRv^MM~RbAmB8@4<>26n;Z_I+KIbq22$R9O=UJW!kKS4zi&ETj76U<20-~ zcWIw!3b%KPg4JKxVw3vUDwb&_%M5CkuTwP6MaNv`L((=6c7{Dqw!YNNith274o&r> zW_Sf(E{Jp2Bu2z`rIH}RIRm{}`c*aw>-#abEwpQ}s~c=}*3Q7>ejfnd&Q5UlW$1ku z4OfIsu7m1jEqRvn5s!q^xNglCl^YmaUghDAR6}<_gih%sElTQ&QQ?_%fth&>?eO~q z$f(I!XlK9;C+ha(V+-067qoWO2Q*!J-o&u6w5I{Grm!tPfDEwZMvea2SgwSHB z;%ba1U`$z_8zOcn*eueaIO>zr1-$_`8>7g|Gc_uED=}*`E{Tyc2qK>L`NffO&W5qa zT3A~bVWW&LpKFPcxl&|CTNE1`3Y>&m@qaQQBRA#={Jw7fM&T&W@Ki4N+^Yda_cgcE z@6zhCwg&-j@II>&N3K=ivk#O)1sfaH7tG1RJ?p!ox{K8&l8yCO+jAFJETfOovkZ7< zH-mpxcwjo(lNW}Efyw2*#*O*kpaHSo6}y#Rzd;Rk14Zz-Y_s{14#~`el}vJQ=ip`a zTC2vg9qY}8<_O#u5q}-)PhurT5v#A-y(!g!I*Bu`H0u2I-YB4&Lz1q<+SzAa4X!>8>>qktm z^xdBHuT6Rj<<0%2>XJ=u<1k`3Zb^WTpJ>kA7$itgB8UlmLah4N9#;-=dxlS9-0yYC zv&gH`DJ>mnBf|xJzWV*8GDA*CJc5Zg18cQ@NNj+6jLNFm2E3pMe=w>QxbzAX{<9{a z;g4@;E=5&zLkCL>s=&H8?by~M!SpGAM?U5A`NgmvEh5rqZ!#SniM%;Pd%cKzT6&>9 zs%%sM*?@}WCoI8OgMXyW*}KI?t;6gSx>}c9eOWHte)+2aL?S`UQH0}|n!^5HT zOaht%F&!4BUI@9HI3vpqQ`EaGGUiW6L4I?sfGBy8GuyQQASy%wEBfVm@t#jWx=cCM z7JN~%n}dd9Gf_B=AY^EpTVk8tddDNp!&DT@KzEirYBjs1lPbqQDHiT$GmPWXOmT+O zm7vmbgb1f=SkIS$tl96ne}%0qB+VlYb982EIre)?s8~^*E$w+@}0E_X;{E9I) ztxMQ+{_sMyCk->g$<)VYack&+nc1Di20s-wTc3{;M?<4UG-yra3yt<9llMI6J)LT< z_Y?=~(25N)n0RX`l0WKor}k5r6m`0x|1wHZ#dX{P18l1zz)~*&_m>(2Ih_WWGQ-v+?=hjc)LRBg@hTJB_FGGipTP*sNQGZG~2PXc>?O3bRyqIDTju7!mru=jG@W z%(Y#iJ3;aytag{Y{3`_B&E__=`bJB+C_NOhOqF-J=$qk)L4U7Y*FmRs`EbEa#|TA<9e zwxTtjFzg;G;vs>x9 zMr~z#oeNj;`Di5XOBw1emajYnx6w2NQvFNRPHPSW_U&+Q3&)O6Orz$L5vV%=k3Zqq zVlJza&JTON$k+$0qc8*vQ-#UFadyyLO&$e|V4#g~?`2)&vgzeKyfv%2&$|qQs>T6B z>*r}<0NLJ__&12|2}7RKEiaXoVMd*th`QpzIguVCsbavFkt;qGyUk@FJa3!*0DvHi zE?eD!@}UTKhiwij2;3>^I%Y8OEn-gdN0-RCeh1GAGpzm<@QPoC0gHQ)5MX|me|1YP z2(V{&L9JX-u>S_F6<;d~h9chn2H63Vk?Z}qyK?g#%0~cxbTzf@-I~U5EDmpkbT}WH zQ~*lXzrImL93KQ9xbJTwpg6{-zd^mPh##O1uT&d*$1XlVc6fEn7F4#F_yxbG>D@ni zN*wLLmB#z%#I?; zS#7uLem!jt%bn)F^_p*4Cr^?6Q?oI00Nf-#_=acu?+HG-2U%-ChEa=UBQ1~vgmN!P zqv)^>#w-Z)`c#t(`H#qHqpP|rOuLL&^m;mII%?8bt=dN}>#93QICy?M?F3yu0U|85 zr_0@y^tp?m;Z?iCi7oiJ9Yl*>-oRYyZ_{6{uSZ-(;K$@aJp;derrvPvVGXfBj-C2 zt{)+)lV~nk*ed+3iF$~}mu`u59ZL;O$ML_yGp_2^IHMN?0w&5JJAG?^^ag+LYyF@5 zkp6E8oW%N)?zZ{_?aIrvp_x;O(9oFA&%L#TYDJpN>&~1Dl8_EWN0@Cs-uz0lo(^is ztyb&O{wlk3aBoFjYIR`-{3e`(LqgU;z~!a$uk|)C%Or*LeO>1lF3bu~EMlul zQe-0=Rl>TJrv=?oVu#bgZOxnsnW@X~l*Mkn(O-5Jbjp-4 zn8#?i!X&kR>HSywfkf{mzSH>>0}U;WKd^#9BjpZf0aSLN4)T{B^&gsU2xVp#YS9O{ z0EbmPE%q=60%HL}QKh^K*;;Y1B^sna9HlUAkMJv*d4TnpwJr z{x`3(e|yFKceYtQ(Pc`n5iH>CQeclY4# z?hOQ*0G+pU=HBnk$(cK6X5PK?)vbD|N)=GMWv#vTT7UToQ~z0|_sL9|$4;4B5eb|B z;YG8EeoC{%_bnt^HV=D~Gqs9OBJP-It<8>{swLv8sj6u}r+X$tS)H{qJS*>r<@Tg? zWu{(Ce0*)3g<^SWGg_ch{^Ti2Qd$_UE$BpJH?L7R3FDa`??|PHh5?ZFPwi199=y;RFMjL9SeLbyHNJIRp!{ttqi-%$LT?$jVl! zfoGITodv=n`*$9mQ8OIORX05M3kNsc2WKFIHoXN(;`sX%eSb#;`TuM_{}-;4!(mKUo(Z@Jx6dlhnra|&8zJ@>*!mC?z927e`lSz7oAXmnE`Pk9QB+GXc_?}>?hb+57P z2pi)}tI9Te8_DdPS1Cj*DTu|~1!ca^Y7^t@i%?Z6Kn7z!G9Qq^Q1hXw!@o##NT)wl z_gl9tD68?1PTr#Ch`(BXe#j~_0d>q8g(FxC3Kk=^Ks5zIOAas5}%%3I-8O8TVJ zbV|IX*ZiAtH!a5*Y;{lzrs_tRLP0MTiAHFvT{z@2Jr#m$eQB1C{~+8}HFYO08ufK6 zR+A-JWQ_RQVDMyg71!-)652cVYpg0k=i~Q|i|#X{s%wjmLPUk`T;FG}wVUXZRJ;#W zn@R588-CRj%bGV)@uhb-%IP|C7lO%=+R21xhPH5 zNcW*_HhA!E*sRZf!L!QQK$M8~K@*goj(YfZ2Rbjz50{wr#_m-GH&tr@+Zx-sz=o{s zlKtM1=L;j&Tu$q^@v8h*tA--fT2TY{V{>C%q!9XEGR+D+SS|46+SXgv&C4tq$m40m zzLtsEq-3RKUcg%=xDI)TM1McDSuVGl!1>GkTqhpE7$zla^b-y(@6O&4FT8DASbSm%lBs`MdVSuRYa&*YE$oW68hd zQc|xaybe%ZsBh;fA~*(&^_9=Q$rgt1_%cs4M`m!i))$(j|oBK>vitfo|D zC~)2?vpdV5?pRCeh3d5k@|(2vm#RW*rMY{T4Ql@C&Ny!B&`lrBs^_>`Nr|yqNg?mv z(N@sLN;GnYIey+QBu2)Pg4NIrhXjy1}U_CJxJVcm~q zoqA<1!r}_BzaHsZYIo_YmlwPtp=t~BFk2Qw7Jf=&UlKkd`o6J+=(7mleTLry+W(gr z0b%~?F8Bxk!GC&K_m5{M9~;m(zm2}0y-9p}wnKiN-r9MsS)lihCx)XT%#Jijf2*=l zVHL^S=rq~q{;%ohKWM9jNhsb3jci8Q+Db27yWuyUWV50U)T1y@Q+|;21|?OGfi}3E zS82Lhs1wiyCh`QTDZa#jE-**b?+GK?(38+ZPn?QcU;<=!w$rg^oW-!U^P4D;}go(CgJs$Y)ZdLaqqBb(xLV z=txuSf-CNmVHig)PVd8F#}$Z#gQ!BcEoV!`x4H_l2Ott}3(C579Nv5Z2|T3-JkGJc zz&7B4ls{`@fnfOS>_Z`5Ae9XQDw~gioHLH8Pw0Uw0Br67G;(Zk_QBYmUJviSCN_wc zWH8_*jlI#*ybz6+KG6?Zl`~QwZ_+DuWkj~`C;pyd?G4aI3E yed64wJ5Tj3l?v<9PKYCe8OVZn|w(I5+qCbcK^}u=}T| zBYet0aMibkG_pM<$;}0=;vV`~#~&bBmelL}`9?yvB_-^OJ}#7RpuKk(LZm=V8Uv_F zCrSP~yXs&-dt}d@qF5*cHEx~!ou!6qlNY_bN8%B>;!2a9&pl;yBzj~!O-eFPmCGog zAgl(Z4B+AqR(CCZSJPAzvlh*y`wH`3=hJqoNPeIi1OD{`e!YEti=K>DtjjvP@F%X9 zs1S*0S-0YnFL`Jh>aTIWmD(V?ej{C-{`bM`z73=~*ZGY?yrmLq@n;Wk53e zO%u<6B*BeraNU z`{zscDDFG{P2%S35mYO)Ij4uovgV)b?$h~dE@o#3&>G{+#*A(IJa74RE&u-Bl{px| z{Dg{g_iEEIC?twYEaHF`4Npa21Oei*SDTtqy+}l@UwAABC?{^MrP1u%Lff=U0bjcG z{XpsAS83xz_IAiDVqqKQQ)J_F5pw!Jo44Kr_vr?2x?8FsMM4tQ2EZf9LfcV;Kg*n8 zN|VWoUzf?)*CBN26szlwt(WDkqa2eS!IfhF(}v_RW^6qBbe%q;0*CMbYG8jhwT$}L-sCrfLuzuZN-aUUxloHJs3tE2fXm%1{oC;SA+4(7p(G=BnQQ-Y!n zs{nv3V_bp=UnMm4T!aAr_isjNIkv&#Ub0~KQe=ulk#29bq`7rp`BP>zls-jNduaiX z`$ahqOs>Q=K@pY&#U1Q+VJCjCA%AAYl9v2HiB?%k?Rj{*>T^SDrdbdOP4D+x&L6bZ z-890Fn?%=0<%AB@XPk(RPK0PAshsO#UhW+eT?@JeqX@GtU6xCq?sTQm=*&El_8_;B zY09vxCMnCHD_TY&4GD%UZ_uNmfl}Z4u>LCC{_C9T2F*HQg;3B#$)a5$I$x_xF>lRl z$9B(i^o^leP327ZbUk~BhkIx4$<3>+qy}QA8%izHhAlJSyzaxj7@?dgisy^ccyLXV zV4Qw+$v5e!3N--V_|NtQjo*Yw|NpB%PyWYeLcQrhk%0xAl82;NhwD%S5^pb9Er(~b zsV95Suw+Ps`k7tbnF80?FKokSd1tnG9!%*ex zxo0&ZwD&5y09pIf(D&^shfGa5mYUomiTQ|4R<)7aPqiU4$5N`O&V9y`QKlTsF&6~! zV_Nf_mZlhrIwE0YO0PaBb1L~1q5X6nQPtMZu*}sIH+@6+$%aA-CG0snT~bmAT~cEF zySK8qfS|m^)E^kJ0BYxd#ozv@&aCkV1?PWyApb>oK+Wa71$KE%BfFI6q1O=JwL|3f zsKMH8$-i8BLioj5gX!ZPP)XnPho!)mz!yb~`|#iQ9`MI3lC2`k->^g$n^<|7unG)% zB*ts5Ame;8{Es%I$GUfJ?w&r{q(p@oo7HjLp_1l@;mJzR5Zs$sNx^!-;sh9VrJ1S5 zX=^auxu>4>o|~KFaNWwQePnyTMFspEHj3oh|=&H>Pf zKB;SY{!k-xJ_n0~qMCrrDO|S4HW*Ah_s-u8I3{g^!Z9>vJCg7g{lsnsz|?WFV&X9kEkcdFpl~d^)c4{!2gxet zQD4x;0X|dE(J&$YF|M#t1amm$6z83~^sQ39K)J=b(+A`vViQ^#TvUWj9~c>#0u-=# zfZ3EDK<3|zm)`!r)`j{Tz7-9E zHLBD{4BHL*?Sua9dfCx?u%NDV_NpeR({?nR^6Sa`&FiX)KvCK<1RR0G&4@mt(`@8b z=(x{|6?|7`ECh2(Os6hi%P=-HLf>zh%7^jiB5&iZvI`#8jG&72mz68Rs#ZPZSOA&{ zYm$4n19@p$hnyV~MQ{aDqn&^e&=HitlIg2C?eQ}&YRNaxGPkvme>P*MEm8GsqxeJI zm>`UI@*TFD_lauc6FoRKq4V;c8>r@b5C7;np??q z5KO^AtVYA|Fg>19dtFM=>w|YEBxQ|v& zQaxrY#X;sr- zU|f(h0Q3!Wro{Kvt%!QM+5N~AEMMYZMLwtDC>oHukHBophY%+Ry$Y#rC3N*`BLVw< zD}Jb;6r}H`n5y-<%1u3l%=hh<1LSe->IR)pxyB^t0=eYj$~*xe^z$ys+VFf`OQ2AL z-&i;5Q=mMN{d1+gd5M%fSv%I0e64wD+l6gcD$SwN{Vs&KV>6^70m7193J{bn@&b%w zap|r*<35x;i3)cLmV4M`X79hsO-`$e5fkYp*XlQ6{+-A7+sPvfo)}GY_icz<3GG$o zJ*BtFiWRszq+*Pj#5>y*b>*T{)q?a|W|-xY(&!BkY0p}6VizR8V@50jSMSlT zW#X2xlkD)hW$`V(>NmdOks^XbMpWOB5ntdTRQvj!=H=d|KGai#jh6I#eZ<&{-?+H z*eP-O)xbVWmo>RZ{J@d0&%^7e4vS*y4kuY>(>Jz{KT=;ZH3;^eJ_bLBY5&VUT}Yo` z<^Vi=Yv(So#^#Q9QA66x#paEv;L-(DXTB{^`rRkrECym`=~WQ_4A1ZMMDlOtzFsVW z0k`F(G7F|W^BL@;c<2^R39q3Tt`yNCswl4@{}>7z#;x+HM##5!6M*02+~P`*v8L_U zYJWue;Wis0Rh0J&Vze39Lf;?lUyp z`G9SJYeL7RH*f9fOmKDBk_3|;-{r_s?EdoG@K-HLC?X)TN(zJ#2|H~{q@JkDs9;n| zV6!p@cgPjoRl(igpUW@J!O0wZ$vw7x_zVbLeJ3?x>Q@TT*V{~Pu$ryp{FIY z-nG-^33djXxT?O|p`1)znLP^vi37Cdw&t?rJGQwe>PY<@Zg2dIkmEPZ_?s&XggvD*y5w&77c3rnd^Uqq#y)t# zxx_f~l&((ooQA!8p8UL7a;S^dTb=ksKSQwkIebb{07@Hy=6Bl{hH+?9i@zv{Nfg!N z!=HxK(?SYG3(A{uOnW=-^#s&o8M{D0_ORFWJzm?3YoGNhf#*=!c76J(l-FaoVx|t> z2MZ$JXv!mtXSPpUk{nI#$C7Ke5^ux zorqNthKEo!=1u}iS&izJWg~UPwUX6rtqM|~__Q^pW@PrJMk3aL`PiF%E6<(?(B^^M z{`oY*lyc0I0l0aJY3@OCsClwe7Y`H5h764+yUSyB+GzG@4)k_1mr|`7VFFi&t`@>7 zXg4IVNjyh?pIv)zrq=l|LH!)>E!|l#BM7t=eTr%SJQQ^4K0H&i#MF6dU^#tqR8o3g z%{fA72j7n@@d}jFZ3#GLE~5$rG7Q>?7}MaVt|3GijUR}~B}B>WAG)Pye75j@I4Pg) zk}0-c?;JhfrI7aesl9XJ7A-#LB4X0mvmz1&s#3z zGxx}_)A^a6FVZ`{4aH)nbTJr35`+FM@r-kAl(tUD>S#eFT(4#>dJ{{LD5}TH}O(q{C`vzUVq~1@zu4 zg(Kr?=}NU8-7XQ`2H$(TxsiPL_ZU87fo_Dmet>|m>=Y=acZ~i3C9++%E(ott0xW=Z zxJE+Nx247f@`KN?1h);!2QZ%Y1}jLIz%W=Diqeu`R|0<5!6V6@fY$dy39`8>%Fh>` z47`vBfOy%P!dCzouKeJQq|7Nx)V#Ho(8T(}J@2oU^a-3g@k-64FilgcODaVqt|Ojx z1HTJ$g|0`pV%5`Y6IMA5u{{Sb1D8xxmAh;rAc!JVUX~Np!xqcu9hb?6Ns#LFVK$v% zBKB-5hep9?SX^t~yr&$l!s_E}fa9G4zH3lhTpvpf1DBk>q>dYL&?hDz#3rq4O)?{@ z&5N>wjj-hv06^W|LUO~m-$LzRi5>kZf+fafXt)~pk=Z>d%Cj+}R7F&mjum3pP{7%& z_F%m@ctHWmm6}`@gdKuYnl1ezAb2aW3o0ZJ4oiQLu)!1FB)(=t3?sq9V$z*o3cEfz z#N{$=2)!5kvOtQNjP)#2YS55m`_?4yRs%9|F>I>h*KTFGmNcUzRqf}Dk^eJ2Onl(>N5vAKIjU^OLHiYAw!`?i!(b5le*sZ%7! zp%k*U{WE-f4u?H`xf?(os{8Tj31I9S9h1F3jDH*}-4|+5^J-!NOLSVCBA{)}^_wuI z{_YH%ZlZ~9<00eHg`V=#R&8tYYW{cSXLsYP52LD&)iJS<0oVwK^~^et?>LM6jc!nn zw#|IDP9v|Q5z3HaBMRF+{|bBmKt|Qr%=#1kVtuBKG%h%i=7Oa@5%ft7?U|mAj@aiF zgS#TqqqBggL{^qI)R%@y_GpktI*8@MT zH!<&ZJAjdIjl9;Q?k|Y1Q$6Z>rXwn_gCO3eB0(GK1VRWzGjD5iw41MOVFvdzLlf>k z*nls@X7F?77BxNDPtn4v6E|aTq`tDC4B>316mth)RIFlZ*)@9{>tZ$8BY3o>zo;j{ z>e4glCJeL`MC&36s1|>##=h_pyTp)zG>X=EGxf}zY64F08cXV>>Y5^dT5a!rDaa_u z!iL%DTg+LuvE8ee*rV{dTyTu@TEOzuas!V9xs>0)H6Fe_^;!5}lE_4{C9`8=k;`Kz zz*92MHRUB_$}uHzt2PF~5R0A1(QZnU%oppmA{y6Pvxn}~Ocw>=)TW;d)GDCvsfQI| z5>if42UFtL?`t0h*!Sy39=e?bm%{0Hm-$blfaiM6^m=9C2MACUk^-m!-cz1Y)Q{Mrd3v7S7Ob=JYt|xzhqj>uYIP{NB zqu>B${ku#x!aR&gcE&M+QBy!+T^J^1qy2Wz>5}M(Te3z(E?A29aish0?2#S{o+4W4 zC{N_A8q}zlgxhZeAP-(FVI2yo3eP>$J3jxsyz<;IDoJ`Xqj>>;!j(pQ=Fro|ZFLmi zpuI$|Ghec>y|CT<(hFK0$Pr6LCuXf1juVs4TZTK+-g+8;>->|6k53uGsQ^S^CII}C z;O21I`M}`X{48yDp*L^iK&ORpqIJ#SR(0Z5*s@Un0O%G*>nPZ{I2B6KH`ksaUYl&K zCPwZc$lPtjEc@^Y`wKmu`UzS^FTZS_nHR2V0{jE#;YTWizJe)_wvc%HH#gI_qhL}_ z3NVA#(}=rbIBn}`IKbZZ$`~~G25=e9u8w>DqB1$H(Jn@jR(1;*aK8(-5bL_)*#@of z#PLZ$Yx!2g;i~2>`nfkU`!3VZ#W_iA0;#_=Ff4>SNIQ-*raJPgrc$`!f!YgQdwbni zLadtD*GUx~%$h-V>Dt)X0XxH*v09%a`Lw8SOMS0}9#Yp#GT{d{0WB!?)gkw} z3@b{VpY4|qOUewv;;h5xtm_Vg6h0bkP+_r(27oU!XA;p=s&bTJ70izAaH;A4#Vzb> zZ9T0i*U@jv?Ei?^AU^)m5P@@*p1vG_W1^8}uB-!aOvY7@+CYfXTp48twGF~CWHF0N z`is^Cm(DT7?-Ij}S8alL^aJ!|8o^Ia{XC(}WI|=gM*2xUN^7)93wc zp*Bu}g3F4sqECkX6Bmxt@)?#>OD&gfaZc^^> z<$ob4F)CSIKaq9A8s+qG%b%Sfgesoul`%4vq48}#&3tu@mC%gFc)8=!OE$iNGeStZ zV7E)pzFMFtvhkz77iCN@PiHzGdW@1t1~t(*v9x@(Lo^?An;voqi05d`w)yly1LT{7 z6K$yh$M5~g`jP`W@Ap>$)|VO5=W)jy002}q;RtI206@yi_pS)$NEp9HXsl6)xhEtKQ|p$PDdA<~enz3SMfKROY+^d?ZEwMwGM=n{h&VldJxIw*V4u?9zbM~+ zScm^pi5m%Eu%!SDHvD!V%KZ8Rbbq7m2k7F-PX})lTQHOpfhSzx!CDltMA*6>$@E=} zOitweKA&$0lYx{U@?m1_`lP3hScHLqd98p{rm^c}5-n2R&Wm^Z?s00ZzsyP0uVea* z&S`_-Y9K)}JK?CKX*3;m`lbGCY;aVIHzjv`d@I=5pYKFE^TG0lW5ZjZ!!Z4@lU>wf6~jn}9p&PKEE# zTYds!bSZD;DF66qlYXyFF)cAq(00zZPTp!`g9*D|g8R5GZPRX~`lC8JUf z;hh}k^%-SVNpVdZ%9pls5VtMg+s}XgTyP2)tXYxvkUZbhr>W3cKy`}l(TfgLi57c` zA&hjS?sSJG3&l*mB-sk6xl4(Dt$`Dy8|iPFd4(VG$F=$E6oFJvAswyAt~!c@-zkIM zADxILNY7T66Vsr*v3G{vEvl=R0+C~I?R@DW3Ap0~q9y)3htL3s#>97ehyuI<>>C3y zv1oY9{QmH){b5|7fiW(&M=;2ZtOT^zN4SDhr}!y#tj$qkndi~07{P3t$v{=w+=fjd z{=7M#Q(0WD)?S0BzS^f$bQ@%kFhIeUu}qqF6~rOU1#C^fK68Hr6_AiFJEhXQSNtQuGpk+WH;z< zNcs7u4W3sHL`Z+Sq{vYd^0oHVvFFU=zi4Z9G#UjW-wPH5;j1W}UM3VAG)Po=SZ-Tr zE2LdMZR(-WNY13a7VR_@DNJ4Zf)zWoD=vDD_`>0r8|ZSpm#7~kGi#wrmM=$3@j(h zoUg0ADqXLv6vNJneT3AIKFrs860ZtlxH3L{)JY=P{2}_yrrsz`_zuc!JPmy&7v-<- z`8PI0P&x<9X^o9UsuGqUR@0oON|nJvmMPk&w<~5u3r*jy@dQ#M(vI(L6{nXemigN8 z%U|0*xNw6Odkc9%#^>EYe(>kF0LQg+t=#X{NH}9%5Ow-^2jTTVlLb+%%MBW6fn8tZ*W zrY(#6vwY`~&FSJb?#E<>$G>$2fux_*mmkTgbY-vwk=_W)01Wr{a=3$+9|hih@I zss{km^YVN_u4ci`4st}O+&7`C0O9xSPfenoM@MoX!$d{HF9r;n{tABmum7y|eHoH# z#$D)CX5q|dRaF;Z(o`p3jNBgL-0f)RRnYjl;hxXd4j7EuPvmDt+i`}+>jl}p@-7=sK zvfDHizf4z2$w07Mn^2VPBjs}T&L~=Q8^m3q_THZW=xXm?T|vz&7rp$n9;(*>R0XB@lEwbN9OM96a*178}lLiv+d~^c*FK zX+$*Iu+X51dxpgUs-T}TtV4-uez|*%J?vCa)ns@#?HbFB%S%U~OCbVYFXOuoB*i>Z z&Qh2$s9WvYP849Ou_zRpnK@qk_MHhhl-7ixy|;J2#l9*(Kxb-Z3!W-7nP>4goylGu zPmm|H_nD)pDBWV|!$9d$5(!8?2C(8};$>9pfvoGiR!+N#b%EOKYK!t@%X2B<9Ye<8 zPheoxhMKCBqwiLCox#%&79`a)=cRJ6VbNinYP zqw#~oMxpvuXPu^2YlHL$TwTRZ?u402xbKJ-ikYrsKLjihFTV6~B+1J)%F&edDq3F9 zoD+}YSl?C)HejQ7SV51uy@i1C@=A2D*{_N4CiUUB2V}QMy5fCQeMgmEw58 z3keS+x~%$>3^m|4&-3n6 zBRjOvYS|TiwV&{pX5UB48FU}dbS$C&NW?jqFNh?Hi+N*IH_td0Z|l1%ny)oIxP@aL@E6M zZCw0%!h$RgT4=^@g*U-AmVDMpJI7}&3y#s(DRzz4>(9f(H}b@RMC11-iN&ry8Ssi7 zK;~|D9(c*oxp%h(@Dc}rZTTFK=F5|_7()X-8~r$Vv7G|qfU`wkGj@_6sCL*-{Zei6 z8%B=rJ*sFxxy^rh#Aa!)K{$lXdUVn6hGcyPTzKtcyZHTi(CIvJb)dOYjp# zs9sIA*fv)7o-A^A^8;OJzE1~jBKBxQAgXVwia*DBuLX#l#Z~}%e^1(9=>1O%TKkFZE94D8dBa*lom>9)44gGja2Iq4!iKw|C~+k)C|G0+;u5d!o)53a#MnlvsElGTr7S)Erpl& z`m*6TUIy@>R$TB(1V5lLX!+Z{u5?{zTL!;}Ss$1VZ-R|S(NdyT&xOWso=-UU_Bhm3 z_<}89Eh|?Lpww;(F=(bpDIvDTdQgZ{qzf83S9!<94Q)145`rImf) zk@H^KcK-qDO_8f2^SY}aCGLEyqd)q3d`nj_WJsdx4B#qLd&i%zXw1xiFo8?V|=}p zrKo<}h>j=;=Nvpfn>R!>ftiF-3O?1Pd~x(Oo960oXPijO<~60V7GC2UKl0XdUr`>@ z15elHn)TaYdLQ59E?GbCVSl>idv_8K$muXL$tD77UJX^rPml7&W1qgY?Wca$XF>x! zufB}hzgq}@y(s?GpZ`D^EBlpH_D_Fgz_gLuBc=ifPMy=S4pTK8#Ir4oUQ`l>KE*PZ z7SI-`7&cqBdqvq6a67sNz^wj73fUhxszv*M7kpg&9~(vKbkb;mQ)3zj-4^FfaQ9Md zdg8q?9!ptU zlie2MsdV7u#Z@yV>LraVb=orW7+TL);5?(nd6PTe8y0S30`9C!9`9RUg^4V91Lr$t*X1)|}i z{nA2MEolVDZlP3mnuC=oI?blm$HX2f(xlL;FZzhrW({gk;vN@m`%6uiLCm{5N*l5B zRG>&xJeg6^0U-i&+~`L^@^2BM#mTUHF>rG1Br~1S)teOjy-i;rhbZ* zV5Bqb1WK7k(1waL?5^UQ&>&;GhmM_YH zO>9F(MuFT{)lerS)+}AcC4V=~pRD53;2h)kAjtnwQ+)|(4chQ!%ZXN8q z)1Vu9y8Y2DK2E26%I&#KC_}m8<;%ZGA03_k*32YYBU|dAQZZqb)@8}E)$uZX6Bmzw z$`q99K>~=b`kzZ=M9?|07=f|-^Y+e1ZTOv4>mO`7UCR<979z9jk^u%;8ccVE>&;?t zQ8-!c1hq|ce~H1Zv6x}o-<|}jDgeH;Q0~i zh9D~UVUN6vu_03K-%ZzH@)sU}2~n##lq^4hMBuHftP`WPG&T*wxs@BekJi#5%%; zeknz{o`(>}sO-iJ>^w*Hp?1SZj6zgKc$+K&xm2XdwL5D*9dY09>_QcI&lz zz+YHuBcQbgV>NV+)XbgC)QFf|Ek>LJG$T>7{!pXYv-+<`@EOw*{%8rO-dE6in`S`4 zGIK=%qj)a%m49*JKCv|LepEm~3|$|1Q^9iICTYP&2eQVJ_2uRiW5A+g)1hWc(~*iS zbiV)y4{juT?BQov56xn_RygRUA=Iyn+|g8PogVJv&myHh$CTc5UEjHCofjgVZ~+gN z&(gP?-GIx^Ggs1E_h*QEc^7{>tKscX@|6=VETY8)86m}Fp$r>jIxm?;=0lzG$8Bwt z3{dCnfe8La7I3AaC%j2J2e66_!8AgyaRG`HbrNZSvzyZH? zO&^7ewy@r){{Xe#)#Ql_EjpgTN35WeJI=SOM%I}B8SVt>RcvUV<_EwXeL{Z3M=Mci|{Nj+c6;7L$O`VhA{e zj}F+4sp+Z6RZJbyRZP7vEicLKO|o&o9V7(|fB)hWi-%zGv(thZCBM_*9x>vRy-nb} z8>{skQ-u5YUW7wz2NI6gkgRnl(v3pbyd>Xrf>n_g{YvxKLFUEP|t0zBp{1+god&6bZ|B-q5lRT@0&_#t>qlSYEy)d_pBf|Wgm z#+l1X9UV&abo;3YI(GV)@azOCrPE5Ii_+LvPU(C3wM<}Eg$DBhJnsD6#ho!3$;;v& z?>LG&g}$X&UoLaN8jMk2R0HtFL7x{x3B&2ltxos8AK$j|tq`~tEp)<>CIu9}=;|zJ zrPOVlbEDhWi!b>_bbUyrXl9CqCPXz^uFamDP{AD8sxA4IoLEk09*5nh#-cwSu(`(E z+KYowIfQO7TW6@kyjB&EUqfrgEddWgLZh;`6H954g40B>qsC_U(e9{gx^xbp+s<+V z4|WIb+#+mb5W%u`q|afqGPCCQ;(v_30Xf)&fOm;2Fv6z(d(Y}g#+;vo; z`Bik=8CTPEfeFXc`vb(P?DH$G#vnP*!!y@>*{@~gHFed^^5Z7yS4t2Q_5l)ga}y-e zm_WTAUbN7&=i!o7S%pmc?%J0P2c|1|4Hm9i@Tbb1MT|2ANAHfDrbk-_^|-Fd=lS|V zcg2`6eECCrfrH4thr+RyN5*dAkYy{+BaQ&$z0?MC&cy}M*aU5Df?RcMyVCn*JTo;s zMt??bA2iW$J0;63hs=HIQN)A8GdC?bd;;>V+uIF|VKkO?20S%7U_M}5*iUHL2=2`O z2!YBsb5`Yj%IYoaeDskgx~?+(3om1qgcpqF1j%oL%=loBf(0p-RZqv}~Or1wLFO!mMt$jTx}39GIV-jdtnZWjnxE8F+V>mXAI?!}7@Lh1^Wg+=na_Xk7Y-?_^dgjPZM&ce z>eMI<41e1FbgtPrt$xu_UO|>M!DGLgbEZq5L9At`-JX_du-g42$1{q-9*gaY^+69| zWJn0}8js@ph;fb^^u_%;dNgFyi5dr{7a33wct!) zm8=7$04Z??IVSP`ymE8B+QZAal~X~?27>_Y)=SB-?eSdRs+s4^28YX%ltX;DE}ax^ zk2MbAl^R^C-`?2QN_gCx);W--X{w2c#NQ5>)|{%ReCnv^M)s)hK(}6UU>3U=K?p z((|#4GA#ioDDIo6(qaMVOwx@P?rY)W!2lx_`Iu6)ihCq zSDRE5!Z}xZxE?jEq0;gSB@ADL_x>xNKw2xI2OH%PEia%Sc?cl$klE@vm<0`6LkS*!_SuzNG_uh)sl|8W&Y4Wl>B6EgQ7L2Tr zE4o&fp;vFWW649v@>t5R7&#C-(@2=wceBc{kMG%o1MQ$-0aMD5(lV7^Z=5M7Sh@P5 zyRz5OygCzSyKF@bmiSY=RMeW0=f$pVUh zW#2PWGHy}Npi!4srZBs65asEoeYSG|IocJs0AU|&7-2b>tChB`WR>LCQww^D(3gGW z&6{uqo?RxbSvk7~EL|o>w@hKTtyNEMofev(hg<7zQqHE+12C`Xgs_&us$=lmy%^C( zLC?`@((ntSg(~6~OEG?M>;h{cF9SX3lWbdFEpYW_WycSYi%EVQ@eaM6^5SYNkTbx0 zp?WLF->~o(bY2M=ei!=q<+aXOO;=o@eiJepm1i3w<`and8aegeW2j5SN!Apt=wr)K z-2VKgkXWR9Z(5wdQ?T5wlyY42DDesSs%}S2O@l2@@yBLsoL8h~cX!uzc23U?d`Aw%SLD;5Z zlnWP>n8O0fd7_L}3mttMRK}>hChePsRzRs)$BpNNbLF0E?B&>9|81j(9=x@&F8PH9 z8qKz7shR3Y?kJ7cNE9+Hk={nwU2~aB2KjEcN{hFuVT+wM+St8 z-j{I-4eZ2Ad|frJ<51Ee{$Uvb(pksR&`5Z zI=Eddfo zgiSvMqxS%bBh24Y%U{w@fhaOv_|=VMr@QsZwbKpq)v|%jw`E8E^O-yC4c;BnU^F#x z`_?~G*Z2u+1SHbKfQsscAS@1kYrb+DCV5N!z4Z>u8|Y57lDGiOyRrY`s^qZS1br?j z?d4Hdj8#>b`;{Jap(HG(XVc`(B(|;DU%D|O7g5)@Pr%KJO}7y3MCm9C;k;4vAlSoe(Lsv0ZJvmY7=$Yg7#fxVy{zBWug!pH z?3Yyjx65w$d+fZAv2b@|U$rs{PKVG84e${f*Ne04tKmILWsF4lMcC!H-crU!n9UN{ z)YV2Ac;eX%Y|?v&PT=|dDew5eCGR%`OwIo09S6Qa_RnI%BcPb@1N5_+0H~-xOJ3QT zx&_uN-{NT{uwEA-SI*kfoPObH-7lfOqEy&_0nP~kEsIg0Wg%}NeET-)S3&)s${xkJ z`|5nn{u=XYM>XF*)}I}-5%E((uJ6jRp#cI#`!p9es=9QT0zhelSqQWSdQ4-S+&pL4y%6^YuS27Ax; z)0e3N93b?_SHhh37~$EV&P>E=CPJOlk+fnxx^w2*+917f!-{VO0_FnY%1tot#bY zd`7Ne$<79HL{Ql?wkX=j@7bq|PP2$WV;>$VA<2mV;86bM`Td{4O#c`9fEvy{nfI?r ztMGzGdKcRj5_nHizriU7y8krW;kJ@y%pMlQgCe)6!Y0@&b<>Y$ZBiU&iWEuxCO~?(N(y+0{5l#&B6a}10Eq!hVg7f(6FBrTrFPSlc!cBmfp#@O z5z1+|T#W+FwHN4HSB_P8Ngp?5JtxBfjeaq{P`2gpaM0Db<)rMy`cB+>_VNI5)|x5$ zDnh{q<+D3%6BQD<%&RriP*u>6mf6F!YY?F*&C}^6x1n1KaJH(*qT-2I-zKYH&BxTY zZEk=beb84kpy{d$t+r6voFC0{->b5ePoYHbh80aRjst(up)Z{tF3zv*WiN7F=+wqP z*h`pMUtV8iO!UWnrl^X|ffwnoQVk||beK{wDASUHVw_1%&2HOGjs z9;54$QlK}q)}RNJRFY@LI2jzHs%1=*kH2sEDLB1ASs_Ow1MwrMZbJhz2vS+Qcw(;; za2G@|*xh0mIgJAuisU5++<33L^-kffre(l+^G<864gv%&x;;km)DjX~LfNe{^Htq^ zHfiQla|YX2?pFufHu{<~(l_GA-<&nvznSYDEH!)fSR~UgG)ZPzw3-23l`7ylU3x#7 zv`wX7zd;Bs1bveOjX0_Yw zf|PeR3|?eAHLs0F7e!-y-JoZ9U7hW}_!Y5e3N^DSN=cjy>(bs+x{=SKole-q+0=LP`X1&k(5p)Bp2Ns(j_1ujncJ{SS&iEyFnJ+ z(hZCBPWL|V@44H(&))l<^E=Od-}~Obd|*wPV~#P_nBVxsH^xFO{VXP_@|mamU4;;0 zLR-xzNKco|2J982u(cMi^{4elUXNMvUMCwaVnFV~R~@45Yc7Bq!ZRMIU9MCtNy(yY zwkMV^KQ)^}>Q(mfhMFR7$VWDV6_<*Me)Gd~A~}Na*51S$uezzNo>7<{bX5LWsHkm@ z=71`Q^<(l;8?U_q$(?D8G)lhlX6h;vDJChjfe!k$TLG!|7pCosfV)&H_bME4mwF>Z zN<1WRMiwuQI&^VL6LuUOF-O>Va3|i}DHof^68lMHH5FIbv;%A`vdN`UfxlSp`l2ndg*}8ayZWK|-`Q)*(AS8Wg zHsaY5BxadeSbJW6tX|l|4PEiHj^fG0EKM_0Y^(YG+ue~a5=H~IdTx)ZuW4jPDzS{U zt`vubUK)|-(?)OCvF1sfckD3%5s51Xh_l8n=yH}B2-rZb&hsk!^HRtBn&DWt`cvgCkIS2*+G+ycTI$?l%}_6NQg+2(Gn0shh-=jl&j zk?11Pk8X(v&@Dj#%@RUi4o~KVQXF&A+X<2MVS$keX~h1MmqdzTgfXDYQLjwJguTO^ zl`^_=o-Lm*Kf`B!jzp>5rLS&Wfv6u{7>N3#hkiu;s=QPpr4U4%ay2Ty=r9tt<%S^942AXK+1KbU$m%2XRfc@3%p9biSl`#c)D(BPxCM2Y(ZN?+f;k zKEgqM%o=;HcI+b&411%|947OX7yyofK+PZkr1N{=#-H6r3_xW6sV)vwxAfXM|#Sq@??TNxE7Ne8VMfd6Z27JCwM=uTbc+~-}SM07f-$AIO0J@Lj*Oq6|(xuPb z9Kt|8eb)Qf-TJdhwQx{-GMbUlvS7A8fOlg2<1QYsf9D3MW;eA(Ls=l5^FQECTc&w? ztRpfhG^nc%5ep0cz#hAM~ot%(nEpjrElRZ17lb3s*8)~GRic}LM3o?H952)Es zMpcl6ku{87P3z*dFc0%#<1o!s4w(oJ5}5@6sSyTB_`@dfuR6o`d{p-}0-Ze0ZJ-8N zW{H#K%YD@~pTo4MKu8HD0O3HyR}BEBq~nLDiAq$Bn0zxG?lm6;is+8r*2-bWg0d~t znte`uInr8IV@;wKTIQ-1EVh~Ot=;QsZ7gTd%dTI#f!pbP;b$4s zB4o8wM{ZP8oGok12%*8s#=hg(vV+tet5+HDMt0cs&R*d0)XwCdbnez2oq(@aTA4wd z1Dv&z%Y5Bm(tiNBd=^>jgU^x^-wMf^n2B87=rv3XD$Y&=rOY_L!;c;Iwn)^#qI?I5 zDJGxF%PGL9+_zLlthY->M?FU+${-4$nO0f=z(@f2V3TMQg&d3GxP1qG6&OeHon-Npja|te#U)}B4sq^cw<&3H(02;n%cYHHg z-@E@<-W^Cvksh$TkgnJ9!1BgHrDSPWV*io5N;?62Y|3>~C4JF*+dV!$yK22`?sGd( z9ay#sAK;9Mr%zlC7oGD0EV4Sh4QRHHHtKw)k9cQHb9uH&23#;-0Ufa)aKF2cDohVI z=WxcFT>2&jtoHS$3r|>lYZVX_s?Vz5Jq=ojyCFmkez>kwS2JSxjedfKjq96MQ!Q<^ zgvzYKki8f^F)9c^k88+{6+3yLl~xu_TW&Ertj_2>FYiFml5@n~L!;Tm0u*-sWQYCj z&&r|m*yiqg@8I!}t#Ge-K#D*rXbJ1<>}uazSxP`-N7LBWp07k3z{(v8X=Hg0&FQ#C zbqloG;lbzPH#MJs2$I??OxG1#b&=tTg_F{UR_{bc!0rA zO|Ly&00w`T?7gu)2Y)7(_P-$1^#5G}rGMs!=01 zhv#_etHi@8H2TI$gi}9XK6j!Ir7-U9ytpoW9Dn2~ALwMeS?m&U1vC#n9_qgKciN9B zB`Bs^IJ>fXqb?l|eKg|9o3Ae!ARr+@Lo!R_ng3Yes?84Y@Li%{^HTR>B*-GP)no1d zQuZL@6&+|fBg0%MD*k}aThW|IIN^ttg(&}*Ll4c`4D|6UC~VLub;wFb?w~3r$Lc#s zx_n48%cwZW_?`n`NH`E@P*YoRkXt>WoIu|iDT=OOB1=xayyJeNpvIogqufHauOCr7dnt z-@i?~6#OU!n!M#Pe{*-USdXW%j3;HaA_`^$NY(6ny~x6&3h;1_Z2Ia^wzpy`nr2~M zYehO2n5k!p%N5%RCeI~&gJ#T-ouCjQPHxIq>i?ASsK1?tLk5Q&Tdii=U2j(HIszdeS}_$$eQcTk~8)15kEsIN{rS zi-H|2AWIK&o4?@Sob>I4@0_rj1os!r)`!?dv}M!*)j0~?8}567i`R;>2#4!X{E4iW znr|XaFy}Pcw#5f#_Q^&|9BcL7Ydfb{nt8YEE)_<)aX`2YwKG$?N{wjAS?LyQKTFZw&E4cWHf*iq!HJ zN@#BIsK~UY`TC>cS2s1hcZsi)1Ooa(MvP6br6!2oJK4X~>p#R#=-=8HYh`W`a%RKF z8*cC-{0{QlPQO0_XwK|IBC~)OgW1hMdC=g%R7Kz6(4(Ciz5yT;W!28@UPIOudbiP>&LDUfo>p4!x|5{}RU|jq;$$L^x5(Ly* zea-G3PDvM-Pb}}kH)^4?@wM*TK_u$j-Q9w!#<2>rkD?J0r4O#>QUJ;$o|ZOt4Qi5& zQw3l)iPOz&ymB=F6o^4UB@#eCY>RMKeFrH+%o2ws&uD5#R>I8395s?2!HZ`xiuq7t zX*zqQPdmeQMBVaTRp4thhi`{Z)Y4zg$O2?)E`BtzCv1Y?eG1U#i_O5nS+fQ@v}ACt+amk)$mW)ALwP z|9isiX6kG(0Jdy7DeD9=BbCar524YrVaZAwN1|n5$zG;S^+*Q6f8cAMdG~(@QQP1m z;^b#uI z@Y*6X!PNhtQfWDvbTllcS$Au!@DhHGd`c8Ie7nZ2Dix1nN!*tgY)A;a4sgvb&eFLe zrQn-7UZ0jw?ACRj3=nD#_LF%QqgSI4P)7uak^UXJlfy+`fTv)=d~d$)22XdPY$QDL zGtXH7h@A*GKHggy7DS?3un+?@NC?JQMOUzw`mNy%H)de#2#wD{TfuHh1DlGpZp{nt zihX~L)7BA#ir+y7rk^Zhu+vtc337L<&Lje_#+{d`D~J6xZ&hNo0bvn*VlvW0cH&t5 zvK>+!F_i6dG`tSuct#p!uQ!&D@)^S)zzZQm5(_jpPjL;*HL4~jRMvZ|tKv-}_htA@ zXvuM;$4H^NHw6nj08}X9Mu!T33N6Taz2^D>6$0IM`)C3{g}hbTJc~Meo@iVWwP_m& z(1(ajTi1sRTi~)0cyHUyNLNXAEp#)a)$-iMs{gfIFK0X|>ax_&wEp~ccO6WlzMOfi zEDeV^UYso1F$6p1cD$U1_@CF8|9!g_b@jTB+FO(ov9b=99yZm}bK1`hlkx0gVpC0!&$pNC_GraawWAZmUygs!r${+)};(SR$U%HA|_@``W)1WVTh9ptEg}*)S_erQxgY*5fcm8w-CsK02Ji zmnE9S#o5Z*Fm}%{eNO4tmxWu<&obY{QSgXydY-?NE1DGYSr|LOwDij3QomTaTh3_k zfL=`Y(4?8(T9&C9?`GGWoHcoY50iMebq{YDS^Dv+S!Fd?5M_rUCKagynd+Jr6alYLRAnXqm(|=X;%wLX`OA~jT z<$&uwQ*%60@0pG3JM7dDOj3IpVQqNFHZy^mf>9;SoZ+R0)kq|1{93_CIQaNkT1Hh=O&45o8=Tu~_IKem)Q%{zfT--72jVnvZz@;I8{BAYy87+tg4xHlV|0w%;^EnVoJ=}}BVUjk@? zw*!I-p5h<3+{vZm(>GDlakBO}yR8q4ndt*qNR zcS4gKNGp`}KdW`zv7wE~L@|GwRxfdR^EVY$2Nbc6$#ZJZ z2Ie8g&;!iK;iRiBzg}8U57emOSuER$k{7xr(+EPsZuu2IxIytofx;*tz&$=;i;%9<+Y$ND=cpOJ!$644EM=o)q7-FReP zW){}wrWh4{l`|@w(lOAI`vHicOWAC$k03<<;cHFZy6L02_k+)ulu#qA)oSoJ zT7zWz7Np@|hK2jVD#WJ#%+JF}tGT^MR@_U!?C8T~=Ud^>_oszE!`n`1*&66RfslYF zg7&sAUbKOTW923}9WoJ+!G2zS{4r8Khc!h@;rcGI&8|=4%uyVuiS-AE>tPq@VFz0) znoNU9Uj};>5vT#7i^WYi=c)V#aj-?$g6F{GHcS~_6~Bb!@Q^DMcbJ{GoKdL{H9i)} z5#@A7Skh9*OoX}qFtRj|`*xI-o5QT44LZo3v*2A%Kx)kD9{E+xQiG8S01U}n+`B~H z^tllEQd_tdjJdG1JCjlL9Yh-?;7R2hP@kGnWbjEkX2Spj>XAh4gR?oIq+JGR>XnTy z#iZun5Y)0{TQ_&(GJ|AP(6II6GtIOOf{R5uKYeXAwbtwV?b))wiDy=rAOz$`!q6)IQY%suvH4hO$#o%$Ugxouut zyMs#;o)Dvnn{dfMQMoIvS++q%k8O{w)YFASK@Be(VVxXItV5EWBD6t zJxPZ~s)Jm=C5*L-;k}z-+k9ijm97s;V)QJTE(F7>V>tqf3EA4-?zrla&+%4@h=`_& zykAS=tG^NdrNeEwb;C4tdw%!WO|^S-ZhK0PI(s8*`vb+Pt!mRw!XCXRDL zXhpy`FYK}s3eqsj;r7F`stmWH*6|1e4Wgclsp&noVI}yixHDv;g0?Wq=*_ct#I{mi z;tQ8!?ztZ=bEcr<$BnA!J%RoS{BO{+KzQ~t1Wb7Lt8yy%w5weS4dJTFlClqe84y8o z@^0!W@0tHHjwX>#>~Q&qFw82|h~ss?L91~oyu>dMUGp9v^qL$=JD>eZPm1p#@#w4g zlpn+&g->#z8(t^(SQ+5 zrP$>&wGs6z`&Nj+$SF5}4Zq%{4gjP}_;gC(cAdezHaFn{ErsPa2Bi_aR39sGOd+ao z0%WZMRm-#Zdmk$y=hLpdVP>6pKC))eYBZ2>tcg|!W~?Saz%)PAUT0(CkoBqj z>RIVVIz>g)mR8Lr7dCaMj**C_zg-~8@tv9vfb@dg2Do)C+y0)jTOuDSZ46|6Ql__E3>VU z8!IwjyHM;4rJo9p&toG>pB-RSx=Updv=w3y?NRL%|+FI8)LAA-f&W=9K zuD0Z87-q3x3+HnD$;LCXXw$o;x`iuZN1N*&0qm-}Efp+I&m zPNEJ#T(QT{p+BlRU*T(^N3ISkmtL8G3bHj+uu*g?ZH`oJrGo0QeCllj7PS)gc4uCf z1M|sY-3`+En!{~w0?m~~P}yOUoHP&q9UI2^S2WI}?T!Q*s-sp;9(S#rbeMr6za3yi z(*_jzsUwPz@1db?-Uey^h_=8E66f{&1zwFqK7G8}Y4cPk1AACZhg#7e9t|LuCNMvf zIKU^3ADvP(_sX>h36d&r3}Jqcg50&K%`Y~S^rQ|v_pz$19R&V2v~Z9JW-EyjDzxXG z;h&CXNwcVA8pja7D!SEzf``UE2>*LAk)#V4&@h>Ry=wv@)R8(MMPnKfTw5pCHw3DiP=Nq%zj=Z)MZ}i7fj6 zHD;^iC5e09j9Vf$gQsA^&aT%lGBQQHnDaX39qQ3>;T!=FjvV+zTIZfa9yGv4m#sheu|7z8Nx>Jp={#tVLDHRnlk%r{-q~fgHo6l3n(h$I$v&@29AydCO1oJ!y|$eZe|mW=U~4Wgyavm1 zR_xhRY*JX&Bi+&-HEQsBb2_g!vQ){dY+={&&R=S&tEbrGmH(Y5si_lm4f7GG{$S_^ zl-X=gl^_3@T9(e(KGmJ9?{^gR<|BpkhavM@KXD5cYMh}-hN$qvYwM7;uAA-FC+Ud zPA1)`1&VG)UGIAI3*`gU>qnEaBVU3py|YlPu+MPoC$8~wM=O&I4@!13PrnFiZc~>- z<7@?e-sHC(D>pyrCU%(rBd~MkK0ygnFXm;nOTd0>fG|BHs^)n40vGBo2 zVR+u|Gx-#ksxxN|56Ag+udvoy#i>!xI-WhS-faBbEbnN;#9Ko5@OCsjg&nn`4EyRUzy}dAKul$=`EcHo+il9?FFpYL`@$iFY{mk3v3bNhZ z!jGBGf{thHCv^cdeu1`Fw4Dv|RHx0u_hf3_VhZ=3;dX=Y6fGP{S+9e?WNdpd+aQw2 zZV|;oib8cg=XvZ}3>}i;_BkYt`Z|qj!#PgQmu|u=j;d*BW7gy_YmDtmNGZLg{kQG( zgqf(bw%S;LUgu;s!eCu06qxQTKRnHRz43f~*KdGxMlF)#OlJfPy$en0a`NK9pm8D0 z!IvdmstbRhW|R)1_KPr09ZL?Zs>{Z}-X=5S#uC7I0(60B!|$>@fsXg2Podlo3vZdD zs`ek$)KeJ1AQP4i>SrTCiJ2~thj99Gw8e@ok9@3s9_JjH(j>PBa~$~&f@vRdSchdX zYV%lMlb3ikC2=+FOw@NbQkus|e$?3<1$=J`AI|B{@UpabJPnvq#Q|+->~isy>~ZTU zDyD6h+OT@&IY}C0eyr+IjZO;kNX2=g>27*OmwY>|D%vJ{YSVe2Js#_z9w#tTy0-y6 zF7=gX&4xCP8E@4b#e|ym!$iHYSm{_#up(FPILIMT2}E+dR#D_*&RA0X1f0L?TuOAXgI{98o{wAY`@LDN(aj*45)i7=jd^MExe16(=v;DRBg2ueX8Yl&| z=ChoQ!{$O$*(#am)Gg#IpW-q+-&8@dcs!cOHi-H`JkC57ODfWMHImIFgR@uzPxQDC zaGh!zrZ4-U;FGGN)v9Z2r==%Ku2DA5r*UA0y*>M)(uC>VU9f>y-nItS>qGC!Rao4* z>6g#pM;nB^XywNnI8ah@xfIRd-A;E&IaA=-U50R`PZdCI z?wAQQBxIQ9Yr0LG;-0H_ugf%QFG_I`-~Upodkp+{Bv(txv5#Y1{k&au!Gg3$t5zn@ z(=l*|l5^C9%k4b2PBj2%-KZkRvH@m(byK*(=lY#e(T%*M(J{LgeEV)%yNBJ3x2IH* z5yT|Ihyn%(Mml&v-R5{c)Oo9t__&{cWiYF60sG3C=W2_$v$jYR0c)k!GBA*~S)Z-v zdF(b4SNSm2_!aUx{f16D<*4~RQA-+v?phwg_kQg z%PHgaFGa(+X62racnTJ=9`Cy;o+3t20*!lut;&S#rBE&42S11fXn6r8;MiUEst?QM zu;ewdLSs0QN7*)rMG>lda4*B&Nsn25^x+X(N%rBJh{pyTv-{-62Z!$zEK`gjw*wTt#g9Yv}>cnaI`Xm0ouD zlEMAWgtE`rM%lM){Ka*G-_`Ogj#8@Jyn*grv4hQ*C~heaRo3Yk*$9Q(gJT}{DUxA+ zCY}v|jYZ9Ft_K}Yhl6d}T+VFW6g?c%wPv#GWl0OuvB`HAjos#0m4>IprUmSAB$>6*wPO<0gIN9Wk$}Ov8zh{x)E$os$^BwdWF6-c6@+3xakWaq5 zlH3N(qghCQ9u6W~Y3XDPZRvs#DnGmCyl<7C!}m?c&dC$vxL^ID_vACu=y z4p$@i$OQm5re*3wVdgxDPHU}oj%Wy*ka&qWL3~?PfjLF$OSd|lC-!=uk*rc}`EHeR zk4@fVj#o@z+h99`IcBIi^mo`7hCOzXWrM-g<2K`(t|)roIR%ZQY&$5WchiYNWP&kM z-bXfHM66YV1w1TS?~Q)ZXCH0sD3@T#sy0ZTTdi6kushRT=6iL|vhYAH^7=H5>Qr(V z)(A^uqv?wXWgG3Yffau4qKVO6%R>znQnPX_QIv#@SiKmooHPL6=Pcy2nGFQ_KaY|n zzEk@+VWl#=#>S;28KyQrGPqhZ=?A0Bq0RDW05d=OWFf zie+j<(nrJ8&KtU7HWe(nwoRV0tJW9Kj>FHr(e~n>Q)wiSVyh-v z^7cU{du?bP^eGQqPQe{bc09qQ`XvKdT>c({tov_urLvrNZSQBePmUPZ9vFmiMS3%1 zgkv%F%)P{qD-W*a@CCuMuQD+Y-D7la&_?E^_7mb?a~U#z^z$4;p@6tqOQWvQCr7us zdkw_pTO=5=BjSac%qwkMSJ>ldT{j<3y>j?lCpHS#x#xIekfdJ4ErPs&9#J_?W5 zkYbcIOFN5h{RBTf8MzM2!0{OYJEpNP*uXX)OErH7*^%|z3^Nx(d{joQZG_CTW-BGW zYPJXl&w-9IEc{^nqHMc%QI?qyrgHrO9K|~C5}?K?ou)0Tl84q9*#xXjH}$75=G4`{ z@{{8)YP!84wP`s^E|<9(Cn(Fh8(&V8^mU~&U$yhe>Sq(_>6);`C=1pb=3%!a)_OT( z5hd1PFuR&G=-u8*Ung~09|&w%IED5DD3CF7Wso&L-=5oRcZy~4!Y^lj2_sgFF6_Z~ zkaiZHFJ7DG>&17-C^6ONS?`P&$AT!t2|oTv@eL0M{RWa8t{ZYouWIIH z@OHT=K2>k9;e(1EWA?SDyN?e+w$ja(^lh9&?n?cT>yB1dL06c;Q^SWgt`P#{@ zr*zI)GMVed>5LQm!;fld-em;qM>pB!v=doXgNI>OHh4el&tKq^#zc_7rdpC_Z5oF#ucx@T>jha{$)>5(P9A zW84;!BGo@^DEv?Li~mG+|G&9M{>#(pmUM>a-As{x@Vj^_Sy)Y4w}WBN8;Nr zxK(74vlI->m;!2p%0RbaC=^hl1UxK!4%L1^NdwSRsZsz|O%JFb-T*3y-D(+2RJe#w zUbkL`KifA5VTGErn#l3zq$n_wx1&kDK8Ybr!MYT(m7`VN#ivoXkcQB+vbTt8rQ%I< z0s1QTl`K|#Dx(dGkNIbC%%?!*IA_`BTd@wn3HGzhQe}>I4pF~?yePeqHuvUGA~z#n z)1%21HGnIsx3G6{3t&Kw&0pzJ?HAPpg?TZbt7M=sA6{gz|FaV16&-2QHQEn(&87>0 zz)A<)%b?w7aLQ*v@oFGr=Y`GHP2vs8cTgWNW$1M+^T(*b)D$!!YDE_{Tm^4@0n#lu zFOUJKH~l=s=%3X^w-BZ0fL*{{pzP;kVdS%Z+_X=BV`6|%oBL6x7QK;|2TZa6VE=D! z1O45)sQzyKsQzojt(Py!0JYE@0P7}t1k`GOlXOJ?_DqD11*(|^Wf85-WwmeUq=7$Zwuv< zD~+q`Uw48i^hwjv#V_OMD7|9ZL-iS=0!nAOfbYn+pZ1^j9}Mz$WP|9^rKa`mADiLz zbwMQX065W{*>yZ$m4Ozi{SPI~-{`0Rv!DN0a6nD%?(Cd&uu!Yup4+voIh*+lcobJjA65wY zeX)wwbROIZ`deyke;p#@BYgyPB&H}I4&Qj)*Sr~rkz-()`@*vVHFu2^pV;1$dtOno zbBjst2{Z=*>Dawt6}VtdqtQqd(F^)iL>{|9DDslgZ0(D0|El0NiZ$Fd^Pc~oQCVuP zUw%p8bvfMR;g|usY1K#U$7?^jX$(hd0YEp6z<`_T6}|OnzvB-u6mWpDP6Qvij?BTo zjJuNdaUvOaW*+gr8{&MGR#J0EO`>=k=~n@Wq7v-&eZ6*QVBw*o`A6jL=$EV8NSSY% z$r9}`D~OZ6#sZ))&06}9%rQth;PbV^sVc$29e*sYn@LS5tuowmXQexG+WG_w8pZst zd4==qiQdpsa@33t_U3Nsl!66WT%Mz5;C#DtGR={HJ1KB9PEXAc(eyk+eK^T+J&aX* zd}2>A;i;O+BEM1_@)w>p-s$ehS)Z^HR}ZxS{UNS?{eC(*@Y4zA>I9`*g))A=ukMnx zkhE|CtM%vl1OLZp4E+3+A}$t7F}05@_|UEYU5Y`;Tt#m41ewTJx>`w|>5i9u-RHdbr0ilw8oj}I*ufX-DK^W4L2%ky zo^)``m2y^JSzjzmSOH5aOJ)LGGpLhqJ;ynnav$PXdS!x0;;DIUUeJvXw=aRhi_0=E zNf)njy9QZq;cnjH??Uxi1{zqB-MaEY|iCL(J8cD9yhK|FzD~EQn zN7-1Hqh55x_Hw;ZmApYZ%mR~}bW>Pv2!IX@0@IlhdCOij5(gNP3n7je-A$f;)(I;T zvG3!|y7lIn!QGuNCJQ^42NN)q6*F?f1xbb1t33M*LCY+xEuAN;s@gU`Uq|HQc z>fvH2pW{})jv{P~eu-JjTA41cspsv=4RT)nxZ@>Rou$t7rJP4m<_-!+U;Yt_-hi@f zFPJ>lkv#c4PiM5`WIpJIX@U#;j@cRfrF6c6kX*@(#N;FjSKw;6vGIQM+uMn|5tq9b z&SkE7`qvp*lVkchyYVjEJ>4U3E-~3$?!Cq1r$D21%*1vZfr14!{PIR@cGy3vGmPlG zD9?XAhL?S`qojifmaG}48)ZH563}~2ewUS|Mk=0jl;NKA5_5OSF@`+*@&I)4I|#Fr zFwmsXm9PKdSBWwv#nXgH6SZ4N@1JxM^zwwFwq7&K!w%M4!FYOPiacF648v=hYqErr zstF2&N^)S9XGW1dN!Pdn%g5cX968S*) zI?9iMq{bE2dNC26=P5N>$sM3k&EtHL5N${QJ`sg5iatt-*apd$I$i2Xzv2>xFja>L zZ{3JG*hun#C)fsmXj46wR+09;=>vZ7Mh#DSZ3JaHRBGw6of7%rj^CY%C8Q-iD($g2 zd5Pq9!@4R{DW>VHFSW?Q&Z;w&PJS z*l~L8fUqD}XKq^OQ!n@)4Lro|OF`@H%bHtZYbg=|i#PGP(}<(Rs!+DbycA;}e6u4K z2iow5g>v*540Kfq56TAkH^ivqR5)*|^oc2P$bMtA>^cE6t48gtBBG7*T@zp2>x;~} zf65nU)|}8T$rXv#caK__cE^+$8ecG4frenjxAnMk#sN;odfO5Y@3nBao{u z5KIE!2PM>TwNMO1U?r=v9@k>YgET9>0M^Qe7c2{$kID1xQdgdk><$djO1mdXzS)*OS3cZvAd*;+!o_QXhPsoKELfrLzOQ9MlmG1Zckr(mzd164A_ux{$*SGK5UKa5xM z0P>;jQNR)w)6G(^FX7zT_U=fVa5l+1?7h3fVuY1bm4Zz{RG3%XOLB5G44VrS1yzsM0-o1>(0hiqI?cf# z%~WIMS<_M~KU&IqLLmY_?NLjfSffj3#9tA3d|O83Ev~-7l019+&F!JmlUdG=Nz#&_ zBul!!T5p&oevpZH9kS~ejw&Qj+7x|`yMAy!Tgj)5iwHYeJbj%`+p@05T@=q=2ODzs zgY-%<5k5i_L3|4o$yHxW!YK1J`BkAG4AfdsJIxF3|>?b$&w)kTOln%;D1omq! zZG(`;M~JYFR^6dW8+0AB#2AbaaOoaBuZlz~gUds!r{btoyxJWIx58J zrOW3c3}cWN&OK41Vp_N#?z}=Hwpxk8KUo&WDs8=frdS?&b!$0N@x|!0Rn>$GuC$j~ zOe#ePIVzg;3sI6KUov{&>$2Azz655L6@QfEJ^??Ce{f`4g(>{uE_W zd>NZe+iVUiSbOA)#mh}3nvs%a8^l$z_=jT$tMAz*2RI44f3&-fyDzSO+Lo*^P zfl&$2jCic(x8Q#l(5_DaR5!|?{rA`Q*K$rT#ew45tc8oG&!k{IS5fyHkyj~v=#82s zSohnMEHo5NgmX@l3Llb?_FPaylyER;3d~k-*&BE4z}$o2o%l%5KZ*JQRkpt(dbH0M zyBdY!t?Ld=u0BI2YN!w&FlITgQR3jp8aP)4Cnco<0u;CP*i`_v@rR8grC>m9d@#`t z%mk>7zq9R;;iHbu=e7WHx7I`MtJ+*Yx9gOmZa*5CQf_E-vu>|7#WS9vOd0g*uK{*q zO34PHLeq%KSyRQD5hqy=8d>S2+by8Dm4PN^Q_FXe<$C$RI>HQH~bg(_-wg52bWTJ!Q*l_j3k7#CKQib)0@=1r;}RbF}*dK#0l`YdQkas!ptJYM_jeQZC%@x2xC5y2cP=+w zVgc`~+%16($b+yofF_P>9HhqZt@XkC2pq36-IFtaM~{AmBPG-Eg_?S&Sf8>jqJ#pP zLYCKIl1vciPngRHNy$Hwya~+pq#Clap?)(~FX@sq&1D15n%%UQGeLS`HBGXK1oCb3 z)9n)hCAJw5iO}g8$d-O9U>wB?D$09t3R%#JVd{LuQ@g_OI=~9^aS!Z~sd-0>Mn zs|~%(GA>;M_NqwZ(bgZbzEA=el%CF?dcrt-EG-;1E6%s`tjc$0ihLh8F*NgwtQ7)j z+@C5Bk?(Z2_+aVEdf>y7&qvs1v%M&sWL3KLK2&gYWE;`OMJ&UstNF=~Ufx`Ds=2)Qgp3Xb(6e*y_`Bte&j@si>lpzTG!wjGOY}~4c^!4#k>FJtHfI%c8L}gcHwAi?OCBQEXAYK!|33ofGRXa1Gm& zt1_FLmaZFHN$5fTFjd7lhKz(1iVXAlt@TIrPaE+c{q5h_gZ%!QbOxi4F2hKiuIZUV zznaf?6bqWE;za-!{cm^mzpAT>JW;VIM`6&OPJV#Z2V?g52q5CR$rvK95MM<0#pywI z{`_0XLLQ59RAdN+%T{Z3RUNg>1e`<*z}&uizT);hR9Dw)tKSFW|UR5|K2TncZC@|Aw$7!a@0`as8w~CPsefNj9{a8fm0;AO(9xLE*Tgs2*#n#$+#|z>DeE zipN*vO^=8b5(KzNatj$`7IM}&7$uytM~cU+ie)LpZyjMS&No)*}oou!%*lLK5SpjC%#{v?SDOOe-Zwq6zS2VIavUys1}PM&0;T|Ao8tSh*4P&^=}EC6@5f_JRiCez7w4Dwbdr) z8EznJbI%U{fI~MuZLGa4Z925AsimKgKi+ZHrrljX8RLGg=H_9ih4@ZIENXd-9`@h5 zOX*?>>Wlq>P|IvH=NP#8X>S!@96d!h?V&6HPnG4CliJUTidh=OMFhch5*jAG`%mA; zyzfYtFuDH00VwQC^>QX}+jQ zgkD)u88Lo)JY($7{8LQ)yRZ8ub5pQ3)(biNA%4>A4plwUHE$3TR#s)|R~0 zNWeR46mrnF{q{R!jNu{R|u-9Vf ziD=S$s;|YUi}Gi1hd7OIWqvAil(*Ys%TOF=F&X7HenvmF5@Reogj2%8mbV`9?2VWO0L@F_Ht)TexC)!~b1==KsBa z=TEs|{nm~98NBx`v^(C;+&N5M+wD45YqKy;fB2XeXQarpPfshE4UlpO`x7a}gqor{ z^DBNQo{u@LEElS~BlDV11Jikp5~}^A0j1a22WRJwly6p3GAnBUuTQcf{!f6{r`E#+ z`X64OK`MOI{y^b|?oXEXmZ;8f<&OP0>xqsG#3-_@jF1?x{_&%=7BO2P;d6QrtR!g& zXiZsA5?#+Vuny#9V}ICUDPz_y^?@>>kcrdab$mcq{D326>i<3YiQ4R8sIiVZilI(pbn&nB>F!5 zTO;krale}PpiSW#GxHb4gh}e}pnQi28jg2HD|<}Kpu)Xx%*lxGg=@i1ecYxYsLT?x zzEgjAdjRaQo+tgjV?u=_mt{7* zLz@@#R`zp94Mi)XiXafzoi}M9+975J*!8CSXB}JXu7v}Hqa~#|(kAtxU!^W9f@W7a z1!P?9{AaGegDkESMOWS;OmF6zjtjLxC;y7D;r~kN|8HHpYU8u?eq2XMan{v(t1nJT zzsQvTm1Hwj%l$WsToJzQ#t+1kp8+zTw+VW_863#Xe|3F*J~BtB_sFOv(h%b&WaWBI z^*oD)rJHP*B%+&M?au)dhFk7jXGF63-zM$MFTPN}9i)9^mK#oc?jS{i;j5J-V`5@x z>s(Q^^FvmYu=F}>eOj;OR9Jn|thSQ9?#=d&qZQTx&jcJn(uzzlKI~-4tT$xkl<`m} zI6vuE4DoOKg8K!3Ns~#C{t_Ej`rf})W+6zuk5R?{Mzn=0x_m9N^>W|3m~ z=L(OfNC--@frz6q-n0PF`<~Evm(zv^W^UwF>ai80p^if9*M?{;+U(Zf&HW$jy=Pcc z>)I_Gq<54iMLAeO)dItgNAiYahy7VGNIzf8x2{n)q&vd`# z+uOZdYwdH+I`8|P>v;Ji%*>ftlKDLM9An&LXuF{MkDLJ=+R@Z*TyuSL^wPrW{_K#j z2^$SyruHkX39y{LBBFKG1jcq5l>37)U;6=#4FH7s709%e@};n$)*pNezwyyz4PWe- z&0MIKg-MzR%v>|ua8NxTLTdRtprXE6HsTx0_T66I0=-NA~x4~oOaRH!%gzC`Mw_>}Zy z?Pxda;5<161*j*gBJY~V!FP0|4DVeZQeboveZ?GBi4^r#gyl!VblZouC$g&>$8M+4 zaHkz)7#t>J_7K>{PF?epHza5^PFa&;_kKR^ZNLgO3`!CbyVtX({PM{Q%Z}%nlCNz- zrEjo)|MB^+%#Ap|W*wFG^N_>74|V%Mhy_6+ju#sB7GsQ+@ZqZ(RQB4L~jp3~M zD%i|vSnE|rs#ZB(7W)NA&1`=Xws=K70Vwb2y}}TTyJH2zr`8|9!2>PkE|Q^IH^G`T zCzMi2g@#*VgXP&Kx5jnzK7F}&J(ex<(-JFVqjAI-u_AZFT4#X$kKO^fa?np zaDwl?4Opa67`i93&?i%Uj1va8dt*e)E%+T&Y0<(0;ni|IWNF3Fq)S?{66xjpGSfYm zt$>L|t=&^Im5ty1em(T?dMUR)x7_2ZY7}a0yJ-YaOPP!O>)$uUAL+;cSKr6Ttgw7$ zt!px)-&9%Tz8<9=LP}BD&_^F0Bh9f{ig~5rIzY*4wR@IcWTdm|#>p^LKVKeGi6Zl^ z{dhGeo`!W@NJ~yXZO@*Lh(>n8v4tBj+2AQDKxBXu=jnB<-YwE;*(GXjf}L)Xeu^3o z-G9pe!=v~Qic`S7DAT%lTG1RrooaU*A0w>l!_9<**VsDRIGU;iF?dXVRzR^oyyY1< z5s1zW3T8NHpA_zYxEm<1A(`4JQGnq@6;^Z|`4fM_skAiWBNK%O{0Hgyf(FmITn+M>* zWwlx9K?e!mjqh8xJszHYF&nXAy>Xoeu*v+Lg6S`aIsa9a@|*GJg&<&XEvZG7-%@Q5`$OuffM>LSq7;1>B4^h41TNp<6N_YlK0pEDu159yt_VN#q=1QXzT-u zCdW_vs)8?a_SeEszStN&K?G|ANRJ3g8p3aR*+@<5ebQtitdb23c_vTOCelXL;1dPE*H7$cX(Q-wtc{aODtMfxgI54rZk*X zTW?r2y1M#s>DAOlEt5q_46rWWMKC)>B-0^hSXU~+!lGD~Uv(Hb6XgX6i*ClQ#JuXb z$rPRDM!Cp2krM6dTd&f=+wrtoYc1SvsIQTiC%m#kWst(D+ayIG?%ss{71Bj3!Y$ip ztgiOKu}4Rh?Q;8GnOVgx)ERo|1W?Pl+=PL|mlBz2qtC1uMlT`5sPIINYn?tST{~2# zV^7tmGCP|>%9r_`sNO&9gr94(u50(5zm4rPr7`~I-*&}u;*|O`DFY)d!t#CVJH@iC zC7H2YV^vefdG)O5xBKeimC?z11Qg}Ik0;&7cvu-dDUXuoV)kiH`@@cnW^y#p{fNW{ zr>1$0V=bx&BE!9=`udLmx9nK1ViJ687!JPRmf1;4oWZdaTX+?sKO#5rLrwgNtM$GT zs&THh4EU{{4u84wd%DN}!uLqDJ}DZaccYAPy@agF5aoAqY zv8zmIal%Q_x1g%-84NrE#Aj6|rFGem(HAGLx(SO{*%L(+>A7A0u#pG!Cq^2pFd#Qp zvd};d;_}{_|Dd(*e6Re*1HsEW>a%H;ddF%HTk7jKjjXr|YezJ-LY$-bv~3J&(1V5A zgQq2&{;8AfuKeD8vCWU=H#?Y?qDD(8-@=9}F01q8Bu0GV&=N9UrbbS>PbsKFqi`Hz z9-0v4890{WgC>4&ye-%2GTKD*!ZgOg+?`YPwBC_ZcO`Sj_)QAVa|SX-1B-qkQlUjcEq_-!EqViY6ybnK#>syF=}Z5AVqA zYB_sFFFrtUEb{LIr?;O#NW<66eBWm zRJb0(Xsbx?a_)0vlLSHgTs)w(^3;2;2i@bgS^E@oE2kfb6pFd#^bXeV_IypJ z>b~l?>j(pO?-nE^A-Zho$>YGsZyOpFJi8t*xC!4oRQ@_yZlXQ@V*Hq7Xvt`bgX)frbMzBmSH5){y2_IZlC%VN zLq(03(c_gh943}x&iQXm)687HZuxGNX*8WoCszLO6k}d1KmnaL53QhuOJO1`S379s zu5T8CFkzGgt^v`mt%N{B{8tI_#M_hyxhA)OMh}tJ%NjGlq1~)HW5xD>L&)b7kYy0I z-D=J)(t)kbfX5UgorP~vZv8pwUf1o4_lAR9V_RB!Hxu1%E0me4 zu<|%1K5xt*y_?80x!<|}4Oj8k55P`F9C899*9vmN`UqIRcqXyS%tjZBhplaF$Z zukG*8^ui{QR&7dO&JI?SixBVN89OtiJc@;X{`>@fg1I%tXy-os`GPk>V9c^imo=)m zeSS+Fe@~%XmyIbwRHJuuy5kBz>1*#t2)q=k&@x1T;v`E$xN@ymCGlK+lH(Swt-Y-Y zOBzl$3(b>)=-z?jJntLk9IbCj3ycQ5w>Ddlx5#@THM_(3!tX9u zA{84h)vw4ciwGL7sKur|G{*{hNtg8H8NT6$v6*d?SzWYKo8)ju1t2YrY7)%1o{YCV zg=!q#1zV2un8i|>T1>yIvw<``9bLTYCqK;4x_B^i_UP+In2ptCtu%d$`OM8)MQa6F z9IRN>?zcl<`%;iE3x=!#x_7Of^-CG%{BCD#ycXSI$!(@N~R>%a>Vc!<|)K8#Fm|J*)0D74XBql53HJ zp-uv1_k!M!LJ7sSZC)*f5n5a|3A+=+Ascp=^8O<57C~aOu7HVx4rAuJPJ(`Wfxxk% z+3d!|86>U>fW7J@7XK>;vj5ySpr87eLwd!EITL^;r|3n<1^wS*W#z#LAWPH)!H5p# zB?gqoqoimKV2u0kxfUrvHFY-Jkn2!t-*n<`&a$N`O~!0{kl~ue4RU;XWV@O^>$hF< zMX-X=U2LEWDpYH|JWM)(Rd```*irOAUXEkr%aV4|)!sZ%Pd|^TqlU&2(2LpL0|Q@@ z7y^y8pK}>e|IsZ?$k+xX;fnsqn!<^Jz=5vZ|F_!TUl0v|rSQ~jwmQ>gC@?9~V|fSF z6MvIT*@jibAwPsCa`vMS>_^Yl@&Y1my8#lqa&EKKl~@#oQR{kcW*czEPlkNAC!evp za=W3pq{Q+RfG)lAx378t&}DNId>?==RZIEtSeP=T2Tc)-&l*}r-stAG*cKTJ)KN#N zvna4WQGeVEnpw|M@INNxSd8_*|GNr6QKMj^Ph+*=_`Z6LN&q}I{IpSGC-_rzMlhc; zxx<(%2_DlAJX7w>kR9I1?;Y1tK-|w_KS-`U%iN3W!b?$1m(BNayWob#FTI=p60hc8 zg|0r>;cE&_7}pE=CU(Z10EK|)ju_vJgS0qdV`!OyVI;BD!iB@f9|g@n@|yp1f>_Fa zl-79*je9ZWx?UvzGL(YRQ^zziPGsEerK^SpeOw!fl8eTAYmIHTORhJ=WG!IaaQk$~ znlpqIN@p7CM#%mn173uM0z>Uo9}x7CFJ&Sr2PsXu@OI87@1p74tG0(MpMMGfQfS#cpEz|zDf+a$#H0Wr-hEMVYO`BM{>>7qJ4~8NXY6Op zHgXi;FS6bM3}^1MvT%pSa3!yIcBTE{C3MrYgdv1P<(t#ySk5dg^PfoljE;b2^IfO$ z*3)$5i4y z-xmA)PN(x~2!vg@SD_mRM=Zms#}h_M>mFoUKUE7xJVhx9hY55z!)Fkl!l{aNoF9AW z3;Lk5>O6;gpZiLr1jbh3Q_)g{+iKevlLMb48xb;JSiPZ*qc&j6NBuXz4I9A_bURT0t$W#H!Yfq0Y4vHPai-5poW! z3K*vRS(w&-OY+^0O-J|X>cDi|6*hbOHYi*;{_ciGERUAV9E~gu3BjlS#SIn-=ZZ(L zo)Ccp^39R$6p3y<9Yx+RfiyG-9bV>@nx z>9gos7;-g_>bTu7oRv-3Z9f`1Xp+0}Ahx%hu9G$>dzlfxyueA|jFvIf+sx8(P2$Uo zXeEV5_lx5rs|56-a7dFE%6xFb3d2IOHDp1UAOg@@ZN-gEsA1~TptPah4madk{aERG z4Z*hItKFA<*Nxt8(6Ed)6vHzkXob?Vb-X32N4dLdtKHYTooK7?lCnJBmsM!H7239F z?#JGWzguf`6%pN)5|y`XDvl% z$hSBXPV}@HDsZ^wpP1N%6?ce>-AivS>4_PUhPeTioLh)qO##{zx>PKS!}5K|73Q$N z-AuY=9{21PBa9-vz!P*r%h@mCTQ4SqPB+f;)*eMsTiTh>D-hr&6PMDYZVTa66KR*&=xsqO(=7zs0P7|b~fhu)r82VUJTox$9)nS%4S#d+%$fTDN)eO4|xBbL2uX$^Xpuj-; zbo8u^3mc&I(c6XC9OgV+_bFj8N=l;XmUXk~c{K$w6hjU8(1&xC$L4a!Go$jpMR_ui^_DUES;xLHgO5No7Jr@FV7Tz7_sgr3GRfR zrY=R29B57M=hS|vQ#zF2ID95vDFG5?UCxD_mf$pJS*A3nJWhlLmTEb0W#OvFVuISP z-2=>Mtiuq)OFo>>V8Nj$1Dg!%r^64L6wMN4EwZJa1b@-)gR*YW!5cwDlffOcynBrP zXxd7o(qIA98*D=gVeq-GJo+OGJnX0ZS@anFOci`gQ8eg zgkvuoB@T3w)qdt~FWV&uxo>ioA}G)M_^ zyNjZ$xv~Atx6G%U4U#>un~-}5-QxY}@ta00t}kNFr;+d24>xWcWwdsjYyV|JEKmi< zB`{wD`iNBY9OSr|<3#tc0?Lbiv}1=1`3~C3K>Lx-IHBd0gCKi5-$9S_Dl~vCQovtZ zsI)DOypZ65%LCKAAJCzs5F%Zlj_l|R;m z=CLw2#a8)uUU7)K=VuN6+XZgA~c*fj*zjCbi`oyzLE?lhqXl zKJiL*v+ILvHK}fQWzN;F`M$(_JzuunkdPQ_EO=VvhMk_q;vBEc^hjl2nF3>F1SJUX zDhPA|V_QPefp$xJukB;!By&d>JeSv7E$lNKF z@*Ulmuk-r~t=%RkspRilmb2nzWdyK&I?f`Y)`ca7mrD2)y9a;P4GIY@?XV+0_N=)l zZ+xhcpnD@iENJa1jz=-Wb%aCt76S+En#4-JhA~KUCiCy1f47$5@u;X?_a<*Tr z+8eNn>5KV{mg|ZNbrw$d;sXCbmz?RNC=4$bSgR@w9Cnb?Qgw5$wBp%*>h59yp?u!` zYd10T<@!mS45MX0?n%+!*l^BnUxIOO$znu6M;Y~gWK)dZ0HHyGGwV0;m1r-DZ zKGYaImccuFL3ynz%CCk0{_!Bl*Z{%!q!Hmk`iAw$$rPuJ{Y(XWL zzg)AhbNTQop$cQ5(iWqwty`AUZYesDw}}&&@XSx&)Qv){-Te3Y+RiNx=YL>fsz(G@>| z66($ts;^Co1h=b=DGnICi@H@UluI3XXh=UYXHPVlWLwgf?WYzRc?j2en(W-`S?!(W zz8Y4OOd$OkcMaFA*4k1mu)HEqXIt01#U){t-2p50@j>J`e;OHHFN^=Z``6D5@n91u zA?s3$6xRyDvIgBAr=rg7~5xkNil)Up4?OdA#jONe#h)$6j-~SoPz!ua;8QDX_R4tFCNgurBhk6kSXz z|A9xy$iLBBDh9LC9@c_ot9*boSHQ800|$`i5`2&#lV%D~{d%8%sv|sk1LpEpD_+sb z>BW&{+_(O$=Djstl11Ns-g0Qz@ofP~brYZ* z=mEr@3$Q4wR$x}st-G88rZu|KhSS;8vP%+In-$Uj(-6mRLKzdkpd$Yo%JFZ2f}u20 z!HTMmmMsv9HmJkYjo6k}ZzPsnj+lc_5WA=os%X(_34Qy?6P7SbeAGn9n zY0eFxJzwmtGhNx>;;n`^hDv*BlR`&5ABFnJ6+URvUx1lPp)WVr6{eWdL~ z1S~?x5y4B4h%a?Q{D^A9xG|gbv@BNp4t|P=f!iaUyze^Gh$=x2!`3U`K_u4Hp82uM zV7x&~hSq$$@V=(rp#6EJ7SksKaRnTJf9q#@m<6U&R|f4^lUmj{OR=<9OtJQHU4d~p z^rf+txYk9WfT;JMqC?JkV)Y#zFTcA zL}gQ;Waq=eF|V7bIc*Ax*&g^v9vkO0=_mRfL~eZn4hO=?+^3}BjDfc3DLcWaJi!_> z=8q%<9&Uu|vZeB~M;I~F2ZpVN;EHL)(Xv!V14;8zDk+ggv8*1&X_$PDb(hbPlc{ZyaY97|N=f=7t4?i%WO66FZpYM1M zM>gG9Rwi1ozL?A`v=rMfAxXHMjO`b_Yj?*dB5t1x!E{BhkRBst9&xs`r`BZzd>oy0 zEz&U0Y1QM|c{syfb@$#8A5elSv(++EH%S(|2cXF+cEgQoAm;8V1J4>RmkW}xyv|y` z0JcqSeUgC3hwv1;nVEwNfB)CdbdTHbK;>@AKAm``$RGl`=B0(3kI2-A*Knb)qK8M1 zM;;6A?S$?p1d!dYd-z6z@g(Xx0|ANkNJ%;zH$cF^antado?u;Z@l`=OHlee}7`+TH zo+k{$(kf~}COe*x=$dAh$2Bn5ocG&KJ?p7Etye>_;;wy;f9{73GVA7RBRtT#P)6}E z?&*Q|AP6l0C2Mi?DcNm(b<|YW;XC-vb~epCVnr~!48=R*t(I%4=_^9bz>=wS^hnt4 zTFteqELYs{9JS=Z?VZ&W!{6r3h1xMSMx8TIR{^>g5RdqX z6#~0^8w1maE*Gceyd8&#BN>F^dqn=Q9zfSr)ePbgM(+w&_NL%1YO;Q_{l?0X8^JT8 zcU@%Av!|0=YDWu38tObJ#VdAt^yE{$zvO4PUO0bY9}`iWC>!NEm9BDUFv7uPQS_Lb zLxgRVTsc$Q3m$F(Wr_#_;agHFJktoP)L%8Hz}WO`i8No?W0U(BuhK+I+{wJG$LWTD z<50f1m-V?Y1typyhR#itUW*&a1L?zu4PQ1xw$y7kFQ}4nLbJD^8W{&w)3ZI+R4eh7 z6nFYAF!bHplu4~JC=trp7SNN589`1v@L=#49ijaUIiq9++_mTKlAD;tu-d4i0t?N$ zhEqU?R3z6p*n;GrJ^%DV>&&`+N#0OwMRG^;g9Kr@0V2AC3YwUge}e28~NnDcYVH4oI6FG4;w9^O4WI)>3Wk5WIjfAR5;EU z6u>ZA`4CEfWhsJzREOldI~g8+ME8GvadZZuhMv&b@!FM3b@A-3(8bgA^|Vg;dApvY zjGU~Rz8THvZk-NXp6_!Del&h^OqUx=%?2`O^~291)%eF*{l_)N`A5fw|7K-KSlB?9 zu3U(Oj6yCV0?(w_=Ak0)rqFQhn;aT8t2d0<#2c=*l|XFaJ(q;@KWJOP%M2B4 zph1Drf7#f>c+C5Qw8b3*vRH1ISwhW21@_#uCSNtNFMT}W*6TyQT7bpsXA1YL#-j4a z2m@KYv&>tpTYq@D)Vr^s0}p<%;1>||!<@Z&k?){vuMP-8D)T!iX6J9QcmMi26#^42 z#4}bGW&3%B-V(5HQ}ywZorjQiEnh&3#np4=8%CTm=tVQ|pma!z?Jb`AnYOecDM*5N zsgZ8RSm=Nab&I-coxi`+crRqTWawU(YwMpmbH+cjlKGYt3p}deb~c3}9Sr3(Z6KJ} z>1bVTrq9uk7XARwVqW;VBt2#jQBFg-<^mchc**TB(Uo4+3MDDaz?+7!1vF^^ zfcS}R7iN)Y{q$9C{%=}m+X#EBp)3u})HHRo(tksJoNCXw`jf|~fS$!oP@^0T>pKNx zbC2$p#eX)>5dldhThFYaI0d}S@<+mT!D zbh@bo#7I4FGX=^2QhJG_6)q5skeA>r-JFNad zprCjY(B2?az3P*RlGs^51`r)?=>p0yfDDM!SzJI~nSpG+`FF7U zH?+xrfeMV^ze9iE4{ID4X&3;X`U;L#bTv61_{G3O_OeBro|f_#l;PEnbsv+S`D_@ z)ahm(gtNm8%Z)28934#l{P?T%BiO~9nJ}Demm-9)LZ({M;Ka47cD}O@miL)WJ~G}R z>?~sHM-|ZMN6>Emaaw)3R!8fmuQ=Q#cmb(Aed2Q|bY2NetT*7N+_R16@7iYLfwGA0 zg0V{+!kM{eKxG7WgI&hCqncZik*^x7)ApX2;QmgRoMS5?#AQ*5zoX(*D>WCGg)&-A z6ZV?EK!5}QHrIKFMjDa6e?cPsmw4}D{bn_zVXFPK$o9)F4;KF$!!9?uk7z93bqJyQ zUnVJ8q=vM>ao?0OpO^2Sl$rNFO+{sr>3-`QmJZ2E__R}x$?E5WZn`qIfry6rZh6$V zHp6+cUo9;7FE;%{_{1~Z;y#JZFd4_ znH361yGJf$I5QLsOB;tEy8a`~DOg9<0PbEIbvpwc* z(OarMNE`GymLFsI%N@Y?1Hh=o8187&Ce zg03DAAl)FYJv->m{jRpX@lnLxxVz8fcpj`sn9@)9*kB)Yf=OnpZ`8S-QRiW7Kb;=W z%wI1;X{DP(g1L3v^m|hK@P=bChM6EfA0BLcJKY!w6mu=0k#li9`D#!xa4>ShKAM{# z(l1`pH@8_nl@C2>37=9_nh45v@_Zhu6xrzUV#C+F2kQW2@_vP8SU@O6U>W2{-sHB0F zPw!s~iq_D5M2R3B3Y)$L1U)ZCYr5Hp;!1jK=@*2Tdq2#ZC%yjAnw6T?Un-WeG)!1m zw!>RZl!k7g=flnIqpTrWT4F;R2DBuDq|kx>1aWts4RKf3YJ7+q4?n>NJO$1OjMu|i zWkpL{Q%Mq2LVc|QwVndabj?uDd+!se5}CbEsD=8KjARolWD}4=P#Z~_NyLH1WEn~; zOM6R9Z@$}YjzX_Cc$fq4&desYqmVLI3@&rc2_uCe#6n+pQ;hzib%tKfg<~it*CrxC zb-}}r<@L^Fi4`^MAzV?(AD+Pvb;_&QpAI#3!}eo(Zlm4pba^lw6|WJtqCCN<|7j{8 z&T8l-lxlm_Mf8~PRd*Zp%Mp@gM~@v9MUvtN)IkHodi5m}7L9W{2h&4QRD#A&j}I&= z)~8aGwO-a}PR!{vd)}HrRCj7ZliC~SGq zg@vxn8?p3o!jMEdXJui#`3S$eZai|8Oi^!FM4nxao8OsNtxd0KPC&1#vndl>k)>r1 zvg-~(jS`%|>L*)8a_zdCUr}`2dq?`imfWb=EtR_U4EN+#=NvcI42O*AV)UI!7h=^L zoU-FJbm901C)Yt*$+zSJ8NF@{v@zZ3Ph)T&;@Ajtxt?N&?;cCom(@0^EGj?niu5Yp zDn<-NeCCr8L3eM3m1cad<;-2p7-i3D#jDYSta#m+Aba5qdwz$l$LvmCzRK%1J2_fa zn-%ZvnlA{h0^&!VQ^?@$lQZ4soUcZY<|gJYZU`lmmhCpQD_@(96kBckV)~8d0cWrT zk^P+L)?_YhKOZ_2PkCN11b?cNja_9ZksjrK{o7rKS;0=L$thu9kqML}$icGYR`c3; za~vf$t4fq3@+yQ%a0{*^7#08 z#5nY6LWQ1=)m1A7R-{Yk*S)&bUB0f4Hm-UeD#w$5lPHG(?g-|{` z`Z;()qVndg_r#3qkd0&PR%B|aKa62?11j(^eYAZEmX6LY`gqu#=xAvsbfd5`? z@C&i4JNnTmmKHwX`F-O%h#i>tBV8u>28k1zwA3#1msm97Y+g%rVg|h4EXXhLb~+^Aqb{1-I5-g3?3MaqZq^ zTymI=^5h|`FXN)he0Y*q6JLs0(n`>0G{t%3dn;X@1?qN+K7=^gnI}jrtv$Psx@Vvb z0^v$4P1tAS#3?7YHq2h`7U+z1s5vM|y*%Abs913ISTAw~jE5L3XiIuxn3M19J9v)ilFDyy;VD0Y7O zhqSLAbW}>Dd4+9tsy$BFxDi)9rFmivciYZXtDsbn4NH)>xjwT~Vk1;vTkBR=RCaRD z`DVE?oWW?XvBWKE_URGT{I{$0yex|#WiHq30eY83&CKvtm*q^%LB>ALZ6`hP3Zk!q zkpnfRXR7c=U7qB$48?adC>Sl_M&Wj6iHw$EgR<@_6!&Ami%*>t{}CLNcvT@#W__zZ z?>k7y{^hmx!i2aQle%n zAUo5ay4tRY-1sN;uJbP~B>swy%l{6sa)4ommY@5>OSVo39_l+N6$zFZYZ;s!K+2{U zp3jNxBe`xkMW&f>XPUuVN~8i7n;}}&@);Y-WBWYII?{KB>0hm}V}m=}E)sTdf3gK5N3d~r zVW)U~{ZRCJZ*er@a)=Kz@8H}*-*gYLx;TyzN9egkkUdg}@`}5Amc_Vec0JbVp&KX4Tab0wo$9pSJgZ02=PWeO+XJmV+Axm2#o2urc;ePo|cfskY6hyPRT@-|r zIQ-76c~R;J?rq{&EZo^IoEKAZ?hR8)69V9?JCmNuVG?rX(}Xi7@F#A(W;Rxv`sg)X zTUDkjs4%xzA{$w_Bc2;!djiqa?&ck1(h^CY@K1-VPhLexGrpVsG%N~w&TuJytZ`%> z<_*q8AiIsQ>?Bl7dDffR-Lx3b?# zFyVI=g=*6~WU6SOSrO&RxbDxlHK7g@yrv(6Mxnh*Ycy+#_g_DJzIdqobRp6sy2Dxz z;HkXn;0Hk!qswe{P8)ot5`u^9ZDOtc&0pCG(eTCw$-EM1{zry5z3 z@5fnnsH4qNl*{KQtd~&@2^$f|Mwpg{bd7MFc#^28_^l7y0ZIyfF^?Ma7C+o4F~Ktb zf{U=goWE0%cDyGH#6NwChTFIamvPb^3IQ5vhT-IF4MvRUumHyK9)(uyHnhCx z)@&D?0r?Cz`OYAL`Z4aVWB%6w?nD2rg~EWb^=^6G(k=nVWGq#dce5se&t2;|6A z?K(42#6YLRswe28_@faWN^w+zx5C1c5#_smk)Lg6cIuwVXs)Brn|$;0?6*LPIua4A zsO=`Z71_xAE$&6xF)o`u!=oNeYGG~)<0qq)G1Zm(3i}qA%~L3~+wm)Q1Qz%OlAWF# z(6hNvJgWI8(%o8?bB!X~dd!y*y->hPTgk@;c^O4|D=`Khe>6wl=+5?X5!0QSo;CUMF`+t;h6x0E$qKs?uRTu$ z2mB4nTV5|m6VJIj86f$Z!ti;nt+OwaP`phL;lkH1$Dj+=J%SLphpWNOhTFL^LTrO-h6J4kL?v;6ha9&ZPrUox7ZYBfiHZH@)uh2tbdn$l3w)=*;m+@DF+vsXq6E9<_Cf^Ei*%8~1T7umA`rph8`Jh?K4PkJpb| zVNr-4bZ{mr_aRyU(k{Njc)Mf45^nsEXW_m1{H_gB1M;Tw`_mZ?6`) zQFO~*UdYtxCM(|wM+yZNO9#H2o*0RXL>V|Z3W9b&@0`8KN5^U$xWE8KtNLZ9Z~iea z`dcvIegMSg{rWU{%g6T^QCApIpm)v&{=npsfuf8(Nz~j>mc=RPH_*_01Wi{0W zNm@z@%Qw7LKgPpj>~QuzQDkN%R{5othM6&d8b&W7NzXeIIl>wxhznAVNn63Tp zH^Khj5(8v?2fg=}h8sk9cR3Iz7O%Cy5@izS-a@LYhL~~ntB?FazivJFzqoS%GXYTv zWHD8D8=Yb|1JE(4elDc>vXH?7?H=E*^lHDUxWC?Fk4EwxYbBpymV9sy(Fugy*N#w* z(226UAb<8r@csZr(gSA2G%!q@likn zE6358t1KSYpD@(<-{qF3#hDM}B&)$mFJC(kUot`rG@Nwn1M`}u)+cr)q)WDh??JmP zIcCbLSwqSDd*xGT@R+__;_Yn#t&bkAfv^s>5pVJmgw|uYPp7WZ*sCg>L5Tr8oteBx z_HETdN5OWD(^|k}g4a?3jP?saVs&>qFiO`z+IdTW5&aBEwuS@2*4!Y?@V24?_X_EW zCP@#5Wb1dBq&s|8ww?NBy?NnLvBf)M2$N;-sZwHD(KoAT z>&rkQBx^#I?3v?Jkjk_}8qt*XpV+6|;xJZ0@QLD{iONJpZL{a8K#=v$V6o<{RXN%_ z1fgkZ7=5CGy^!|wMy1=xj=Fil32q5GhxOf2n>{AY5c%CN{x9;v%%TTg_c$43qL?pQ zFG+u({jIb>Pbo7nD*t@a7=znj!u|EH6692w%Q^y=PVFD)tPLJ>3fRMItjPn&EA`(3 zd1as1%Xff;?OJ@4k;gJAA)Xv?M8UDv~ZVs>^QK!cD6ZV{X}?7kX?iE_*M^bAmJokaWxWqZF2JsBh8Agke*WSf0%psAvThd3L1K#}od*jQskR|E~KvwMqXS+m z7Yr!C1IAb204)O$q`jbA?um3X#SpD9@(=d?oi>_c`ZG;wi?Uy3E>oOjA zpgLTdn{sQqf`FgVPj>?&EPNYiNtQ&!qfwR%sBoaDa%=&^qEWYiS^`qw6OUxntpg5o z!Xv{izVQs=ZZmYyJj6Hh8^5`K`a!s8th7)gBuf$I9YVTP(Qr)lnhy--v#N9=t&mUe z)|uBLaIvMK^5P0@TuN>~ABfz~=R1NWR^jKo6OCu-Ns=Vl9Vd_&rX;pM9l%}P(`NjU zYz8|3^DIEfb6koLrh$$x?JGlMb|y)&OcA%vuw*D&?7jEro(+j&U8K6{Mjz)I20Ul| z^aHDD`ZHts0<8`J4Cl?I{olpLGO#0XM&%ex8Z;WW}J{ML|#b z9rs<{(inkR*)r=gL+yFdLDia&*8WVFu)_;R}U}nALpH^Uo2DyKm#G zG;=*7vL8n~$b{ZYS2-}RdTMFn03}_rJ#`lld0d<4uFAFK>>RoubiI$YJze2o2N(a@ssP2y-?|QeLx^$Z@0O8hErEfwEI&Ib0^7TixJ3dO~QL7vD^^A*7a%{c|?GQH+AuFnY)p4bBlb=_~Yw#FG9#-4P?7TSM=j!s&WcN znO2x${at}C5$Ib5{5R7jPz|=V4X0yOJxop%PJ0>8vd|5t5P|K#=> z6M~#KnA`RCG*6-3{ahYN)cmC?`SO@tofvWVFJkXg6;|9GM|0)y8If2ByY=HSFJGcN z2+KvG1T~*uuMl4g@R#nM`?lI52CwQLv|^c&H{&gDE@pV^}4d2Yzg>#RmzwV!$Qy#BrJuaf`_YiW;ndQ#rT8_Lx` zB$p#+@Z?uDe7ahrB4cGs@$X@Hl)&WC3-BT@3ef2gWGe8~4w>RT={i7Zc$QdhAs2|D z=ds(wU+vh4K=rtYWqpP9*M8burXR><#r`>Bf|Ii=MGnQvKso7b2i^r9`-RkW&S!>L62Xnb zX1hh{%B~<|__TKtmH`wh__%@2Hs-xZ@M+{*;f$x3Xrp(pPxsq~?nNw`kJeguqD0}e zEAnH!yJg z$O=0a5FuhOr7r1c&o8H!<=)!Sax=B}t4o>G;jYYvWT{g{=CxJK7M7!IEWd|9xSxoG zmIjv$$3lBtQqGgT?6B;R$%yf0T1f0C84AtrrC<0W;{K6`%P-3Oe=f-#fd`jtl-kE5 z5A?*>7l%SXeK^Aw|7C6~v#zV2W@u{YF8?oY-v4{a4&cmEFL}FLNGhX4ZPptm^y}yN ze9PFZe!3Fo5qf9pfbr#Zlt(VFji5v_F?kHgF|R< zsY)9Yb&zWI%joropF9jUZ>U6#{CoVPeI}W}SC?X4{TvAh?O$)okVdIO~K}ALw0N3MD3l?NjJLw`+9=}UEy|6vWSc(A}tpQdh_d2HfLip z3wryBbz{B9MbB@l-c15`p8VUQGJ%?z>d_ss(}QPWUU_w6QeP=tTVC;=1}cla%A9+C zIUlet;k2h8)toB>c!iT~iUq|?DHR%Rx-YmDIGig9S&DmKqj@82w|J~*5zge zHzDL&jizY#vKCQYx>l;Rm?2SuyeBs zZ^l&ezaqiA>4D|vA@0(Typ;uD1p~M1aZ(VK=v;=4fr>-Xvi4)+l6JHPFt(Kk>0d@4RjY z@|kBaBTvR7sB+2L23H<-9PZ$9yCF$4(LvuQ8zyD;tvDGmFBgyJW86)gRy!uAG{grKP)5rKP(;nxQ)#loSa8 z0cq*(k{r527`g_Al1^#HXM4|cpLM_I+;h+Qt@V5U`TZ7a*4{H~vvz;t^?t>!oJMWD zT+)p7pjBv$HYPDc^}sl##rw$d<5J8c!mC0x94ZZjC|bV^9;05Mx4ip#p@L>X$qQ+v z$m5ZAy{r0zxlENTu{M4+L z<#}*L>L5pKu}96obYxNCp|_XHO(~eZS)zqK)-tE5GW>Y=;)bBRQtIN2{_t6h(tFV| zF+!~MVlq03ARU?>*3n0BgHZlhB5?$5!{}qHf`Vy&q5dNOeWVqKprw zS&?$$Vg$tz9<)bJ)kd?o6@J)6+i+ZGK8k32%ZWSf3NXooL>>Fc@U#O^E)-}!t1~gM~581H97arL;S97!*OKL9IhgJK# zTTrzo&2aisyr~|cbI#;rqUc&Z?04-a((H_%A6+XI<{Ong(ySA`FgC8`s|h&B=n3)> zMvV#5nRXeH7|V@H+`rlR4SGoJkyAA78sC;?ung&x<3|95xt-LEn5^8N@P$Ti?m=$V zdNvB8CsCX4(HWqMABKB%kCzs^5y}q&pF1%;?dAF&gJADJ470k;%X}o4Pwuq{|LE7V zS_`q6>$K&~D4FDy@9-LXU~^n>r}4^j&3XUI@`Z1pCmQkQyJHByUflT;)ivWEp&Yhq zUx*fT{gzD)j~oe%ab+_^#LkZ=KeWcTw(V6oI=7W27-Ofk>2)K-B^BgR)4p0|Y`|mL z)ru%B(vyCX_WO0Uov1V{^yS)QUs>F$V7|~H`HuV%L)j$rR-o#jg=*JPD1W=B{OvlM zY?j5tZ%og%)9a$p9ab4tC!1n1vPhK*;r8!ni~-XliorwJK|3UUwMiWo#FdK*Bl4&^ zpKOhvkORV@hfShA_;N$@2{)MwZTtWHu<~4R8yuC)R zQzL`Cq~ir?jA%!CCWj_A1@=Dp8;>zCNf*s=^0<|Mu`SIUW4}gQ<^eh2(RZdt!h(VN zx1)U575OD2H%Fmhb9iKNe&v?qb_upSMOet8xAKB9Ek2gl*~a6-_!9c6;zvCM&%_=R zr*zG=U?Guy31TZJfNNea>s!RxYABZ6sPm3uqJqEpz|eA%z8H)79ya1shOUOvPx$jN zK;7osp03EQovN6?C_{-|yOaKh>gSEOp?%`JoX#i+%&;RwP`e#f6IHQQG#zMS71JsOs4 zPn6$~g?8W+RfYi+i!7;gnp*y7A2T}-@b@|m$#>1sp4nFmY(GnPX?4M6irm}ZhE@Dh z>aW+!yqP724bgUUqI5a;(`}8R2rRr64?gcjo_AI zs<(~xvI{WbeOxCVg?*IbR?*^$`fR;jC7LfBfEQQWVne(z*}6~#{!!14WK>?e2uDk? z-W=_2lJYJWpdkFJLvCev(`qwZjd?M zpiHayxhr;AtZ%o&6GZ81Kut5-SL*6%<8I|%xGRwTrUABkS3Z&W<@0bS8C9>$@y+9J z$10J}Q7@CKN48r;i>#TZHd2jMkRt|rv#AaW0;PqEVk$d$@bAy#ES6*Zg5ReT?CGoU zE#)gdT~yi&Jg8I(XtySMt}vwa0=B3EZdute-UCa@fXnq&{%;&v>bAxt0y#HDheuH^ zKpeAWj<=MpJ2Kxw!?i7hb{c3~Ozxe?{K}ED{Ia2q?Owt`+d?T-%MP~q`d8awQXAV{ zvUVxg+Y|2yXUnEQx-Ln_ni$__G3&RALU*Gvx}tiP9_5udyMCK@rxmqpC;6{Szd#!D zZpZx0%4L-?Uc(l&+>U-SIWJ52k5XV+C%A`TZ&F!|*xnrkqGG8^7FdMx*F+IKUU=(~8 z1-W`t>2zsqn@QC?RTpBT)2b9P`)*R2J6qWGb0BL@^$eS{2jBGCSIkEt<6D9hT3G2f z7U+_Sn>KY*ci2|0u6ftqNSe@0Up6DOqp#rm<@92xTUOG2B0pT?_H~M~G5q1;y9A5$#f5N=MCGiljV_9SU zm^YFDJmJ7Ea6El{d>y590TXjC zxb-7jH&A<5|R)M2>mNr;qd#-;B8yk_flZ%P7X>151XMXD74K=Et+ib~X;FVYMtD@qdhN zvdG1Y4H~gJXnr8sWcW&n#aeUq>nN+l-a>Px5PTp{Y#ION+Uqv#@dqCHkFG&prybav zV|pd5*9ppElS{@bTl$9#&sxW%DAGVUK_C!{)RS+_AQ7nesC&uxc_Gb!sss4!UF^_V z#q;v73b4E-yNlg>$go*XBx|>X%OCvg#SPUoJggoSvUc&bML6D{myW%D-np&q(aQlB zNk78xEKN(_a(N=*xF1WyQi~OJY-CAD3^CNTvl&y7^@p+c$GVZ_0qSP^A1@qb;r7e( zwTHHP+gLb{t`^b3t2Ss)%gRb8bjKtDe}#_t#e8CsE;VEg%z%qh2#vU*T;@7(tnHJU zC+hk4hu%qBAe3J?*KN6=l5TxaLu)t%VMKQ@Ve#OieRyoephs{+tez@Iqq;H)_PnX& z9Jtq{9m`Fbtg9=gn%!-M(iucDR~lV@0HO+0?&LfLvhqjS$hB}LOijBsP2@Dg8sCQl zF@*8zm%^_lthd69HPxD-&}7*kR;Lwo9c|DjWDZ|~UKTwI%lPu4MEdb#Z!|&$&${1^Z74w#-zx9zTzSbgU5=@^2zQ4hiiBw^jNhuMf5?u z@lu1-R3-K#3tJ@%1yuu1kzc|{=f0L((9>{;y-!~yc0+MP>Ck?y%E>qSJQ>!0>d!fS zP0it%4jTemM!}kU)8s9a6WmYyzd?9|&%lG{fiGe#YHJ`YtCgf@g*xml&nRE3Vbvr& z+gR@;ba_R|B^%dE9`ai1LCO0d5YCtd(f`Qy#;FojuEX*O2g2kA~Sw3v{{q{t!_wfHZ_sVoGE z!Y?}KD~fgl1WyRGCn;qRrh2E}UcJD9#$N#+O@Sm6O zzgyzyA|>Cw_ziNurIWe2hB^S+Z>sqV+`tuQN2uV7TM@`WhWl@j%h5gWoUZO)%iu_vy2CykgHQt`=B zKI9RVX8VLI%?A8}IW-y?9^A^5Ro4kVbsB^7kUWsT1j#Su(#6G%+Rx~;0Q@WCQwojx zWmo5x{Y!QEA4}3L6s=94KhiCM(7{+SIC6w2Te=bq#~UJ{%YEU=d^)6YiL+h$woImEaNb`~}+Ze)`Z6k&Pz7-9&J>}`fOFZXKk2HvQj;DvYO zIW$dmro4V2e8llrgbqeVEGY@`E)k*29G5UX-uFijRj(AC>yDCpGAXCZT|1j#>h-MN z76V#%oLR6^G3IX{c-->aY`6Gwo!v~Y2>tmhn@SaS{O2y|QYWI@2JP;DUf3U9`qib? zA6a~!6w_T3;(tB$3raLx3hydQ8fOUZsbck9DEYc1i{iX#KuvxE4?Z{MTa;+$p!dzs z*d*3u-124z>c{Dx2gVGAu>lO8p4fRN^pnIlHhM{8hIKKk@+xSKm{0c@eVC=peCWh< zmGrfGe-xE755WJ=p4iLx3Zjj!qY(|X>Ke9~%5Q$8u54$BJU{@zp< z*7?zn6f{ypdfL=4bhpzWav5AMMptOdig27TFlO%_@VKsJDeF`I+D{ecyEEAq6FI%U zQ!hrA1%E%)_)8egWXiO_j(XN5aFnEowDx;7)Fw$a+ zTULE+h5LJESoL9m(%OJ0W=k|`-zB-0U~Sr`uO!NL+UY^0#kQw;>%!Zq7c4B#qjDcw zVHi3`a+Y7X9Lk8jqfS-iN{p{h&zZTLhk@P4&T*-(NM25qdn{5Jw~sreY1P-wi)|#F zdeQ^R5EF_&cg<1wVA1sUb-XHj_uz$ag1czaPTl#K$VH_>PL5tAe%m^`F}$@AKxM^7 zHY2;0(ozWm#*W}Im8Lh|TjSKTt>$a&HhsB%NU7)%C;yKOJ;PeL>)qD_N&q?r#?m`%E%z3ZEEr| z86f+*2P&_pn6Iu*3;P%6A5}EATnWyeilxb)n1-xQ6KKxd>2g#;p41qMCE?k<>oyz52?VhPj7Q}7DPl`Tzn;)Z^{5+PKN8!k1P=>}N-~SZaE^yn# z)-F$MC3|qH1WP5p=CrYDnfJ6oEeLpZERkaVFe9_H=CQ7Qu(PnFhN7FQhW+dvI}JMI z2S9~numx7IqK~};4&OfsL3-9gREX=!j;ASQN z20f#OJp@#(fTresB~t3tFqXisxXfO+S-0o8Io0_JSmr)ra{UP*_6Y9}T*aBmR^!#% zKv{7m?Gu@?;-bDVq0Q9E*YaQ1Me!`2U~~*_+|FtPwINxcK=hIcAO|4+DMX82cjbG^pi63o>3{l00!0$x?wLlTTz{~|q6S4_RvH;Chwa*0~tIJ*3zR=hBx_4_X(>`e-?h#1F~H$ey6&p706#eFNB zRZfW7)65?xCilQu7SJ%rA!Y=1K=KY_8{NOL|4Gta?&b}ri{;*foRu_zFsqjsmDbA# zEy45p&I;#TVv;AgQnfWn?BHJL0*p7FLB#U2qY+A@eFd(t@MW10V5Yf8Y#2z;pPWRl zqj#ThE1u7cDkTi-Q& zOz|`Akwo1|^{kHu-sF`PZgSk(H$JHMLxp))+nvpUhn0dCKjXz;t{VATkC|8PYtxDP zjxC)N{rHDRn&$Y6Jy4ON^+`YimJ{&1MD2s)o7|(r@qGMxli;sUHaEi(lO!#X<93RuV|WW6y2X{Qva) zlw(4Pe4bq?p1p$o+G;TTI!}#IQpoqRz8!-zFZHLVUshE98@IUFqFA9|ot+BFe6)O< zdUvQ*%fe&#U2zAFh$;7kv`pVDo&CJitCo%HKY3-(`~;vyBZD9vU_VJpAC;qSH#?JO zC(ox=EG<)A&Dj__nMk$YJa{C@HY@jUoIgR|L`LPK@`@OY``uJ?P44cg`kgvh8!W{5 zUYmaG;hjwOQtAAz;(OKR0UmQo(AY_uK_w6kn{|_W{JI0&*CXQ18ZLZwZVwRWI` z?Jou6_vcGwugBD@K16w^LJ2?8RBZO~>y{}Q?4YD(6s8@L)9*4z(JT*?dDYEJ{| znsjW(uH$fCe)i)&nk70zR#sm92ANF5joO2|y$8`$?a%}?-+ZK{uS|K)`TecYi{*5Y zGPx+-s&`Y6)0Y8&)XNEaqfO+ZR8;Us;N8|FsCgN0A^?}Zi< z#=XQeJJh2V(C8!~FqNn%6@-L0<1I3Pn z);exJPae5n>#|c9B9=9TocYQHl>i(e#bU||A`YwW!g@|uJ#Nt9J;m9Mzk6dmBqq|3 zMia+p8SYqI-!ZVdC59F2cb-NO;Z(Nzz24J@LF(s_+mpDy7h~~Vy$xTocnuCL6SKx{ z{BZI~S92C4)3(6I+VRT#HqL%GezsP2es|vFp654Frsi3y)=C5OjgJS6=dqU+KTBWc zA4`4`1KX5$3WnF+Q;&5UXEH|_jGQtr5nTwMUPW(E&<-vsoa$2QsK!TJaFpCq()C z-VMry_BWoL_0U?*RvE@09i^*IvN}5GG1v+fyCj;sRkk9p+hz8T>w9}w)d1R15SQA^ z&vL07RZ&8Vw@svnrz6gva_(MNodo8oUA^d?Ddc-P%AA4`tE%u+$ZjZ|7_=%|-Zc00 zNl8ngc8;Bz9dRWgCmT$03;Zc1f@eul>XF}?Dkr4%J>$kv%A?0g)PrL$sYW?2@z3T`xA}EY$bbjn}Aii^!E{WISf76KA zqfiK^|G@L~eUR^YdFokg?7;Xz!2AhEsm zfGCWI+*=F9&w`h9IQX#7mgMhei2lP!v};D@7c?#m9rjJZjyMl ziO(%x*Lv%o)cG03f2^Z=C;v9u%0I#p^)=x)i!-Nwz`E|Ky)tSa_nxlTkIwA9DjAoS z$z8+`Hc_u6wC@(3{nSlFkiM)_CNT8Znk4d*y%-4qSa^j3&E-?b&-zN?TijBA6=i2f zxZ^m(z2R==wB}_c@C7K_Qt(G3vYr}Vxs9zLc2^F!4@fW_DRqjd=I8K1Xi@h|@3d=; zBzt`s!^XR73_349h0K(v3VDZ|k)n4UieY8)E>w4FMBAT)G(hOGICIIbzG`NUk1dNP zJG9zeln$^1${C6iJ_(0UG->={Q*Y9pELws@5)1{%N81kTOYGw4bJkT(TW8D!8o#zA z+l{HBroY!um8eFDmdlcQa$*L4n5b=EzkMm}Wri=I#^dH9NuIw3xn^z`08|z<_xRwP z{Kp3Gc8#jr<27#84GV4rvuAa&RitD2WBuIw2Uq~I%Z@Ex&^TBCw}KQ(!!ZyXB`5N$(nlW%D{n;D^x zB~<30O%YO8`<^-`?1rQRwhF4>DiXT#1bbA;6Q2cN;6FaPO)z-tqO~*D$ka`-IIYO- zeJ$Bb{`T}ntK_YCRyXG2U~wP2WWsH#xZ#>^Y?;TX_3+yLNv6`Zr8i8GV?)W5L%~aw z;B=pRAo82p^AN1Hh>OUpi}`&q>=VPagLC5B96>=PPd*q`Cw;VqZ(dxDD|wT9CP;ID zGPbJpu%;Oa$G}**d8b^8MBnk{VA>O6@#G9-uQ(hnz1s&kf_~^l|H%~m&*i@k`qlj> zzGQCA$$;|`E@X~G6Hw1w{K4q{LZ~ytK-pK{~Et`oViGT3vbo;r$c!D zM9b9cS5KiSckF5?fPPQ)nuJqwpT88c(}w^=;P1#G15Lhw>u5PJhfopxpCg+88G#jL z+hC?tBE|oNwWrzd^`!018-Y)htDk3yk4L@ZQOKgomtGYK;|O&y0>MBm*d!fYUCWz^ zj-Hr%suFL>^))by&7xXBf_Q}L-_EtvxIm}`J@8Ye0N@pEjJ@YwnAQCk80h2wA#=6@ zj3l}YqXnk_PAT`XSb~=oh#V2a>*pSAAPsnx|i8_-=jIFn5WU&CHgCIb<=e z6#z}sAC7eQdh88pfZ%V?2eRLwYo1uieqwkta_o2|zY%HD4i}G2f#0hp2#k3A2IvU4hyEW!P`faUc*(^nBvJ5#cX+jo~AAV2Iql;3y=#b)6l#m1^u)k8U{& z&iGFDA3l)(#*E@`+Yw-tBLhvlR_I~nzind7;52ElyH;d=$wwu}R78 z@t&+J6?@6Mx6LKYsEGgoa3&ATyd?1B9dK^*q18Xg-FUFUIBz+l4Vrc8+*-=3GmIU*pHAAexTjb;1g(1v|!ge z;p6uAMXH6JI3%YL-;)^|w0 z=tO_i3IJM_G48xzj4ep8+KG6Dt{sJvTYn-iNCP95IfNc$ z00siv;)A=YZtha#yn7I0F>xD-LIgHRS889DQ@dru4gD;J+ta`;X=auUtc)hKb<_lt zj|Jdnr`)wYWw(`G{>ei#rI1X`Dm1glOyVM!r3M+B4H<>TCh(y+1H+!lQTmXFTI%r% zViphDeShq)*IcO{m%g6y7>NQi24WVD4={lLk)! zf{$Aj?k)r_@00QxcDltY(A*B;$gS&B6P!@Z6e667Z5JX9^KN98-lE{wBsat}cz6v8 zi?(*gy+1u)osGCkQ=Z}z_g|aIC3$}*yhfDJyHZdGLmjw z{?X{rNxF}D&5iPzTGs4!v6*koP)}(N(|_q^(l^r()E!~X=V3c|%-3UXTfY4%Sn`@M z_9tiB0>0T?P-tv$d@LLDxCOL zBa!T|FH7UL;cs9QK3I-sY%epflVr&*F23O^B3C9Q8zgHW^$wEtUPrey3j||}d$pp~ zN0FW@jrsa33U=PbbDiFlH1l%e=h-y}3Rly{XV{C1quYcO;98 z%X;PWvm8mA{Et5>_xW;gc@5#{HMo#cPcQUm8(w`{-->od%sKGe(H)ENsu21%z}|3F z={+L#7{=!j$5of2h8OG-`{k#5`&liwZRQ2P;BWmz%XMKU&u`tDu*Q(z9(`hp$PCYy zCd!Nh3#5q=fBq(q@OAw7xrmBK%k{vxFsAHI$ro%d#u@mqrYxl;Dk$Mi3Jsgv=V7wG z!y@;%4_SUe{GN{9ijzz=!CF)7jy7#6jt99(QssP6E+x6e^qJH7Cgy9H@W(&>%7_=j z61}s1k`9uHl8qR_pOWc=O1<=FG!)jf2RB?qbTfQvUtf80M%JhBewu*G+~p6f2@k zz43*4Mj`3Ixe(LYHyoNZrs#@0cXI@0%Yo2NLAPF-O3eIk&`eHWT-M5|?ET0*>idpu zGBIeF1cOh^?%rBPdz*Qo{wRXGgi1!6Dj?2*w9jHVqX|U3+_FgIy-IR71-YgC#d%+- z4<)XP76F>Kqb^6DixtlO9hH*GM*_LA&vClY)l|_ugrEKThHE%kZ!D_&zHrj{fHPZ% z*|H(UO08oxs+jKCY=+MwqPHOt!A>B1e1}=A>{-5OCpasu&{%7efK6s5#cL)&NRv$F z)G^aGc@9Uv10NehL+jFvC9v&bYT;@zL(d7V-pX%8&l5ThI1~vXd3aT?&Iny`!(Y;C zVXqqtN2o`|ThiYve8W|q0yH(E`|t&lhM6q86vuC8n|gQihN2cmSt&6%gl?`nB^B8P zbGwq($R9NgEw_Bb?&w+ggPV#?i?ka7Wjrq3ajEsdD4`1ibST(=I+SE&gd&j4EJ5bO zsnmSsxF-71sa2{*Id4I}i~Svv53MWYK;|n;tP}|K8_^^nZA1q=FSh~D%Uri14~D@~Jo-67mPq3Z>5d4eH+;zcVel(v-dRtoV>Ac%oBpcRFkVWE^LV zf8O>{7yHDm*KRxX*=@a|iy6SgY{#E4T*F%R&C9P$H6n@pBkC~)SmK`+n$4%+Vt>{r ze9GG=RdFPBmA0DkPE>aCPBTw|Ve#6flVPY^w8=3$ps;%*6Da7Aj>!b-dDXYov~uJxmuBan67qZ82!WYvE0YP zpo6bGCVQE*?Twx+$42&8>hFJn9zaA6@8piNdr#2k zC_DFs%`kYFj18Do!nxO!6|ctVduDSZB16iWAQaFm^z9|%Pd#eAFZnj6d?6Gq$S~RZ zwLL1`?s(f6>XqKarK9KFb})OtT}So&C%0{L(9#zr$MLFSMe|w>V~&xDz_o z(R31tPIud0mt{eE_3wXP4Iu1$^_8H=H)Y6QxxAkDR0(S+eY1D9tn9o34zhes-biTm%K!J3t@_gy~!&2W5#sg9g=FF zKHqcOT$mX<$qlV>lU;EUIp&_Jzhf$Aim0P-)L=Gvyv6m~q1X8-o5imP%V6~n35x!3$V z^sGDi6WS{Z<(eO@JaLOliUqoEEhBcBP_E}G5e^CIW+wx5{T}!3S}=s3BR}Rq`TM~S zqT#Qde9>M|ffw63u4wmqK7xyEf5zKC<@|EO>&VlmB8wp^t)X`@z?2D+P|CX^=}FB% zEcWLuFK$1~Z~mAK@agEQ$okyhC(MFo=PajPAX;1L$pWWsl@L)R&9?iI&%1WiU9q$H zEx+-UQn;}(Kq)zEx+vT)bwr~<^}u)*#=P;}KZVg!3M+<#UTs89vtHZHpP4GuZ`!B7 zBg0?ic(x0IYnO}%hSkW>57SG~Ks_y!bQ2}4LW)I=-Ro+$g{(=L+Wv7ua3fRvYY?tk zlG9{*rOFq3eRjfxBuI_@4I<4&5{%Lz1O8~nL$-hhsqYW}=KsyDT3LHcsE_lfc!Bow zh3^S!=j34fE9%hPU9XGr7c$!3K`%+7KV_t0x+kRLZJRJ(WdmTs=8rb$6k_%~W%*z& z@KyIEF?0B{T!EkFJyF?JC5MkF#8JNCR+Nq+C4EUuP?gC&*gXAj!Bztg<&u7bYy(&N zgeY;K-0B_lv2;Hq!Sduv@~yAd^etkoIh-7vg5%fx@>Fs^ECczu)74|+5$!CtH2CM` zj1PlP_#%1L6VPn6we3D1(tqbV>iS%Obv`Co6_NyX#u5~YOmpRQF?QbOk9ttjm?< zR9IyqwAuPWSP4eRLPRA_cTTr=u}K%-?a%R~nx3AK@Zj54C%R0ZBXtF>Vj@LuN7I}c zU|QSfp>6*|%ba-nhP7q!E`bfwr43mAFT3`Z?yLwP4X?CW(K*i zyL-5@qI_l`q!2dwpjxfNGK%`~j!vlpqSPcO`L1>P{tiAHm;c7fe_9i^V1It50lS&o z5OZHk8+~`FnmT6aVdxK@(^DM5u*;tN-XVrMyExNc`Y$qvJD8G$p2yp5$RnbaTAEO_ zr3UFUKeUyuCDvU)uv?kHzF1X8op}p#Y#Q0R(KCb(^{4@Apmb&Fnjb8k3B!@XaBJf? zNb^vL7f&N!j9N+r?us(Ftgb@$~i>^E0 zw?cL@XPTj5rh=h)DZY{Gno|{khm%#L($0!7;iV2xBB6ZR5rgd(dv=8b4!^EoJW2Jf z$<)697vnqqu}p}5GnaWvUDb8xhj#58HML=f;603eOCJ3dJ6M|U!PjC9_3$^r zQD%{ow_e3Skz038vPKf2bipZkt9ihRgua8*4c)@Mx8SfwgH8UU2;E@qDZZ?SK5uV( zZelVKqBRNqU`Nc)xJlLtAJ$al)m%G-zG1X-9{0aKhDVb02nhPME>t)88-6_EG+3)p zkIY&j8605dZnWJ?pR~=w1dJwaMYh5zQ=|LBODzR5Ts;ghwHZF@5n2pC{94^FjGFn- z=ok{UF~d(X@oSlUuN(O+2G7O8$x=Z_{_MkvVn}I`6YfhM9^tY!FaoFOrXs)4hptO7 zlOap_i?laaYuMz9unR_%SU6h;-e+{OZ%@F-rZ0WjnA&EC6ci6u~##`n-*U;BC&Z7G8 zYE?}*iXidk4KTAUQ}$( z>?RX;IjFQei9_*#XA~KFoK`Ew8Y}L@tGC#=%Bj0s3rz8^*cVHO=|q#>_JDBNV=_P$ zVUeIS_hWGPgKY;*@YA1tuULP&?&4#M&ov<4koEE2(P17Ki(IL62Kb2?)EHq)*+J%M zpAK(C1i%GFy|%r4#$02T_p%F=_*_DH<>SK4BK3oY34+j@_)tP~eKh*zPi!LYUOBQ4 zo9scYZx!W-EL3TEK30Jq4~T7K`n_#_!u;)#5|;Zz0@?V7X1iq4-Kd}CzVqFb76TZE zcF1gV#*{#kOR+CwN*684Qi9QVw87_3m@FQNeS3l&<6UW!{S$Ne7&yo- z=ptnPbZPLuym-81e7h#2X1LL<0@QVv3);9%&0Tj6Ul5G?6-b~qle#~chk+dXJcOsD zSd#y-Z*@ppUtjTa2YKg=1jFuU_%7!+A6AW-b9N5>=WSEpnj$4Lxz8frS~NQrvbe@* zy-(MLFSo$g#o9E_Y%urcl;qaBCSB*xP|kn!c#eFt~Bv#sgd#86aKjuW3Jj zvcy6FvkN6L3c&o)kn{fO-({|$^PX_ZW|&LCa^#T> zIu&AyoASv>oDytsF*azw^_m z5KD?Fb67fZirR{Q-{Nv2i;{P4LiU@j|; zD{V>^?h-BYV!!^jIp9K4qH74RluT< zkA`mZJ8^T~vD-yL`k@y*z8Mlg2FxNvdAbn6PW0=QqpM9IM^@1y@u`!A)D;$&_Lt)! zAE93l61tn|cAVYa{R262ipzh$~bKzJ1Ot5`8-+(9i;Z2ai7b zan2AKveR6X+`2vt7C-X8STsHYB=G*a)%CCd?^Q4u)2I9Zb}$#i{{;O|#!Hu2pi$6k zR*?8a!PT*4Fm8%~df&#mz=c&(YeHffAx@xuE0=tqwvF7g4jAWt@N1m3&yR9u)Z7Fr zW;ef^1G^qlJ+%>FA~<-i&}VY`Ni}e(;5Ud6kOM|ty&lTKJ}-28Q1mt4AD4gkD2S+V z(urSR`pi=)g`?f6U0>9K=CZ4DTcNuy1Z(`Nddkam(2#^w#SD$zJ(A zwZy*G=#@Fs9G!ym2J6E-=S#~>SqyxFxS+8i9(h!;&%&jiO~-q(Ncc&-GLI9?!?<=Z zE-`*5{4gOK{q2^9kd=i~SCV0fPwSf(9(z9_EhX~T2PFB5%{YH-@N?Y?;qZXWH*I~fOThO0h z%xqDQ`??Mjv$>xzXs!<({SEqfZo>`fX&z8;8UOCiO@Zf{eoPkQx-+Uhh?2hip+Yy(kYaG#cs1gIwlYnzyN~344xCbVWYE|qMr*!BC5S%ktC_Q z39orZ`No?z-Gv^0y$4a6fj~r)K87l-4dkJRCDn}CMXK8hlyCGc)Uws3k3!WGc9nIJ zBS3*FH1WO^7_xtZSOzAKE=kV#E;g(S?~J)dLd!V(;#5@({p#Z36^(p1lNX6^^U79} zOVt(jW)1`*`1EDAdra}k)JUp6%skdRt2fqPq)wp+E{)oiHG%?{;A(P;_LZF@o1l#| zi0t{pG09c3JNubh=hB_jjpl|>bK*P>GkGU=16NEdjJcs6i`=SbH&{BJGvTF6J`f%K zoG5$0=^@O}Fe#lC+(aBq3s=12n}m*nCdP`5FIJ@efvKAm?L!=0CrCv;L z%@iNlD+bPQyk)tyuPI&z1}YoYS26QP=iq1o{8EYhMwQUCP<S`rX+a$@|lvdi9+0a$*T~`J&A>>MkE|kxg{D5BrMV zHPaNhB;n`6jU0K* z{@74SZoD4K;Gdok?Ee!J58EqiScKxf&G=-3j>c(t|Nnk6hQwc{q(=S z)BmyV2Bc4273TVyO3v3A9!WY&=%$GmCb!(S+@*MJS0-JJ!2o;Czxtp3|I;gV2)dO4 zS=#;$YQgq|U;wRXl8+#=qrvp%IcvxazaC#@Sbuc#|4`~4K?>TYw%3AlK8a$MTf7YO#VOA-Fs86`rn4O?pyb)q zj#0GPTAys=X@ZmcyaVV`bqykt5&YQb>h)gn6rarKWf>F?k{GWoP27W*u<)d)x$~6Q zJgy)oIK$mZD~vy!ERHt^le-rNKeMsWe!&D_U!-LovNZDo`*T-0PT zR!`)B0~J{Z@erqL8b#Gkr(FRhPl&dgm>4U3k~?{SuArUFv>>pZoS*tcLU4@r({>zl z8!;aA()rqvV==S2`O>FrOQQH->duHgT=V#TQc#vj(mYX4?no_^tMhi^xh09v8JbO8 z9U#aw<6=fxA(_h3yZ`19t)iDn#uJgX3(45u-_BR797l!@T|j)3j@st^lMB?R4J|i`|V(bOx8uQ0{(O!=C;G? z0D+`{kkNX~BY)j=+f?!8JyV~AHPw&oj&;j#w+z$LsN__8(ip}ED?NYkIG_iuyrXuf>rf19V*<9e(pLmpjSY#uKx4rHfrYF~L4FT&x*S0ufc z?PP+JX&(>T2Z@%ezhtG%2%`@ew&P%I1yQYz+HXOqjCQEGChEYZ+CIfmpqJY^%}L-YfB8^;J(8h|@p6pN z(;*e;_QHa%%mF)FL8QDfJ7mWZ*)Oj28)P?IGRwM( z`xt=5836o&=Ei&BH>iQXG{f{>H+kcF5#oIrv+sDjWF!6%I#@h;W~q=+ zF4&jKUf-~w^Gim+S$v!9E01mT zy@Z38WCOiJ{CQuCU_a@uK5D)O^`8l=}K`xkUN5{3r^Z*vWGdx*y9t&3IDk(D2KD^1n4k#ze( zf^7u2^x*ToY^+W-A057g#`EY=WigGmx+kJW&x5EO|2GNmAIrgse}{`1YyXAnPc#xB zbzI|M;tbl@66S5pRgSOqcymoJP8RFR(m} zO;^tvNhMoLTG>VCR&{1ZS#v>In4|Z2_5lM6kn77cOz*9T9BWB1oF48UYO2n>RVO$8 z8Zhm1s+!F5$at4W@vftkAUMp^nY!)lyzPx$>J+v`G5%28U>3)^?I4%D6n+Jl%8C|V z>+_-5ad)GniYF=_vwWf#zW`qRY3p8isnx0H3nrGpn@ZEOxj;g76SA}Se>3~L$C)|m zX?|aIeDg8Gs9$_7I(6Yk<;u!BN*);(+DiO76lo+#34 z8jMN}3pZ21x-Kn(IGo?*?$7gCYeRuc;fo)ugdJWPQV%*|8^s#JH(3MJnUHT?&keiOCto!)4(`f_Ht-%DtLL zJbcY}5=oV)%U~OY3bsE%G8>mcz$w)p{=+Qk*9yo3VcpKX_>|y1?>EL|wNh19Yj+JN zgSqlt+jJgLD9>lA5B;I}b&_(4t?W+i)Whp_<)Uc5jd^tdIJtc z?yd{#k+R%NmhfEmeic1;O&8znH83>ZIGxToX~@wn)L18@@wD!sdFWVN`hz1`xUpV) zu@48t1!av8v|<%xQdnDhu=ggPjYV7bPO!E{KmitQE+_ztmQWqi_WkkWA*W+qna-MC zUBG0K1?v}Wf|V*Eg|*YEJX2qI(Y(u-VuZcDvTJ+O4lx)u**sFQY9wGT33=Zew@8$K^iIvm?3I65>pnn5zcbFC^so41h{k?diYANg5P( zBcF>i&9t9qjGT1W#xQJn&n5Cp(-9o9>MyX^V%>lFM#Kb&AGbiae}d*7(Ap(mYoDU} zyEi)vBx*xUfYrTf=>Zv-^GeA?h`r@cCdPIDXyXLL2L2rw{-1}s|NF{&m%;adIK9M8 za03wb&)^IFoAopJNC}K;H)00j|CF6xH-G5p{;snAt4EUbRT@^HRBHSrtov7~l&%e< z#e1MsiiA-CD3n^GoeTnkbie@cM@s(hIBr9&|GU?}5z_zbUkRXgjuj76a*Q`j0JdLo zty4&6DgU^a5*__L$Q=0A4g&BK|Gs1R^<2wEnZFxS65p*=R@GFN@W8aOUy0o=0PA}R z?XsWmXDvX<+|MWRuBSl1J?V5IG!|6dtXeG<7U>$>$MxEyPqF2VA6K=V5U0Pl4Xz!% zeI`^@#22Ec5a>UsybMK z!TD_!gdFEbeEhKPY`e8N?hAd%Flx1D{_4DHetCeZ76j6)fE3CG2@`H)6DP#%54Wqe z%f*IkLSjqQWJq#r{*kXl{P$GqtzP$>7E17Y+c%9C8)KD_u6?Q2cTVCUwEjE933vIU zxb!WUZN~;Yn!W%So9(%%Gt>COdp@DFF4GDrP9YYXSl{r4ZbN9J#b3og*p;z=ebmp- zqc<|uG<0<;+V83w*xh6WuRo}O0wQ;jbe?(RFnK*6sXpzoeT~EUCCdcT;jbzf+YB;V zy|lP7v69p=n$0V$fTa?qb1@P(3TeHg$JUjO^J?zgY-%3hJzrkJwMm*Cvtp0SZwQZr z>Z~Af?4;rk5V%tW=O%H_lV(&fRNkbj^DToL+Ql#wK&O-v?Zt#U8nlyHRU-v70`cWF z_ZQJ3@8v&xEj`r#G}>NrP`bE$#PE~vgH|=~0U^)k5nZ3HFF`8?&G?ro221DZKMK+Z zc&OTq$VM7?a7%ww5zbXiAAdEoWJjheJ)$sNG#ZwEQWP*cizA|A z^L8Z^$AZu#^iedcZ0Hjb$z|X!-`#8w147nIM27BBhn5KGGaAP3g?J{`V*{2oHu?gK z+B>i3g^9|J|HK&FpePI#g8+Auy+BUFXLKx&1fHt|ubMq~Srz$4B_{4|{?HG=-ox#X z;l3h(=5ZW)Wn2Ew5j6h^-21x+)8h|~>0Mt(Urt|tVmaEPIZkVCzts6=XeJQDT^Hs+ z6=JkeRZXT{O$CRr<*RX*5dKvi zgM#?UWiyXf>Mxp?YH-PT`KK%ScG}|{&JnEV>f?+@ZwpquKCI8`zp=>vw0v6yBzh~U z7k-xR!gw=TUC>#E+FGxwcEz70oi?7|P+92j+Y~Zbhba%1(!ao1$gUuDEt#EZCng=H z>n2vC)r=s1$?D$%{0oq9{0F1K-$w_7;(#U}>#l0-WBq|b0#>!}O?@Id>6SlSd#T?$ zjKuh_69VdxW;}^?10VoiGFb>PHmE}X{~6_M;gd+{Al0U^J!!OpR%IZU%&#Hud9Rag zI_yPzk{z%19VW;Lpv54-0AuqPasE{yAh~;9eR_EE_mShj(&MePz_-j3hp#Im z%Bwwk-+De;N8L`4o;+D_9iQJOi!pqLh5O*amn^GTrC}xGOX5xU2ByGm9=x9N2;{XI z*HXHm;|W( z{lg<`cAv*-l}5H5T+Dx|sK7Ui5r0`Pey)=B5-x(6EPY(;f>TUlRYjVRF*!M>gi9_} zr0zjc)jMSPMf^}Y%{nlEM!kLcv_AoI=y6j(cTYdNWQj0I-S`f5Pd}KJ`XvG)hfeYB zSaF~x9~z1>Y%Yv(=Ki6nwh2qN*Phxp{{FphsHvX5)Hw73f!SR?|5%5CiWXllw!E?8 zeHS*tgOls`2OL?0GxTo*0|T$79yH&hi`2K4fe(G4PqSNSiJN7A+C$O8j`Blk>8sdi zwCfQ`#*aj}8IEViQ)&B*=#lkN$AssxuKSNC<<&fzTL=r=_F#4?T>PNRW^4GiiMnae zfZ=TOqv2$=C*zyK{OSEuW!Wjka_js{?{8?Vq<8h>U*goBQ^mDWRG4gIlz@Ev?HD4O@K8rp0^-NJjOYbM((+kCm9e-_i+}-^oNv zQ|R*8EJ?#3Rv}1ibZ(kb(0_JbJ0yyp+c_2+=eg~pHLg_@*LYYvKBDVOi5jtafb9}L zur~u+Yq@=|n@(JK{|@eXrz47OT=XUCVS)^Ny^j0C%Hy)KdS!D8sXL=@h$*7EKR*n+ z=c}Ik(12bp$(FiLrFwWX5}cHo(+{g#QjN)GMrxuMo*5@gk9^gA9`@bHDA$E61+IOY zq!)y`HlxtP)R=&W&52CR*RiguUCT+#vQMz_lHcCCq{*f)%is|~*P>uZ0kN`yD{exU_7_!Wk(I{{ zDSJy%$+jL{PT|L)YfUVc0c-7^h5H*f!<7Le4fXO%Z_J+GE9h{Xk7*TLcF>TD&DGMd zopL>prF>_tt(nbcID37wG%6gIuZu@>YFxoGHc2n^>9%YOw+`+bxQI)ZvQh8W*fLRd zGW5Q(Z7@H2j3h%!R6;N{KLMYL*j*M;@}&FqS+X5^WaRT01GOguPDvm2Qnxzn$}NV_ z3$%q_s8%R|KRvW$Si_Eq)fT-qmMa!yqZq^*sd!e2I!F~s9%&!RTmM*SYwlJVzaY|R zb2NUK*N{*(vLtPG{HPA5JIcArTGM;wC{$$Kt{0r`#Z>=!hwS@oT9S!swG5VFADt~^ z+VM*rVl1>D-V}l!)lsOebMV;Kyn1X^A-g8QOQdJ7BVC2i9s@*^%g$~xG{hNXs9(5m z{^Ovb^<^g5a-Xq4Yocy((l>HvCA98KKgya9t1pVJV|4#uolIcdQKQGFdmtC2v zeOokk0b2TmWXdaJXV$DXb0xb?A;tN+K64&=o9tL3C=bL2yRZa^0~=d6!IgG5g7Xj{ z8TW@OYrkK9?*GdvK2bE~pP;x;fFWUU#n27+(p2+QgmPYM0czwhdxoLedL(wx8fFhV zil2J1K$miaW<2*;@NH?!Lxp0~&wofK|0cKnfA_WgJS%NsnRnH*h5MBeA9ao&KQ`6L zd>)OV`yP$K|CFxwC+I>4g$W?U`r4rvyn#PKp}*D-8_*S#3ot5#6Aws&j1r MAvV z>%ZWY2p!$T>C>?-*bMcu9C;I}lfo?@&P^e|3`C_vzc-!5BjKY~4BQ8WG$jpaCi|F$3qkp?qw zztYZp<1(OqN{1R7|Dn6i}o11IrA29$|_uWTok0jgPCK*>)_02{dM=Xywy+jzV z7*V@|Dn?F%Z8Gja-m}jsu{wU(6bxxM)?dbW3#Hh?Zp<~G3>7G0M`FE{U?7ZqW;GBV zJ0CCD+bxL>AZ7mSQ{?5pZ`ZU{s8~FUyzv}|289)dwN8A@N)C%Z3%*(^gk0zpp(yIX zcqcZu-nI*Qy>ff7(Z>0yOi@)(G;mC)aERD)N;p1yY`UeLA9?HYNR2 zWa73i^pB^Ui6U_eZ2mz2bS4^5gbOF()4s$(NJDyCb^K3|-2vlj$)LetR||&dU)!^~vRmoLKmHp!JT9tIJ-%b{VCmKBX{T%zKEbZ)ACUP)vATK@@7C z;QfX8ZD~qiFGFCL!y*tY|FcuiIZXoh1GOOF$HrTGeBte!)tty%mKH| zidAGr!p4iSQE!UR+oXCyU(;W$&nfeQTi*O~dr;Knx~3 zh%xJR=}Em)Jkzc z@bUJ^x4JBAcP07Sv6l_C;~NStVp2{H_9oXKTJDB*Kh(SxclaDWne1Rw=pK1>aO?i! zLh;8Lbt8rdEmh2~ZuTC4mHZ!0==VcA=7+?^IVCjSOl;f$r5i%pZM_3^vF8=Ot24ZN z%^vHKqX5#K_vqix4)A}g#`tBX`QqjvDh?=zrq^o7E=LQPK2SXs6y#di}nu`pl&WS9x!UlFwZEpf}Ql+GfY8yAl#@ z=^0d*(7jvp*mQ>DWa{i}w<7fjhvtElAhmZ)ZEwpOjXcTj|FMV)Abtm=A~B9bsCGS7 zREo{ts&9;uVe!y2%2DXanjN5Bc(U^F`u0;Xt|Vv&2lcKv{csU4 zp1yKO7v;V9tI@8b=UKx&O&W>x8Z|6G7(n|HuvhNsM$NI^*8;0KfkDk7n`sLwxnFn8 z96RbwHP!3Ky|Ds- zKbH3r_Lb4zALfiVV@=(mKb>Ov6hoSyUidQHZS#^QVtddxx(G{4beRy$OVa;-t=o9Be&%_31X|Ia-=VBdbclql=@h~ zp&du2!bB#NaYHcOGd@8?exn3q7?b$c3G>+yQpn{H zBlR85JqFBXF4kn5;pju*72C{pBQL9K>gHo$9z;YdXZa>6UDJai&c%YmE`>^+KyrY0 zSND`dwyRI~!i#3N-O{m#HqFwHyGt0Gm>+G8{&sEpJ5Pb>W>X2m9q6nctotl7>>D)0 zK(%Rcs_$A6wZU~{JE$KQHvn>Tm7wA%w%I?hL1H4X_qMcR9z$dnC}b({@78`_-l7+E zE`A2@e$RV&|Htr7l4zH{t`cW|5Cw$-Zb1(0<LL09vv|b#$=pRwN1fQZ=U3P(M3nlto<+n&QE?W%uJVIr25d?v!dac7rByUh zvqmyqL#1w@l{7qENrn#r1J8ywn<2nK)=)Lk?nP-8cQjnqp?u zC>8?p!edoHBrV8CH4QbW@oT#dAAzoG@51KS)zu+;=}?h|__v2^RHf(mB2#LsQTUdN z%q5|HIttn43h`$COctYWZ}ZC&M{Ex&rr~4AfHdKdaOWsWeJh9&II)O>-Oi{pr)7pM zFOxKU3wGIp8NR$0em`iXIkXP^USb9RnxrTz9scpAe9!2ug=llxR{!ynEsEBzg_*<9 zuA8*gNG#un?RPAx)h@c#o;?Gxek1&4Y4I9R{9Tq@B*3Gd!EeU4Tku}d*Jx_H2TEpt z58yv&eM|HNAD=)GbS`|nbPuUFT%l}q)Vs&GJIzl1^~*P%?IFIxFm&8!W%%!I^Y}R| zktAo`G`FM^A|+P((t%sLnY>E=c$P4)Y=HNE3z75(63B+B*`<8TELSAVjrD3D)vIz@i;L zU6;7Sdkq}sKAa(;E;uJg>D8keHB*+5eZqG3_c2P*ZqIs%VQZq0;unC+X*x>5JP`1U zHU&fyM8DW-_koEZJKXNZ|84V$)W1x3(*pcbDn|<#8=4coS4V-OvlBl>=jDAS>hG7z z`^NYIbX%SnWR(viEjy;Qmt@Dy-wubaA(>wx0S4jk*Zn?k?IHgXA5xoaIyy+6n? zaK1ba52DZer@@Q=jP&9^iRl#WM@W$z37D}Eq(;>Sq(638652&dz(W7?Vm*LC2REQ| zQ9Wnp1;EP2x~A7JQ9auut_C`GJ8p81-A$(#0fqK0%jx`5ZZwE}=MjA(Sx3R|P0^zo9u zrb(UGc4GlxO2ngWW-{)~kuNFGSZF`B2)>#uNBxG!^LvP>es{=cqpC6`Dw4~Tuu3EL z;L+E3Fh9!|M;sZ}H$++AXal2VWt_+s@exbm8DT02RPIVo1$wPQPQ}Q6Hizf?)(Hkn zg;^YQhDTytY1W0;1d$RyUcr}8Smrwy9NR6~ z<_3sG0P5T`2&}f@P+(u&cF%;$6_K(fRzJ!4P8_^bC(uHHBye$feN~a!Qfxvv|uRe`Dc9VRxrV-A!b(+MzM#(>$6#C;674< zwd26Bh(1QAEa0<;JLa8epS+xRz7O1^q%R<=#%Kmc+dR{~<}Q|*{Q)v@_pucO?yUQ4 zW6smu+BFQB_I3c2-UPwG(p?&$6EdltfvKUx?Ety&-Vo5qeZ0jP;>{iHEjZf1StN%( zDxKY)v%tEM8TVE(BHYg&_#zlBahAAk)C-?dgR6#d#$UA*#K$MZ2=OG_UA{3O0m-M} zbBn+5u{Pfm7X-qEAwanBVLGr9d-U7g1a0m$a3P3R_M98tI)%;8Xfitv-M+SRG~O&r zr$)NLBs=grJ?QDfCz|hF$aV0Gb2K9a{OnHhy>8SWy&C6m(J@?3{{B77Kl33hAeO5~ zkVbfN=r2#M6-imyTz1ij(55lEv^&M!R^{C#k5J+z;wSN9-LmLq2EB=kGa4|^Y7Rka z*+4yfZ1OH-spbaKEo-9MV+-Q;$d&gvx##52#4r`Bf4_1jYH+g zmAXB{3XjK9V?WaOOAqJ2c{%nNiMG7kms?aU%Di%UVD1{LYdZGIJZ5^moT!!|R)Hzw zw~O=-O`gx06W_uYd<-PIooxi3AD>+|zpj+`9;ltGx1i`!;TdD9w1)lFo3MIw!L!eU zpeyXC;rLnAeY<;xJ3s|)_gnQnpaS>F5=#%ogUxajqdS{E0vwK{DEuJ|D@vOso1|dqHF^Sli zw(AlwiDt|D%X3_sGrr(y7bh8QV8%j2gK~HN{I$EjLfx_^dqV=#XMg zA$VG-_m>0mql~T(|ymtyO$OGQeIN=A#MG4%fH^IZ}=Z|dw*AI{Pu{+ zmJR)il$$yTIkeehFLXXJ`w5EYiDMYc2<%y)j(^)>Km9aT1Lv1F*7*(HoZwI>KzsSRP{57M_(QKp9mkAfuG#`ki?(7Ewc=t{nR))Ui|*lMp`G_uMltC z|D_8iEid7_4{#6M9(}>B4FmU@hHLC#TY*hqw*g<@FU`52%}Qx5*OU65$VD z@R3AyWh&4SpL-bF)BzoF%|f*UMVG9@7+s#FFCV<97)lZeTQwxQIy1O*J)1%((JvF( z=XIojREH_yJ|c=^Cqq2f{B5IS{arsnK0W#{KGtk#e@Xx`o<`S?HmfJ932T2-iM*xhE&TYF+!5V(sF6TotBx z(&SvN8S3cszwQK zdQe(^oqbZj?xFj^*@+O-ttuJWFkCD~HuEey%$pcxI<(2fivimSU%#eq zg*BM_ln+ep@9~?1XFNTbkbt$x5RWG>~MRFHuIs3@PSFb9g=p|i!UXGZk zPcqbY-D)A!{wd*sQlUqSE|HF#XHjrup-HE=OrnsJmpK&Hw5zjy0@S!0F_*^)7o}(I zln~+gg!!bze7m00nw5!p{{u(%7Im6qV|9=?t>uG6lKkVeM7$8G?_& z#SFmYueTN0r8EpZCE8V6t`tKN;JfZa8u0O$)^Ez!mmlX5dGz;*+b2eYg|&{NPg-Q znnjYC)Jtn}d35-&lD1vlB5nL1ALZ5Ra zEE^4_mhmZQD@;EM$8{sUePXcIVKF5bIFB%j-Ef%4(66FP7%8#qw9*b6B z*W0S_gs(9_s06$o|Cz4uzi}{s!?-*L`C&@p97qq1i3Pbfaf}^gqlPA&KQ#_@OGZS$#*XuxB?bEWPwXlU$pfGBRqQ1Vc;-Uw0^BMNL;jAtvRP znMpf#{O%iuo&FDHHN#zm?wF9oCz;jSz)^UwcRMIQ>P5if3=5=`M{4gH6CLyk(XPe$ zPvb(=TiGf$<8$AhLt%BP`J4s=LfLsra`i`*6IH%BUyK)hV)ixl4F+wQ?rjp!)AulY zrNA?3eB_HDkPCl|IF6orfC%-z@mq5^+#;YSk+MZ8a!e0qVe@Z78Y>8j-LY z$F-HJ2#-5)RJD@}hs@QIW9*pd3uKra;SP~oB+%A-{js7|!h1=R{_M1!9OI}rh<@^e zJNp#sSUZkbU2H!r91*sDi6&W z77qbwy@)UKv+dy&6H5DvtmK7yy`P}e=KGl$wP<<0;@O4P54>ZxDMg8%Qs&c?D`q-J zO0EPfKiVCW zu>eZXc>Fts&;Af^vZc#H{+!CJaplnSjD~tcgv(;KSmQg=E2M)@C{0o;Mf}BPiq^>k+sY6at-zApi^xJL4GK^N`_QTs)qQ|XyX~ycrsS3P> zg`v4HtsI$&WX0LJzN@L>!*2zg6bkH~Z)6GX&0Y93EaQzMx^~vAy+1i(s2Ur`*ZQz- zA}U3m0Fxo-`UxId{#={4l&@;M{epX#bEuDX)!XW#w>FhFI7c~rnRxA03MDKD6JXg_ zGQ}MydTj3ye4Vq_Zfs;793pa0t4VqE=gMV{BD1FjB)rn~#^KM)`_7gs+{?x~bY`N; zJBd8NUd8b$ZeBk@t{+|*Sb7r*kxeCDX9mqN=tGc`NEJYmQLj3BrKWZe`Y3 z0r#MZ`l6;JnYSwitvRBgFP%`gb>)K3FRsr<=)LUw%zh@>yBOHi0rSVxlLL;v0(4s% z@TSB~8v)AqsnLW9@@iQU!BU$!1X$xq;R(qnihJSg54Ej`jO<3(ntK{o!Nk)R!tsfw zo(Xu2*B|8~Zt0**4QhL6O`x(y6KSE=`&vN1G-ksI- zWdUex?1mFTRnhT1Sr~+UY&^mA<8P%WGvYL%L>4IQQ0+HqJC{Z~V)Y8vE@m#YM;Iq0 zQ`C(7pRc`*BAhr|dFliyRd|&V$Ht>7iSvM~xq>#%R@FkQ*n&}2vb zl;fIPe%477DB7o4eU6Wj602OeMC1c4Ww)6ws{#si-rNuOT-OSd;`C)&>S37Y-4}ku zfBOlr_!2ryp+gZL(rj*=&^$4@;Zc8IBQYPwU5)a?TvJnoJsJ;ZrdnV+txjK;xyx&! zO}ry}uFz;>R|!R8bVdMi|Os;3~7)tE%NBSZ7{bW z9mrQWFUw*w^gMU}fE#c}r4;|1Mq7=*c*l|56gpGLSXINQZ4riXOUGvtQ*?QY&!k;1 zD6-PU#hd+2`NV!pMO~yzM~t5vde3t1;8PkW!^berR|6z&6HDg4`PJ#rnq6m$8{;&V zY8%5dx0#|vAJl4m&f0tSO6xu>XJxM!UfKZHmg*_{tI*&sH3D`Vy@M7Q;PypoGKiH}Bh)y0>qL?HgNN!2|KmrBISyudYlQ3{9)TA*U?;3rSJ9?PM z6|eOUoQD22l`TPY?B-FMvU=W4_l3umn|OLi`S!9ED@p2hGcsYo!_8R2IMX@_anrvh z8~D65Nyn}-?AytYG%^-C`i&g-r40FKh9eez@Q$bq7Xt_IPwKrfC6H0J`@73{)Wl03<$TfwMUA-xNu)6jvw*sTlqE_4T!OfkU z=i4?MpUW$QCkM$tSNW3)W_-AvWs!MH6CWrf0_9r#o+K$E&_QegGi^=;dT>XI%C){Op6{>yKY-WOp#>luREg zN)?FjMUt1kbffc=)L^g`J;KTiAYTdKjeImtM+YLzVuzjdOs1%b$yd&!~=YyG1fgW?IM6-9ws2Wdylr9@dfe!wix`Z!Nk7Yi z_uW(-h&)1;3bi-ctH`fKL9<cs(xiW-9T926+H6(+8>@S?)K^l zcgMO*QOX?zx$xp74P}_&p^pS+v2-zeZfFbSY#i19QLpK|DLruN>(Bml{Gk+>=* zzN<7MYGaq9WHn{~V|eMQIqH0LIhf8vQBsoMRYYcvQDIPDl)#jo_9@62p}00Y@lFvh5_t^^C`)9d=F(so>c zi1e+ECtePpST`zeGscQI&(pm_V;FRo&%6^cDsnHccHR(~Qa|8JYCEhr|n0-9^o1w?n!JE{U|uB+^^ zemJw~VPR~$M{Q0%h%fdC4akTA5`gsOgpdR9W=-w}H@8(*5lUGrCemh_aei_7ss!nAQcpiHGR~DG3l(JX<$859cL%bM7fBK;_u+>( zAl@h>S`-laDwf_ou_y$5pv%-yr1GnMIC6gRY;wD@@RE8-x9!-;YpSx& z#@bhwW3V_wb@iI&`9;XHYsSK?QuJ#_yJMQT6m#;ns|kX8JN&AEhp3$-LLzwbK*Y(C z!L9mfCRYMpt!tGuuCI9IIM8o1uK=rOOYj9IpqIWchot*82znN3b12c@4jRFq73OMf%IC~rS^6MpxkfW6wnpMu2SuvX9@ zCY;D~D=B)xlF*y~S6*zYLl4x*FJbn0s)v4FmVB#7NGu;fCA?E@Z$1HyO2F|YP)t!5 z&yXU3S|5)d;Ek>U%5AHSuuK*wkrOY@vVyR95dsz#ahuh%s2Ocz|9-34?1K9<3+{HE zv$(2u)@K^#9`2Njl31+M5GtLQ;max1noUIGyb;_sir1P5et&>|*%SLbzFI!IOG|3y z>1%}@C3|IO<3GRhN*%-Y_EW};K8MY@oD{*%3jza02P5^6#^RmV`c`#B7hTsn=^d1W zauuPIxJF%ul4j;ZqPG=Kn6qD8#H`ZLT}uYfJ)f#L+{dim2ifwU!NoipY8SyZxy^Y^ss@~0tV`0u1)_gy9!meQNG&xnjEsY7@;k3|64Iw?R zX4`1$4|=IqhJ^`+y|oZNfSFStS*1N5Ew*F!8K^qo4Sj-fyDK>ovsvBamj(SFS~>WS zpJ&pk<3);x;mzCPud|7&B*D4(3Qn$#nO+<)WN2Mv^$MOMNr>9`2~Lex^}DoH3Sxe& zLG0ZCsBre3W`e@aNDBsdfrf1B$VlM?%)f^=@*U;WU$!=S?RQ!qzb5ny@|BDjlztpN zl{MU7@;fXtT0L89I~O2`8109P@MB;otiwUMCky(mp2mqciO`if2_7oc5HHuSEDYhV zER5S<-Ptb_pVz)`h}3JZIOlC^#=_@M}Y?sY3=jq5O zx!=xsN302)IJDSUIA*PX#B()BmiqS%;o=J=QJ}MVdINMeXu!|vH=uo#1HBO#=#97~ zP^`7a`vhpv;anf!?><{ULG&XxUzY8zNI09}Q2;Vv-Lv~9;d;qG#SEfk76D^a4CFt7_a6;?XUrP zYlX2Y<$o%gRQ%h!|8{1E+?_8zdKe;4Ag+h!G)`SBIS(KMqd;F&S?G3ToEx{YZ=y4D zGAG8*N$7q@PychcP`#VCXH3m)DC@2$GkBmx29BZ zPt5eO=2-lh8pLaNa!O=}4F+7UKQ|3+Xzv!z`xo{DCK><5_mX%z$zHk7#fQhW;brbY zf3wGlli#&Zl~3>YU7GDS77d%!Kg#tse3^I0E}*UF8rw$&o~eC|smb2i@w()kpYom( zY~)%huE8S8{bPmmj*KJXdQcDHg>n2g-uZJ2pTN7BM<%jEMntQxBGdWh0ffL>euMY} z7wv6{hSh!tPMn}zLp$RA-7$oq7n{!8g5}Ce<@jGEG`0Vuga%z%hU8MiroJ4(KcBj| zMTH1NDNGjs1igixIBF5RpFDRH=Y+rWe49Zva@PCM?7DuE?~-06Q#%*0Nn@j$j?GsFPZ^I$ImPJCs#qwVv zQVdtzMPmnKlhqAsKS7LStrBNIVM~UL;iFFVz~G_TX5`n3OK2-^CRSHCHNWpdG3Nq@ zxT>ny)h95CH~5R5yRpus&7P~3GTnEx<17iD@AZ+R(_0Svi}f66tyg3rhYxn;ORvS+ zyIun?d*{-w;dZ#(E*F0S?G>K*3EGcBMJn#)#}~0Sl-R4-4yx-n#s(#P>DH(E5Pv(x zR9#s}S%v5q`JVOh zWzo_z58iE+f{!d;=YCY0JHgL8&D(*p3f`&@whwM6Bx#k)(+d#Ie}6HT+xiof5HIyc z2p)nO?;mi^RJ!#3~UfF!LQl+7Itp zrmrznEw4*T0-2ZF-*RQbD^D%2=0kA6dWd8LV0KaLuC}FvXV81;u+MtW0N}AnN^1#3n zRiI+yROxv0<^lF+98E~Hd!Wok@rr?gJ97u=B3nr$Nf7UVC;8-x!-n6g^AU1#rT1}P z_xbc@O`*uyXH@x2ez;usr`iS7mo;MGodu8jrXhmR$>m&q&kf-xop!LSd zcQSi=cI+;aNPGDniX!k@P-A+8x3YCkiPz_ZTa=(H+M4gR1pzrD7|wrG9ariXf! z?7<7P8n$~^2Ze@|2V!nrLr|QS|1wd6sCg3zfRvmTqXrCNX1hszP31tyCkH0p=|;2XtBdwHu|)_o z(x<7y`l|YjFUbIEzC(7MMR=+Gb$ffAZ?VZ))PAbnHNbXdG{09ztuO9_<8F1Zq4;h8)BrH|* z7+{$LJ|qcRI~;&gSH3|qDF9jL`neBpco}PRn>sxT3%V?RnhuYB7P3;5z)+M+iJh1j z3AzngPujKHr)@4v8$IA+xc^X2W1ey=xz*Je{=Ri(y4VG)Aj1*$#_>_iQD=$Dya~rN zejwugRE~N`*|)v9tg?OhJjt%5 zzIyFR$k_I5IUGNiK6fj+;{i6wX{FDgoc8^;Z>y5^^iw$9GOs4#}Xb)+nfiW{2|m#4H4LQ5)3qveFUJ9bdWn`_6X(@6Vd-3K^Nw@ERj%8VPc5>r&vkHCLkRX6V;M_Mi~bTC{r*%6S&5CNsa{Q z5wCJ3P8K|V1MvPU6fLP;u7(e=asBu6!si^YSty9=82oNRTjt$P{N7;Ovh*XFJ&3bc z)Up)H99}7j{tpN#fSC42AjAtyt=|#^f0aPE^*he}*L#-!a@^`NK0|K=h?@kGnC+a& zZKKZ{IvsL%AWv$p%Q_iP4yi-E^6A;w@UdRXVf<+Uij12L`bNRz-voj`M*4`qQ837o zv$nvW-U+}{k^wn3!~HCybAXZd+6PDX4KJRiYKFom_H?c)7Z`opa=KY%ueX*Kq+HR{6 zL%@PZtl^~Np3#1pNN`Mv&}?YGCrAYy3{SHJA8)OyBAEdSHrL-dm>z)XZaQGPn*x~b zc7oxhNCH5`p72Y>Zi)F5MDq9Dy5SNx_(oKKTt5qp)cRNVQ?gt;#56LLwl-fKA{cTK zDR7V>&BhUp?7pRm(aR7GSZ|C2=CVe51At$i#+*t7b*Z9%?6X$^1q65Q$j*|No=*iL+S&-PmR&Sj9rlIEw8O)^zZl}(omFB~zD*Z%iWB;kL6Dls0*B*X-d zB%wij@GWW2Mb@wHRn9!qXRD)f7*8hjL*~o2VRGo3jU`UwR2pqmJUfqmm@mL3C#^f- zAs3G#+0pN>nMKBwsKQTh1F6f`gYUi3JkMeUf%gxmd%i~?W(uU4x z+$+^;)ss~k%ypH}&xX=D1|_}{%~P$A4ymt#Cx0^hFD z2=iwD(judcEK3T0gpe@UzyEH*qQPhCh8F>*Sf0Ge1;nT*F;#$O&{syvgtjuL%QLv? zPV%T12u{XOuUft%V+p>K-f4pa>lI@c%_7nJ)T%z}E<GhpP92SG5sI!{mA<<2s@6pxzkPcuAY%??dg znX9rF{Isz|cVrny6rj;>o26`*;WJNdy^Tk%(y4-gxV@ZWm9)Ec+J0GMb-y+!4vU=z zkPGpSj^y>YR3lb_ahTit7QX9Ea(VEL9FIGPS;CrH`zx^@GK;8aBkER$A>ha4 zMdE6EvNmH<5sXnOrqiI=uA9mK%n-I^v6nV^`0;>=K08Ry}P&YD)AC#2XT<=8L6J-GA<%PwOk~6`8WawRG5rHOLUB=vu zgjFV7AsIYVbn&(fA?zs%5_+HzUp>7;MXrLc)GGNEyDR9;eMPsl$15!m!%+vJ7GV<^ zgX+N39_@T(cG;ho27F&7>FRv9U?t#|5eqQOH zKlrB4t7Cg^cPFhh$2uIjcSC!>Y^6c)k>{jP} z%MiJtL?!^+?hkp>{)KfW{qp(G8OMV516n)JNnw6c^T4{F~tLI5sUw@?+q?vanQDkos7 zp9~hd%j{Y$TZS-N%E@i@tek_lzA|6k0!o9FG6BH0+7+O*5i$QNRQ+py0T4^+D=^$} zY@mF&4luH4Db;_Ia14*|6-wsPkx6FTkJdsXYEjtYfe%QfcJ&9igfAI?x!yKvMB3f(s?rW zk5w+Kt5jU5sqdYK`fu7U5ZkkN%2bmCAVzrAOip<0z-(-xlGo24sPk`E?pgkPL+}}N z6dxo@KN)&yWc$KId?$mVwYv7!vp#G0BwjEpsD%3!p>=w1)@oY##X%@{v*GHKlC95+ zfD>M}?S6@u9a3iK{utp+5ML)rG6BaXp^NF%awaa?y5rgqc^Z_zb(qVtI1)IoXBA=o zE}kw`LhgPjTSQvQ(MZG_CA=sE$BIeS!d4xJghl!e&gYLIwTlR~F`C8UH;>mgW8A*z zs16`)GnmNaM!1WU;xALIc>sjUM#0)W1-A^ohV;Bq!6vZ`j)U?kH^p@mv*^v3K(U`U zfQoVnc@J%CZAwPO=dKyQl$kEdi0-vd9T#J4Kn3KyW zjPwnUbBEE5rl~5-{+N;HE@WI^Vr6F_TISg(w^L-^aGnHv+DG2lPgJg1XJ4?T>h%0R zCYjQsbfe;h%e)E=wkZ!0V5c{Sxs?g*BmptH(RlfsX2Gr@LMOI}nhCD?)1TKH&-v8d z&(CZA^T6i1Uw_zAsly2gg^@;#MPc z2;2j{s%BF0!0WjPzZ~O!D6JTNmM7DA{CLWRe&=NMw2dd_S-gtW;G4ok73yk%)eW`` z?~MjHBTS+ar8@|T9XTaH9rp2eH$TgAX>b*zH>(~C%6R;9t$s$7{&~7dM$&c7_=%_w zU*~##10dN$FqSfFe>R=*7}+!ef4@LoSKE~FyBn1^WF{GUvBBp;nl!x06U+T08|hh49^yb9E^$mEV#nXsGn18sueh4$ZF67tGfbUKz_j5!000b$Yyj~ z6ZI;M!-)>Sdk4ObG4>CTOa^d;j%Xn8tRBNV@PN#F6Ue-CfXw^KL-&7ZI16GOO+_|a zFROxUZ2@3%c(d8c)8JfXL<{6aLtV(e*_wOi1~|=k@K8AJ?FLYHzrG9a1~b~VT1Pm; z0n~b`yGo&*v(H*DD{KXK@!q+71@X2qL84^rDbN2T91Mb;Et5=JcU)M6i-dY`?YSy= zN^6r;$$r%b@o9sZ*VaULAGi0dWZwLFjf-;3%M2;KS2^ZuDcqmyB~3-M)v zMYw=x|RCB!te-ij9jOz#+RsE<-{PQS}HsYLd$u!CB1SA zFRO2BrVt&}ohUAyR{+QjqEJ3wZWL`FBCiW2%-tC0k>Y8-$|0ISuR1zfa7QctW~$R! z9PxrVCU$d?(F}O#)sjBu_7*TvN1m170u%+J>Vqah1FtI63)4i#V5*1mpCMw`>vzBN@37r}5sN|ZUQ+=mbe0>$}&?4p8J z=)#8-5cA+?sn5n?IpT_=r9~{*fx1(Hii%36b`_z`2WYishG_K3kyG6;korSZf%0N;2bMu%?C@a`7I|$3HyQBBEiqc>7wm9egFcWi+b$=po`)M;5-R&n z)NFh?%DdjU*lbhetFv^*tjnFY&Ubla-k0Tl_~dWW=W1|~GJ(28%&4^{kg}L)Z%Ak) z0oYdynX`_%P1Wt=jUrdylKBfMa6*i)Uc>~kYPtP<8+*xNm9QY!mXH)}|AjrgTB=L+ zQ9_gF7e(1&JO|H`@%P2=3;l7m{PE0GUkcdv)t9girbgt>=_Z-+lw|-Nem}a;Z;OYd z%N$vfmSqF3N6#;EiSaHK+ydgHBP)o|ZUyNtR(Rr$r%Py4rdx||q%68raL{E5z^JM8{f1RnmAqn zke5@PT(-N{bWrulLz-6&K`IC!!IH0_D}9LnSrX7z3^L*QAIoC@U6t#1yY*5)Qf^Dr ze&%LDuBl{^W1-Q2E!R_750yJboXV`C>@+tk;mcG&v*V`^iv0>b)^<+m&kG&tm^9@Y zW36e9TbZ%BPeobhSy5$gt1s&A)Eg&tOH` zC7Bz~JlF3{@A!4d<97=e0Cw`Pi`2K9B3&{ejUn%AoC)mwXi;Qn*R#%@H;MbTx7~&N z-@nDR!sjbiV!qn{Q5*vknyk^U(_n(GTz2X4sVCj&;i7hUl@PQ3^pj)~*5^0MG}uvG zxj<$I$;G1u5kTfL#H@l;8!#0EN5;A+;z3~Sq*UMDz>aZEu517-T#*Ygz_m=80k0BM^_K*$0 zDs5v!N@!(eV$ScF%jq)0YZhUiX%zoAuC&(Mp;}l9|LoXoU8=N{PZ*ses3k!?U1eq* zDA~@)U%$3=QXbvCfNNs3(y8^$Lp7Sjz7a-bZ|~zstBk|W)Ciq(bpMJ+Pak!w|R*CjwZ!jTyTP-ct&o@=>@Qn`PT^@ zjaE2;Uoc{Th~p=CaIKI<6bY9fZB{6#iE1jCS)37h!1cb<-&fI_$;C+b-z7-@ny~@q zP!xaTEW8jH>s91x8x=HuZvk=&NT>j%0Zdt|QY>lofs;g?wbV_i?Y3@`8@;RZG78nH znu$F;GP)sJo}udJIA-k%ulhC9F`|9cTYA4w;XjRsu#D*otv?DkqhO_tVi6tczV*D( z12Oq+wila%tEKCeR}_}R;~s%A$;pcDN4J0k4zi@C(Fu3D#G8dx97l5vO;_o}@9)3d zv=Wa(!2gsV{x*C{>3jJA3rI)-nYU_apJ5;z&rKjQOwG__K>7|;#Kw>v{%WO>^RJ%* z_HWF1OQ^X*bmxWq4+Rf5$mP~PqfdH6HF>Mc+D&ND-CRy?Vm-Q zfhVr50Wvci(qmmd9|eb7ABp)qLMKBnE>=rvO{!+t3sN6200B1}Z^f>ntKvKXzAI%9 zaJTi1aS@bz9D&rI_#5Kn!>D{1Md&>wiG7QB9Ub?ZD{AEKF6aarV7iDZdlhMZDwWw1 zK8rLMo>4GH0(v!SwyUi8$RbCUGUvoLpQiJ)k%&n;9=A^K^|6n84%UerxiTJ5iwoTz0OXfl5rVkQ$mu+}b_s46W8SSZ>ua)^P#+cW55_`JY- zQ_3z0PakNLV2EPiX;WD*ZEHnDv|i2`&Z@dmT{M{9x7NsJWyur%Mwjhs_I~c|<517Y zWtF~{Cq1&FWv1dHt||)}$-vfscoG0>iSXRj5qTu4 z8`d*jesX&x$=)u6NV1-dS*nrD09i~Ebt6ucqeGW18`pD$H$iM7r2yCSSb5ivcx&UR zd#1MhiI=#?=dn+g%r_IYs|HKO(~A>xNdqo>=rfykg(8>cZ^{s&tvXerz*1TAR++Y7 zaqHKIeRb?ko7Rq5OHN;w@TV)rkp`B*+4x+aFy7bfdxoAwuRee4tf*>ZSX==XYY&Eh zN!AQo*}j*-o@9em-} zGO1_7dbLeYaULc>xwDQc-!a@?%PBf|F_i_=M5Y~hN&Nixu2^PN~$EL)fYanxH1OIGGU@i5Go$iRAF`Kam61U%_01}@c~ zm-O05buO_IUQjH6C}ZC>N-XhZTX3HmEwQ#*fmDFm;Itr0Z=Q2+jl#o@_%^o9`3-3Cjr&Y^t~_K~*xvY`z>LHQXO;YW*WqASHcsks@^ec*$6-Yp3Mx~@j{)5TN$3#HgoI&?+r#@?mC;R*Gy z_t9~Y({W}E^j)WRqC>Cs-Eew3nMfqTrp!E!Im3uhk-~k`PtQ1hmbYGYRSE$Pg~uut zZSZD}_yPJmeS(Kp*C3imz+Cth-~s2ryeggM^3*-QMh3JBw|BmBXAZWs1b^DW>(014ih1EkW~ajW zQm%e>-uSC|%8kx6(smmBXM7f~OB&R1q37jVgfOqfcw-r$6lKJC6B7GkaCwNy^V9To z^u;$6EtgW4G|d#|F?|wknkINlD{|CM@5!rRMgB4QLBqS`k^Q3}isZppF5v~+l-?0M z!kG|f2w80hQn~7(19n8vRP6aw`|Hm_$hh?~IzO=2d7=nU*OKLcDUsLl<$$1;f-C(! z1%5B{K$-^`PXQuUQ&S8;#EMh-$uWaNwZLh`NLCm0B9wUg5mA3$E>FasE$FpDz?s&| zi1MZEfHEZ7=tr`vR=C4f$5P44Mz%b$#>Gz6c|?XqpwyvLk9=`)qV;Q8UETjn_Y>M? zB9SD!fW__@VeGHUf=+!L-WgM{;>E^{-BGrp!T%-2Xv>2ZSiZ^+cB9NG(zq%p%U?>8 zFnVZ)V}7QY^s2x~&ed@Ej4m)Hr>3HzpI;f+IrtbGUK3oCBwr{#>5Lr!q&`eXi^dSX zl9=lyppx{Hr0CyD`2CVPx%qQJtr)FMZL(pNc%bejnaz6mJSOdxa&NKlxYfPzdkf&P z!qUg0b_T^x>ALQ2uaWL9AJS=2&4x!NHESZ|YB$bf=jEcsXP`4Q*>n6&t8Zvr#b~fu zV*!y87nFW5qJn$|fGsv&ePUj;YL3*=ues$h}>Jr*fhe}_2wk{yn)@t<@1H!Ff@c;d;OFbz{2>RRmx{KH`Z0v z`h-uf)YUzAO4Pqq5+4u;rH|n%8@r}OXBke!+!?1btv~`0O%i;lzzY<2>`37g>EvuO$oVJbN4$?G^!T$s$-9cg zG2zbw9s){;8DlwXfN_%cSkCbVw~GQ9uJU)q8-?^hAjZ=E4mJz?^1b~@T-b>(xah3G z&p2eD=u#Yieo=qt$^amS?n-q0kKu<)#&qJ+;vpQkBil)Fl8+ZZubAM02-`X3jCaH$veGI;kq9-iBRJtVwqS8 zUQe-oIppH@7;th{2ArHh0zktIS65dTzqpw5C#}xunZ9>0=wU;fP(6jM;M%!&%mOizNd)DJmYQ|8And;H^T9>}xF#Xa z<|n?+7`;O^LQafJQsBciYEc=ksE=Yac%wGu)&ZSjZsIO&pTrnV-nwqO=lEfYfqKZ- zEhb_~_B@mONQcI_)c9|qF~6N_4ZZ6 z>(aomhs6*7t~SqKpZ7lskP$R}<&-l+poH7 z9%9C!Z+4ZQ!3bl`vJ{jS3R+!iZSfXuEC`*mu?FOjVt@Zn=L`E{o@ ze5>)WvG{MO5dN!l@PDVQ8$4<5Mb1@NM_TW(Z_I_b28nFQ-2UH@$m5DZm$~6)zWwwc zw|W9m^dCxa+mvh7)yx6{Ni zbksJ(6P_TTJF4wc-tb(DF(pFJ+5Y#H<{VA7cG zc1+3FZPz;TqP07+kGuRfiB|__J|F+Nfb-8n&cFHm-w>esZ!n&^^Oc42t%|$d_l_#h z_+G3JOKeKPLk=tdm$09vx|d3@>zk^O^RkUgNGj<%*ecnF(}{t2nl-Y=o1cT;-+sb= z$WPq%%&0Xa&$ba}>an*rHHlQJ=RIdqX#-sCu;P^1R{W(n!%A|uDH~f8tcz?9&nNX` z^18?zxmrau``^g2GDqDSGWyGn+TY!HkccCb-tBqp5L`WZ(T2jFYb`5Mx-9cNy{i*- z3jMB2rdfQW7!ZNya=yMJ#d-~w1j?!1g&9TL+&X{QJ?LEf$ILc-)wHr_;?b-vFxjAgz_klrAqdor?o^-5q>1QQ^;!x zZeGmJ1%e&E3f=C`9*Y0q@l_?!vhk<=GbKoQ`=4 zXdi%=V*?HN1tO*?ALd_qXE<3QW7#~d@q@uw?A??Im^)2+kJ(q z9)&X|JM~d^&H-r`k{3Z8LntcMmYDms!EoOE)OW(O>X|keS!Y4(Sq2+yV>O`U z__jv1WWYoKJW;{xkU7v8w%83Bl_ZK2t~UDzt@o9qwUo% zMNZ#Umd*I)Th<1RpcF8E4rS?;@Qr^jmwX=>K z1fAITFdcFU^Kw8x;Z*bn+}@LR_37J_R`eJQKw-2W3GwKn@X%UNcJGpvlkQF*JpX z1Lg(jB<;qQ@GHw^M&1@L^6gKnEJ-Hcn`r=i6zio=S7T!G=Kf*p?8@v9*e~Hxk1xag z0#V5nU$)Jcd7CV+-lsU$1qofgKQedo$f-?tapq$+FHO}H;Mx-<8F@B|j?V2Ygz2ND z@}Xf|YKF8tT24<-zjRBK6*DXtQ-Yl6{MlBc)CFW2q(gI|k4$+5WytNsV>Oz&F(y93 zi{-OHVI~koq;&`;dhdX2+sHbzssGYB+}Z3%c-3=$3S0E+u(*YuNViN#EB#nAY4J`5 z{iuUGkDEemymoc#7|-a!(>S(AK?qR)7YfcVfkc281V&|fpn?=e1E@}$0ny>D_x|w3 z{)$ACGlH3HR$f!^mGGP0mM9XbYFWmFPd2SYQ*T4$Q4b1=Ze5>Oqa1f<{hFMJ#V1PO z_-MP0>%*Q|gUOAS>gm%|C`QX~RIb@lq_Dn!pFZM*fop(AC=-N^@6EUqvP@=Mn^IGQ zu5U{j(GY&-AkUX5+@F8gl?AfK%a~}&wV!OILT97ejK{HS$|7iW_KPBi!u2{LmMqe+ zPO?YYDuaJ;qAQ5U?S){hhoDo+KT?$tv*t33t@Ii01CB)4Tc+B8Lf2MS(E(Dpk zvG}u3{0b>79mae529vuEpL&yB+*ZQT|FCN;2hp&R6aiht-{SL5|Fysl?P(qouO~5s zdsMqq?{nfP4O=a-qfkG#CG zM=u5yf`?Oh*@YB{n)5fGuj}-YC@OQ7bmpewlK`YA(35+nA}<0a`$a|LK&~#y`zzpJZ{fDpOZfXYwq$lgE9vseksEPS&TB~^ zvo6PgdKsD%(Tx`DWo~begDyvVBP4HzgCwbRDxnNds!_KGl+6mjOi=~Uv`cugY2tbO z^-AJ3nT>*LHI47He-k3XGPb3x9>6xX7axiBaa*s{?$23|pnOs1>BG-%MX&(Q%(BLvWD zjcX^3ZTrN}4df^_nQDv@-q8ZKa{8aV!9X^E(FY#1vpKTr-aCZZx$`2<;dv z>%-zTR(y`1g7wU$K^GH`2%rVM-ce2V_7yPk>z*--`He-mlbp-CnF@HmaKO^vRNPvs z1W;_|DzSCkH#dBhK32yHWyh;ENY)aN9!G8Z_1l9aN6=X<}>^w}C&e88+LY zUw+*+lZJ3`p$U}hMS5Obx8xPC?QI^ZPzTPn6Pr-dI<{4FrWRSUEk6q6WQ7^UX+o(z zWKw%`puQHEpOvk*@d)d1=v)Oc6BE+ULluVz&wO}wi`Oy4_+Fox8b zn}U~!>^7fWCBsN93+AQL_Zy0d7`fi}4pF81JhTEU-eSTz7OgO^kwn2yc?vdhC0rfV6c-xr)ost%t%ZjTBow6JkQ`6_a6 zoMmG3e!649dlgy%rWVA3riLiC(9dJ6O{0a(C6k+5JRTzyi?(&VfeK+qJybDG_t%uLd zcJi;0vOyyRerVFl3!h{C1EgY9>&I`eFRuR%amgdSwR_+(q&=k+w(|H$VN;qW>3bkv zyA<)m8(fj>?Rqm+wh%`4@z>*v`!gylI}^!`$4^}9kENRap%w94c+Rs&W`0S$u&Dyf z0oYBNjBNK3RTd{Aa-F$--Av&k5l%2{pe`Fa^Bm$juez)h;J(Exz}ibO$`N1~z3^jD z5xD1qShL$HGJ(h8^*lmgvTZ$)7ikJaBjFXVy-4UeYre1-Zf$ePZ?-q$mZjA}#53B6 zazdhM##FLVb!)2X#|(ew@x!4etNX82Eaw|Sp5N@X11%tsRsf2dXd)x; z7m8aUj^i~-_!o-%t0fEUjvNlDE~1SPZLDWF`)o=m^}91ipt<8p$(CdTo{nQ39-$P8 z(0T?r$__pD1PSikV}B8^QXT))-*lVKka4%0?6#w$0n)&gA>b>PKRxYP8_CZ`NC+a_ z_mM*NyWx(x*P^dqV$Ef`s;fw;2x5i*oA@!Z9RrgdeY6f>+o4 z@Rzy%0~ufOtRm$^%4c~a6g9h|gyH_^J`FqAU99x2Qxk!97aj>O(>d#mJV#C4#o93v z34yVf8z&!|_~?(n!n>)eL9zI};i9aaBC}Wyd8yo%*sF_*9E#jEIO5rdSwilH#@yUTj`Qmij>r1B;CserBhGU2DLQzKYYWYL#QAE8olieB0V9WGzLu*Tt zv`I@{MEQCw^0ugM1KZLKKmWF-!Oa?BcN6tq9I?=^G8dL#H~bC}EChY}r!jc3T?!!jZ8fETc&>RGe|m8a+mb7kp(u8n zvP!C$duPLhJr$pkW(pLKI0}fJ8rRm0*H=!sE#!{i(NeHN2N~9U+m#-JG)&J9yt{?k z(0FkBmVb;u@N1QOy1L0>#nrdjU3-cOjkfg27Qh4R#=ZW^+Jl42y1JUTt*q|p>X3E$ zW3l0PAwG|L3=}#`DmhZ*WLYW3m!XK;@}Zu?5@lAKyWb=O z?>k1EzrNjbm;4*;^zwGmL(!(zM2HB~2$hn*be_>ae6VJx^>Q+LwT*CxN`9tOFL?9y zjoh&BlV{5mhVO zTP7=Nx%;;xdzoLEvzCjGrsIED?-NB_3aUL9veRBmqxG52Pj5;r{1o=J>tR+*R@@uB z2PL*ir!)DPxO)-rjBTf2PCDhwJAqgQ{d;~v>z*66!6{rBD3XX{5)#wA{7U$#Pe{Zw z^1j$>ZDl;pT#uXaPf&Fs9s_x`V?xS#^$vTH2{~3@MzH)x7!&Fy><@j^l?E1u7rnlp za4S`xwhq5++1)!!r?{)Ds-`%(e+Y=$I; z7z3UNxBj!zTzqIctK>_RI{0Z@*IK#leeo*$*4K@k>ruq@q@&1?jDs@nz=NJI5tW*% z=FXbXVd$1_AN$x~jk>et=HdR!PsLl_!L=m??Y?IB5+^O^=@Pi=y_fv$2C6;@NZiNgoxP{l_2lK(_Y8a$!?HLa zw8k&8c6-|?ZWVy+yKSQD+D6z4CQ!jgQM48m8OsOlci)AK<+>E^7KarVi(<*rmY%-IRMl1HKwWKUUM$LuR8$w|AEqL8 z%T0UEou^5YWM~QFCLoVVzv|F%l!{Jbb2Ay@ByC+bfR#5>;LuN2VD8hlUETUc_Gr6+ z0Lm+xH}!i)@L!^zcxbM_Jk872WQ}7W=E5vzhm*HT)!cs&8`e#3+Ef`TG@^ejmInqf|Ef z_1Kq5ag`nja48^d6y+W1~6Pr3HS11l)z985%GGJE@#(m)Ti{8IB9Of?T`-2u5E zO8-EcA2GzU5uDqAsA@92dtO;=?ev79-&lr^Jz@%|#r^eg-();SnO3ek6Yellk6tsN zcJv1#bvxv~o_@YbCGh6pJ{Qz0;!r4dqFQajn{1v}ck#+myq zO%cldso@e7Y@nO`t{ONxG8EdYou(3KaPd|v)8vWoqo>iDVFrj);rcisC~Mf`0DrL) z)?m>slY`&i9e|ZY!qILlvl`Sp{O#<@G{|wq^S|{niOP^c zE4qRC8kN0lAcb zuFlLX3vfttb1n$D->(=EO_%GSc&!`IKEhh&3IBaQ{hQ#ChB1IsLVK4oBM2858)`3~ z*XF2>k==|#cU5scooshaJ(dT?->Dt2_i%P37oRbC zn%gVjF;kvZBzpOS?a0LX@7(7h7~S`B=2~=!bD8a!0`6H@4UM)$;j^+X;yZYnog0cY z?evUx@OQP|6>mM}RokcZ&XO3J0u&}g{o2=b{L|>Hw741ojH?{-k zJ%kL(*_^8|YhAZza@Km(Rd~MiN~5^7hRBupxnnk!E&ZcCX| zJo~|?nbm9%ahpgCx;`;M1{kMS@;g{-L zf}utcMI~6e`T9JX#x(iZq!=<$JthyD_m7%MQJE_YCnG@^lM+L_#py+>`ce0u41slHv%{`{iOL=? zJkf5CnSi8~q=5Xm0o6&s`F0wt{)QthOyS*aQ|@nRA;3ZPate}OT+Q6V*K4|HSy zM*1Tr@#wwZ{qHb{XDdMC<~`o$Oy z6rz>6Zn|(=+~Y^psN)Ca$*-?0m8+WjSjpofB4Y5K{e=IXVnzQp-YjAu7s#9iL=0f8 z`3#QS}mihie0igw}`8b?6(wB=>ldnm?K;mk54vD&65S_Lp#Ee%x-Vf{y!k9NoU$hqBiYhPVbM+lF#_q_3*qWD$I0x&|XA{tN{4Fp4cguXjvU4aL8Dn4DXpOmNDl#T{wYcS-n6Nh6TcZj)XkHclvKl8_ zYOk7Zy=-SkNwD0a4@Qp74S1LfN7IhDIBzs~hAFFZFiVip_%OOeOqu+hxaL(GT?)ePjHmRZkhg%$!YWO|Cz%8Mr3hM@Z!gp*hCi5=Sox z(m8n6ya4N#7rFi2MyzR{aT!#;baK!yP3kU2co0Y!0*1I0E4TgwF4Z`u`wv{o{1OO@ zEoBgBRYx-EiWp_YFUj0heqb3{ZZOR23aG`#o!Y;D^*&3x3*mn!JK$w(?2x6&+z#zos3hmmvI$tNcI@Of?`C~-%HXH$ z>iYK}mh>NSqXo!|$&t|G*+^AA^)`u8gFLXj#5PA;Zt3Lg zisjv%Rln%AA00?&DJupf3-ZM4;U!1?9JT2uVN*JBFUyS$7kEteFFu;GP9F3C%JtCr zXUJX3VadV`(h;7oJ*s>>ha8evk#kmgwJ4_?$kG-5#=@w~UW-Zd#~deCc@d`%5t}x; zJWh?2bw+bKFBeMORTNE)^x>53kQnGE(hxYWB)fYtAz=6vy6CDDuI|N0gvD zHch58c^pQV;hnEV6*JHP4<_O*qx>RgxHF_McZk#Ymf=&w7@&B@`Gyb5>MS;7V=JB} z&fO~1kjeWQd>(KYHav9KN7Eaq3^f$(?w;&D=@IwLE1jO5nKqi~HxJ`@+xoIp*Gtke zY=4aqD>lO}f{}KD9UWO@vQWs#B=E%R&RoH8G7Ek)b{cm4h=(?ZTZh)0!pYdCni|-E zg5x85$89A zOFWxXEiJp5vb98`kkC>Fz|!=i`#g7{_JT$VcGWvvw|hi=t608V`YXhtW!owHp}ZlN z^<8eWCL=96-Sh!+tn6&7F4X(DwmagiDjv)Bs$9C_PMPix{Pan2Lf^v3;~=DCqB|P1 z9WN8C#vJXM*k35ThX7mS>X$At{!3c4iM; zm=xVhvMlntk!JniNznL`%QrpWll%gaZjSPt$<`4|Gt0XpG_{Idzz#vu@DGrEKTliX zFt83ZYvs?`c^8Dp%WW7LEnJmDxa-woYlm<5oxk5o(--heNk52?G|o3Pcmg3hzGJNB zZQbQ*^PRMEoUl6B$~VmIs5>rfxkrbyTmIs~*w02p*^b4cFKm!+A}ectC3oc@*VBn} z($^tX6#|#?0+Impx$e^)CQ{>RzBjC6iYbS2G=V|f1)x_9L)B~`S>iV&PwewlSEI2_ z?5baqmf9r_-Q|^wk}&8DTI&(JN0Wm)8;7x8H~3DooKDr1!4#+_pfOse=S6Dn>$Ixr zbr>F#Z4Ud4PZQR2D^gV$H0L$}kc{+YrXk)^BdL(ry@P?a&$Z@Dc*mNYY4%0GNGIfZ zjcSMGelUWlZ|&9WChjkiJ9-Fp>15NiYifn7Z!J7hsM(`vs_U9Hc^>O)R_2@8xxhAN zIeJ1^8uL{7#|A&KS&U1M_#2m*a{Kmj3}m@PR)3&QHGKLezY0DpxPYIjzbM=U-qrXn z=|N3ycaD+mnf!rG6ZrsFaZDBk2=_e7)vNbew1J6VZ?C?1dGhkep0ZKDgz}n5r5xg> zwR21ScvNSe)u)C%%J}ufwEn&pLC0A~h?O1b=6XaNtZFRTHUD^24LIcEE_r9`Jlx(>^c+zRP75Ox&R%9x^*Ws*A@08E0$}2-VOLPPzP^%$T z;$$oZ>DqF>*Oo+MW;fxJ5|B+wDBBG*0IB_3ILCOQ{`)<*K97iw21@ zK}RMR1ttMW0NOH^`--GY)NrTu!MJ;o&^+&=%IC3th0QqLr-uWaf#eAw&WJegP0))+ zfbMps^XkKjJI1Xgg0FAi#^WGkPwd4Log=}^v@5rJY{zfQR%UTv-)A~fp7f*DLDC{e zIPTfdgS=lyDw-g9yGlNfB6!?Go8_c)EXg{ZoWRPL4>|7;bw6*IZ=$zw$(V3;bk;=S z4pK_f)<<%iq3cLNT0XFYoa(C@yM8-O39sfBN8N=(7@6VtreBVq2d`qnFJW zGjp|D_AMDncKa80YDG1+u09HW#;%9QRnE)aCMC7U)pH&b`z^U>{jSVeM~be*H;-#t zpBi+3d3^GadhcGn6xJ#Q(_5$YmYT8SGO|6&PL;5S&b;5aXmWLFBC)<=H;F8X^^xsd z2v-)P<#|N3IegqayvR*%h4sWf*?4F9qWzwZxeWBA1ZhiV>&Fwg`MgG9%!voLrbDUb z=>y4+ABjaf`B51Q8`;B|RdJphO|y<`}%dDvoWD=ZEC61oit<)m~zZ>bz}c;q+1 zGe7xb4&js3uZxqRa<4k-|FHMgVQqe2zGx^=N^y!7Yl{_kw^E81mjFRZfkJR6#nJ+W z;#QzYp~Wpw+@ZL;6@t6F1WV8R{msmM<~wuFJu~+{^W6K~JAVmvyx zC`Pr~@ydoQADmb(FCP~#c1L{~$q+{+CWbFu;@ui`P}JqcfvjdoP+r>vpKtWxyKFfgD@(+u|d!2C*g);d*=vY2N|7^ z;mTB+)w%vOUh|$O=l2?CY8A5IR!2ij?-o_B9CD(cWf!znzHO$O5wTlr*oJfICo1y& z0Z~$-b9io(5i-QUaS^a*0uBIfH$;2s28$PeKqCIPX{**$U5maO6*9|Um<0O6AJCPM z2=H%4{!fAa_zK5F!xX3VxO431gxl>$4{@KE8xR)T0>qE}9;)2{D!7R;9qQ7UMlRB{vxXwlvQ)>hK3|6*-5f0(8}zoxAQ71RV2KI#(; z_2kG{YjGl?y*rLB0|glf7n&BL%`faiB07dQm9Dg983FBaEfWIQ=`?1Yl=OwfTkby~ zf$&G#X@#fS$Xhml%A^gzcj42SD5pQOZF#qgo10W~2B{iXN5jm5;yb2m=kO1_pnZrb zN3ayD#_|0o>cMg7f_Cs$gGj<{f5aWODj;{cf;2j&JD7}4^^Hz35apy4uQHIy#e6?E?#4p zlU`F}OFhL;BatL1&0a)r0QuJ_NSr7uEg{>qzW>u>=E17m3Ui0t?ZngUaY67i3s22p zJ*`Ryli#e8$42R5Mk*lj3QvX)fFwAF)qT8#%jS8bbQOR_G2{07Lb+@h|A&6aPA zb^oV~qyHl0xp7Gj(9h9mU%bEuz9naVpMd_^j@gOst<)dT8xx?VrTAMDW9je=P7Leo zKyT~lsD9-_ZyDrN1tpWi>rcbu44j9UcaTMeCHkvqX249ye&eRh?y&_@>BPC&p)z$j zlISv-oBL}i(`Q#+l8VXyH2>;fbFu!Pd2GGwJ@oCzY))5^7kQE||#z>q& zrVyM5-^;czip{D~;byC^tI@6F5VRRj7LnJp^kc|bIJ>O=*F=5oQf!d>j!=%25pWE^vtwSOHzA^({C=K+m5PyIsu@1xzAr-^YqJA zFs&v3RR99&PgYjh60X&pxCx$I8BT)dm-jLmVrzUUq!4w@=6J7^`irK9-tPN%Lfi2+ zXH^e^%g+Z^(?V3=tUk}>Q(TN;#=QC9`&st@$>{XuKD(v42^X0rhCwsaQFZi+S;Zfa zgW+A?-c4iaLcPO$#NC*{rJ|Y2Y-Y(f~QRY;%1*Nw@ z2-r=@pw$4fP3I_pnQmxZJi`HcQ3Wli`u*owyQtEu8=k)uy}!b3E#RAHEk~?qYs!B! z@ZZF4lN>TXI8ca@0Z#Y8Wr+4)JKds_(14QEzzTpK`&*n>{QKMA&7L~{CLvd)8mWK4 zVYB8!CL3i6eZZvnm?%Y=kdQ>yVg%EmWrou9`PHqNxdVNoSh#{UCEioXkor|S{v#|o zSB`tgY-z)YYcvPizyA;D9(;pg_Uq!+-9J7Vu@3BMsD8A9%su#TTa7yrQ?Q=qyZ>6S+%cE~7?UzUHqTe5_7sNh8-05s4CJu0oACqlyU}Ix zHB?=jL$16aUlqVm=VEo#MfU6_SpR0yjq{W1EZe*GkdI1h~|;Mz5IF&^5wyeOiJ@R zDbG#OoK(&7faUM$2Mc0jJW^Tjb$O#j7i7hd=>p?I#&LUQ73ou)qnh$wPEz0N16HUV z!VXD`0s!I$t;Is!ZfK*SOZIlW%?ZjCx)#wi5J&x*D~*hgd@aH{embmH+s)H~M|*n& z_`#h5phKcE0b5&2z2l2g?2f74WsfMDP_EM_wKRQv+U;1!F)oX<4R$6FjapSR4$bo9 z64$4E1KBDHUiO=5Z_c&@jr804!YiQHc1}9R3WV--sTxgHHKpgHN%@5tvz`o2H$+GW ze`MsM^(lCuyJT)7CuL^=_ifbsl_+IplA>Dd9%@mX5)jMJwIqw=HdWO}T6%kj2%d!5 zz8$|9i|Y!O76potD+5yX-Bs(F=kK1&%3me$!abwQg^)A1M+dX$dCUGOT1TFIj7;;IXfZ0 zf;vYgmEvnxaz1vnAqDYHW4vaIPxf|DN!n~?H3b#;_R3AXjE)G_(t z=RG0nDhb`H^x5qH*A_FCey{2P=AxNfph zi2Nd#YqgGuUu`Xbk%q~trbv8wu3t^f zmu9v}pVF-QCAf^H`?XC8v#t7t6A`v&qh{xdvhwd2!V6J1;D8l7%cJ^kf{w(i7-NEU zssi%>SdZx8KA1u8*gvb-_U9JfcRUH|sYQ>auM#qe#f$E%)V8BbR@9<(ifZS`YXHJC z)1}VsGVbR&7FpCol)Ug?;k>EYuabr z*n4eDrq2^DG`znUeC(Tjvk+-vR(3`I*n;JC+#4b!+rMxu8 z8iiBLYg2F?neC2l^z>rz?ZtMwt7Pe`R-_)QdLHZLCSK^g{+aqsTv^7|;6p<~mlA74 z;GCW!u9&>CkXLzgjv}VErnZ{NUTNw4iNxdQ`3KAfTgh|84k+n2(n z36`(~8z1RC+e`6ADo*|ZwF^||n&}hv<`qUoT{u~yH!tZ-$jP%*E@G;>7DY3YW_d*{ z(tO_i6hinPdzfd#{QD&4Ot!vyCMvyLRWzxsCr2FL#O7 zh`Skz3tJbQcQP!Wk09O);=&sGvw<=i4M=;l>aG*`HX{>6Dr{CsXUaj5t~{ZUGDHj;6`Y^t-cp0>+dB$@ix{ zxWUMopR(575*XVPQ3BNhAJZN!l7A_vWm=!;a$mp(pS9%4@0L+_G2qH>>;h)J~4I+cm{qT%e-ssX}hBj}# z@!7$moWTM0(LwSce$z(6JZvlCqOZXI{(Fc}oc67;ugSRnI|^~4&rXOxpx_lz^G{|` z&VXuJAP(b(>^LJM*3|%sA{Jje7L-;i|J5rHdp;EiV?<|nv+!6Cfa!av@jzNmq_t}v zPdi--#+^6Z7JJKQbPf{K`~lfQXAhFbty3)>eTR+xzV_G9`t8>ZQ@3`jE)P?o;2m*P z?tc0UK0kvMD_q~!MPgvOwJj+HGP(8v25w6cs0959!5b+B@(|6+nubsaOnKGtFXPq+ zyS=wN`Nw*%0AV9F88sP+q>xpkf>I}C8AYd|&9O>w0L-TYSUSOsQH!q=@$T69s-=X_ z_K5R`D5w)up9Q#8CBC><_&tJ9%B#(v<1Ya!j_p!FfU45iXiniT0jh|=R%(3A0?W6E zswe_KZXEvhRSRzo(|I^x?OeXMi1)SY%&JuP(rj}la_4zw!?5Q60kO{fX90^6xbCrk zv^ktRmAo5yo_|D`WFC4T`-Gf3@J>6e^pNR!pzFb#2SVUIfKGQcaRC46KJ^EbBteZi z-;-BaL-(vNj@leM;S0aUi^uhcpWU1AdQ4Py9Xh)XD`q=s)Lf+J98Z>CSjO8t`Bvx* zFbbx?x4PGdcPbY+=sM#a0sxlUO85ZC?`{%W)>9u&jxOq7Gl+9lvmLq59lGqts8H|IAo1R^*^-Z@zdzLRK(bu+q+_f?H2MkM zhy5XSeq}@&CN`8=#B(g{VlYd>VQousXy^h+%2dj?M4{ z`{PE=(Nw5lG}lnPg^NVon3~JIex```oCm)u_#_jV;@-0~`?owYhxR9?xZYB(_%^df z@Ve*pD~nz2wa~#a7i5+#Pz>l09$+Gt#)#1D^$^vsJY44W6)9~0#9VUz2XBcHP)7~` zZ0mb7+P%q6l?AW4lbOo-yu7L+D)_{h;>3jd2|2=11&~enC@t@*jZ9RyXn zMlrSwS0(p~>V3rsyi{N^xD>!vyR(~V-J~+MSPw#Q4+D@>oHai}vy7#(!^E_|o;cY; zzdqc`3_B;X{A-DEP(r5ZhqeXpxVua=^xaAQ(#0qQKjN~N5A69X_1_c4-?aOp`1|)T zdaC(Yq<7gax@qka!7?_KU3=wr$+;+b9C zPcajpl8|Ro&*eWPSjwKq&KaOg{5fwpNOm!v*-KU?Y8gvq$sgMaFsjKgxa54i94zcF zDQk$4kX#W}fgru!U%irqO5{T3sG*&OMY%YMc@E7kX#M(#q<=emFS_mUuL^+R2Nqw zrF^>mpVdx0Q~k_EipN|NzY*DN##_O*v{ZfHE#i^!LaK*_Rld9z%g5t~mpu*_M++~< zx-s8G6ejKlE(*QuoNTFNZ60a#!oFMIt09sKBBJI?yt1%}O#rzwMx;Hy#?>j1wjMZi zxJ9bXn5j`TNignMs}x_Q#;X;XsUg)W>nca>5G7{{kw}(|EZ>)bDv>hfvo^&D^FS)Y zHF;$+!Viuv-BV30D@yJ>ggq$Tnx9py4@Dn_{`SLmCbhkG8M8n8Ey=h_BY zrs%La@^(m4fj-9zYh~Zh16-9^+I%g1JCpUj!p02GqAuB3jV^G$hbEYxKbg?)QV77u z3LfIZ?l0<1KI`0X3QOkpEK*Mm#F*V3bE=dFY)VISvR_kc75e?k*JUr z;biOgG|G0lzk5BNWz=pcJRrV4DptBE(F24q`q>->89pcJ%*^Es@Ef;TKG$4(j{TD| z7dDKUvRHlmn%53opMo;$QgiX3b>Xj06VA-tbJ&zTU|LJrF534Bm}K)iDc?cPZkoUy zvI|Pzv)OHOaF;vTozGSM$W6+I^>@?|Gv_Ad&bHcN72j(o`syXDA)Cfe)Kxa!J|%8k zeXZZ}jxl}X(l6sPSBJmbfF(bB9Y=yc-$((SSOFOFQ~0`rlAiQ9vcsqt!PVBEcb#ui zD0dI^kZ*AzA6la?Y!n1`wP7GG^$lKhB3R?%bQXTlSKwGHb*e+93yyEvYp@+FpSnBr znK$_Qve5M(Pppz9)*SjQY?p+*KfZTW31Aa<#ybpNzu}Xwv7sy-(JN_*KY4L{+=^V5p@{!Y zC&6$df3Quax%o@8#SRB0C(X>audz6;v3qIcR zwRK{>I=h;8IVhYgu*fysT@XLUzYbD%ae5bH$lRDA=(cacl1$XOVouqjaE(c_T&lO~>yGT-UUZpX8XKS*?Rjuh3vHf=P_VTeSDzUpg zn982}+zvognsds5Qn0<6s?7Qhw}7`jT50)daX=usDK@l^JZ%7e4(g7U?ztl;8spoWr6(LMSbKeL zJX3qH-UMO)6g`|?C{WK->k{_&^crPaXf`@L<_uuc1O6r8a)?jt!W^2=YhVc9Di5y@i?r1D9 z1ha(Z!L)>maH@`2!?+wNRs?8IWuGghI=7eclYDqDhV#)x@s@S*oDBu!+1M?22@L=G zt>{%+g+^G>gAr)t?&i+1m5B2?|pSCp^{ayP?DNyOF3MN0L&R;n$#y* zqx%0EJopX!j}lY~m$g#;c!?y>>ht9~Ihsl%7(T{sM4XJua^A7T43N~up} zS?15Qz~D*Y;zh;Mfr4eW_zrK%U_pZ(9|?%f!C~JCVHXFj;?53$AD@==$`j7wO3Yf> z7f^Q=g6u0icj(p*HqcEbDlcq+|8miS$>@#WvgRpU=fZTl9Ltp~-?NOjOVC>6KY4?( ztyVR0w(CS`f{OHITNRn$)_h8o7x4LB;ktrb9GM4elhbnp?er>kTU;UIenQUdZzJyCT&pB`BZPSih-sj2g?o9Y;twph*1x9W z?>GECf9qQ&q#bypW#E`OX(e|qht4bo=$TL8U(h zvJ+jN&X(F5r2*DkiM1nLx%y*>=6*-<9<+r*7*6hn)_zLP@|}+QNR^a6dbrJB!0wxZ zg&=|@op7$qp(uctU!&kMchMG*O9|(^m2+9vpsw04Hr0jRrAs#B5qDUN(L}@5Ii{Q) zvr8%a#`lXn{ceHs(_BHFUYxUUq{z6TaE2d6sJE@jv2-MJ(#Nx5qTjBF%!q7ehXfj0doCB^EiFf^itT!h@U1FaAHj z=mdCIA7q|8wZMX!NSNK5dZYI z4!2t`C|yCU$a^#V*_2>-llW>Inm>x>3txkL9_SZ+Z7<_lv8{RGU>iRe?9e3MV%kfk z+oqqtOkGq|!|E})J6*0Qw}hu(c4Ydc;=Z9Nh6^{kVXeQ~@1(01I49UNKWiDYoOEv? zrDI>zsY^M7(227dIJpHFq{Y3!IYU4j?aK|Dejb&EuPQ`zQ41dEl0-zOB3!DEx4yW$+1J_jjXO9bM~3sUKw z5q!4)wtb%gX>@o|eb)@WekQwaA$F6Ow&t-N?LAv6d~_MwQf9wagYGy+|7ezDO@>1^ zYL^JUueo%&9N2-;j}EWD+ya3yU=iI{Yxici&tVpwXcizjr-1oLJW7S_+O^ZKua`!A z2Wm91zkG8Uzt8`Qds4@nzpxf#^4G7YWnFIjVSVF{=W35SE{y2&-rwaw{m7#2Tzf;a z_&yj~{%WOSB$@zXanBbZ=Ed0e}@fmcm=V&qN z@G$H>+_t$&K#5Xp7S|r*4D)-*S97b!>RcjO<7zi~e&S}$-ByyctFm3nO$_z>$6o5! zT&0T+Ug8e4T$>#x{)hcCTl&=(98WRhyi|Vq`1Za=rG(~hx!62cuAk(77D?iNBp++C zxb@xRlD?{Ap;1Kq+xniq*`wlx0}WxN{ih@>&N#Arpv|#rK@-Pz)b>V^ha z$-&+%6zIKpxyfMuexn~v@LXLet0+w0$T4o$|2jpIsbJz0%%nDd23oI*xMQlv~$D?*?bHRn-SYY;^@( zR@x;rd3SK@d&_T$HhDBH-+$!5gSYTJe9wGXnjzyt}wdv_I(DZRle1ty74&nDS5u&o}V<|+1n zzKHzEesTFU!jb4L2F^KvvjVW%oh2EL>2$|asdRykfj80}uXNUDd0kyT#&(HM=j?3` z(mU86x_@!V+Cum_Y@bRwS?Q!pURNG^P<3*!jv5_YEZW(eTNh36I`ajT5^&A7t>xIM_Fr0=Nu&tBBEOEgIp$m92N{{v z3&U;n{647x_|pP2)K*EDm~H}_N87KD?^jtM8r{^EooFDYuW}e0Dl7O@K3w3_#4h4I zLujU$_6;Xa0by#qsdU}pLeRiA)Q1q<-*30=bIN8qeNug6x8^k)jBv8bhhC_cE4z8` zm1Vyn{h^!a*-NGMYq)^CyVoJ#j)6od%i?)OO?6dp7vvX4f-C-~w`Z*0>(Q^>rR3>w zAmyXqHp1H$MCu!3UrNodC#UFZAzd7CeDNPv<)Cuug#BLH08y8Xsi{_klv;4f*|Tuz zO%zCLTE^(Jd7fhGOxMBG6ms6Z^6=YYm%7^Kx3o;r)`7S`H@?$RaGg>)2Olr2&%5U% zE4ipGi}_+b$o%g7>RfWpPfQ_Cax$&TzX?wFGVFPY+~3UOGnU{c7d5%9?2|uY7gi{-Qi8==v}0p8XL})+fzEUNVg3&E(WpZLPfHekbO| z+vv0v$>sG4GB%k7RVQYkl%8~pG4e7-v0UnR@4I(z2lkXX2fCTR#wov5W{Oj0$zJn;CYZZMuD_9{cLnrg8?VCHEU+h&qsT0+X^-Q7Aqveo#%m-Wx3~|5)zYfbuzy)A ztr`alJ})#HDzMJn9$U0^drwieB(To~(5gU>Cc+YjP8KfOXpm4$q#9KM1D2IikEmo6 z2ah$ksSmX3+PHmYIDXdfWMO*SfBL{6C%~?V10_Vj53jHlzBQeniR0X;xdM~+X)H{A+aKazy~DIT<8JZtv`Z)o(`X_x(a`kC zrBZSuRA%eUqrKV4=E;T%>$#D#Au3ve<7&d`UqcU`8XAH#OTV4%JKS;@$ z6O$UGvu<@?-)#2HSy5p(>&th4ow@ zSGOkl?=SX;FVsS95Fqp(0$siu(9;^$u^Z7w08;f@ReNrtW|fufv9C>OvtB+{{radr zjXa_M!Lp<Zi<3UL5+c93o*23U36-aY(5v3n7R9nJHKbtLjtnL;vC z8vBR)%B(wTM*pZi*TTc3+3H3R_U?DgQ&M1UeylGghZSuf-p7L!rrww`fi7eC@C*Cg z5c+~|cGPCLUKdRV)29_qct+^WgdXE{m7A!!{6KjwuSN;;nb{JT;TID=eqiA4|6`58 zEx{~j$loscorlXg539|Y*|g3H?V>0mdD1n$lA<3V*adF>!-EjI_76)EeppsI_0x30 zI5x7ZtPgr$B8X*o*v>S+eUz|`%4nq*OlmLZN^J3x>iWF7)>NOI6W1GUCal1mLJAKJ z&qVxU(K)$`y!$-bowwfFI z6q!jV@4UeK@0Sghzu;f?c<&wi-3@Ys-vx*MX!=5B z-`GUZiQ&)$;bECem6^8R_kWGu_`mr0ze4ob1o=3KW6g0un?ZuX4O`lHwb^YN1?M2g zEABdB0c$xjI`7U^gQ2$|rvd**|5Zp905{mHb4+yi(S7)i0S-V}>UpjeJK$s{a;K1X zEAzabc|LKP&}6>p&j;V_&#sQsfq;Nn8X?lq3>70AWdWPI6OTu88>@j13Iw{r^sJYf>FbM| z`C%halIpQk@#QhpsPGTyogE^9!Yz)%Q&W}Z{eycR4@F4R@~sx~XS7DZ^R3*)qXdC zM31S_iCIU6z0HCS0}BMg$6Ye`1#BGsYTpap$O=eaIY5L`S9}aheT9mQW^->s_gTV(dT5ekKJde6Q%-FJ3p<;) zPIw0uDrshSPeuK3x}Bj5)T0h1?sTv}psZH=xVxAKISwBPiVf}&Ice#~%QkC-)K9o| zm^Y?Ft7v>Y-l#P=o|0hDT9Pv)mjrjqMIHXS5a%uflDlZC@5#707KIqCw3Z~T^a(sc ztCP>hqVEG3eBU3jw%arG&%W5J-+id;r1afbI%&o zA&j$y0?!GLo0azG444?5$Po7~bJ(=Pc#LgHqZW*zwE(;w({iO^wJBZ&<%QTjro7P$ z8rvR;LYy`~?Y_PLsz@4hL`X`)sNO-Fhk*F$y=F% z;nmu7Jil4GjuF#&<&EbZWgH)bH(5oaMX8p2rV2(Q?xOkEqnn!dh4**+_IHZyb3#68 z6rHj@FoF~br+S{dc-DAWRE*ijtB>vNWl}{gkcIk(fr@msOlh;%=F<1BdX)$3R6WVJ z7qQMUU6xw*ra3J~9nI8nK+i*8_TDSl@oZ&cS6(ZxcDsxopnryHvBtvZFg{@Wj+I=#>uBPYW@-kk;A&nG@g%LOGT&pftvXZh^fL|ztX1YJX?d6l-I)piC#j~4b-?_O?%P*rgvNFs zY*+PIZK3Q@@sXlij?{c37Hv^0UB)+jD~SJ;Cv<`oFM(a-H-oo(%Ta=ftuGAxs%~P% z@sVb2jFBPVZpvCCb0ifd$eNj5R%5k_q0EkE=C9G}l0)`+rsRLVx zn~fwp?zNe*Xq1Lv^wlX~0`Mp$8qn*`LO&X0MEm~bCmXZ@NNRNbr8rk-_8%Bk0yjBM z0(74WTl02jtE!V-B)ME3EyUyVv;Wk`8$*>ym|)Ko1L=0P_?m5m+PbRBs6|-ft8KuY2=h>Yq3dVWeDA8EuQ2H3+z#oWm`6jR z9OKVIa+RTS{_qN4&v1F{8=GidvxDF$LWbVbm!7}sHM`#P-p&c%YYCgN>iN_-$%bWc z;2B2?-q!VCXVjgtCG^#Td*FsL1UgGY>p<54&Yz|^f}@qEVgb@k%bDA>mBG6NYaMbe zb+uV-l|w79vca_J0x*r9Zah>)*<_*bP=1Eisf*}})u`nTKzxt(8uG%-ma|V4@riPk zIU`6&goHT<)IlKSUW8Kw!-;wo{Kf)^sc|)cOZz9R(ZT!Sxr&_jbaY%6z)4URi;%!c zj(F?MDzs1JOEaZo?A&(y$^ruhjk=4Y8_J~gpdG$j7xD)r6pmh!xp6U&#;?y%nfAEp zH51nxs&j+#%A9nm^{=;#B(nQm_)J~iPVw9T6w3Wh*(Hb)&t9WB)IC6nW$Ivb;u6Qf zVJJ)flp~MI><}Jp;SXyI+ytu=Ym6N_3DpCeMhd{xaAvrxEtaAA4Y8rnC{iojVK_=~ zdS6`noivbojyJ3jsY(3OZJOzHEcdA2w6s&CY9dgmGd;}VcJ&X4YeM~D@A;F6n?T4T z)KnV=S5y_$&8dKNi&Gz$<@DFkLtbNEMjWJ&mPbA~FgvX{%im;ndJ@^9zTUdR`#a3_ zds>|Z%}JBdbZ@iiHKavurxbr!XgK&R`QX5zedS&NaO=l z#Chv~e%1dy`4SlD3w z3SZ%QXx5y6S$zz#?axEb&Gr4_XT~%vdrger_UbkS-m;Cv%;A@C#{5Kc~xa~kq_{X z<6~aTiHb^3ERy)X9<4MZR?h6UF5a2GSblsgScFqgq4FyMs&Y5?am4Zl9EV&q7(NEr za2=it67ikWBqiV9#SeVUteLle8FZrioGUO!S%!VuY>DU*6o5}KbkGn{+j;w^77UgS z`VluKo1Z$+i{8fNlr=oeaTe$i#OOzvb&98jd#&&lFV1g!W)M5t&l_zIyzBEY$TG=Z z%541H>P@J$0YGS@7Lh4~Ly^lF4|kGg-~HgEOi7EMnX4oyrwYp?ZQO@izEJ$mnomB6 zl3oOQOu-BkS=B*`%m+=r7h$87@+QxM+6*-Y5Ou`q{DiQ56P#9rs|-D=27DZ0i_g2A zXr#-juF|73Tx(`87+Y}8w)Y%UvCE&kwh2W|QIVz0DV|tm?cik7b3-q7prY`=yocR5 zEh-gO6nV`0dfZpeXIZK8kl5C{ByP&{)Gq}&%*_(P`=xqsUn_DC)yjx?-yN(}dt4oI zv>rotw9(>I&`W=q;GpH(Zz?5X{2lZA32KX@3I)Tt<$;HXU^3o3u}04ueGubefSst} zESp6O5#cVvQcM(hNc;1uCJ%Z$BN|3}7{jzsc6@qV;>H}linXIu^8AS)3+xOEYkKtkNgLYlw!@)8YPR;$+Ie?4! ztQyl(T|}#EPMR1i)i2Q7LKNkzqtaW>J9Y=kZhEd`&mR^Jk_-0r#a>gMJxfmw4G8f_ zA85Yk3-EqeN8hltNTMj6d>pXY4!|nLa}#kXt|;bBxiL{eWwb{V&##)e1r)_t8%bS>WoNSR;WKuujsnAjr`(%6J|SeC@z?$16* zFo1UIyS}Z`S+tt6OvLNfR#iu9jUOiKv7}GV$AprBL>Rf}+e>6cg*MHwZ^_}S48hkT zp&l}yO{Idb*9L}Sc5!-5;>C#)Cd+vPpTwuz)f@?4r1POl5vXw$F79|}ZubwP`K6$G za}HGw#f--9Urgq4acEtA-Ij)fnhAC?2;6;IsOPp~cTe6gLMg?^Aw7HoK?85~@J_Jz z_<4OsaI97iDNq3#GsB&i-P-kPiYgOB-yDh{GV#n4S__I)U-?NQf0d_Mh)P9z=S4I> zfznenho~nKsOn6y1kEYtZtEl5oGox@`brt}QLL18fwKe7rvFEM157D9!tep74(Zwm#WEN)o$ zow-p~E$?DS6RySN-w+C1e$rU_1EPhA6MZrzA9X_a7w#xKS(~#2K33$Kp4EB#(}p%c zSUi!rEV2$T8yh{^425W*a*iF<+;o!#O<1J(4aVMo?X*YO58RNYaj#%JAygMn8AP>| z%9OX^oBgMIhpHHAr5oG+!!Oxs#S_38&#JNZ6Wq&xaF@+q`USkUGbBqNp$F9_H*iBBo7MC(l?ZY=0)#nLdc5U$)i9v0ei zLv@X-9KB0G?2ZmQ3GMMFl!vh-dL@sDeED3**`AK`B0C*JXw6>`1zFYzo^6g_m11l^ znzPa8W}M{AJ#~ETQbACob?{CiW|c9vGX8^tozvue(1V;(0E z;1ZkmuHpdAVZ)0GHsM~FHf#=_b#w43F#O$Et*?!5_3n#vZBH-g<05$%>^~e`;H`_4 zTmIxIySwm}JN>cgjzmgIJGi;PM>j!)WQ4HdWj1GV?JS`yAF!b=@sR&UEw*_|KOM`g z3+_7|I2l{lv!r3N6nm(&lh^WEKe4K4dzLOJX?E}q@hJ`NZI`SsNWI0cp|fr3a>EVp z#XTCnYl3g@9|wTLp1~>eE0izaQIV&*RPW$e_FgCorUd8 zt=Wnoa%~eA=zmtm9hjJI%ymA(S__ImpEqj`#(cek4avL79F4&7Wwro9MlW6|TEs*s zw{)d9I4jS1i()FJ&`6o<8>;rS^2&<#S+j6o9TRDT_x)B$FaS-aY(W>)pfxmczQZ6L z;$~r$946MX^*5%eZVi2@{Wg~lZzE5BV)^zdGFBqZ1VgFZ%9zy~iqH>FNzt86eoq96rx z@_DG#*Hhei>6>zsC2yf6%=`i`x`#d|TBJQ#!%RF7?&EOSr-hEi}3=*qmb z+S@L?)ZkbyVPlblSmm}rvXL~Rm*N<@&3tCc%hBYh#J*u%naIk|_WQg@FH_FUxy{A? zZ-k1Xa$6k5sBZ*$f%d*cr7sHzLPB`nRwjq^ug?rJ#4LW0*6P+s`mKr0K(cfFZF0DC z|K%9^1W$@aURXGQBUn#0p(YN>tVu}TolG?+cI8(X{Sp1x*p43m2wmrKOu2&ZPr-+G?uFqR{rlEj~2vWwP|>=kDdO4Nf!` z%+3%cw|r;Teyz)g`f;|aCg*-S$OLx1vOT2zY=&XH=3CnZTMYy432HprxsLvINM~Yf zHYl|bmxB0KQbhe=n&Y8NlK7V{qS|hbl!>uhE}5ui8rb8V(@s;iu>&3Dnm9vu#H(MI zJWPCXV{ueO91^y%#9x8SG({*SPul76ccuvWbmiGiCRiID?Bd6Mma6z2ChWPXdWT{fI`M0 zihQ$IM%&3VYdZ9ka%}iYZ$YiQ-9men82!FlQ|CN{zS7e|euN|QJNnh@%Msjrv>yzj zmSs5=ovS*x{qX@^AF#oQG$$c;fVNdP<`N4z-~GD?MK4b}wpmfKdg; zQLunHU13kw(!XG^c5(nG5>pu7^4jC#>*(MrgieRBk$<*ee;HXAtx9Rx>N^^BOpr2~ zHX=g5sk>bbE>~XLbd=FSL_TLbT7r5rbx3hC`?m4QJ_iG%-cctu?KEul)~vubz!%NBN(F;*_6wyY=4tAR^6xisPYzaVSnN>&p5$mP^{)1UZe4OC7a_ESq4vEaEhZ z@C5l&qu}y&CN;trH@U`}_EBAm41r?gzejLBz!=)V3lfvQZhrZ&&8`bThF9o3h~nC_ zWb|b$Bbz2!kp)f9DkjLqWV5YFL&w3avzcLnXLE92P@>knYLa!<@~bjw&uE?TeM?rX zB@gmdz>8+Ot;yQ#_^!J{?CkTo)v@{y+Lx#Lc8Vu*0lWe7J#%Jh-Vw*9p+{kU;_Y46 zEg_A#u1U?))c-^Z`Cw-tEH4&_a&l;_aB}?^$ZmAhA8+7cgQhr z>OMU~!x%)RzPU!)-dSOcb7a7ClP|uOf*R9VO6)t1F$|}}pDq9p=Q|b(rqXx9a*g2Y{j9+PXaJVrxrFNMB)4tmZI)V?!7qo>Ivjl zhCl8LRyT%s)LZS64|rC4;Ru6D%Q&CmHI;Z$%?~CX z$`>27eyb+rE%JKoju(N;Hw2Pgjn;E8Q&UaF^P1qISk=_G6HT!qawYfTi?HUt7o#rl z!R-Z=8HLPRBCH?%tET1p>26yyJuLMixOw5!CUIK-WFRKlWA|0Xjj(v_D?X+EV61PY zm(Gm=MD@1-I62O6mFMQ(0$?l=Qd0Oq+Isx4XS|+W&q~lLK6}mw zu~v40Epfd*HL!O1M5EtI-P!Kf5=03%*TI|Gpu;em$V#pM!QNYk#nr6&qD_F{5ZomJ zf(Y|m8rpB_)BJ-`HZ{7%ibYmL26lQC2pR}LU6pVVj+A`r?J-beZFour7_(AMyh*M zH!hIe)x@L!QKrlL_2#>aoY60%aKQpqjaR8@Up0P%q~OqVp#YILYah5X)4tY6+bya; z!RXU}BqO$-K18hW66tk66Vx3MZY&zz6GG}*wY)f(MQ)nVJ6=EXm>3fD8X5T}4*Y(d zG3aPJpr~zOh{B1V;OE%edUq3zPsn0EJIGte=??ZiP6`;PnnX9h;*_pV7H*B`i?qpD zr}iB87}5@Juk>yWmtBMk7^B>bQ!of*b5wSBB{bEz(di2c=%z|+ICE?3nrjocxh`WF zibgV2aHZ_8=f9@NbQF93)JfEsI2tGSC>DB#3?~_u*bv2&J?#rxo910Ww?1}i$c%j+ z^ycTrkj97M=_-s7xnT_vDpO9)rNva^{nEDONEXx6uMG@KTTJMC(|X=suwT#<&aw|R zfy2(ccHQWxn;(iW1d*AhVpx+xk3P4Y&Xj^JzaKNzq%gziY(BpM^{+aBnR?vYV7xd_ z9V>SPs{JMhLr{<}U6g;qRQ2y$d){O%9bAiwKes=>LscqTIyhGvJX1au6G~^HOOZ8; z+(_hS-dzpWL_>Mv0r;!U_Vzr7=ej!ETb5N?I6|AtXS8klk9Qv;h(n2tvb1@FPIha(x1x!E?t@m=4UMc+a*l}FKsO`Z4|6z@f7YD%rA!N+1<(MXxNy zCi=Ar>p21#C2*Dms3NuPy8H$Wyc6G$4sk7mbn<;V)e{}bE==ZpS4Tk0I<9}LGAH~6 zvmI4+_E)hu)=8N&7xEs=^`iNjJ2`pNF%IGZDA+`}5bv@&zoz_fXtsn}qlhq#{XBW7&yT}|=8Y3t8 zh0NGVMSXNf@+j1I)Nt-;cZ^v9ahgKS)Qr>PjI^{GM6Hkzb5=u?WE-6LH;6_ISYK7Z z5Ocb)JXpp(u0J23G3y7J;BsbzrJeh@M7jFm3SrA&gL}n}l^No9iEnEko|VPYB9?>$@#4pcB*6M*RjI^3fu`mmC0j|Bklvm+%urmnz`W)Hw3bg|4^K z?`sN47p{Ik(!84Q9pJFI9}G{{WS-0W&#fWNZ99)C!tcu2`nW5+Y*R5lX^T7w#q-t$dQ@J=^Nt{!Yg z24pQRFUS-7{iJuKqV=d0j~1g{)a5Jf3u|WMDCX=9x}+AOTOpIGOZ zf1j4K!DEUw7$!pMvG}8^`QG_=;@z$IHFxiZ9mQiI*0kT*jGZEbZ@#UXMl%tUgszVU zd*Bq$?*ijCz-htEkzUHo(;AZ}2I&*A?+A$eig-!&H5I!UJKXefwOBcB+v@D4#h47o zzM*dDrm7z_XQ@6q!Ho$nldE96VHBbXyH1)}W;~4$sQWH9X;1x7)i2f&xZF{-AcHK5 zCVym}iA%8poT1@ZXEq0~bc^AJQO+59=0si1X}Z&%H2JRZ@IRL87u{FjqVRfEIT^29 zdBzoJU4k#qSR-)NPY}?LB>4eX+47HaXywmBx~yqPL*&j#0}emAaQ0h!TN6~%$UW6| z9Mz51IaBV!ad=8nR|e-&{o3AB`Y&>T=+Vz-?K>L@E!!2?9@jMXF(A1tWB8_ zf<5Hnz3qMMA2D3ea|L+gZ4(RcTF>wT%A96Ed^xb%QGAd;cTi;q=+{2We0~Ii%JM1_ zTm+Br6qp&FVf%h(q4J>dE$pZu6IW=oF9YwebYgk1$B?+r?=guWl+sD#7Bow&%SCd} z6RzQ{#P!6DkB@~Eyi|Hrkr493dyYPSrNvcU1&#P`X!;T_#nbv8Y#F^%tt1@SLbft= zevOk`IW=2EE>3A}lqux|sD$*!I-c+Ghd8WgQa3|wQ?+Qyea*wNoDcq3AN+;YHJ1h9 z?%@1Bz+x4G(Pd15KCvwNX31Ec3Kf$Q2G|IBd@`ch`nQfpz1Mn2zDC4?ISbp=ikW4y>=B5bSC z!Hwb}P$NpXG%4?zZ8z_tMFAq8NZ)1##!1#)OR zt-Qwr5Q<5EAQUZtkxL9%uKvgGvujKW7bD+K($vyTZ(Cg${7!noVOrl(8+)`ucN~$( zsHCAJErchZq<&W3^-tgiV5GHHCH=A0dZ?*vMW<&SlIQ?Ey#6C3tcOJUP(`xcy>LtF z6rXEVo@?#Dy0c{(Sg0GEYoc^Ht0U_p=F5+6Mm7WElxBpBaG=(pSN0I;CN$=lXCtXU zZU^w##4sQ_u)^!sQX~uBw9=hgb`_9-yGd(1Y!t9x#@O8SQ&H_aE1h?{Yv*^Vn4bgu z?#BLv>6R+gE0PX-LHybZC*CUGyfyWARN6(Dmg^ycE!a(PE6!$W%i0N>|69~B%2V(eKCi$VV zNFZ(d*lJ_Y%6g%C<<(V58WZ5d(+0Kr4T9dAp4#3Cj3`6Blhl(&&g*dQ1o#E4M|gVe zQ?7NHk%u^seXy1d6rJ4#x*CqnT;g<0J)gT%M=87%0U+w}dMU0^Vl)=DvGW$o%%^F& zCB_)o6hY1A*<;LBn1{QWc&xz6>*;ThI)Dvf0O!=6?R4GgF_#=QYpiKoKZ}r}!r$lL z|Kj_BS!EW4`*M;Pm?UT*x$y8c!&q0*<%ANqXTAH5$)9T*p`n{1=R-M!Qik_&$PIqXuSg2pJ`&4o0I9W%Oqf-OfBraFEp zh*=fukIwOvFGQ^Abo`PQPk#ZP(aAsfqo&l1N}#rXDS8+0THm+ESD=I z?H%42*4#H>>lmNib@_Qp&bP2fVrIgSjM`GKiBf>c!}4y^S4a>v0RO&mf@bK&e`fl} z&=40Lx>a1Xmm<-B)U zd9LAJW1yjP!X}rkh-cVI?O95TZVx}~Raiy;Jchm`P|LUSE zoS$@d)C?+mHp;zc{HTTO`uuZ<=wElyqwqcsOV!>Ei<&l>Tko#V@dLqf{MA-!3+g7R zF31ffT#x34!E5mCmdNaume>xPDXa zXLO9o5kR1E<|~!5V3%|VEInN~zF8; zmTa>j6L*n?8;g|jVK&DK5a-rbPmVh-;foZ}8W;`d3{?1=N#rx_L+gky(woShRXYXj z?e-4?ySrHp`%});cMPpt#&J+BO}Q5KI6$;$HD-B9($q|Jdh)Q&pFdH$Ls&yvu6^^A zZC;GboCgl+xT>(kXZJ`O6x~|C&rQ@Jt4kchz}qU+4lqlIwD$viH`^Nt-I97#Ny#R8 zEwUOL7^oBl2fGMj$FkWS>Rural9|SAEP;>eZ6EDUxP_i@w1@-8e6hD&=v!q$Xki7= z^c4Ur>kotgK*ZDg<<-9uxH00>vDkSVck#xqqb5AKQl*>ZTo-m5=7H`{)E06--A;ms|UIixbF>8%L^w)Kx&p z6=lM})85CI(jyiiyE|nAKdPa1RkaG9%+KoT-W`Q8pclu-`wCLUS!U}_nJN@pJPa6Z z>w|Mc(nz3Rvk+U1zd?0W8DZj^S#T!AO4~14poGMkW$-snMt`RI0M`UIIu4q(;CYXT zC|sebDUG6{H~2=TdH~qY_LA6Rzl5cOE0`ZRr^@A;T5Y9Rk;%J%UeoezUD&OR(Ka(t z(g4k$J-70-CvGv|s?e_?L)5Du!-dw*%7-|o(bV4pP}I?5)2QsA{fy5Xjk0-P-)Cq! zyZS-1K2^SGd%bj+iECu=>@&RC1U!%(RwuI9sypWcDB#l-rIf&)%*tJ%Pw3rXc34n8 z%rV9KzYW~{z*7|w2O4F-wOn!n@X^0yrtekw8nSH3tSV_giBBN%cJg-OA5z4(es|3OK~~SdRC)bBmf`bPa4vx2^H-QJr@vnT_rIXM z|GDiy9r)1s_wMm7JS9GE;YJHk(s|R`DSKfe@@)-;>ZimWRPvSj2OOODD$t1%#^$!9 zfx2i=Om6bGn4+Rgf}x=|MFotZphvRAqkyEt@cQVoTfX8sZ_qji$;n{SuauN%cDVQ5m$SKj^D%w6Yrd%Y{Txgui6J?*z>cbq0$u6||Il z>&o~Z5(+NAqY?4C6OD3h`s1+P#gb~-GNK~>HB1&e32vk)2T_uVc`RXVYpb;|EOUJ0 zFyf}%g$M!O*Mr|6yvrlvgkO&0Uyi7b z@H3uM*4K~dBm;cFw5~05tt~RIYP`@PL7QCuouKO^o8o&w@#3Nx7FaZ4lZUk&eST?} z2HV(otkIyBd>Fc^H3GE_4Cg`&)m(jY~Ag`s^nRhsqxmh};#?=d8Vpvqyp42-VzvEh{D$D1oX z>UjEVmp~F0Xqy36NHCIIT99xD^ObJCRJIo4b?9MzEQcSASt0U7f-b;NV?8?fdWJ{A zc@4>$BV-xTA9JHfiV};G;YtOne4&9FeS-g};D&u6WJykF)?oSUH;C&T*uRRAimaJw z+T!~!ozPB0l9pyS%!{fF`)ms$1ypo+A5?Zow7^^MW=G_a5tTlgH)B=Q`PlZF#ha`E z4BJ6nQJiP>K&9}Fe!{2qJJCmB%q2seVTEGZV4QUw_h7vnQZE-fdPVzZ7X#hCO-TMq zNbM-U9yf8}d5O$iy3;3hBRP2sh>NDkGc+Z;4o9doHGWuh zI=%vCh;vc>w&y+D1cn1*C$7L05pdoRxi*Y@-9zzx&f<9Hs^STkt$)C#3G!AZUGO)5 zbhM{P*zH>c%1#qbcLwzG)ss%}=I?BRYRl-?By$wZ8srS|zGw{Fkz9(E6YS3_CrV07 ztg=RvY^@1;C`{t)ia_KH8HIe7ZLbHb_T4bOVy0VG951o+S+WzxubeJzT~`ojCcv`B z1FZ=78}y(3^Y3G94K)7lANe05nf^a^8q(1{ZZXbw$JdwJURkmsalt1RAhmj#5Q#zF zE5RN+?q`Zf&^S!H9Wm5+|4EzLjwL zcqi`7$PSV^2@@tjP)GXluR9X)=zcopX<0dh-r6OQ4+G;ynsz%FW<8nOz*gihuSAVJQpS9!v?JH(u-go2T zSq0;wIXxpCg=p^OZN@}_4ir=ECw8yJRoG&Z)?@-{WSlEogS6x5>!xkiBE{!qCsYcu zGc`a+?I0~jskeK*5P}B6T+9?jrhWIMdsa%@TZ((R8y(2Gs8RSDS5TliC#hEbcVw^O z5`!PHO_kc4>iX$+MMc6O!Lr-1UNUxGF$#Ml21iNG&i6A!SuM}nevXdwDzZsHJq_^Q zRZd!`4Mh^CHNJ`11Zf9>%s|+8m}6OCd%{5U`DcCn|NIqKtJerfv=bt_)F69}=YV^F zm+2q5ct5~TfC=%voUQ2Z{wZ{W&jPXBjp6o`A%`!`8KUX`}89 zK3*dxuSAU?img`C3xj=L-r+9Ch|e$hH!n5*T5@v?P2)cyO!}|(eE)7)#NnmzsL6MY z3h2g3sxu}M>>j4yrEMrgVoaXSY0#6=dsda%WhR=cAwl)aua0xe&sO0_iG$ge^MPtN z44045gS+frg$)gt}7h&}ud23Eg)MTykH;pZfdWE4Bc$yR3m^vW-eK++C!DR^ijD?B1-6&FbVG(!ucPPb6NmYuQstb< zU(s)2OUr_Moz?#fCgi_mLMI0D$wDq6mPr()i<;v<*O>#TnkSyfM)A_}#!*o{^Y6=i zTnSmDYlXEruaUj9aYxLx))`y)jUHz&$0^qnv+lo@(yoXNJG90j?5eP+Q}z^Hy2>vS zBAdUuRT+5_BcH{ry^dySA|2lJDRHrj7b#;0+)BUQf9&-t_bLVKHh;D1j7QO6>gRJp zko{`0Jx5BCx|C3QvPM{|Zld&AZIlf6fV$~+j4yWFtDBsdRnyr-Q8~W<%^dT$JnMhw zJ^u$UhQv1>#17t{+LS&{CjDN-@O-_)6}4yat(=X|C(=+gp5Pm$7jxvqW2&!-ozmXG zv?fX}ImC>F2F{7<{S|Xvd-ute&`|)2HF+`Ms6&fjjJIs1h!39pEI^X!J!vZ3)JZIZ zUKz`dnY^kO5TvOJtQm<1%A29U*Lr%Vr?mS~Kkp231GWpu|miG7sQhZ{wm-iZ%0}AzT%;d%NORejZv7)b# z$XUCh`k<~KUz`<^vOEVg!YvWr<)#gLAM7fNG34!Sq0I@)wI1^`E%C7TWz$|-#(4(> zdvRT^d=q+DF^OmHK^>odXhFMiH=X;q^5z4xHcr9g4Y~(5!)>wC zc(6FT~5vq3hRn!ziZPCu<}5bi_uF50iG}&>lv#Djivz zw2G2M|BaF|t^D!5j9a5XFdt=o-@V6t)3Y7&r%Gr$VcjMWTrU=r8%<9|_K2u-vn1eT zk0M25ztJTeo)fn)+%}9aNvJbXL*0-Ed}>WMugiqjj%w@So0=6=0W!!5>!@@f0+BwH z0tS#BhWLx0#6}3hBc>x(kw}F@><&`3J2vmHmDZW=8HVt_78$4fPFk#QI`e(@jA=2C z`6ZyUel5w~+zR-YWnA3^^P~BD6!LDld?qc;wRQZO_U$pxNZssgB*i20Snr_KZ(7iIutM|gC#phqoV4T-&Ni03Q9Wb zyVbM^a+{cxRKFr0y(_#;DM(3~Jy?DLD|?#g2}bcBV*v3SNiFZhp*?<=?!i*#9Fn&- z5WiF9d1)(UD;=c~l*{TBtDKP_dfUK|&_c0qWJJBE=tK8pU)+@Y23h5gR_Sm0Jd9|n zA9;DA=2qTyP$0ZdaL~A&LG^@se0J&PWlY1T?hhBEMj!QCT9)ID-GQbNJ^o(0E0i#r z=#;%RFtZREQx9ZG@#9Ja4?VS%&z@TlcGR7|CK`3G8hO!&%w3_p**y~#m#dqS>pZ*y zJ*w6fJ(;ZLPe7Hym*?f8vF1DSv`iU4@kvV_fh%{p;;jwy=ue4Aty|>3;MiEmJ$qT- zd)g$siSHMI(3tICrxd*BqG{~3t&)4B6njKtY=dlxluE+j7jaoCXg$I9woXMPM}mx| z{ZsQwdm(2{qv3b%c+<5$r_@+KjsanINt&>1_g{?Otop5W!Hkbu?<4~1>XxZVp` zag9-a<4OKZ@kMrsyfzRsr_u&PkPNx7m_jDh=}1AL zz73DD#AS~3wuF`7P<=A_lxpfZUj3E=$v;1 z`}p>!2P1OjGq|c-TY$K9Kf>M_L?O~Tu>Wv!?y>MEH$ro`Fd~5VhE3o%2w&HghG)$B z7nZdR_@U8sD403#+(h`B&4$fV*Rg==e6{#GaLhlI3vk-`@_|Dm45KU5x;bDK{u@+# z-?ejc;WJvj-Mi>DcbDdNw>+IIFX*%}xNk3{=Cuc!&rM~av?{hd7URXBmUCgS}h;hoOll2cv-W8 zWeJNtx_AnWope=PP!iYIq6VL4cg4Xy(DSODrgY~d7fU8gg=#jyH>%2Sp-W{42HJNd&CnUXher$AQ+$-nHRU`<+(V_J zB|CPQR3KO4n_!O*nyndSEzw=p0_xvdrWbVH6qIz=TyO_zFqP?i>Ay{J_4`yUMjD3& zru3vNm^(UoTBlf_?4v2x9D$#1C*??sl_$nfF^)y=9UvKEL*;#!9fLPc7z@mBX!d&Q z5tb|i3bK3$*OD78 zcCkWZHUwFew6M=|`qT>ZSuQ=Ru1P7Og!tHpdN$*9y-3KXWt$U@aV-<_ z`z&>yP4j!!rmZug3=?0s%bl`mOoFLbZbmVm;rv5rgdL2V-@I=$;%RS4QBHsIH z5nj5uN5m#1Flh+C)X2|X?fdRl5bZK?Mm}7oM**k1ug88~(P!Cvh$XL&4!8YL)3;4u z03xMHG_PS`8Mh!cRO$+o8m_ycno89$`%)|(B74zO+}bFm07kIfP^(i6nRT2UD9QI+ z;kzi{zCOQpK=arV;afc@Zozxk+H@upX55^DzU_49I#BXjaDKq;itmj=|0g&r$MZxo zGn|Jc`pO$pLicwL_Pt|@F4pTSIJ)y&&cl2YjP0^zYBF>#m^pFYzBy}}fhGl|n=`O+ zNLml8bH#Y7-Tu*VDeKIyhM(i{VDhh zP-n|P)qOWyc;K3NWb0V`t{UCI?yS3rgwOV>0)xKNN=s2+u*}Hv#;g3m6w^a6e2yS9K?6kK97w(z>L=4)2K^Tv=r^Ecfoq_P- z$#^k>`Zx;S3C4tRl$0IEt2K>ZIK{QZo!4F~a{an#R^G7IU2;zQ+zC_kN3WgL|E7`S zeeBqQa>RD49+i}iJ5}QpuiZN3)O7d5q~E7gjy|@7d0_RCv^2U{>ojRVvqX*re~V)w zn8LcHXD0t8e!tz9L5fejKbb@c#Upha8UlJ+=_fx;hAmonsIQ-cb1Adj{VU!|?{=G1 z(hF|1DKd_dd%h}P$}LMbIBL1#&!`DpVvT*6GA>JV_Yk%nu1lVSiErFpPkK=n>%Tr{ zK*)tX4;Sugm~FBjap=l*BJS~0^VG58jTBZ%N4K(dMxWWr^A6vl#{*?5si7J-y@CrT8B*oo zyNLw*uXAy1nPZxh24|v?$Fa6V9*;w^9 zd-ybX6X(H77^`gKzLo`K)PEWu;@Nv5?R8gyq4jmcQhKhV zz2ErfCZ6t&7th*)(UbnNeUAnK6+jZ1Vc&}8JEV>yA-8yP^&7VEGmDx%WlcMV|uuRneVct zpL!o6G)AHeUwO+Yek6QFc9A8%zjZxu3Ypg|3$CvueZRF^`|IRFh zx*jl$^Bel_%v$`tzx5Bva=bZs*&LcMrT1k~=$a#89s;7gpbebXlnUHWJRIpF`I;IVojG+7!A$8Y{{~(f24$e{HR#i z*Q1Cn0kFhgk4@oV-9fx|9MV#5*sUF=kE@LmV)bA6NRJg+`GoB0S7g0zqlM@FXd#g5 zR@gSqZ;Uo3%%1%!Q4HPR9Woo6z3#M$f)G`FA%7-x+=#wNsy>S}0p=3~g88!xb;U z%&(W`mF8MPNWBOJXQ`K`yVcdTog2TWE17w&La-*y>M6J}E7w?e9{(;>CqNS_xVQBi zgt8uXFKNmu6DSW*dgot;Wz$4&FlTA6Pc~!6miU`$_z|W#7`cI zvr-6J?knaON=JL%RK(&9AmA8$RuN;6i(_%ud&1Ep-cd@OMFr8^Xn(qNqsurcqW#fJ zI+H0F$+B1jI_Jkzt4;v|x>!z1Fmg;LLgzL?c z$Zse#dDKlpvWx}wC3tJsC&bKD*uLI0!1CaH)K6OvnJ0j@r23q|nNX>tqq163&e@Dm za~Ip$<4YVv>|TIA@7w#qCQ5*G)4!;2e6K(={WZqMVqHFM69S`Kfwr5)*lkN0UBm{n zs-pg>-#+@U9Dmv`B&RYs%|3WxWK++cadZ|Htb))a40?#X?dKO`?*jf(eabob(N>~N z?WwUGyN`N=nuEcs8@c0GBAzKj0Bv2l+vpbv4yZ}_IzZ0&_I_#fy-4*T%LO0@{uWdd zIbvizUTv%CuC`h5-ua~uwUb_0L|DwJGE{`Dp^sm{ktu`GMBPg9{?dA1)dwkAz|2zj zfVu(80dNKWv!__>hH$uMZHDqGZ|%|37jTMqmK1@Gq~?GCeyN4tuX|Am7)19Zt@O+RgKmD82rt zU};kui3G>gj2KmIlK#{umoQbUcD)5zT=aCu^QZ6YoWQLrWG^CvqyVJyac+svb@y${ zK;afUuV<*$THw{t&q3Z2?!hJpdTd%2?az6FFjBWpmRmxqP8F~5e4;-_3IlBU>DZ;5 zRSgGaM^fXa8-dOqNtJu0$zvMuePveyW74qGBGN+rnU;vbD&R|6reJ#nflCeHKh_H4 z65@FwNJswWrhq6Snq%_S(>mm^Ul0Ed^rHOkjo0F05hCMM0WnoLJUU2uU3X77QgcMu zb89uspO)uFF!9+SXtgedpDo5dM#uzjAg^`O%eH`Q_#w&PCc@0YeO&2Ix!~t23?ju8 z{oQMX6)5ZN#j6I#dg+IwKccUy&(o|RsnamPixtZkRjaMyY$O{mp+MJ-b)U0|DazI8 zXV^(Wp>}WD6g}jP=7;s01i=;HU3N>+c9R)vuPdWJV{X?V1>tojTYxtf1W71mMJQ2N z( zQl)Xo_L-?O$+`e;%b=-CM*HLYzBRsoh(g{UwUu(UM%^lzsf+0mt=SzPBq|_hkS1eo zKb1i7$FaW+^e8Lecu-KRJtN7@nbX-zbj70ns#)49XWFRA{yA83f~Xw@w-suO!1p#n zgtQSrRw9J~fV4U2&fq#oOi{5FwpM>@$^CBF{&Rk2D*i=xeMg4NDrPcKP@M6^yncr} z7-u?l9DsVU100lK0O}>lLiI!f1s|Y@JiBds!1H1F4QitRLhQ2{pYNx)*4+D78%k#( zUpP!!ApEP6w)t5K&*iv@NSeI5nzp@cte6>&^g*ubT5LcJXe|eLMJu7=C%u5<`Og|i zCs#2*Ke}F5zB|i@oO6Jj|L<-8w?Y0t(nFx3rS}}shK+KUqr~t4HTBoww(dBAGY9^% z_Q<;5?nh)V_>Vj21=oE6y!;v`V){me()ghvB0bFMJ(%{P#s(0F!pH+D#Melt0YHN18PfxNvh9W>8ZLDS zxuy9-f!K%l1R<4)oA__Rg8^;g|L6VLe;pwHNx=C3_c!5TrOA)>rfkPTN>?mr#w@P1 z?D;lT*m}?Voyw4c1v^mQq)D6{7PXvd*gg#VVnUN8e5z{}yblEC&*MCAxMJhT38Ymi%Em@xxb;A|sq2}xVY)HC2a+8^ zKTcIb0CPMeixBsa^0X_flk=T7yfM~n4uK-r-6*9{u{EFV=%*<&ZK`4$!GCq{Jf!rW zx(4T-p&dRrTR7Gjxy4N!sC>qXVQl1LrE=}v1a`!#4;hzrXEVj6@BwwKa0QO1k6+hd+M3xcmv+RUa`lnOk|rnOgPw z)_G6A$8qZo)D}+Ll{kaIICF+|dJ}APUXy;;Qfz5Vl%u&GKq>xKhU{*xllE9z zR=9oxM0FkLR37ZHRhEL_o#8}_#@DdL%`ev1U6OQaw^di$2QA7PWTP0Dn%{qzbS|a6 zGC$+bSf`fad&RL6#KGk?$xyBPP)3jcHNj}vS`d(BON~>s-iHw0qZa8(<-u2E8^SeX z?QW$I;q2$+qy_J!2ioyF(8kX6Wg@JcDe>l%dn8q$^%c3=HCncjq*aQ@f+s>(h2q`&{cieDgB4 zE?MH(F3;MhmuarozQQmGzM`Y0=2rP3xWVwi)}kCugWRM;_;!j58ou>r&(t_izqu-Q zP9g$V35@|491M^CVX)g)jTUY;Z4D?y&2S}YHTypCDl}^ettAjjFep-nf~3{~%{tmK z5%EGxu}9N2ceX@5Ham(!hD{6?ExkMx?4LAO8agBEUzJsNmwPkyWcYIxXUP=u)#kxu zLs+Z6^Dd*+9?np@^{tBT*H9=W# zIix4*q?EC?gcCb332@H%So4Y@uEWLOvH!8JusecbzYcaC4)6+5Ejp##)>;i;aN}Z>YQST&#k+o;nb64%VT?$%1W7 zUJCAry`bUnW+PALXg<8rL)s_YnS;4noxP@sT~nss7eFv5;`NA;HlA)hOsVN>W7uRj zC=|q$og|ALM7Afq+GueoehNzQARx9skE?<=yLn}f%d6*lMydwI7q^RON zSGBU-^w#;3!tW)BGlEKv%WLX4V#}c{pW@~?a!^peuz$g75UxU^bh$1^hU;Vs1c_0& z39tJkF-}$M4vOeDb38Q>a!-Hj9U2fBAe>w zF}8NOcHfw&*6W-VnPkqGjySMAYBN3-&1O6Ba4#ju6JN&3c_lQ0Q8+G$B)dvdDfr!zDqNL)qk<4j%@UfR%sT8KZ z@+18|FcE&kQ>;NIa_i_IP{!9Cs?UmCee+(+)H6^~!}%TA?{63RgoS-66daxicBn}P zt6?UH?7+g#Z9{#CPZRQcylwRX!N-+lH&4}?I!{q)@fu!!hqp}(q1|W>$CP9wWCsB= zU*Uv`(}*W-#|vw1LB~$!m-LwPqCG>sigI*zcYzM6y%^lj{C%u8&%!`^UJ_^FdSfCS z-s{v_Ygf5uxq+dFCiN{5ymw2|bE=ZOXxOrgxb^}5Ql5-n8)p~mnvv_a0k>C4IeM0n zJ~(v?Pg6RzecDmR>rQm0IOXOuSs0Gd$ogqzRM5DJ;VbW|n>=xZgj>KGYU*=)vF<|= zAi-F86es%KVZ$hS5h;RerUnleNc_S>I#Uw5&zId_%$j3e-DH3H@pS7h)1d@+(Jx_h zJJk#4Au6{Sr*LrXtRdr&jVz^SHtIB$XfI=_$}!F{#rh#6%6Sy`Ad_p5hQs}5HzbGpd>ptwe9-?vgQDp7KFzG=}2+JNQ-83G2%%^D4=_x_NX(P9}PAAb+#7n$!isa_hSCo`_c;FA-buq@Lim_8zAJ&vX4wk>c3%1a021J4I@$x_P1c$we%6l@9SOReD}? zz@LPuEg^sq^(ck@ZfJS`j(4t&b8TJBCo_z1-NkjAShFS{uFIX^l4VQ!7O++d*rzDG zCvYDLutRKCZ*^Zpk6li^MoJya#SWJnvZq9h~nTt+eAbM#sA$ zH*AK|Q}}U>5p*%0N=ZEnIWj1eyt8lA(m;HbBP~6YBr*Nf*`nqfdP3cfq9O@@F;n~- zH%te&>rAY+n$615Q@rl?Z`Rght*ObJ=oULA3bj_bd`mUucnyT^WLudvg%>#Fw@kle ztgGoqL^b;hmW#s!7_MlmZ6lgle1>4@6!o(@DLmk<}%w9AmrQqn4R_F4sR#R02YxTb+Fs3JhGn@V&So zj6QOvJ%ed`ar7Ft7Shhi(V_*8veFVGeaq{ZM|cVBCruye3pM6*?zF65)6kw_-O-$^ zOaCxE(>&gu?2NW}WWIM*m|0WrKsLHvV=D6vUH`G*JdXjIZ!@1+&cT(y3a)cK=RGN3 zbBv*On&NSG7?9o7U?5)!NE;YzkbXH80jT+anfxzXJSNw<7M_pg)e8vO5J;fM~N49U5!pF#Gdc9(nBZ9Ka6>af<;_h0sIP5Q++); zRc{osmAbwSeOxzgG9696A>W;{-X2UB&Hw1Ki(rq(EpI@$i#=TzU0$BnanqX8F+Mh` zq1?2b;vv6`_rL(T!SV_yORWigrgRfz;KycXrtZM1)VT z72&aKO?U)eZ^12wI~t)}KdSHa#};&Y55CHdlQ|Hb_c6qQe~bYmk9OVC(uZ;kYI}si zRGBmya#$^Mm)LFPu$%dC`ZD!G|2o9ysl^J;JzqXx1IpYu!Rb^V*Gt)9!CniC%{q$Q zaGP#mZ7%FUp#V*dbt6#W;dyXvO9257+y=17yq9ZrvMDs3I(I|MHaRBS1{5dpe!fbC zZ*34Sg2H*{%e|hXXMuT=>wBrZJ^Lj&oEjCWrxCC46F3il>airymhRcb6rF;7v9IOO2+9WS>*P=*gFzHs+RV(M=PN$p-7f&*Isf% z_Cz8(S#EZ+g_0{tWo<)=6p55A`(E})LUyu6Wyw||T>qRKy*97(-fj8c_1@{6J7;Fj zeDlqG+su4lk4i?}9g~YPEa&_bXqsl95c*x6B@yfwCm)Tk_DiebK5ob{smkUo6zxn< z*r+Nbw3V!BGwfN``WHlp5G%xJu1_ZJnM}02qbbFnKdOJ-nq^w{5|zSTYnxWB=2KbM zR!fX99xpxRYL_t3XwZ_+m9LbcF%+Jh;P9+4=9SD2Vtx5p?!NF$J?Y0F;CN} zUU0r*JQ0*RmQ>6$yw1DAqs{Trb`9o{I{kWU9@7z1zh@ew#8cXBi4_GIc&M2+S7c5ffzr|P9N|DFX$$4EAeS)P}`Xx z#F{x+)4JUt`qA4r^(sq0*R}NEJ4^irR)X~qa;MOhU)Gb(^e;-ZZ`O+$!7JdKR8lw5 z+;hw3;UG65+)Ka2SvC_*$kT^ft_J(;&=cf{&^uy`TOZYAxgV^J_?G~~`VSmWI+W3J zM|*W~xOP9fTH~l?JZqsybV}_jo0_YliOX;CmIBr*bs{jAQ|j|rXq<5vw}0P=nD%RO ziQEo6^OQEdASF3#TN`k&hpw_^J>h;=ISDzzeu^vA-tMu}ZPdtBbH?%K-O4jtfD1%E zJ>pw8oBAgxn)=iCH#VsDfZ!l!kp3eQH2VqZ*Q|a*_8fgb!NnQ9GjKLJnuoRUU2j#} zv8ECSX5q_qy#cyzk5F!>sdnOlP27E8f3P^Tep2YL7r5=BM130H&Dt!qBsk6DE%j=4 zH3#~0Rm<;hRrWZXYft1T!f}t6X1}TkQ#cu{7u6+Ji+EmL95K1a0x@Isd1N}sLDm0j zp0Pw9jTYH}8PZ#mw$5j^insQnZlDUeVk=WjZf$8F3lVJ&h>Cam41L-S_BBdNtIeZz^-Y;`Pn!Dc&YSXSSn zvYloueit|_$g>uO1PoasvPF8#G){hVVP)S?C$XBZ*!f(K^wa_pGi~b`jZw|nC{^E0 zoC8xgaIF*@zpTC8LfYcciP-w7JtgN;pv$`G7Ln<*16ux%DRS zbHvM-JeS@2Y-nW1u@M9TpnN3$W zjc)Ot0sC6afPXdCvGM9h?FH`S88&n=dQa;srk;w-!6Ku;WE2_)t&e;A?jHbk>$Xcn z#dC$c6Uv}l&X-PT>M>=5Cw;WRCdnI|gS>|6Ve|~E`1Il_@j4lt0}wA_A!ThPIR89j zkz+vQ5^2q>5WISAKbmE9<-l$|?t-pWd8y3|^>{)oEc9#2cDP#|PE`1Pi68LA`YBP4 z(?qW+)Tw$u zNUaiYH_5Aep4zcV(d8lKZXX@|T@MHtd4YdMHdy5EcVWd(Z%(@4GL7=~OE<(1i}#Va zWtF)-PaHw-=lH7s-fQ@uGi0z?VMYKD8~br|k#+_;%mxY{`tNle!8)ChN8z{iHji&j z4^1^=_T8I;;^?BJkPK#Y=Ph%-*cHXHvceKEZK_)%Zb1IBz&4d}oTBD{bJ?t8YNbMM z(B9t5DV|lmKK-%RR?M!|sZATu$bS50`v!$(`qJ9wHkR@P*k*ZEnL`p~nek*L4KtjP zmKyS|sF-3WN(p-BkzmhPEDyG;x>S^(2rl1Gv*O-}oG4gR@E;ID0Wst~; z?b(St6C_!NkJtFMo`EIXNC4jg2^wflU!Hf=ZvZ)X)3JLD=sd^84?3Vr+fdZ*`-UJ| zaQ!H7BrFbmV4i(}S+dM<=|P_yUI`9ey+fg;qQ063QML||jea!p^hyp|Kfhzg+mMb~ zSE4Q@kk}>PylPe^^5{jYUk}L&*fy|r z6wnHB%fJg7qsnIl>Fg>>CN2#obv*4br-w7Zg@QRB$+6(Cp433ohxkj@KHu*fdqT4y z^NHbp^hiKgyOa~1M>(NiXPAd}y%@5q9gfx$f4)Ecn5vjfUHqBMTv5Et3_pSRvG6wb z!rnkwDA)-=imV@|UG43ZQ*V1#S5H!4QdL=Y9T95_oYe75DQRogaqSlZc|v7!Nj_x? zchi&a`ikr2r7BXIpO-I;g_)dpzfm6tWP$EXa3bxxtu#14ruPORjF?>6+rv2Ys(PfS z57D)9T6xCXO}1M}(e_=z>*1s+_kz*Bq~U5t)M36-c=P)au&XweP9yE{>&gv6&28m1 zTCF$O9_=JLtwMYVR-F`2_MIo||3yz3-|M5`pX9@ae}hFFc_$3{OHz#qA7o!09+>4B z!EM(eIxvF>0xrF<;Nbi3oPYmE--#N~pvucSm8VyN-4r(mDj=T^pZRw8Sj>giV(A;5 zE&GMil>~_D|;!zayjm z8EyIx3Iji*TYtxIU>@!rXq>Mo1hImRj{-Ta$e;6nICtUb@%VL3B{|3IZ*uK-p)_*DA7f#UGVp6GZhUJ?n97b$4lB$oBUtMj|EIGB7em#;&==vmqq@2R*An8 z60pLoziN#6slk-~qx#fhIuVqP4PA?KG4bpASCudrXO$Z{6x)+lKWZVgr*^$t<~hPhh)?*k)M@#N3I|A?}x+rx5Mk;>icKmiE#ag>pyISy~2$v+_=JxtA8Fo4mXc* z^9VPOaPvq4OW`U1U+9Z#Lt=OJD$A!_BRdjKCBCE$s<5a9E45F{_~TZJk5F&a3^O?% zVW;maP5E&cs_%KmTf~!-5}UX5*|-oDH6c-04>Q7J=H#{|FOvP8qKJDJ>4BJQCPUho zI5^rP4XvRkTO$iHK2ZTCUMA=XE-b(=2>&Zxa6Vm3jAu8}#?;Y_iBD7rq^Xke$e3F> zBJFu(tPCBIyO74VCP*@IakBY}U0T!wlF9HTR_vOd^0}zprf;9YniC(()U<55yGJC` zRr_G~yk_fN_#63MdHI>+PKFt?PQ1LRA;ByQw`-&@Prnw$9=_%E^l|g?!kU=cwQBT= zk=zUj!{*id>;mVcOZL1jUSaMk$-Me_lj{`U_?_X8U_cfe% zq0YbWYkoCen53Q*lc9}ytf{%p!Pv85mFmm9ybrKT5jk8-iq0YmBUYgEi1w+?3X{xy z-M;hPN=nJv%zGDCpT@6MKcK#mU6)qo$ju|(WcuEr8?|&3HtHVNg()emJMd+ny>AoL zXQCg*37i-HSKIeF89BNhLh{I48=4}?cr?sSpiv{p&r8N5hcq`e105wQ0)9xEJ36Q! z?WJt3582uvZ5)|I$ath|t!(Z09WpdV^6Ww$HaAA9%1VA1X}hG<=EvE>ScLO_=*anT zH`g@R30tEeBQFEP!vjHe!GEy1R+town2?B=h>)0=h?s6q!MshPGiF|%%A=U}H{aE} zZ4C?`kAMK5kbsDYkPzfP2HwL6$%!a7@<|Y{-D^m)$&M0!{M_|r%#z9XsZ?u5Son?X zJxR%^*R7|a-ORd$jh#b4P)Jxrbeq&JX&G5Ld4+vy>iab`wX}^*kOxi8%q<)ooen#@ zxE?v-b<+FP=`%j(FI)@?4!LwWG&1T&^v#&qTXA<&Qq$5iGPAM^i;7E1%gP^A)Yd(z zZ)j|4Zt3dodDh$a{Kd=u(XsK@ZzkSOPQ8QR!h;dK2MhfBRk+9jT=;~91cW3ITzL4- z;36O=B-+SFOd+wC#L#Z-CiwAXl#=JJC*LP!=2sn|GP18Bqh=B4+B^z@hJoz+0Q3AI z$UXq}7hEl{6$E$yc?9Gz1nk{S+`{RSBM5!(0xWj&aU%5V57ao8m6sEiiT?}h1W znK%WAG_t_7+SRBx%Gk@%FyUM8Qw0Ilz%FcCYY@6E=v}SZEj1 z=9VoU5#L{%!RxChq~`53kj0H8#nW}WpZk!vw6@s8+WZLDlaNu1{EgYu>hF-((gGO| zCLJVF4jS`5+;aG`0ZZ@1=>{2v7w@+pM`?gPb!&?w-p;}H%)y?;A-dS=fqRx+z% za#1kFv9PTgml4-JxIuwAhk%<3xT%1f3b?6&W12Wo0Vg2g00?)=HvHm37j>uIG;I9Ns*EzGqGFwy-p9|)z>a^G8Nd@y2qDAwYcm2F2l1GvV_3p zt6Ex>-b;<_9Zy;UPw8tJI-4g580|F*ztktc(FiZV$lZ#XJjJGs>V~-*L3Cu?%WWqU z5~H>HwcYZaf}XM45nfRk_J0BoTeGa3*^_^N?BDJFTJ&AQ{z~)~_w5ClA?O`q2w%2M zHg8pn&p%+N4-97Cw9C+&0eO$fn-~Pjb>HAVy{CbvcPio48*p%H$2;3G8-^C~Z7ZY+ z70dLpfWIre`n~#2H(Zp9Lf`tj**PVc(aJ>Iho@7B5r z0}n!(h{;d{mUH>kfwm6pomQSedFL`aPnd_cpf(k@D08TnzGz)OmQ-GGRmQ@y05(bd zsKN4fHR+`Gax?N9!CH>3PI5IeihP_7W6we>K@ zz3_5RaCn)+U{ZsD1kglbaJrB4#2oAl6uT81WdF1q0>754JGFqwZCm}|ru^Dm!7jQ1 zc8A_J9ZelYy3VtQDsIrPk$pldxEkcB%deWf4sg?Q>?Sh(M0HYnU{z2`x?si3!$XIn zF8IKP%)s$Osa6WwVGb0Ha8^CoB>Tn9m3{)o+VSd^A z+V~(>)Hu>=$ltzzpPruWSvNRIS(rJ3m?h1pn}glgWt>rh{48%kb}FB0oajEvNH(e3 zhyT!1&T+t5ucAcLgd4tojjYkKJGOL_oQ2skAu%0b#Mf-KHEKvrYYsrqp+q2a-2PosT5n-KVk;Ndc@&ab zZV%ZIOhhtTnLD!gYs_pt=CIdgSd6oChqBhlG&P_kfvrp#@L05nkwey#anHlg?D<2V zzm6C0**^(FJMT%pbl=$(8KYCgCc>A~EEiXF?(x|pjZ~ffoP`A=XI_q48c@#|bj_;P z5@zJq_KuRZT+vdoHmhaT^&)h;X6mOxk~Dd{8yS3IjpONSqsM^-1TV{Jxl2tzDiCZC|iv&9UQkb>zxhLJZ zzNOpTLy*%PlW{!~S))Yl-cd(4^y^ystr(}y@uJayZ3xV8*$Q{2IMSFnb6`~ZtyeBP zQQsx&PS@f1!Do4yN+V5W%tPkw_VC+vH2ty`u;RSQ@B}li2@o9t__>oFnFg8=ZyWZ|?mp*iQr}g<5I&ZZgrbCu=B^fF%20b?Cy7i6> z>X->@vt+AQ3$JNyn;Kx-+P?OPC*1BW-_@YU=BPD}RnDbJX8P;Tw`yhRXk_O<;o>GJ zGA}2;*-NAq_G-!*AOAuX%r&COmcnwI)Xc7ok?NB+Gt$Sv>1*#O&Pd2%qS@{P2j#aV z7a6LpEfXoPj@%L;Fze9ed|GVhJH-c7C)4`%Uuxvo>l4|+NlJU~w+5W@e%X?okLNTQ za1_v9(_5&aZM|-SApT8CPxY*K+VJceHi8=C(fsfmGuuWUB{GDtn5w0v=^1Q=_Vl*90}b0u{bm@QyOMA1eypjRHmI>zp-Yj_fw9e=-~j#W z0o}cbX8jG58@jK&G*MbEpDZ_gTJ^!Y2&3dO&Yo-gdqjFrd-Y}tC|>JSlfMY%Ihk-L zSHd+`p%j&@M@s#uT`l->GXdVCdNPG)Nqrui1MY}=9rY)v!-SJE!^J5t@1ExFoi-6V zE6Yu=zNp;(A;F3+yK3!*5k`OJQMJ(cuy@uC7w(wDZwRNa+wC_RqEvqGI@9eHozt}A zIUVcUEE%?ras{M};@91$&Q==NDl+tS%*^!L#2fZNXwbXu@JWJzEWveyGb07X5oc6& zEVP4fS&P(}cuwzS%*$5h*xN^tCb4_u#tN$Ces8X=Ix(R;2RpyED`FZPudBUfHaR^9 z6X-#g?EnIi#olRd)C1Cy>e)QhOSM!tfWc6^IhgU)D|4_kHiGC;lwV)1)5fS5v>Q53 z3r?IEVtw>f?c}CF7EVRZ@K{p9RW~|2?bIC+^c@|7PcO&0SUi^<-L>D=nK<0xP$1P~ zeLngZ>*@JaT*}*4i6#n+CY(ZAkH+X_jcWLdNp)ExG}6*8C}$--aW5hCW?^Sh6(w>e z827M4CujGi<`PA@>{s@obqw9&pKH)PB(*y|)L5V;RKKZ}f=e>I%mn%|*C!=OpZ^ zYktvim-9hyfOAfgx-?n2ycm8H&G3DffaSi3HT@AQtoPelc3Ug#kR&0yzbW}_%F}BH zc*V`yfI}jsQobijqbH;?PILzxkFykKZkQ45df*sSr}|bQTPTvWL8Z&YZB1;yT{#V3 zB5-!<+?BY&g1^7vh=!jVmvdLR%-ch$JPsS`a|f>_OKf!`X(4UdSx!PzV(bRE%=w{F z2Xq(XJB9l4mo4i~B#7B{T~R`G^Z1MD#7etlJZ>$yQ+CYOws*zlEgKJ=dGshJU}n|L z?BP?WF}-|lR2%agOkUe#2XJiGax?0>cDrSZ?1WG>>#$JS5T{+Q;c0`XJS&6nGGB%r zj@P~&-M@cZo|i_8`cT??!5qZvcB5EDBTqs|{)RZK)Wl`B|C07KW4pF zYcpGNTfBKQ-jSeku~EOPP1jcG^bF6`1y0ky?wGx$w=O`yVC|&OkYg3U=BZsHGiL+S z^mJ}nzFePNA){QmzJ;Xv!Bi#z{b&S*)9kv^mX4yKErr>S!sDJ6b#K>GRZ9s!I2PZj zMblhiYO6wQcV7CaAk0-`FbmLtEk%flH*>IP)kcj`9<+2NVtS=H;+VbXX;I}_ zu5&QMcpb3FCrpWV=7vj3QRr%*K3XPNzLU9P|1MQ^t42t2;ovFd6g-cEBs7GkO+bCz zih|U~UZ6hGE^J!^>Z8B=26Jk1&6oO{NBsRSYK;vIB@RXU!{tTP4-m_5=~r6EAUNsd zfHFPi&~31+>ZobKU?YV=tH4>?^~d~lI5Q8~J#hjqc3?n#v>fSgL65y_EzT$Ro)|Z6 zv0*Mq*V@v=d!u_8xP#u#PB=F9*`ftDvSx)8r2@fN_}T%0kaJu^Ns1w74O=8~R%srR ze{{6bNg8ICojn`))KdegX5ckmMY4@E zGzYdguoNJ3(|jKq*IzNG5%0^%W+U)?;-)-OurDto_eokck-lE*^9NVEUp2`3BHE4Q zH*8nGFE_rv-Jlj30$0b^G~i=Xbt*knji~Hno6uW?SvnP06*n z03~#6DZQ<&2CdSxCg%^u-CJ>9`AMkYYLMfJJJ4dm5NV$cMP{9-E=a#n5#*3AUFmiQ zeyHeN=#bg9RXnPr1x0y#9w{m*7NaS0)6N=pWj^70Cd1ILc|xgn#&o1dE>%a5v-m1` za=QLG9fQ_gCtk$e)3648k=T=Xs#d?m1<1T~t@f(5V+~XY&vW~9 zCL6oz(`I|$WSnthUOCiPo!TagvI2hh426@d(rfNjOVs9QgK=34M)v^5q0K0dmz7my zb%?Ds${OR+<~9s2k5IL!oY^(PAH_UbRJ8RDuf&s1roicb5WtGyM6KAqks=xX4UBpt zddI_kE39p~jKByMtVWIkH7<#E_DwYmWW$|K|Ls-5NXuDp5DL-^r1I{*$qJuVoJ6K`cB&)iYpF- zDLCED+5^y0UtDrA5a( zyG+Pf`~T?%3_JVUzTQ_@aANYE#=(Ep{ov2$I={>JaNO??=fF7b_a`5^!3Ou+=&EPC z$!k{$gRsLEBvb72$yZy@_!fdk>nsK*CJH+lg9ryz0=A_;444g^lpEm7Jrndq=XBe) zw_HIjC>Y&1qt_EWy{Ch&bQ?Whm4#nE-CVcb-QY@rUS7c}gRTp@8)#?s*-mCQ2wEqw zY<_^Q$?VTLwEZojtLY2wdR+f?OU{nGq)d`tiS3lk0r4r!1;*%A&N&P+l`1;JR7nl;C2QRogbUr_t{LIRmAcx`bL1xq4LsRZHvxg^Uw(i}z=C&?fjE2uf8F-Fcar1mcJ zy(LJAr;h6$(9yW=!Hpi=k&#OSYnPmnK(bRE^=wNIx+=gMFpTgX+AeMbK>Q>w$Di|)n-cQoZu%Ky?EfRGr zR_~zjIe50O9o;GY-n%)R{L~i{dQczZ9j5S;w(~YMcf9H$7a81+ffg0bfkp`IYHd(6n$C z@ZT~X6*e%9vw;6+SoKES9`MNDPus$ZriHVBFQ(Z3%5f;%QBJn52pHds{8=<~db z>6jALzf$p>GOJ%g=t4?xy1C#aTy!Q-F*3If&9iQH-|$RW)WSpbEO48ye0>gf9CdFM ziuH&dRbRxYOF@4G>RB)2hll2Z*Y6l7*UiA5kC{f-=$p^M*7>4uy+!ZY``6RoRJ6Cz z3E>;ceJZv;L$Py9MsmjaK50L_U=AP6lZ3~^R>7s!>@!5!O7C#b!Sd!{FwvRI0|NVH zPo#;aB`$k$B)+yZWL*QVZh5jNC4cE1Nl*DwWg6DBfk(GU_SjIgn`t{g0ZS~urPR5Y z;pp>poFVBeMxSwI;Jf~T*<|z_>`>w?tHtZ=FH>Hp81$6QP|m?#x5>q1RH04Jz7%Jj z4C>ZXEJlq4NOw-Yp5@WVs#`lWV87Xx-J7&YP7FR?Cfd2b4>(<4t~0GrH@y$N#&&QH zCMsSxVVgFUzrU;C;45Kck!qS!%Ttpm5FX;~L`XnE7YM51WiX9EQ~1uo_@_077;LX( zIRx_fXSNQ9O>10tu|-pBOwlPT`uW38eT%x9n3&=cT}TP`OM*#+zjiR*XrC_MNG|9?X6GKRjet7(fRfC zJ)>WtJz{Vwb-K#5dtK3xq-dfTm*M6Ut*uIS>}CyeURMZSwNVOK>?CPy$errFc%8ak z)}?f9NKPuUG9&M{Zl%)NSLs|z`KM4LZ%fE0s|$s+UsG3Gu54AH*mB?@)n-S+o~-3z zhabU@G)fzYy77(N^fjLr>qoO@+-;73`r=5~+P0|#=WFIW>Ev4w^)XBYWmySz_fe}~ z=Sg)5Y%%e_H4sXayH@uBCxSta&*R3BikIJkRbhP@Wxbi&f^nH@7JRXtA>1tk6X}Wx z!*}z2)?QHDbLvi`;OKU=e4;O6klc9=7O9_krDLt`gb2Gu7IV&BT)` z6%LKIT9mPF8>?)|VLbg<)_NqPcx31CO};YOyY|+o?Yu|!EapToZ63nx&GWQ8T8-&f z`MVQrOa>K4%0T^IbFe{jK(8WIv$gy3!Kv;Cf+G>Lo?M963L1*KE*)=uBDztRdhUW5 zndS5OwEUBPV}peJ*$OT}l^6&#wmGUhWVW#2_2t{O166_sr=Eg{6JB+Cqa@yLmb1RI ziO*a|P)Jz^bR4hm_;ysT3qhhAU~0=y#kTJ_45n;#P^0QY-M0)!RsGdZ`yFfI6x<%O zUWUg^F#E;B$Tr6e_tJwGx+2c#(>}=s!IhRh%U^v#xnA&SxRUtOMppH4%>x@e@Cp;@ z$FC~cn4?D03R2?{X^1XjboKa!A;iIHgDbr@bQ3l`hxz?R!w%j?6*7*}p?yNoIv~7& zI^)Qx85uBi0RaqtlQ9RQ(o^T$ciVYes?IT23xkX?Qr2|k5kQR`io|JhYtc-T)q0u1 z?eT(%$;ZOUFJ({hQCfF;5X<)vj=q%jcH?)A29cbu=GbxNXj>W>O>bl7a~d;ZYrPL! zaiNs7r#1=1qFX)b4`K%?&cV0_Y|$KnlTv7ARE_E!tnx_f9L(nqOBA5Ubk`eM*^uBD0UL<(Qgn ziK)#ax!+>a!sF$*gX3k?QD3_CTsO=faA+~BZ@?dQ@2@vMoBB)#>fompS#2Mlnfy+Limu9{^l|&Cylkr8V`qPue?DOwWAN@ zwMWjuR*$pKh>W~oq|PUtY=(?o;MJL|^aHPzM>yUr6YFT5+) zi5IZ9dn(+^>#%xf_rbXRVLd6XZQz)3BgKu4QKt+u$!_tXQKTb|pj zOGKEt^@^6CmB$7yDyry*>w=TowW=s5>LMRdCX1MyTW z9PC`+JrH8x0tk)N7zA9L_wY=upCJViU~VB^x4Y`8FBv%XbB5cZbNG`o*Hn-nrD|vB znOswFd4@}UkrAna_Z?Aa>VJcPi+B|;=3t{r1GldW)2dHQIffkyZlw}#_M3y<>-EET zm)LZ2*GY%^{qA|u67lANNc*wU=$=qfBPKD`(g7BGZVAHMkx47a!VY-CR~oG7Pw9}g zGmT?W84%iIoOK3I+ZidSimg(u2UodOcKvNmd>SpyHR?egNF>uO znCCL$yKc;}dWtJcFWgb=8_+fgS^2P4a(NmXnQoj1Z{j#UtT0=_BT1?3S^}zs_E=45 zwRTPSxYIENTQW6FS4VF2a@W*py35A z5b}V+-MeSW?j~_m+@oUh#3NMyGlw4*hTi}C)>ML^3uyL03g*Ph>jV5-Wdysn4r}Jn zta4c{%Hf5NIF>?IwLPgnE+IaqrT?A!t1Ge6&$aX=C&tS%6DHdglFFIxL`gTDNN}A12?;#5?q2QspEm?8U;M|C8=m7*qe}JE)S~ zl%kc7ZOH2j9+kS&EONd@W3$xFUii*u1gD>=x42lxSf1pf?XmEIRX-Ng6s)4HQ%#dM z4(JkIe-kFZJb-~6w#Dl7z1!R_Nai#%4NhRpq-;EcNDc94)(jO%EI1RDXG}>whSzB6 zk<3eTpL|W6SYtxmA7@C%hUM!&u;F%7PP*q*P@4*YO$BnymAQ+F2^+A?kbLB%6kIz z1iJ&Zk{q04+DMR}Bx7;W86IZ1FD?UU;^-R(1c}{8dJqr2F)bUp-T8;86 zivlMJRVh}NGaHqkO+OOn^QvWerB=M{MxUeRHBrIrDsVYJUiIUho}`4d&u6wfh=;_2 zxZ9#}N?gU$tXWA}&fKPHT9j7y_D@apt>hFbbg7O#ES285D)=|u(D1ckh1=xtqY@1# zXK|?PM2VMO38LB7`ljM-#j{uZ;MdE0n1T$m=<%b^wc86HOf$Q-B5_4O2vomK&7q2< znWv{%BVGEf;EgT`mrI?dG=xID73z%~_rkS%-9SuV%BOK+(yJ?PR;F`lZ|M9?kFKH%fLHgj9VYoWVwG1R_9*=b5i?FO^Z|&lYn65T$4v-9TBrRFzl()_@BF;m!J9Z4DPrZrr^0T$qVxRuQ|2 z)$KJq16sY{dz8Ip$zTwj4IX>!sbB)+Pg1BkZ#oXZCHo z!?58(xX{3(5XF#Jr;}P+9!^ZDpE=DlbJst_Y|L5Ym#tkgm5qY5@*>=G$BtPYPs5itaxSi2~NL;rk-vKO(b5bq8Q#!_~vDb$A&_G+dhWQN4_M!XQKW6!7F>o>I z8k|vJfnGQv!K=+&qqVozu29EHt>Ym79E>2CmvoBIhl+x#T3zcMI*2$cO7CTc@>aVx z)|#y>q#Nxj6ls`-&aEfjU$)}f#I==Voa>6V-FaKnrqzFja$D7fORtP}s%^6|q<=vw z5+JQt6x`yx(WoX}DE50-Vtz$FtgQb0XZND7vMdR%YkI(V`gJBU_*DsMPI`u9tp#;l z!fp;?XSqAAJw4lx?^ID3(j)r2g=+hB#!)4g3)6y`wSs4E1eZ;QZf}5B+tKVeoVk+Y zLKr#Q)~E`F-#ef@&Sv^=Deoz7W;s?m$mG=7T-EH!REpG;qmb;m7z~$a-Nd^hK7dAI zNSjiHnCFzB)-X$c-5UX)9XflQ0#IA2V;4D||CKU?m zpo>L{Fp-~?B|PZC^_#Lu9E<#0*0)rwX>bCmoq@&CHbko7p!qKULanFC(&T=JsO`1x zGr3Q@YlS-QtykCEr<_)AwK=80w<_ZTp+^Z=yR4|V=XvK20Vg|=9O0Us0(cfa&u2Je z^%#YBHAIi6RSB(ED(>2;li6V(U3hZ6ULQL=d~c9P#h~*GwqL;lzx7QVi~O3!@1Lfv zLY1@}dy>@J-y)`OlNLR7K}RZ+DM!_7M+zS^*&K|WFpTrY9x1{Wr_^sRRri{JZJx%( zrw7Jf9C=tt?(d?wy@9(_>MH&th3l}u4y(eHJ%g}qasLs& z{Zb>_=K8vDr0eq5YKHEd9w&|>CQq>0mA*cXjUZxV;=0p@*@iQBD)Z5;&(kxVmNiZ^ z8FU!Rv{zL1X6ha|c`WvBWTS*;K5K1Ee!$alMVIRhR$=nioae9C*+j9k#eS`YJzty@oHrBLp zo`vQ&kClub3=)rtk>w5@mA%s>np1bQ9osM9;Zcq`Cp`}FqfrixL zymWbE)iEg+RSyPs7ynF4%&Mt*PRIL99 zALo+eg9MkLQEV`(WKiAhlCQ^Qc(}oE&vK5Ft%pfQ1?2qh5Ys#k&@7=hjRS5GIfKA? zv6+2GZjB6fa9`nm>3CVk!@hQVv)>`HXxOzWl;h#Jh|NuJmTdq2SGDDN?HU_4i2c%D z{lSBe3Ol9Pqz5$|j|O&1qD@EA)Z3F|CG%wlOE!)tzvbQ6`)K>~9jH@d$$!Qfp-;r7 zCCbPD=J}$~mSc#$8KVqWR-{zhc(zQmu&}ggr}}7(6!6Qhe)IxnB&Fb!6TJeqO`C&L z@e&W0VRlL=`%sMZd3K{i4>s|ZBbhyyF?n)m|MKnnIGgLgWpn+TdRA$G^Rhm+dU~Xp zwTT&(H+sC%n{MSlG)0qaJ+O9+l#+vm^J?6;ZMN!e{BhOCHk4eAJqLEkiU#zt5J}za zBY)&^0ql@%6Sa=9r{)QY>N(##QWgES#ZgcD?evDO6K~HTS}uyMy-l)w zER}=bdup?rLt{Z=P@Tf)mNFjFNe{E+7ee`TSM-kN?8-Io&P+cm6BbvN&6lNj`F=TE zk<*DOP&3uHXDfA5$yPK$)_DOn^XPRF&zKIR5aHP$34`r`C91lr-OAT`;(08rpp$%v z=k5+kpK;~MT!S~t1!MMs3lA~V!srO8IT-#N%!3}i`*k*F6{33-RWA-)9aMbul!AKs z8Zp8%iC6us`eRQpcdB%XJ1BAmZ@D<2DMWG^StH^sCR&VVkK63N-Ix4;uAJbcjq7uI z+F0bi*eOHRl@s7NxCcXRYgA)wq|aF8!VZ?7amR-4f>VkYIZ-tR(478Qt7Wpg;nDhQ z=_g7wYDy^OsFp1U;7=n~Bh+op9PIKO3|-m|Mxc3PbYnE|CX}z-JGF^@hHW&E zaPmrbhMyZBGU4Sp7g|omylILqoBGm56Hzj`{+I%Vff-@og@tq4q61A~I|-_|D{hOJ zMhuCg+P5Dbo^qdPd!BAtB~FSeteAtHG>$?Qg53qnP!qDlxq(BgQ>W%&12@`pOe^1J z7;i|{kM7zv+7>b%QP=(30ue{`Mp{IA}^Ru6?sL7x)YT4t=-9UcTg?ZXL)lDK=>jjF^o!mUsWD^~y z+#8X|oen3dE1$gG@!*xEr>K!vTBuu1SXA^d73ucjC~GY)tGZ)U!&jf%MovcV&?wbs zdCZ*d(z;7$AIrlc3W4%!kL@u0@wN+PG-4U0bFitih(YVRIoL$BJ>%+py2(8}e&X=s z0(YdZRo$$P;c3}CTa+pzgE-nZb&+03wo4E7Ng1cI)u)R31fot^$E?qAvVIxUK72I4 zTG}x|sr5v`n_Dj(_e#6fBrSD;>mTIDhM51=T{r4l)NA8(QnoKYzT*12WWAbKh~vwr zA`0taR24G<;7GXrIJ!tX1080=8TVOG$(`I?I z1G40V;i(qU6MEMgyOGr0@wSyS4-tfurrjAQa`}+g&CgHL>L@BrGjt_1KYDH|$^q*y z%TO3_6L)7_slB#)V`oF{2-+-Jc$3lL@Ek?YsJ_;)fXl;LzE4?=9iIUm zg$AVVbjNG8g0ZLRbFl8)Jm${MJ~0!)^#ZDaoQiLca9U+ME=M_`8T)j^sYe+?MtM{k zXAn*|(k}ytEE8eE4>kr1o;>XSEQW?d`RR*eN7R*ptCevkR8wmV@S&oB_{{gpZCy8! z(Sx^cnO&F+ESrP191cAgMI0I2(NrUq)opb=Yd!f{O=tSof{vy#g4eYZHmyFVBfUK} zD5ObI8W}4vSc`ECQxEpiPo*6AiPj&SrRTR?dH-N3`WJ@+R#5$)-SGB>D)WmK*3a96 z1qBx8eD#-Gy1sVS3Jcb+|6`{UU+cj?B@GLh{(txJfDK0aGwQ?&v;P0|P4pXXZd;0R z4I4(X|A04yrF8M{k{27O#@Ry`v4{R@rj7+>{eSK}=Qq=!KG&!g`;7%n3m1Ouw>(IX z4NT+0kNsBrco#$kY_MwFw#%QPIW6@YSkbg_dmWdGhM$oiE2zdQtka>izmXF9#o;Y>xtg!>Srv9QlJAn2)o5v$<$_FC|(mF4gKJ{FW}oCW;%3keGe z16X0!I1Bi1Xf4Nvu7$IJ|7X-{oCO?b0Vl2JY7Ren&s)W}DdELi#(O7cs=F*a$a>vr zQRP{#AW%@e&KzuyFcpX$G*X9_uB@J3Y0>e{F7w)|xZhNJS^&I0~3%$!U8239mJoCSQTX!sfVv4U!x1^hRaA+aEr;Vj@d3pmaK{tFduoCO?r zU`u&=rTiT1=0HXNl0B^B<1FAf3;3m{yDIv*ifuL=4XzkMc&wZXEVRI>)xh1>PZ%25 zP^)nk@L$M~|8D6T3(Oj~2OMVs7d{8i_O+usrQdruN4b;0-FPGvx7P8KbZ{)_)c>{M zX+ML_{u|%G2CK$dz<-9BbE)6JidhtA0beQ_enx(*pc-cZ|4H0;sj?pyxb?qa0Y@vX zQ_VmHcWbx6KPxYun~u^MKCpjfScS)8juP9_T;uV*E48el5}m_w2Bb+v*i4 zv0JyQ>|f;GElw9^t0ixis-y^hvEuZ$q-ymgdyS)7#1*wl_CwoENGIxU;Z=E+$ior$ zh-b>W1cC3m7agMST|HSv3>bh_pZNTz$^pNj<1riFw+n zP^3FzFeANgbA5EVQYnqfGrO}2No@gms^zb;=u&plrtarHlNkM`?qy@cSd%5+MptW- z{cN3UmKU+Bq{xnMY|Bk}y(+fMtmU`dO0v|c{Oj^_M$`*7GobYtcLom8W_QYOJtvx; zLP(IUv7X2?Xw#betw$KotuMbuWewcSS&lMT#=2xYzH_7QneEie9S=MY?mi?_-hDi! z+!jGYw4Na@<@HLAm8)e^>#X&)m@|}aYMqAfejXAm32SFg-dYu)b!J$5$<*Q z&B5-2z}hpH^>CSRU4R<}xQT$H1vqku;|MsWh?5I&q7hCy{1E|p4ps;v3eUmHjY~q? zAF8_5X}4UubDgVk*(g&uCBx3~GxzW=-xqx$kSE@#kmU2IkcZ=`*xBXO2aOc@`6(3n z`L;eR4rVzo&jP}M{)oM8i5p1%Zgmv*&IhZT376=_HS+g72mB+0^iL~;zjOogPuQyR zZ>*vHKi09tHN4-{H2&-CX+JNr*HTXr;wIHk*#q}AqOjyWY&drHL-O0d!5VQgAXeqJ ze^V~T$$a~1yJ&O;1lGut_x-lQaM{TbLrHFaRskdgWf>T{upL6rgWpaJqZIiK25>JfT z*9BWTZ8kfYvZ-t49&?~n-2+DL^l@n(pR4P_zt(oBK~UR8K5Dqoe3}kuFfY_#aEE|V z)%y!L;rToOI2C(a<9$d+CO#+&fX&v%5v0oSGC}>K3IWEWscd9{G=`Gp;e4Pr_`)0T z@`J%2|IEwM@WH8wg4?>+o$K`K_A4EAF+fYEP_*Y=nih$1 z-yyucs*1Sp;QElth%02n4U?IxN{r`!Rt8)ezQ@}4mt;&uKGm4It9QQFu>B16&NW+rFJEhjwyLr z^+t*>XTUp_4KE^HOz*VF@~%pw5iOdh)jnFyQ9GqBrCb=b)IE*%gh{X188A9?_-PSO zofo`J`9=Yq5qS$~uZwqZ=XHzybwhC|PBCQiZV_K~IWG?UYaN6I&j@JMYrbB0Z5!5;M6>1sNooX0)$qU)8mdwfSO`K8M#TqsMlE+yxwo zM^qby@*asX)le5t?Adr&%v-OoSt)-Vg1Bi^t@&iOP`ObPY3t!Hc0KN z84tiC2-v9Vyn_6EgdZMT-pnJr6_;-sm#^7Ibz+aJqp66uf>YzZ`^EKpM;>v!aqK@s z*I&yNS(lVxH@Q!_b@t%ob@ofe?2@qu`p7q&5_vwgBgVFCDldLgSt7e5L%KE%7Ak)< z*)?Az&!LU!-Mhl}^m{Act?nR^(fP`3!whF=wh0OGe;D_C&}4uT!F1!pOc`*;OgC`H z&*L4N_ZL~d_)NNKcX#au7{93 z62^|^wl-uu`*>t+)ojUlc0q&*(_$V?Eo}g?FkF;dR9J+c$;QdbO7G*kFdYhYE@;sE zx@>H09YIx2MvhR`yUlGZ$#_&2>LcS(1!PJ`n2%c&)W;;uE5gkS)ypT$&&>yiQuyAd z=mDCd(W8hN#7*Gg?Pa5|n zpnMpNEWpXXD4Y;NKB;Oca0-bozzG-P77+Rf@*jc|gPM=w{D`tIhR{#p2w;|J0rDS$6N8$M;1qz+{s|Jk#OM2&_HBHAG?Bi4+=}vY z3kgF|2`}K2Uw~T>8p#Wg{|KBIus$BQ0?@4e2AuB)`?ulzVy=JBxcz`np#?YvM7j9{ zK7#y*;KUH4kMX%cnLiA+FYzgWp`_o2^NXqeJ#c=&r{Dsd!o1vk(EJpdhx~`Qk3 z;e@FAH}LrhoP4|jU_yxqeudtCG1Zp@r@#W7g2LSVn2|gW`47Q~VOAf*`2~^u5}$$? zjy8|a#o@#-+)uh}NpSKnz$pOd7KQkV&^+Wn1Sf_We+=grMDj~Gg)yD;&2ftnD3%Q8 zhxrL#fKy128;%*b^N{}#oEX%64Cfa_@=G{HFoXTuaDFk>mxRxGsep-3K!{re!&l}Z z{~dxSaDKq&f;l4261(Ey` zPQLdV>9+y>Vy=G=pbG?7@Pj}kB+AW;nV|Ea{}4hy7l;JDppxGL^lvE97nA*a00rI1 z&C3T6D7YXJ@d?7Y;SkAPfc=Lc{ahpx{0@1;0Zk;(M>QeH+j(=KGQW{U8(x&odV=Q88-F4*~kQP$c*TrTog+ zeXm!38_+K%{E`6uODf{$77&3j`VXWc3|yaJ^b1n?6+kfxuj;n}{bIr|3D6H>kYk>KZIk>D4k@+*J}e4ku|F&r#eUiga|eGrU3P@{h!7-0bW1f^fl%CA8BzSK8S ziZP8W8PX5b=!0Yg=H~mc`wt|e_d@GO5`*9ur1C3(3VkdBE>3nawx1sd^n+*wRG0T; z_aBHx7`Q&c=oh5&D}V}rECc=xpkGY*@0p~3jok&|=r2zC4}>GZ&xIqwFKFdgAQgq! z?E*OxUesEIG2bm2r3+G^zz5+-koUc`EBFtDBMe-hp!5q``87a478(8q&@U$Z_n>rP zk_vo~jTX!(3!wiHC&e`Rqe&|A5#5ynD@u;G_DpP$t@ALFOK#V0ru|5J2VnPP66S_8 z>9R>WnOm7K@d*j=>$0EF}9Fd2S;J2E&qZM-T?3m_&Z|?%@Q%r2Twt;mZ5ncgaQ9keo7vJC=`v(`F&;SUy4~+-kSa`;K zbG}31P#eGnwE?<|{8i>d2Vm-f-a~DL%7JI7jnIAJ;s*o{E(8`J!5!)Z2mw%D2#J{b z!8243pxu8pQqdW2*X43Tg=S1L_#46!aTZix~w_ z`U3C^cc`(@GxSavm`?eH=i3DuzmO&<2qzPO+6%NtC~e^q6b79D%0L~mkj5|cew2xT z4p_JV6c{98kOx5g_>O5k)QcY{LeEg8A6;aa(E##cMgn;E@g39CP#X09qw7PRpaBa2 ze}0Fi0tS1a6Fz?T(YK*?ELt8@26POBs*lTKo)?hJ3oXe=+koYEa~o5LFiF@rm@oYP zh(5h1Di@*xM zsRI)~{5|QCl(cox;SvJK;o=8Nae%7wLIXz#Vkh7Wdkn3?Vi!c~$av%(4Xw%!dnKvluqgyxi}u;3@d9r`Y2$U{_PemX$I7-}2l9Y}`=8)k|u zk+4E-fa-$on09`J zeK%nRja>lhhX^b99@HwR*_dk)!iquWM>KEY_wPYiA?$s2K|pdx2|a`R_Y&66_0oSxSm8ooON7uT#1$;Ca`6L}I8R*pAe#Rvabq=dm;iVS5}?9Bu2n@k{I8R%>y7I+qVM_@_a#7HEbslk1d0N- zRt8+hb}BhAf}jZ0Xk{#_N`WL7X@7ms8Irr?;njL?^djvM$@$=LI2;c5{_DeU8z6Qb zJbC$kbG^OdHo}u0lz-|b6o-rse~beP4favCFOf)hu>%}5R)qqJI~E$Bl}&kQe70)| z6LzitEgtqX!=|$r+b0s2P_zs7qbd3VmQ={)GTK%5(G(F8%GCPQ+7cP}R~S^?S=Kp| zHoC+GWX)$Tk=9@DWMrdXTtK$CD#^FhQre;LOLcUP3&@)HTp}&f2jZOS=ph%7H5a;w zY~i8m=q4ACH9vZQY;Z|CA5~9Hmfp7ivIF= zvfQAR=p&=QuLw?=xQKCTROGwu_2Vy<#V)Z}7I{l583#i9@3T+-N(yyN_Q_Wp zRlXSKA4!aAE9Ory;>%rllC<$d2|*QZ*elfPdfZ2Ih^H-4j6mD-Q~P)XQME-X8Ap`n zS&rK0G*kQCA36yfIa5wH^4v`Cl-^)yuViBhTJ<6{-n!F)3QIk^LbmAs3wis3vqBRm zjURuw`tSYMrWt<}qS$gG|lilMfFQGqC>$rAN!N@vuMbDyRLW#rJjf z>FS@zirwE-(FNcp;=|^T{m7`hCP5tiQW}ZP&(Sa|4m6tTdh@vba<{TOj?I7e5=4Q* zF6IUDb{&_`3mhP48A$Fub_{q`n2=^Lk%7~?!j;hV=ZEdn=JLn4pSKSiT*h3TUOnFI zrA==?z@piB7meugLZQN;(@pm<;UyXOg9{jCQ5S@Dv)wi)rPX!v3`R8wv5 zwojMqAkdEwrsP05Or|MhZ$rWF1se)JKZu9LGs_eEsCYqZ6wkE3=LzDS{qXMB%k#hQ zbsU`h?CAX8fzeHxWb~%GIKMpqw701sQ4lvuG-tbsQ`+e^k;7&_q10AyKfFx{9TFn( zO1q)=RvGF(?7r~(=CrLI@m}lf-`DxP1y;NuG13T2CB`Ct-r}djW@)Wdv%wrVZ>G4N zym*^YoxNW_W0%H`j+F>#y4j7@CChI8`u6^}f8~+}CcLC^-A75osKF`NMgY6CJ@o}r z_j5Ls##mAPQGt{+^Gc_vx~x85{OW}b+77Ee@(`9#(02Ir4Q;cPXeb_^$%Dzf*U)Kw z$PFM1Uk(=BKL$fq7kf)GSVMt6eSCNRVYeGP>wIsHF_371~ zR#0D{6;|Xdtr=gE%#=T1B(yrxdi~So&wE<=GC8m)#~II((#kyx-gs0O>tGe$lOh3X zTX+yFSZ%bC;Aw)5M8D(h^qGwU>Ecq;K>|)|?t#D&ZKQc_Ns^xf(`I@~R5?&Qv5`RA z3m8usbMt2ZJ3uV=CEe*rV!4gv>Wg`vu*`$G9bRJTnIeMVnB{=PkXdbrITS+u5L5_scg_7Y?|%57L5QEc>xWFvPQW)RQB4u3psZccE6 zQX|Y#bf}lD_=2VNrU5 z;gO5$xU>^&wdxv&i^Uv6-vOqi?U+-_v36wqF4)AUp*hyoJW(k|OHqvBzF;lwK`_-7 zxrNtJ;=sq2f7%oo*>rtXoVtjy-_wDTvmtf?1 z;t4asR=8hpnBfwP1r!Cwb8OnKTeZVjVx#hhb`E!b+Sf6xl<{~;oMPk|OlKGhthyD? z&3WIh;bB%WhCnGcKrK2RutDt#*u>IR={vE0T``#>thZG$M;OoX;F0&mLuQd<=wW`+ zZXBDo6+IJE+XdFs*`zdp4gBH-#dzRPF!Eg3J^GGn&%g?s1m={6Yh2IdLqcN(Y~YQZ zV3X%25R=YPIxhl8%08cnA*KzOl3&B zkyXJ7MxH?=!`OG?y<*ai7?hwGF8p)-L9VV9yElySV>%w%_1w!8lR0AFfv@0`cI3Gi zf68{_3@_6RjY<4?jYpZC_#DHO2HNp0T*?dE;Vp83jj~qB^Ps$-?}Tf39nU$Lk6~~y zn7p0CLwE7nH8Jto#2h#GM1Q-QOE1~%`6EG^6Z#tO)&Pwo1p?5WgW2{3xBe{cyT_c4uFl~pHW)a z;#*{jzE0M(wG$f$&-IFzNh!|_ayUGa31$aN#l*jWW9V6QPfTo*?KX#?#P70iCucBXgX)Ty+FFNW zp3Vm`*^}`FU)>}zzhM&l7$#>3^6Z$|Np+9$oUAwW?e*+un8XpNa>H89*PCH-9wg>u z-#1M58N--)P5Hwa8y+FYMpyH6g^5Ga}lpG(H7}_xs8-+M)h4m!rpBV;=jUZ{4YX0fxx4=*!otG9JDRNp;U7 zY(WFbBJp8U4;Nj~fIBH@Afzs6U`r`zv||y&^{t*iATQ#BqHl55U^|4UDlfL4LeH@K zLbe`(llFzHEBo@HgXk2&a?y9dsY%<_E8PPyZ*{5DTF{F=owhya|Y#)CRObvOl28;PcELCFJ zI(mAz+TLy+_+4|C+y8AWpZ)UU{vL%1+@e^}{r2wW9`zY;RQ758%U{l#as7I7=ZH+Z wSM~b!nM2I>rdgl2?hU>#wE63|TUeRH(k8e)?54RX1p#T5? literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf new file mode 100644 index 0000000000000000000000000000000000000000..b317e84c7d76d1d79ba4b3e8b82e71ae4449997d GIT binary patch literal 45134 zcma&NLzEz00$D+W9E{$igM zqzWQpw2X8tP^4p*afMK<1PlcBMpjTfJoGZAcIGY?1Z;mtl<37QZCp&92`IG>ZaB5{V}d)j1?;hvs=!K zb?7_4Zpe%q-7F-&NGnCJ)_GS`l7eBM4W)7HPqIo#3?^`nP;(kTGhdogoCv>Wp_x;R zqzYaECO&&%uFy4I6!~To-1C)sFLkmKf_HtHWe~uWz8UDm5f!{Qg(wP=Hyu<=?<5+V zc4;%%?q9hgz3uK1$emFab1{(ExxjaISDpzI4;E+553~l#Y)%yk_Gu3{Jdw1QA2~cV zsJhu1-vp8Yr&*LSz8dioWPrsR~dv={^jjLQjzo(jRwKb-a!` z)3lTAs5KvVgR0R&SIoNWZSSvh91>;D>FCAoyto!bygw@>g_#_qA=l{*qYzH4yReGM z-@zlj?fd}GS|Ug@wKMs@3i^BWFV+1^YyaA_vT;ED-yuepe<+giUn2c~N-{-lA{K`o zre{_CRKt}bM#6TPu@xA+10x|E9T@(yF1oG~ouW#1Hj(tX$I`Z&Np?*=v?ZXo0}2OCPljx|#Q0`d zAIh^qj3RsvNyYK1RS>k9WBGB~HT=_B4+T*20|~yWOQABZiSJYX4$ggUc_P@#v;`@WcZ$Co9uH!Ehl$fZhm_fA1hLisY^O3mO5| zoSxAvTrd!IAcS%%AAOAqDK7Gf#(wqHU^LUVAolwqb7}`@0kouzT7UyL1leX$3czP_h2Dw6f&*+CgG|MDBGf^X z{koAY-ArBxhNvhN6-He9**|K}H z63CHxRLK6EdNEeqL@0Z*30kG=cN^N?5*3XI_q2@}Ga)^?u)gI#esU)rV3;wsAe=JI zX&|f#yKMusEKnyBo1(P0x`?ux&SrN8LY!NA_H(#>jx}UFki)k7ouVG4smV}&*23LW z%oeX1e5t64@}~-r(OSK z2OxA=+%rsBoL$}tSamjby#tp&o&3pCt~0ROY>oN4-F8MYo56N3ptBSo`%x{rgH2=V z5VpD1xsssy-R4QQIVXXoV&wr?wj3=jyZRU`2X5#lvt7UBZnOJVzz8FC!_aN-HT9N< z-|08->L4Z4KOEuT@A|(ifs>W-KbFA6^bbp5V*Xz&;YxecZi@}UcUApX(Fb7&b0;h= zw#Q)u%&|$6SRjh8Lvo`~&Zy18zfbsFsB#B|Zf~Zxr_pdPecv>UXs&?cFlHV~;q;L^ zsOsXl6p{_fz8P&5R`mSO4KD~djB^;?_hL6Heik-hb}|(Jx=4Mo(IcgF?cF+7H^;|v z_pv_h?Ar}+o6ux&o=)Yc`s)50SqdZ(Rjuhm?}85Q*?blRkc+}VlmSIXPlufrA$y-= zf)Y@QuykOPy`P<*4ZX=pN}F8QbJHb_@I{3$c?*<*!mnpzN!}D(Dw4+9FBK(wQYSqU z?X-7ct`q+apRDSV3flw8Kg-Ru>v+}2DCxINUKDAR1P)e4Z5XxMT zVRTG8WxaPh<&TejWLRV&~-Nho7Qz!g2ux%Ww$s6`y6caV8<*1ka1jgo;u z%uYxmPi+f*>L)EM)Op{4->#zd7{5DD`>FU}5MO2*p^Nw#in#Sa2NPr)LGd|@%u`Ll zwjfQOM-zG?I+kZoy*0?dSN-UVh`?i!$X_lm!KLONy&GxT(ck;Vsw0cX@%xLlZi`G$ zwwYe#)}Ijwn0qlXb_@39G8z3^r1VpA(wre>c2`C+7k4G93Skk(cr%-2@|FwGei4?j zewa-d_y1z}Fps`Tp7kDsKH|6iw4nT1yY-I>#vUF(T56x#XRsKuTnI}O#ilbcZzsvr zxLNRFrwB8=zexuK3h_lg2!fXrxz8poqi8ps<+JKGzt;ybnj2(XjpwikkAV8*AyP2v zPH_m244jl(iXT|cL;77ddavqe;GyrF*)7y$L&YoWBQV$qF&-Qx35VzG1o*rJ4wiJ@ic|qB~JG{Qc8O7r7?cT z>*8(lH_CNQ$D>oL3I2F{{qvM9<32u#L*;`To~&F6qgdz1nZc-YA@nalQ@EDNeW&Jo zj=O8%6kgYRjHnhlex1)IGAW!0r59#Gqey+l|-u%Jo4jWIrQ71->REk=qKn>N}?kb|QIL z*uSRhy2apmq8-8z623 zR_6b@8^=H0jq`tTx8Iu5Nhs_vwK^y2j}2XsjH$c5K#-!9E2dzs>CQ75nDS!1t1DC&?xOMNU+Q&|tk z1ChtCWKhkGT$&Or=*2g>Az;PmJFI%(PTsD)7Fl*r>~fUM$@P;UapzT6M%&*Dv^fr= zQk%UusbHc9Lf%`Fe*~$|Hlvu{>$Ry0gcw?TXo^;Igmh71@}3HsR=!%^bGl&-pUl&iepHH^4$JAa3>fPvZ;e$9Z-K!cM1Cw;mdt=;>^EQoXTXhZMJ6A4^=ZQ_CHkYvF7j)D zNApfo97O3<)qyN;IQm!X^eO3st;+$&&E*cm$ermc3YAPIVkSFp}06;t$egg#eJq+*#l4-ZDZJg`^ueSIBPM!JUnb z^c-4j4a?Xkngav5!Y{6mX}z(tDCF^Anlq29SN1uG3k1w$AbixIP@?hSDN+W(A_lyI zu;HvD?Z31%kC>N-$IEc(06F9&l)Lg#5Ec&u&pO!CGsiU56-py-OSHXY#1Mm}%>ZUV zxVnV(wnkD*Z7ewvzAWXL_rDjmr89ME!Lm#8y*-5$p23{YT!y5L)Y>x&UVWru+lhat zWf;nHL)yd{LV_T}Io#RGZ{}CTOg7h`M;2|^tv%E&?}33&?r1u5Om1ayb@Eu|{Eo4i z+_Ah-JB6OlGPaj=u@TfqF%;I-{^~4WEM%9)L__Gop@NkXBFJ`WFLNA z-Z$#)0D0ha`TTm;=V}buv45?pNHM;RTb`ytBMNZyIScB@@DZlD_nA30{G^(GHW^y! zkc1UB7?1>oZZ*wAqhuiRnmWyTUU!kExzoPt71^W3<`436&RZcjr9!*AimWAAvxE0F zcB(D(XYaIZiG4}vGyZ}_|D>x9I4R1k7f3Gaah;oeG>?w90=sc-SbF68vM4a)`Jg#U zh|A`iQ!LA#xHUHQ)r+Aa>ihk<@%%H6z%nPZrO=sGxh<~Bkhbbduga}E=iq$8PCJA= z7xb{y%L8h}EOUyB*%PnUZB*xN@B63>pKf7WlFuHrXOK7Dr|6qYfA9=<%C9!s4?pMT z5*49732OTsvmvwP0=4b?yIR7T{dp)>WwNPdl?au=ZE14O`$CbY_W)J&NmVlA0bVyj z4$v4z_*b@_5wz>ya#Er2f)Y6-e94(%go(r z`66Ra!4Xg@h@pZco7L~x(}$71C$D|%f9B%9^6uZc$j`dA+Xw_{h04IZAiT27l2I~=l4+lQl<-UwlygFX&-p<mY@f7e!=EdQZ6mVbSa{%h?2FH%|l)nxrYYpW|PYkL&&%a5~)vEPf~F zEeJYQ;@dKCH+ZzMe?uI?%)noW3#8{#(1J7|I_*D+`k48%-<}X41i1JUYB9Ta< zrzN>+gG+lo7-wPE|6}eTwTJaXN(Xg=$D+PEevmaTIQf1i6wprKQ&wnGe7n^(dJ$k% z2ajeuwBipW{YPPo3YjOqE{_JUb<^goomp+|nN%AKAO`vh4|z+vCd3{d`WZ``s`f9$ z@lvfJmjSZinu=*sh z8vUTiNy%FXAu-JLYnXB6;^;A>+ z@y>%M1BVZuh&DZn=-lIYTS6g>M6%gJw~afB;9toaRs%I)wK;)EMdi9EUcpGQO)DTO z`h;fMT)RL$_mh_g_h3&!KO+f1xTv58kCwqAMdL+Q0Ec{n9)p2DtkrRx0cf^F zwn+_J>!d+$kFbMiklewqXSM)S$wQ8Q1(v{5FGr>oEoa>FUjxXPj{ri|hIzBb@>}httHnnNAP~B0K)d!$TWMt;gzW$9PewhxGIe`Vvbq*Jd6=y? zP^lB@EYoDh<^`n`T_KX^~FymCr`V#3}xXvJ)Z zsmxP{0tQ_ehT zp-trxlLU({bZh}P&>i=Mb*a|O5ku$ATASn~g$25j|1b?0RO**e;AeiA^kEs@cfqa5 z3pz_wt)6kD$E^YGw^{58Sna3KlgxRCDs%KC=&~rdc(Rmk^w`^-u9wG!W{Tj@HBOLQ zCxW%0vL#cD@Ag1ni;)P?p<{+AI3EYaimKFDoJ*fYie-QGLCrEza0S#mrfb0l*tVnt z^?}81*&`>s7|D4umNd{vu4yIF7JF$m(UMVNnQY_BWBI)`hDj{NfQ)3*bZL=h9&MND zIae=0wI*rHM2Kor$K|KF&w*w2c1D^~Gm`Sn?Wyi3b}_fCObn z7CL?`Jh|GuAcJ9Ew>~zD1fomt$c(pD$$rP2(RqQ)7HaM6IT7U*MuNu>dyO-v5dxOq zUAS6Yul``amIbwKTI#cg19ZB6<6^WCjE8)ZMAWMaDj8ZTxeqCGX9UK-Sz;~u^fpsq zIy9S(z&GFg&=8Ks&s)UVvf-<`a)wEL%wxbe*h%lH`|g#hxv1(i^iqozHPb8Hxx7+r zcy@X;o%eB0?74$CX8WMU`Ic5kdXFA;KT_xu`**weIYTpJqF7o4ubFK5^4Tw%vFx+x zd0xfz`vJLT=G8ZY?M5eyd1AeGrV5GvUEQzKGuhsk|6Tq99eNd$UgxBv&?hM70$aDe zwr)uq;j`11-5V82vLPMs zC?0St^gaD->4tpbL8y|$54rJi378Et_lcE+b5MI;_jS~IeeKx9{_as`XQCWJQz*MJ zh#SO~ck(fX$6ZYL;Psc`Pi*0bS%$U(Jn@a7VhORvA~9%_kPKcI1>v8A`7}%2YTHD3 z7QQ$RDSFa97T6x2{%4TUfk5CaY;Uq27NyF-4q@Zie0u~-*>MR{h?Pp%%k7cAS&~5J ze?;BCGwk1F#>US1->my*W5M!2H5N1KwsFgB2;aH-fBd4TN1}JHqt#h3 zAXOc<1ohF{ORRqT&qcFGn6pC)L4b5=S-SD$u8tYc#Q>DV0KCTzAGLN^7ETdq05sSt z$$8)3@K*GQH9}lYq|FfDRdyg^X%-87P!vj2od^(#9@NCZ?O$IU6Z#2e|G5FxlAK&D zyWD>~QrkMSlt&g#$*xO`pbe8Ch-=K!1va%*vjT1Htbr7h-+ zIdfW1H1xOi)BY7+&}PnrB@8*Q8A|f*E&Fqo2C-Bd(v%AIl3=LhLK8`CiHg^fyy*{7 zyYr5-O5~?7fnUNnDcB6&>TMSPHlXCxmnd_W89Sz$xqfU&D*`uwE6PXt$8meqIbC+z z@X*Zs=@g|ke1RxIxLJ^Xy{Y^%;j&PQD{Mq7et1u@|Cr@aSUX)n*kf;0r?9-2 zxF?d$0KbzQu>+Dhc*YWmw<6Ww3?T3JiJ0Ulm0R=X_Tf#1g(&hLUwHs@4@ke0c1fNObZ3|Mt-W_A zt2EcuC6_(;B*Ka1&e@4ey@IRk=l-Q1{S^cZo{ao0_Lg;fJ0cXz#Z{aqC6k7b~wX zglc_!=Ze=jmH1R&!@xe|@@=+9UKR*uKbx)s%`q*5SrUWjaiK8?add3> z0OwUqdh}0s`R{ih0~70i+=ca@B+dFik@U9K#b1(!`SJ4~@!P6#8H(ne^9v3kvXCag z@ud(#1(Art0-`MWdbn6$^>8QDmnV)H;n;+LYnYG7Jnv{Y#|riPY*2ElpFB*TBR*XBhl zsh(BmdyV~UB2G~hX$8W4`#3*2e>$f=gc) zvp}O~__h{ze1GijY>6<|K?Si~?tTBm-PdCiXxwAPe^NJ& z_HFDyuX#GyvgiqLw8|N_XR$6h4Tfv6LOFZb_#N+m!{hkt#`HZmfp6xL>PkF5nT1zk zLt7BAbr#B4JN^*>iA+J2J|@hjGyrq~$V&-d93?w3;P5u{ug=e#%w!|1QdXYuO`_7! zcoCq6UT*eZ2Dsq+#dKSf#R4D=k_+R5HqHM6n<-02#`HW23;}^23k5}&4*_#fJX$gl z^|(|pS^Ex@t!%TAK`A4npGC09Br20qQq1Bi`vf$!LI3YL?ai3qR#IhwlA4)O@-6?<>1 zWCTm1To>!992fVAO zPyO|c<=yi0={*Aao5rx9j?pvk$_>m&TD@;`A$0$PNd^YN?zkmK6U8_w{O(Xs>!)a< zFB$f$c7f>BLeYuqF1Ggm+ADP#o^v49=G94;HqQayp7)Y2yL0{6t*T+=DjxmSH8{np zD&#k~QE?q^(VXYQx|X(rp4hA8Rn&Oe*Vet1VjrFQ`thCR5Z->$PQXaYW)3c`o#6V> zB!6GZ;T(~Vbhb1<)m1;t92~7nDMaDd^%^>usjrP+}BbVk{Ty^UQaj^l*$KOc{QZa9TR0wNzN?Z zMK-=!+vu44rsK1F#0*L4GbZIGRO29Vxz#p3HexF>lb#0hs_Gch(dHo)e+w@mFr_x= zTch*os-Qu=8lr|u+qz-=b}Bx3A`&|v5U?!IwoHzID3gz3$0{$bwz2uDSy46_wV&%Kt=Q|wCnT1tQR#e-xv>ULWAbEhk_8{=$iCesF_`^$G=vZTs zBeFzVecU>no&AQL)@26H?peeX{CU+|Nv~Ess#ihR>=eH&!mRj*R5HQEf(CU$AzqhK zgYW~{QyE!JO9D?x;pNfhTpI1)Mf`NP-x5?=27G%q7Nji#9Kx;A>YOU7yJK78Wr#qe zbihaHNQrQV1=}kDm57vAyX=nmFpaV<8&Bii9%$|+6b1zHV5K;0C6WjNRPP(`UqVUU zHCiwXbm!<-?{ZCsUrdhRfVP%{j_El>OV3t-R3tRUu`oGCMZR+&6YXG7TdnId;*ks# z7+UiPn+;GIB)H;Gi5T3ABo!GXs^Wr3FlJn+ok7Jyd1oZU(1}QoI^j^DNl#jy7Fe^vLpnN2%-?FG{X@cn=k|+ zKy!veWfr@HqQfsvnt->ppb}?|N|(<6o?|oRfI%}7U6Y96Dp%1AU)2$i4Snp&$Sj(@ z4v3^mL)Fm3h;wI@WAhoiB^tQUaT-6HrlV&=E`cnRZ!1~K0c}C1QadXJ=NNP__|`V) zq;aik&`rf#>Xb|6K&M7Jsrls?RFIjo{)SA2hGjBGJEsBnDVQce)+uMolkHJ3Nw=n1 z)fi_@mHsU}=^Jul@r_tz5qS zkA(eqa{hnKDkuAY6ZW6{&iX&``<0e<9IhywAAesVzI@$j;bmvA2ZSWb)gal_VLpVY z#Ryyyz+{LCMAYkxwYvV~wr&meDsbMpkw7E^}^xD=!WqBnP3*A%q3gEfhL3w6${#FAQFk^a$I?RwAY$ z>jca&3xP-%;10%igp5Ba5(kpmj#{@XmI20%N+wLaFarldCUd5CM-s8KGonV3rRbK; zf3Kr)3eq$Q^G+bn6@AvxID{}yz?>x#=ZInfU`>D_UvUgkJgET*POD5G6!oTbA`wsJ z9jt3ouy3}bb7vg!dn?or0@gAM@_QS)mV9t}=>!boKp6-nTPs((mq|2!IAFe=>B)1~ zU*O;7$^XeqN-l@_NpqigdNX}^3w#M7quh7$5F5x*S}uThYm@^=^+A?71mV!RewOuq zjc85AEyvM{#NNi~-R0fpx8knrcHOwrZ^oi*0@TYVHAwy9dD2J`$tiOEi;ybR@ zSqfYtRafv+cOGNnGIqInX9iPNIuLHN8}zwhDN_`*4uKP$To8VONggBY%e_VsMTGH+ zUR!Mh5a_L2T9Dgx@mr4!wCIgQY_V|RE#xi&Q@$5x`Ht@XkNkNzWqp1+_10T*HIqWx zyG^o!%eShHzhZkL&B=Hw#)~ zvnVR@_|?eGV(=2C&Lb1ytVwVA$CqbT@-v14o($qGBDDa_yp-M%j2@KQE;FDf0P|VB zv<2&RMI_BiltzaJxJH4pC_bS*2L+XZQCt0&rgTdjJbwHqmX4etfA-g#6ZjRPO|Q3g zcVD)rs{}tw?l}OP5CnL7OIRhes1ckna^WIY*6d=%TdtJYIs%;p>bYDVb_CG1p=RXi zXW6{a{cEX7PdUpAXy_Itk$xhN1+bAD-f!-k4!@FyY8=J8Pj70^@AORb?8fK5wqwF| zq3j*ihtt83{sA%8IhcXx=BuFl*d(xFK_4ZY8qiPM&4u6MPoUO{lZ?(~?b;sSaUztV zoGB*BJZ7p+x?)&n*20a4$bz@Q@j4tf2>Lbf0%o3wYJUEDOI9DBWlv#XeQgrCrQAoV zsyA`NbQ6EtkmOv0sZHOOh*~llMAMd_U=*SQragPu2#9p8@(^D_2;VTt5fA)rE8exP z1oc9NOYT(i-wZhh!2ze*JCxTT&%0dx_O(2C_)f;*YT@;-;ruvD$C7loXS zph0QEFUTE!xXK)KL`bGFubOY3iqt(0efF^g855c3djopy>{#$`9PMqJ)dA8V&B(azF=+>a5@N| z+;RcKxbXU2FN!8Kk4ex!#O6pmvVRvP<~;=JjW0i`OghyfJayis!zyr*_4=;Ux%jZV z>xsgjPHLv??tC7XN!%7+qKKb}${G5{Wa-;({TWP;QXH*Ln6PJKFl)W&7NX)#Z=v_g zPR?;>$Z3N3WYcA*5%`L1SZ2p&2A!jnZAdsv~o*ma+MFdwTY zd{XNjQ8JZI*qnNIhgAQeGd$TP70S2k@Bux1=u*e|d>jo8CFN$z6)qGY zNujcR(5@V()WTgT;j3)pawYhGX6 zo}P^%`$~mHCJSHDz3z6lBBu6zr^VLvx~z+mD`fpSP9`fP-PX0z!QyLPs)OTK+{Ha( z6QSY*ENj(8!B?i|^H|z%a*T)opB^1GriLT%dcPZwbUv69$G6GoXb{CWvF&JJWx@}o z(oZfqe7R*~nk)Vz*)h%IMb9u}HmUi$77pQ`*8Zi~N_S#6OCi#}$)A&K)5@azPm)`k>+R z@jk}Z3G>0;U2)9wd>UFO`1Ufm>@EOKe_51UXU!)BY)DaTer_>)Uc)pLv}OFkz!X0W za~w++bI5iRVZ#~nS#bo54UT1Yz;VvDpX144#f(lo0lM_0>(4y_LJ3ZSKB5ge;*e9C zN4gXzLpR`O=l~dLFGgUIkq+xfd;c@W@S?;|fqAS~sqoB`W4a1>M&R-9TmQ}=!06GT zfcJn=!4vBjy*L|Jgx}Hpa8UmDLgR5@7Xy+x(6tAh0Ny!J3NenfNo)a1-|XFCRT^4B z?IIH)h>coMK(9-2prrU_p{8v}de31vPK;EkMen6rO*KmD2tf=Lw^ikFI$0J~XRGAj z?XkU12-ukQP--q}>TaupUZ}}3ny`~n5DUg0v}S@YzpwdsM$3`@iCF(BF_@Sc8UBM< zZ2v4V*#4&y<4Rl89=8qEZ?!Icj9Zj$#&;r18C)!q%~k~v(f}ijMzmE~I)awu&Y; zbW#Sc!pY_lSQE4;!&uU^)I24{nA!74qr}&$nkk7`w6GefI-@$sElSnw-76(Sr{-Q& z?K~!V`%O^B5u+C;Y?2b@A;j?Yb8qZ=e^0ecpio7H&SgL>A+d~-ll=ylYL~a2=N0hB zZt z&aTbb7qU0m{yvZBjcl`>F>yk3m9`mvb-UD|{5BgEdV+e*hGnaLzaY*ZyJ-5F3{5gA zQ6tkv8C)dY1j!P<9xPq}s`aXhx30VNtPM-xyM(oJW3jY)uU<~c_ynjp1IDS|w-Jf? z3mB~iE9<-RMl8#K^JcdBY;kA<7lMw~I zwy#u?9K*-hdyp+yG`^wRu|4;*H+rsI!-wN3kzk$R)%Y7fi!^*WDd3gFMf~F|G;bu3 z)}o~W6ORdt^q8{fy~s(=pa?Lj1`5wU8mA9-AW)QLx*q)OLuA1++QhM41}iCZ#gzEu zkfQ|2nPcu(JWQ4?V%!s?3|fzE37armdOq@lQ5RZTa%Hh1M{Y1arif5Gb%u><@_@*D zN~+5s)z-J;d>h$*4Ok-J9Loa_9=dwj||N@FWu(I&j4J zQhk7>lDEs;hFffO()z+L1$WA@7rdUD*tfwCX7gJ^tMAjquIUXBN_=2He=3ib2E1e*CWGR!jve_ zQD}|8t7Nx8oVnYN;T)RRS4Os zU}n4Qnl8@}jZ;hxCO69gV;{twq;d24KX`Y#|>EBy%fiZc@rkln3o=X8zacsv~V7r}G6zCu| zRbkv+mg)3Z&ywkfJyaj8=ODztNbu~iqLEj==XO|LeO`?D&PxYIvz|>waulAa^woXe z{Fo*ADfNvP2bWm0P$z`gi)RM5EpXv8hlU9CONhZKJO~WNQT=s!rY{a1IT6FRc5Sf~ z_c=a$Ps`=0jCrsDB0!U1cV7-q%aPLb5*yABk#kL$FR^WgGq1feNc;+I3u@h<9!jFUC-p=hhfu0yLvG8Rj+Wlim!v!gh8u?rSDqOZus@v%mLJR=U~??bSJ-buKIRFZ$U2S4oG? zSVOfY>6NopbkZy~BIsDWU~Yn@n^bmvC}wV6F<*Gy?K32)MT1`cXWZ~;J)gGbu~jh#e~s(t5u^ZsUh zcuW7dGIRAHtx({)4rdwcKuh5oSC*S-{nA!JTUYTaCa!NGhAUR*Rg#p1Pg=k&T5627 zBhY4mu1p`^e#K_JgyrN=Lb|p<%Pd0+cRLf(Y1EBS1?%B}4YD`ec5b!&MXdTMHBb*} zKWz@t3yRTAP}|#Jy?k3+6wlBvy}+vmytScFEL&l|Z= zA2tryEVC}80=FqMJ!CogTz*nVDC+WIUy^JwCqw6OZ6vx(uG2F$h{2G^8(@dbQjU}X z_J|}a$TD#iZC!}QtlhcT!ZK*;OH4G|WH^OZSXdq|pLK<{fDm|NR=$c)%}JCX5UL|G8LXG&*Wx`*!kHWD3n zdTpCx-SZmb_&qUO1PL|DKu&gbF*fVN8{=xWM{Gt)NY7!mhnq#8Y-~MLKCccq-MuT` z>y8&amn7WCp}0F7-!<|;=J|V>#DJ?9C%(PZMQxd9q=))bA2YwJ)*o&`YOzmF`t(ow zB}$aP)SmgOQE4!9W$hKr#phRn#KPz>es}!geVw~2?UY0MrjX&*np*YH{%*7sd# z^%LY7b7B_w3~`Nw{R+0HzQGX`WqvB4g3|qFm}TY&1=X!nd<=dbn6SU#ESOJ$m|Zgs zcu{6;dY@(dR`oC7PWXj6nS4_j{EQM8MY4MtAe$Xvjzmt{B zE_x`q;_m-p&WYskEhjF2|UU88`V-`AQELsWgs?NF7nzFwJDGYdAu z+PcDmppKy0V0E`Pqh@Te)$C0e^g4sC3DR+HGh=(8I-lROo3SB@YOEO9&aBYM5#q=B zL>I3urvC@O|C`JIRgp4rGX8fFlI@=rDck>6k!ouvp|&CZtw@dV=8BnwYPytCz!_ST zP{6!>l{iE@lrBsG$@_ua_NDxMJ6Veqt;L$W4K$6E)13(4%e9qud+lbXFXW&Ua*Fvq z9iENIL)*BdR(ZEQk-0A%%3V*BQ@67owv%wj_r#r|OXE{u7!nD+#Ml=%5^4+SOcHH_ z5{qn5GcHBKSh$x`;G7D%(V@4;X~aau$o_C8IvBS*pk$2aJJiW=T)P)@+oKO6`_k$N z*+7yr1tiOGOuHDTqg+K}or%34Ws2z4R0OQ(SW+h>ksgyyAVu(K6^@HZlX#VAC&Fr7 zjCvwvqXJh)xIHhgh5sr1lEN5g`|er9B>I2=N|VA|2_a|Ufukm{$=1`p|h6vf}xO~ z^7xS!`mV`O@%J|pH-E))d#_MuRfg<~vK_6LuRrw7n+$a|x(HT!8_8#F?|=Y5hWmJ4 z0-gh}Jz784z)BA{ji0k&fi;)hi$W`lCSi1wvr`m_et1_uA=PkSz%=JZcz&DkY7+1Z zm+)T!8DPfKonO0_I5o_BFXY8QFcW*vyQE^KDRpK(3)qOTQ0qS(>QebpmSW!XW}VvO zZBy~~`))TWg~&oE1>9SkxPC7d{$*{0)+e9e;*E*F+1|hAdhQ8lmIr5)`{>NOFwmd> z{$sKpK*ct@g7hz5um9@3tVwPT89wS7y!~p23fR#pnJ5jlf-!;ob& zc!vM5fXT6(mkI#chMk1I8dc^Uw1qZjJb%tTyMY(wPfX|=TeqN~JOIDL=x0P+Fmwo) zMs!q|#WFN&E3ILU*`>02j|^+SDYuYFD4kb8d7U*_20TVq0Ub%&{ONzb?XEd%T2K8U zK@9L_&;dDuyYev*B}`lsLJF`(@+9g5fD6FvALc(9|b8i!n|5os@H2g(nO)&p6>be$xVzQr-5HmoXWt z@;+Qr)6%6mA0d(0-aCn}Pg;#HTdE zh=qj=8uVxZNO9dy#VYNWg%*EWjpesxek}~xw@}RBSUTpH11dJ-zRY=}mAOtb#evAINn+KFWH-8=v1zxL)F~PoV=*lfCfz*ZU{rnUa5{GbJ zQW&Te2Ri9ak(Yj*3+F=9i+@VdLF@igtx*+B8;x_Hebiw24rzFZg|t3KHi`BNq4Mf8 zD#o1Ry8ua12r(3t(3sT5P?67gLtA&dSJ=td;_oXg!Q+kAB3% z#z_)y&Z_DV(&vw8U;^wajr6OIo|Y+@nii_vKzb*pIHS3ud!DpwSP@&gT|W}c&wEG_ zG)~VdvdZEyo5Au|rUS~RC^owx3-=>VE~IEf^r+s=B;JDu4sYquaPAaTJCan4@~VhZ zu*A9D+D)w3qNhh6?KQ;M(BvrB^4QAF1ZacAI)GB}q>FJ<@H!xJiPo_$upmYb1EB_$ z8BX4G0gFDX1BGnMpe(T^m9Woz`DnKuw1lGU4s8I-&=0AA7T2ijY7b;_IVG!GFy<^D zN_-{(KrHB@R6h#Q6#}NxQ0N2 zyF-vb0>Rzg3GS}JC6Ev-NJ4OT5AGJ+-66QU-Mu$*a^92wyXRl)-tT3tVY<7jpRTIz z>0RAZGxOX`O-3y+MSMKqoiQ3VZp0NETg=1bHyPp%VVeRJ#zK3}K zx)Xaskpb|y5H0%bB)|h{-Qpi^b0;9RUvC?bf`U+#NN1k=nIGy;$8MW2q?O8^)!H)+u2d-(pjOE@?HHKU8<`$P zG{TGfv537b=aM<4gk^>}mVb$~zK+oqg-sLfgg6H-Z~_}DIw^S8YW3xY0G!KHVV}_& zJZHeG52gYUX5buT;%g6N>;{f*83dG5Gaj1bm7F~C_85(JN?Dw!hX}+PB$U8 z(4MJvJwvj4EZty}G?w8c6YpZIiorfr)40j7=7AABwsrP~L-iZf%ZoC;>sP`LgDf0RDLC$G~447hdc|bOFn{-pf&+ z;39vny@t%t552^&(qrQxk|v>MI(}@Wr-{-<1~(klN{5cAipwjhWML$XKfYxx+H8)e zs`8}EAF+#uVzmQbX{PWaSXA@j1yP{Yd9VEf*1_0rPg@nVdY#`~b=mh?3O0+F3^jvz?B>Ara}o zPw{(Gm))!5Ry;_8s<5tn}=g&^vadEMkq`q{BzS)Q z(Q)ag3{51L9^T}_FV7Tn&X3kwcDE!<7e296Z^F@Y#IPrtLz^UpY6s8?zp%%Ovy!Ts z)gGW0Vsauf^qbD^-a9RxfFj_+Bg`Kf(Or9u+Z)l}&&gOGSU4?u>n)`|1mk`my-rPE@9V;Ii!F z4%}~i`xNi|ahtz$pnq}%?_iPIN;0c1lPS!BPijL$=*P8QbZHhRt$5=F^XqBO$s$G3 zFzOIq@2Y$mH?bC5=|cA=wsoISG%DE{MW5s@VZC$LVxVI$K4R(~KRCSF6R0`W1y2}v z*R--6@kfT~VvNuhi>Il9`H_QjTAl3{%3opg6xcZkBej~*qqSNZ4CO|BP4R89vL%=0 zc<9F-i$6t+VXno_UjL{RR+!O$V!Tn(Ykpa!QYQuHgZVtCV`A}Ab;CB6y`TEx`89w2 z<}3DX^ci8CvBwgP($QZ^($BC*aOyaYKzw;G zY~||6e&{9tx`t!D$8y+J;*0mjL0Nfe2E}9lMMfs$s8x7OLmo4VMuv%}n6a0(^-=Zm zZHePdt7A;7*-1IMgKiLq>|8ZeW#JJxWa5>2D7$rsW+#w}Cl8{v@a+jwtCY`9~p znDJx!%}(52%>E2r4&1N)Y2}Jw!yiXi2JUe|3eC&Sza#_sHzh7}tR878=b)Ky>n{78 zi)G8Y#;O?1^+n5{(>oRM%o1tT6xYUq9oj&!~>0Hu$1ccS2 zOqt7@yr_`A{p`-^y=j#x9>vLkq_zUD%ql^Pmv8B+>^!iXRlbRz5FfyKMXQtC4CGQt zZ!ZjVe6Z>Y5Tf?};BZRatJ{i%@k+MggEcYA_x!34%o}fBybfJN=|u3<^KuX}AV&-! zK@}`BJ{GTpXq7RCvvQRosSaQ3_CA9!^_vWsUnveMG7FYX$62u+X<6Oy=8?qkgN}77 zM)(;0J+}ddc#>uCfRh39LrbLA;dCCtv{y%evXj+Se(R1cUfKAZbdsUc%^NR<%64eQ zAMc%&zmw91Qg{3+euJq&%Z+A8(6b>Q|a?8 znneGJufOX^9n-6U7qfLcTkBt}gbwiuYAlY41vv>b(snrOEc%`O<*_zvIU zJs0--!f}@xtc$V0evet4AYy;?W%beEM!_2T`h!4xq`FE=^7XCym`{*xb*Wa?#fv{* zGO2CiuX>M2AbL@;!DRY1jc>b>;a>(->?hUB!02)ibcvfaldFf1?i32P5C^Bfo&Q{AoRepyRa-nk{C<0Pf44b)TCzvOCq{lol2V7h zt6#P!)|XBbss^R=2Cht7EX<`xi{s5PXd>2ZGnj`RCDCHe`Q46+eNE33^ABS~o!M}7 zJzk!_G~PIv>U1VO82Vv*V?O~8&TEU^^8D4eQS*q6)gH(nT&v3*M(2!7U#1K{KVl1f zzC|Oe1!;&gh9g`AFP!y>QpRHW#UL&UR9xxMz9_WI@0nUzGWfY}=4?^e4G)W||82FR|%~--aQnKl};4w}w{Dp}; zLgCz)sE{>oJUN2#el*jLJNU-@s?Y zxZa_sC4khb1_|Sh@TP6UXosjd2HavMSc^ywLVhnQ2gH|CShIAjIc50J}7bCIGmy~%St^%{GMplMRJMB}JXV>y( zK3X)FhaX>)_;~4Rux}9gym-S>4)HVY>zW1qQ%K|^<4bpl4GvxU#^UVjWG)X}5)|7U zBa&=Y?AioY$_2`^PgclkQ;g!>Zbq*=F=HucmmRBp2DVz4>d-E8Hn7_QRFEk09+`ZA zBdk_mauzZpRW?XbwCIxYe^VCRJ%JN#q^&lxt4 z+pL4q4;T?GWhiy7XSvwclY^pF0ojYpcyqR_}jP|xaFN&xI?OF6wi=kG(XXf z?d4b6S)j;j=Nn^0(;ne#(Gh+Z@e`XeSU^0hh0|Iw?Hd~P90j%bGIc&B z^oK^~2u9QKzzi4awFLp#N}iCE6qP4@npcY&73wEV>p!0RI0yUThPy~Rsn7G!rL6vH z6Bv|T7%EZMnKvDW?UWI6WOf2i^NXiR%=7!+hl0Khj}*j$DD6GiY4+Y_+3c4}{I1ka zSMbr(GOaZ(6*v<@W3R=mH=64S)ePlpLdNWBr}^GaB70VJrlD0BEo4|+f#c%`32sPD zD9-QUXX&dWOJ)>TKX%h?}o;YH1zyEe7W3MfJg3ykVard>Et3+FF+tB!Cj&on8aUpZ6!54KY!>cS9X{8!pld@z;(bN(6G~q5K zCf)>+wz=eT!=6~V2|>DInHG*`b*LuJK5O` zXEFv*nT}E%by}fbF)q6buT1B-d+&tBpccvF?qH_m7Kw%37%m%~m}V!Kv?i>|8RshL zKWd;syYV1(t9PkP($TNS|D}p~xnu3*lzWpUL8PGq@3MF^^Co@x+}T~V2D80-Y0#B- zP4oD1^;rPMlR#xlhe@8qw$PH&1&oo_?vzKAm4xW%g!Z*9oDkCWZv!HIb>l^Sh1oQh z)L+Zum~gD9N!1&()V+N_w>mX{rA2rgEkujONKN2_pRf#@7nI?!SH@VmN^`_MmH{uP+Hv~b~dLyT#c#}fYgUODMKgiXbGO!>a|3ElajezDM z?>+o^44skly#%styHx4fFWNk?*FHI^KahLGEy1JD+h+ZX%GN0zcSg`kAZerGehB$6 zeShiTEvrL+uzaDb_EEH2^hHW_J0U;Ureuai1>zKHaj=eP6klz%Q{D$KVQ)Uv8a2g$ z?wX zCH{H4@(kX{zEh6(eMkOJSibOtXVjCUDGY|eQaI8SKTiG$^?`97tUrtb9YTha5>)Ue zhSIVy3O3^dH+NVigtP&?U`)?ssMzYX@fH<*r)fR;pciz$zRKm#$Ib&El@+ui#yzD; zH#Z1kps33S?jTFIPoI2u&NT$oMa0%`l$Z$1T$+f!%99u>6#X)y&WMH$5raoH8;`tfiIU~rAGZeL(!T)bK80f9K~1PuO~$fw(W!W1 zx;^Ge;U}#U+EA$rnN}Zxnk-wc6~tN|`{S`JOlAPZL?O7W+G%Mslvq#R=o378r`_0Z zm}2IcqXc+>$TFEIw-@%N4P&Tg(@6HMa@R~B&7H4{E|6(Mh>rg0A3ZFR8JQnmA;SL8 zMKb%pS|oQXD~IEkBf2MO_J5qtL}Fli+ z4(2izv_w_d$?6NvH`fG>GVR7>NxD?Om{7!CGcjcvkK`>MkF1FI)Kx=cC6yi`O86Pw zthol#4jY>{m^3rd2fX-W>PL9;pIcno8j(Kcv(e2VKmAr;XEBG~D|bn@m9#OKJwH#o zZS`79OW&bzUaQ9@S{>bcE|lXX`*QMRRdzSKSd~W;=G3#8u!)yavtP|LLNp~*yK-2k zKFo@%B8r+_Ir3Po_tms>Z zbBW-Q%b)_xX)sdqmq%j<6CwM%4y$}B?yILSm7Tf95hoNsJz`@5JR6oq1l_}^izbxk znSvrGP4qY+xeSK-*}1VRY!6s9-^3n4{6?i6I0RH;_(;IsR>|WLrNpCC-00B?*N4)L2ZB%v_zAMe)X9nZytaoI3~+Wl1=@Ex#c{d&-}l=WAKe+V@ufFq8qR?e{WXkZcu%39rQz z;2w98j3vh`%w3@n4AZ`QK{S-L2S&4LO^%75wvZ3-V2)K53g4bmacHwCe}`Ws_RA(x zB(2bf#~I?3-GN}wHl3qPe}SFt3rYI6poAqP_~uL5`^>p&Vx&)&*>pog>ZCa=n3fJ= zE=FR_h*~yMd5ikZ!{jEeVg}R4+7K2Y2hT`*7%du(T5-f06)hn=m&CJo-!cBA=;{{H zTMZv^rWIo=*4n_ww&vt(cJm`QgkoROlH6O*o8ZVTnhaX5!bkVPa1rKq{iu_~H|_1^ z=?rnq5rkU$o_4Nb2c;=q@)yz@zaTQZ(zb*^gN>khu7%>#Pj(rFkAi-F;p#9&Bwiq| z&#Pd2f?8*z23_j;Fk<$Z{u+SV?a~y)a9msqFf5yT-Ch2M# zsajHgh!LKZARJw_rm8BDpMDc9N66x|RYRnPQfx-9vuuS?MM;7ZeXK0jf+KQQ&clvJ z%LhH_6Qi=myb26-VwH#H@YNT>oCyI6%rO1d$yUS>Bxp6Ys>*bf(+nmRC=rN}iUdSY zpe0eu6G(Bs%t7cKq;+vDPuQw9T#W^Kd65cbp9I4;?lJg4kG;APrh9bCF{6cEtGhXW zbOK$m%AoQ?aoz)mjTgdT1Xr3PuLG-1CB_>?Tz30B;+rbxMHq?=4*o*0%- zJ9-K0I5TvCq*F!5NdW#dd((5Tw8%@Q6)^44IT57XepiFYp3TnqbInI*P*2)v4j(1` zkoi`UClt~jjn^YFkE~Qx}36)>+L5<*j1w1FjXEo%UXnd~xCD<6Do~s10x!NCa4G|}v zzM!-N%ZAW8Xn6D`LR(_{uoOBxoN?jcCJ0{RY)Pi)9FT7IceWq9v zg^TPZSgB%DV#X1|@o{+yY|)-psU&rcFIo4D5eT z4SSpdGAwCxJ8CZ82aG>Q%-14UuixdrF`!33fkhxE=J4jTb|@P#5qA3AHmY*$`{BlT z6^ul8M|#UuGrH~6%XiyFK|_bddqO$E#O3@sr%Rb-((bW5Y(puV#CUJusj6i+gvocg z*il70VNK1_9xO&Trl^D37@;?HEJPDdn)5X$fed^u+I_XWbfo+jk>BaXd9@-F@|Pgj z;p5*Cu7@i0Z-R|hJ7kCT6qh3+^4rqBK>PVQZH|`d+X!z91m3IB@?U7eT5c)V$kG~m z^b`7JnZa+B5Io)*#rZiYnS$}Ax|Jst+S_|2;9)-EMoCyy4BcKyEc4k8?pw~3QBFh1 zJ;NxeTAg``WHS3YN!t*5-tkg%-SB0_w@O6%s`xjdR($Nhr#jazUP-B?27T0Ik*ntEGz?BZz{0{>P+gL$tFNC; zSVg90Z7v_DY<>Eu)Kwt_W%lIPqp%(%cvu{Ao@((*PfMM5?~RlN(>U7CeTk;2aT*rM z4i=8XYsJn=#U25iG~1pAWJ}J7NTz9wCIq$gY9WHB<|clzA7$-e)f|f5&o5{i2VA2e za=js=@Ma<`gkWI|>m9bC(wnvRA78k*W`~2PfkSj!b+Ze1K)<7_SJ)Qfe{2vV|BTMt zEc_Ab_xP`LbWa}(#zhb8UoKOG29VZ7&>>X5GLr6#QYoMjT5C#=fIQ^KSbL%!#1Hu* z!S^#-W*7kl{Qy38AAbq|FCs1xvrA4x2;(fR!^E^A`ij z_#aW}RQ-c;3sSP!uqAmCFtH7tGz* z+R186nL#@~c94%m^_QSH?VZ2Q%Ys?x%Ydo5;+C%D6bSnw7`sE5jSs8Fok! zgkFDD_5-EoF{*N2GxZ?DekwghY+r7Cn&AGn#yqvia|-(ngNBQoF*?6cojOe15yKXD ziVVnLQEfSDcH>;!M2p>yNi5$_zVP;j^Ik>D6?58{wC#~TqOE%K#Pf8lbzrflm^1(I zvOwMwC{~7v;V&=i-u3$H)mI$!|K`^*vX3>BJ0%fB>TtKHU^(O{-=+WKT>Rv9y2<-S_$ygOgLEVF|4y(TcEr!QoRB^LYGq?cBpe$>Nu=OV#h zzOTV)@uEt5ndrx-b4l<1FzUFmS=OzV0-bIOj@g~KV|x06`1q1@M#rb_sy9kS;-aQ_ zpI2U#nCxOT1;OyDZ5t7tiqamto^z4DTU|}##?mnkOSU#LdV<5t(vkX|#sJ0pSld)4 zHcn4f*2tVf3kkBz+4R@Nb(Zb3&)UIWitRz(Wa!lazYvws+AFV3(2z;$3zOZMw2iD@ zeF^XHcobLRF$%$jeu1x}v(3xaTo%ZG;r7VoaBgwo7zdiTW%3!yu)Dsz5K}m1FXCLl zK+WUgG^A>;KG-kE(`cnM4Wi>oC!@WWYuV3zs~LKzJB0vUFAROmq+QSBSy{oA#b30pc0$ zIArVNBNYu~6iFhk&j?Q}J|W^+7r|D6Guw@vCaWQJ9lTA9guT?DYUAVa8uHTRG%gl* z;#9JV9Sy1`jV@AopGrDBIJ{z|$Sv3;Y=6)##MBhi5Iso2!>kwVu0%@o_B2s*s8Pgz zZo6c=-`a(?oei8P=IwiTY(M$uwzy2a5T-u(QZR6>N`QF7*Glc?yRARd=PH+DlaPct zOfUAZbHd_aaSUNrX>|O$kSJFN&ryC>5MvJ&%^|^+<7(@`mIobJEc}pJ*TFcY>(ZIV zIvriP>3bDN`pwVFMEi*TGeRd48>UV(+@BxY`^<#f)YLrdDp z=u*^YWHHe&RK{HK;)Q2F-qjLL+Vtmd)kP9{sYfZl4bQwuopzndq4nb@Y|VN;|0!nX7t8h7M<%4XKq;k8{4(?RmYf+Mzk^S5|c%EDcrMZa!#&ZA=lyLh0o z`MO__oR>NUP(95E2a`lJU)gXneba36iN%qwD5aXybv^GUdX%VVj6R7%vFor|s1C6f zf0iUmChm(yMA{YSm|F;ze9ka!;<&RE zF?;qprir3PveM3K#_}D4@3<5CIfq=Az|P{55cP}C)FR8OfAGjFJa1!aC+no>%j{WQ zoD*7M?g`*p4O`^cAZst5=B-}Pm;J{S1DZNO8{PCw50BFT7j3{YzziejWT{UlrEREB zC$6t&q|I;PNTdp!ZCDu?iP$(8)#2!5ENlVaEFq!?a9Qh{+Y$j6fii$%eH#lqYh8UC z0Em>eg|4i=ttuVhV<<#)!e#)t*F&IjB5Wlqp*{{JTD{pUgc|LAsgpppLSJ4UwuhE14mN7ZfLG2IT-zYQ@);2oad zZNl`wb18r>3E_uJ;IN8GIn%(s`!-&6p-$Mts=n1B16 z!~fo8!hAd8?&Ji$NcQiS3Fr-;f0OgSG9*}jwDk}8C3H}7fPYXz@^$hjhxHrIkizWFBTW-^0aR?=&8vQ`xwhT}UPv&t^F&OrDH(XM z?aaquCqodPF2>;X+@)Z1-&DXXC26*=E;MgAuJ`Kdt|uMD!JMUo@$%*HA3=_VtEint z1$k?M;mHJll#sPTLC|6|w%R7ffNlUQOTr{G2NgXv-c#43MDhYR<)^v+6anOaDHS}+ zCv(LSdoxQ-r-*i1bbYeySQO*WX9T@-FELM1+3^p>fzNdQY=V0o(?#;}pyefO?-)mOvVX3DbM# zW(e>A2#J^<0d2#UI*QPH5@ZN~17x!ZQ)%wqz+D_u^aksStHP9QwH;5S#;%_*$Q8(3 zQ?sOnhbkyIGiKP7#5m(d(9#YcPMSe}2M_oph>@{$KAI+Xd{5K$G~{j{e(Ae#pmD0> zzGKqJS~)U)rOM6K0&@$|2tEd?T(4+sWnQoHr~&L8=Lcquj8!{fx4fb%y(evJuD~p? zL*~9?Dz@ebpdfs&NUxTPP`nx-_-#z3{+o` z$`oEDCcv(wLklx=r7&LLLu_g^f}$D?^+}v2DMuQ}mN##V+8S33UyX1SsRf2ZIkK>0 zRoNP^zNq2)0{c~#N-4X+GOmq%h|6Ax7MO6>&;kp2@!J9RWc&A3yMbC@d!3^TlM(S( zfoGc=9^&+#LcS(@JTKDS&3TSG-#$Sg66{ck4{gtc-X5ZQDoO9D+G1?Q@RaOpWq6)F zVFOrRWM<2et1Dxm!R1*c4p9CIx$l_eO;)WPFBds^$*}9mBu!8`a8_|^ZF0?&y};w0 z<$_N;PA#EI@f*-`jbC_yS9j$LtSiOqz)2QsuKn-XMxWa_pumVfZj9T z+Bl4R#}84kt%Kc~>k*`*a9&IJnu6td1a8qHLNh}#L(ehzxkz@)L7;;DIl||>BEhcK z0z9~P6p5H5yk9Ns?G>0_v*<>JKnD#Tgk56}Qly}*dMh!MXbW_`Oz61JHh=HFb zh*u-sf22wnxopC;#)|q(31P3cg)P>~q(<%yp}J zD5!fBnI%ps;n0-=Z$Z2-E*FEVcTAu@SPL0b_I&_mV#Qxt;8o zZKP=t*~uBw1esu$V4S8N?)?e;fdx;+cCfLh|Ntg6W+Bb%5-DuSYWt)J7hW{e(Vo2d$jtmtLaJ>fn(RAE3CZ>@5O zDTR?0?_Kq_NP4OIX6xWFC!sFR4!B-4(Z!kRiAsb@uVgf=g~O`O0JlfGxk>+qcyPNf z^Q2z_?b*h@q@J!jhA&RrR87 z3b0i3jAPbI+xfIo7$z~9Ore1l>LrafrLg{DZJN#zM3wDo$En_y4D4moQ|i?x>2X1=H_pbrX6u%_QSac!Y=ym=HLLN(FP73=!)Z zIZawdaaY#+!f)$AhAMg}HUHWVQ7y*T-56fj=wQNO`|5laS}LUeU1bXJX0SNjWO1d^ zis6keoTof5Lo3f4ONCU1(qCXX#T_2+yP1Uj7{kpc;jTN6Ersz$RHl2azH!Q33qaG? zJXq5R{!(mW;1vC`$&7U<$%v*=C|QAq_VB9nWC)FlDR1&RK0_69WZ_UnI6qHJE_h?I zv#O>4cI&Cmo0*f~(ga)m zo7fI_A{mR51xl80m`gb}H7Y(cx}#4q)1ic0=Fea*Z0AqAW(ifs+h)S+pgMWDsabkt z*t*tQD8+wNmyd{k{W#<(S^ITzd==g{Ti_R`)9}KyR3^I|C*n%S=f!lh0e*>S*<{Qx z#x(m@h)J;@9~{|WTt64lMT;v3_gS1~wXi{^ElBD1_Gp-9D)*&f;!xSwl#q$i$_Ep( zO{dy-A1@J4?yio1w6e<+_{HooypXLeYejBns!4ZnxEr8pdMPsU?p4Dx43D9=w3V%s z?JQ;Xt=2)+pQEg*BuAbZxLMCE8p#It=|0MC>6*)QbmEE2i#}e13Dn#SA5+U22p4V5 zS6#A}iB?;5viiBzN}Z*+HL=;TS4;bB2k~295oY2Ux=DM(Nt}_uPdj7$~Wfm zS7EtW#bX8zm75hmHH7>$%JGb*RD8<3m1r8{Gs*Rr-XldeyCN)4`qtrQU?$E}D+GU) zMIG{@;XXs}=?)H34^3$BE)=9;l@ySIFGIg6Q`^TotqDzFMRS_urAr~scwCibM{Loy znBMSarWjMkN(%m1ZjFSU+XQtTc^T2<83vtkLz%JbqjVb@{^iQ*$^2)+EsDksD{vm0 zx;~5PGiozgm@?q;ln3BxsK%&%2(4VQ_I`#@k6V^4@D3Xx6D+DwmxezaVC{m>;wGq+&;XOR09@=j8*H%tvOIwd z6d+HD3ys&#XNZ9Bm^ZseD`2BT3s}7UaF%>D?p;WJa$sEmH(T!!pe8{^i-T~(CpaxM zV=H1tY#H#)*EBuTz;4RTXnBhTqyUx&%gg|ZsSu61D?FgGi%hUfKCpe7hvf!XMLJ+L zB73(Qxkk2v+{FgoTKM}Xvq3i^?v`gVY;d3zB%KU99B7w-PKE;xw7mUoIS0(&^8=QY z44{?kZ**Y(|9-j0zzkU8-7oh5AG7@bbh!rt|L1a#fsqlg`1@_SHyJg-i6YRy(8aXB z{2Zb3E0UH<^p8#TtRq`OB$`E>cLgtww%qGP1txPZGpKmCJ3m(KFXmlV0Fnde)8=)( zH?^}Kj|l^fIIe0Jh0m;xd`3G(zI5-<%qa7;$hjx%rCffxK+TW*Kp&_{HlvK&g5aL) zDC5p9K38guVtpaL__dJg$wlsBX$GwFRmpD3<)v)1$=(y0bMDduJR_*Ok#7n5`=_Ot z7;7-aXJ2z)J)uZD3g@n!Fgy-NgbBYWeL@vAo8F0>n&VS-TDngWrKz~=FT=?HhIhkA zD5iEo_YCptc%*F1ihRHVn*)D(uR(0IR&_$>bV8s_?4pQ6O2W3;SU;MIdzbFpSwYEH z*emIwqqv;uu;yp2r#SPa@vv{-4$;*2qDyx6x(ey?jtBNl_JZdRd+=wbe~!{TJ3?OZ z9bM;&E7W|AMa3JQY%P0uVAC4DFH2}dR(IWxMOF6}5Q&qkZUUpIy3$`465Y2U@i zUO1y}-$l-yfMjcnjMZoPr2Syb7NZOKFiXmC+22Krd-$jqjJKae?Z`xu@IXwPa5x5T z{`2hFPjFreFv0;C|-PUKH0C8A9g*rTFp{7d496ix6SOfFIwC_OZ*95INH6vE*!<{ zShRqCIDIz|%i=O)bkPSr<8%}2`fF@SM)3a4nRNaV44qHk)Xr9h@&Vc5uFFfyPR5Ix z(62eCCzAf&?IJLHXD=?_U8=^wSRcKn{=r#5&l@;>EV!d#uvur9y;3x1^ngJ;bjB&d87lr-}aU2Aiuq+y9*eRV-n9z3-gWm=VaW| z!-z5D`7dMx33axY`Tgm-(7a7&32l%CtGZveJjs-=SR2qeN zwsjX}qV1w9`*IZ5=FPCu$1SjgRq1~2$knP}zf*YHvZJ}XlU^@YJq9P)N%y?@%H>tr ztCkb*8D1(^D2j@N^RMgs2f?~G?PEBn1@3wm<$}3pZHL8ibK%{RZoiI{PUt?W_(Ltf zy*YuTUP0pckny>xx_!U6(@-Y6?YgSR2gcnUFRozIL!8;8(T>yUY;C1F!`tY_+LiwX zgG0>219ytffH$Mrol4h@-LGZK-wu8^e0&hD>iU`WGu?oM(gs2c^EsRBF9CR!2^;(yHx1B6pfjlmnuhsoap5V zrjjtLWCH9@k~Fi?T_}0CEw7&c%H~$=bI+Bzl#-|$K?-{0F5@$5by~Wf-&kE1J*$i> zM9Ryjl7aXnCZV2s2r95wGATNMUto>wsA`u=ftTS6Mmu(4LWN{I^oL2wvKwQ`9Wob9 zqVBoTbwx36GQ4yB#&9wB&+in>Ju)v<6obxo^`6#6IDQ_G{4}zv96gvnjE=S_Y+gqI z5azcj=o*Yjs|fy|6Fle^?!$!54##jevp>xB3~X==chmkqW_!?0)`!afob4HbyMYh% z|4VSt-O7i`{{#o!z_X#z?+czK5ObpC_<6;8wGl7_y?m3y%9@v=J*ns>GU!hIP~FF^Vh0g$*w08;M}fN9`y$N3-w z0O_t48{1tifOHSF0BJz2TaJ6f-J0W8Ng(G#s}DeT24e#J8358i9+0_!{{iiR+5u7w z3;<~$6=WRXf1osXjo;S;WC3jf3xS-V1V|)c35fqrt%nu>>fd$_h#yD=@q-dTd_bk! z?giEcWr6&+THhva`GLCM8|A)xZ)LyjTA(NohJLW!tzCf10o4QX06=eL0-%BTfO-S} zKva80^t0B0J@riOrW8Cn|P4n z-mV}7VC}mDQ2W*dfW)nCKs=D-|A`H1=zfv_+Vi)Ly^|dz!Y%UeQvgsR5dTB}->C?a z^iC3Bn|DnB+rO0xkhql!NCh51xk2aO^}9m=>UNI+NZ%p=61NCI>OBH54LX1|?~v{+ za#!m=CA*`7xak@Hng@XG{V@;Zxjl$MLV+0R>HnS~CMJIKJN56GH*em^|4#jTMqXat z;dkoaGY$?8YK#oG*U(+lf6N1U9u5}9-vQMa|BwfkJsfvGmJj%U$TI?e!tM?xCYHb9 z|0xeFdpKBF|IYu1JQJYo{fpC{DGpj?p-q` zHaL*4CG*!V04R#wOhc*{BL&)?(g$5 zGBR-dw(y@;fzMlHN2t1aZ~YPyS4+b*EMk+rE-e$f-2~6$O?Xc zjqeRNLGO_`>NS2cw`rZXjd#t-;)nCi<8#}0qa|Ah&~?=V{;a5i_Ore=vdQYvZh^)Q z3||f}uLqsL5~oxR&$ND4O@N22*o;MIvGx->{QBB3HsUgyHX)1KJu$IpBIn{O=yKrh zZ@j}l*2>epvW(k3>KNLsiZc{Rxc9yHnQ5_G*-X{jWYw2@e8V$Mb5riFt=>FdyTYtH zgYTr)nM_+xA8S|hV)&j!UMKIBJNB93n(1zRB=~BB-S3-K^ETP9_zYtf!S$!NIe)v& zO?R=z@_ z1Qli{-UHaz9Rqu9pgB`POKXF*^wm)vb{Cf3+4$W*igxtYPnc#lXo@ zlG`XojGE9nAPgCiFXa9Dx}vE%k&2JHjhnpH<8(`flQN!k%IZr#^X#6+TQ2gM8_nkwt$l2dw@OkW_J)ne;~@hiF|)b1#6s`y|)JBrm1 z<6-0uL7GiZpd~#kD`&>wBImPwlU#yz7TFUez?yi@SEEwZCcj0WSHJRvVaHHPko@E4 zSw%IqE@dg2+}?|&I=k2+SaM_{pDVUg^xmk1inNIr1#7bv3z<~b9{UI!!I&X%i+iK*b(?@qM{3^m9HG%`kIxj#?%A z3w`ZVg0?(UzzZ=I=R7Dte=+(bLfppQr{qgUnTrLJ3Hihvp%e8nX4a_r_365rw*qtqLcvZ z%TRl<(s;$wEakyb9`H|>B3$`LpEUI@X<36kj49`-W-?8lHkGk<_*!Z_Kgk>Y(CcPu zZIF|&G||-$y{GTaZ>PAirR!yXTxb+Vq0PhJ?1U>t%j&dgggr~ zkFTXcB;Sg;lD`{=57u^hBQbZPf5E`j_#m3yet6Ta1QB;iTbd*R6I zT{1!+H!s0(<3N&1-|kOqkI8mDtDjsur>Yem!{UllJ`HLW8dafq+Aunjk1CASjtF%T zo53*zvCW&)pYcVG@B3;~mUu6rLfv-Wt|n>GAbEzi;1DIvHV1nq3S6O+L@Aet!WF-S zmbunZ04H}GABkn7H=+7Q+nC;J+Pel}xcvpgE;&(5+dh|}UUPbVAMBfG^)TkFE0m$j3izI0Q>vy0dUNpy1L$@XjcP8<=bDHrV#{p7cDUfPr< ziSSZlbgzp3MzjBxGg2Mdt3tmPwK2dtMP*d*&G<3&cIhv;5warU1nJSl22BGroi9#} z^X8Xcc{ zFEi|1S0-7UPX9WC{H5u@<`+6k)}Ou``8i?}Od-*RN9_}0x^>vZ%mdC%_|8^hABcK= ze+f4mBocgQ7aKQae+vd#D;5}3y`^3+;Bkf?=>MYz>ttb(8mEL^hu-;dzABY zSLDd&#U@7(82CjoTpKpu;^*mGJrELEm^(=|j6w+Ggatj-!#K>)7TrU7GBmO#Lf~2= z#D|y-(f7Z`yvrMS7bGi}Ek@6{-k+^Bo=xvGh)7P;Bu!7+K-pfnvZ9J(H>Ko6dDhgP7bpJbG(_g8 z(%m(M3tPB|xm(<=?-f@&F3KK679S$StDSu-LwEK^b``#{JHwdDMitqzG~q z9I6g%UUMUZ_1HOFP*-)XTYmNWf*DtJ-T~j$kmTBd=yF!UoxKylT^96g@8gLvbJ;*! z%%LkuW8KQ$^(R4HJi#k-s7$-0n`b^A;tZEwg2f|a6ZR8|<;>As1VaQ{hB!mVE-*LX z+{tUX|J>XI1<2p~Sq%Tv7TIsU+28i;{<-bO{M#KIng0><54VRv;pPtl{SzD%f&M`M zcLIY#!yoGW0rOuA{Ff0xVch=%CMdG|f&TC01ch8b)cL2JpeXDI`oF^jg-1Ws`6nhQ zV)}vpFERgL1^_6!`CnoFVE};Qj~^=kQ(#a?@&o;U68KNxf9vT#fZuQV0-o^s(kU;-_}T1`}Tn} zU>O|U{c;ExMa=Nm*!@k(f7Ykrw=mWF7a+d{Q$K*n8JX$Z&xZmj8=vo_D z0z-nCK(XDw!wVW&+t|K-rws@frW4bCNP)B8-j94T(5Fq1=CU zleL{bu&e&+DGKj0}zRT`l2SO6b{W#iyb z2Zmr9+7JO&;(Ru`z~F2^At1t9+w$&~J}3)p07#>Uqq`j&eCCFxfCno91Kn2NOo50U zaNA$p$i@a>+PfHVdH@Y58X(SFpOJ_I6pQ|w8G!jaE&dbY3S|Y2 zbvkvOglVCm>&?#38S$@Wux`c?=A-=rQHc<>b-jO!W*-RePIvKfb5g zTFnt0VTNkG@xWFrSYm1cA7-GZilr16S1Uv`4T70YTp-8yZF=No{fvb9DT8+x zBn6QHBqKGBAgh+P6ht`n_uaF47|LRz=bw5T!C;>XLOs(ueJ{ews@2gwr}-%sOD!fY zA_0>2f|S1Ci5VU=nr+X5yN&Xg-treCUkNxEo>fZ3Km8fd(*<{3dfRF668nRAovRMn9Y8R>D8DYA&ui(9_de`h4*Y^^WrEFq`sY@*AdN5;zo$Hl7+ zc%W9F#!=gn#sKulfDI8~EOHaSmJt&q1~NEuI+|IU0iJOnax^nFx8Zc;CIeOB1f+q* zbYw&z7F!c;G9_^-B3la!QxhXwBF6uxx$FB;+y=t${1uU^Q#k2D{3D5D1W4OsIh}f_ zr`uC|QXi^Z2)C#}Xb88v{p_8)pa(qS@=dU8Fkc?( z=8u36vJfnr#d7!w?!ZTe_%;sj+jcjMV_ZxQ9^{~IzQyot&@mIS5D_S%{nNHB9;5C4 z2efAdS$#QI+wKQOra@V6;?NmWz~$scOO3l@9yh;dt6V0e2^w# z{H*yE1j1-_>yCn>@&R(gIo9Ik(-bb+<4`b`M=XiBfL17b+f=~4vdQRNST~)4lxHz_ zhh}XodQryJx+0187$gok7v*qSH=6?XSnPJ#S`;nJG=?#SlMxErRlBYxX1?64+hbY&Xz%G(dq>HNk~t*>C8nUITt!Jk$?ufhQDSPC zf|9oZ$r;RWF$@e=6Wx}Q0VRh0Bjp~BRB6k~ZlDSNdF!(PbUS=4Ko|&?@b{n)t#m@wDpn zyC&*u>@=vGVO2EM`_mz<11#Z!Wh~0HYeIx@h z56WhgdrOJ2a%0vP>otaJ3IZbeg_6sZFm~)1xS=zT%#5jPs$p^xqTC$Xdq)yysvRvC zq(4)Xwd}D#XFafg{sdW?!nJ=H95In+3`Z3D1lwmU z5h*!}TA0Wa@|Lu*RM9EZ!tjOTxZ+?LIh9+O)Qa{X4wloOdRbV4Xd_zAXcVItM!=V@V#W#rgtV?6Wa6T+iQP|IsqD>^4x{G<@{tj@+{rd?S?#{K@| z%EyH6;HStb-f2ToWEKk(_&*9)W|2ucj9YX}Xu6)Uu|!eO01HDO(DjLfNq;Qw2Ubi-uCKUX^!lcx6uV!OeLPuW*ljQd6Vid03#&Sh&z7{4jh2xlw zu{0q^e~XSOK`~q`jMVMG=*r5X6Pc#CDi#KQ6qLipa!IfES(wB*%+oj5UY`oZJlkNo z^yVsO!jna6MsarRb~W?H12e|6N$~UNIE>QO4Q=>yP;*Z=p%U`zwqyZ6&klH+(W{OY z9ju;0?>m_AbQ1~-heHQ`o*i)XpGzk-W|vbJn5UaiirmdDS-_7XBG{P5U^e@(IqUUt6&Giiw-Wv8NG0EqHCK1S1SzyVFmHs z>aPmF$pHdh)$qB;-O4A#vf3`|03C#=2l4x9@Y_h=@>_IwdXsW7OJ+KoDlt_vWN?(# e(;p}QPGLeN?c1Vh%|;-R*rxSfy}JE**83YlnS8zg literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw b/usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw new file mode 100644 index 0000000000000000000000000000000000000000..25895f0cbc80c68f9ee4babc2aac19e4cc2fe9e8 GIT binary patch literal 42063 zcmbrm1#lcekR>{@#mtsuF|%Zin3BV}#Q!~@L5Gcnjft~|y@`Rny|smr zfwP63ErYAAF};(EExo&qHNBgog|msH{QqVHr1n1>4u})7vo*Cab8-Bq?Zm|3&BLeg97-5JT}_=ouIpnOK{E%-A_H7`Zq)n%Fx3#qb#*F9r1%E8x@L z4%GhdaIiqq{}Ya>wVeSdhW`ZfSO5P6^IrvFZ)fjfZ)0NX^55v;{ukct9qr58HC|24LMJu@Twe^3@Sb`eHlHf8}~dN&)>vsFG@T@kF{s}n3_g&4{i`5CeoeLa+j zTw`pD7{N(ceW)K3-uD;_#o((Clc^ksnI68xpMs&g9>!)J>)LgbR-63jM#rxwvq$^Y zlJ%O{HtF^B-ro=A8T|Yn58ksoz3zNH9xf?-pWg@fXZPKFwRkr^uJ)tb+(wH>vpxNu z4x^E}{603Hx3@Rno?phV@5iq%Px##arW4e@Tk|oDJ}18*&)s98a%@LV3KCP`-gFVg zqTPFvlREagGK2`rE*Tn$?*$#6!G>jACVU3TI-S`;vW9pNs~Q(G1k)QcWMO&@>STWk z5*IHsBZOrfOcRkhrXg?$L}d_)$xabdn8%{k55WlTfzvq#Aae-($RHG!MJA?zjztUl zH%;_+`seQ#_1>hRVY0*Fq0-83HIib-dO33M=CSh21WcmXS?d)QQt!6z_{&sY(CE@L z#QFW~lU#3^pZFd#wI(qIjG8zF0sT!`s)rD9aqN;%xJ_7wYmJ)o^}HccdJIqQja-uV zD);G|coywbqsP)N)f_4Z&m_KFMbgk8s(VM}=tRHm%hP3akXonlr0Aox$~kT#Px=g9 z>@15H>%10mvDm#eqb>;ZXH ztoAuHIIl{Nztnu0n2%=2oOPd6cz$f<`1wFAGFh3W)W$_)YlW0=D=X9~)t_E|$h3#GGIgJ>j`%swDJ?vYia2eV_1*wz8ZUp2DMZ3)Ig~w)cO5C5?BDN z0xcLjrNeV?SnAWavsf(e#}7&DDEtYU$EsY`TDi8Y3EfWPDWLn037VBh-KQ_I6S~ut zW@V0tL8lma?m3Q7lilx>p_~1_uKjr{KEZEvvc5mw9#KVH9x-3g#C3b#VTKj%o-kid zd-u->P_a~+kZR=U^j68O0~z&hla0MqfF133)E+qsiCC8_Z70 zdE^=xig4(R=SyTx^%NZHuNpItjf612x@=4E0*x#E-SFQ(=O5sBoz-;FThhS1K>xh2 zA<#3Sq~XoL$)OjsKYfTbaYzaheJKBf zRQ5$IPfld?mmeA4H-Tv7j`{r|;u@)N%laup7OQxI=Ik;7kL6GP;Dx#_uL<;;GI(>p z=NP=+#HFeCXBd)%7`}WSz{{uLplA!b?=h}0o3px~h|c1;OL-s#S7=;SypV#N`A@6w zsiFUTAG0|#K%V*L54XBXSkU)LtnrJWcqqiV^+iw&qEz}y7A#R_ENe3lmLMmIjguE^ zkhAFA>AfTLpMsJrE;m7OM-DoRi)BX&qT%uQ*$6(VI~ZVurG(4mz$&x^JsriogjOXn zX-j?5gx>rPzI8(C>_#8X`u7e)C(rlZ1wQn_pr#|~PI;8tBoxq@lVN$6 z;&Esq28APGdG^T>;LYxakP+2RBM z2%mNdySskw@%hAj1?Zaq0Q6aqcCsj!xBFni88qEp%9o|YAH{N09`=rwfS1{=nJ!*z z_Osz$z+;t8yQ~Ts4bBM~bTn#0{QOyenZj?cX2P7Cycil$b9~}P6RK-dh;a=PNvLwZe zK}N~U8n{zpVzd4ljc<{)W|u7Dd&?ZB;RedwlNky04D}>wut*}>ZB8XF8#+AN9WrmN zo$NsJXUO~!jo9OARm_a1NwKOYEc6LfE$!c#@nVr9(Qt~~f@(&;_{hVmL$Ko{`W0b9 z$h6E-)(j8Y^qn9B3yfqYwq-iu5dHK68hp)Gqkn^&`6r0}tgeIjCT;E`^HfKOxgq}E z=+vA8;r-#)gvs<~$|OAFcvXcUv}meba~SbejuM^Tww4(+ROP zl()09*F|C>($K{tNzHOYR^@j840R0nk9I$Q;ZB+TnJ-0LY6%(1mgDf7{JEJ2gBoUjGTKY)v<9g<f%I*T(To5IY1Z_Xd&+HS;QO9Y#kiuv67|%M<3TdJ6VN8;E)MXA5g6PrQ zORIEwi-tLvd6_CU;R`yev{ewhOzk)o0&lmUBjn(s7otc)VV6)_Uz=I`C@V zf!Feg*-y$lt6cbpQZ?(9zXeIktOqCeG!vAc+@Y)*`%m1?y@M@G#6f2Yg*{o^cs>BP zUe{d#2NJgw6);YG;+`l{PD|pJo@+JA+Ug4v1co2!m2t0=_S1?gQ`|^nd_r>Ix8os& z{&V^n{l!>tojCf~y}Xiih31b{E+lLN6P!`}lLd`YjoTlEx5kRHON;o(lh1;-DKL0> zay8TB&Y$~MJ{i}g9Q~k>#mzi#^rSXRrnPC+>Wv}B%q|zTh$TuQhWR=)gTd;GbmFUi zQU>pQ6eb;2ZY(Pyak#=vV-dmByNv1bOuc}d;sC+XE**t|jW4n3*zU3)6nlA0e7#A! z>la-gZdb3CcInWm(g5^p*jir+afA%tjL;k}`}pdm?K|7vPnl6`Por6dWo^eEk-m6X z+QRx{qDj)6&gY&}eV9fO<>O`=Rhjv+$*1S_a9xa~@Xq#&#*mhf_+k@z^!arqb1Y1$ z&BQ+Mgr$A*viJapRa(cDJ2)aS!V@FY@(6krCj;#MQe|uu*6)zi{l8U}NhWPNWyXQ|2oZPm=L3cNxj6C4nuS_~*)D1YPz?pqY;c$Bcbs?$XG) z0T}gFr}*t>zV|t-a+9z6Qiu#kZf27CGNpOrIWi_<*&9uxj<_ZZhI*A18U+FeGx&(u zVkF;eszwn_43*)^v-K$pur?%`Exj4_cRctG?O0tU=96Ut*L`V?>)(dY2U)1KnF6q| zo^*lDHzF0HUv&5+FX;M?QUb_R_5*B9LVW3tH^!rmn)o9ooXh*Zk9!%HE3S_1g1?bf zP!*f>38HEo-9RzN=7#a?q&v8#h323-2EFPluej(us!@ctiONz|e}>M3)pgXUk(zy_u!Jh8JEs!<7j`B88|lg{>CcLBI!(b>Gk#f4M%1VY=_#gw#Y(Iq$920%0CrLaNK=Ru?BTQJMc!1b@_Xnb|KV zIAH3J`_<$+=55q(k=Q%=x(x7Kw{j$>UvACJ(f(8Z)Dc?xZ3mJ#O82m~!*U<%%vs;YYlm{0IoddWN%De0vW(9gYqvu|fww^D;_=mYY9M~!HV3EJ=nFZxet}g=- z@_T;>)nXOH5a}8r2pQCSYciJvF`c<=jdzyWV1KTUTt6CW^vQHR(=0z7r{wYIXwmnS z;bF`SRyk zDF0kMzE%)Xo$eOgKrIVjfAM5p-9PvNhEN{uJX?`yVlLj<6q|iXW@UO@50%qR$$VN+bhI9bN|d%C6?sT)w4`ow>ius1!)9j^%V}% zCiFK))x3~!bSUFAqH+9G8m&Lmi_35yJw#!d8l(~V81AJ|6PDf7t+!z|yk246qDK4# z2Q=r)(A&+*{k=OS^^EP0`2mY@!FpuZH@D-wg$?fEUk?hVG5d!6sf&8Ao0)AygZ7bV zQ}~;kFM~AgoT&VICiS_hQa>emFk=rhO6&wrM6AT@6WFj~pLOtv_d_XoLwXjmj-piJv-Y+_|4^Cx^~xDSZ_?pu02R?sz*ai@et5EHCk4mAHYB07hP?AL>~;7;2Phdot{c zxtnTB(X}Zq^?Feq-Igk+R zar|y>B#Ypv-kFF`GOEIO*jtF#Og;&C-$p6=GNoKmoAVQ*dM(+F$hN;Zde-s19&Ta= z{>Q#ICo%3R6^f2IP7Vw6q>?}b7f~Wxke72e2ln>L04w%7^e>iLuVrR<33a^>N&C@E zwe0+2(U+19H6GR46xL4LQ;h!8fcxs3BDXU<;Gl3R#etjkR81bl3!f65gI-%Ip9$NJ zVOMj5GA0UERvZ~aNq=yxguC|MHTU}&y!MMoAi`#$CE<{?-7eS9&aDfYF8T`Yq8Vq6 z@w!#9{-uZO6tQ!6%lRxvd;H!jL!xg@Zu|)H5BruxX{`KeeBTvhEfx`90C<0XXWgJdYHyy{7ilrOl;{_ zGCyp1F{te;_k5Za_(%(c=KborC9B9M9qoFeCN6Df^h*sJsaN8J^8!<7&TZ+tJ84_V zb&pE^Q@r+@2n7daK%21Z%%8_b`k~m$PRB;@G={mn&jp1PBW&vTyW5_NoF)n_Xo}x2 z%)!s}qIs34ZYEy&+nLF_w%;Xp_tn1Lmf#5tG`{=el3418ki}Ob!H!T2Vg25pGwHk` ztS{$ZE@r!2lapy4UY5MS4AqN2cj?oWYo8^dhE%EAbGesfi7Axg&rtE+5*U*dV`hrqJVFvS|}C@}gWI8s+E zl#bo*=$dY}vNz1wd1!6;7z^g2%q}i++oiK_*>O}`wbP>Q0l5&faBe)dJ}-G=6CT;- z5SC17%R~0B$~Zx*KIdAoH4emzF_9MPZXft0_Ff24^Q;C|@}FRDcdOu&OwuUO5tZ@K z3bXmVv??mX-(ZYeFHUl}sLwxsRoiu&6Z$1<7JY88|J1_x+j>Hp57ut+%y!wSi@kfw zc}CT^wZ++nBvTlVd4*aqIzj`L(m@XB6@$uNfeHtJg7}KXt9JkMOoVj)nlDc6TkJ7z z%3)A|zk2IE`4#Q74DXt(i)poR-0UM)@^UzQTV_>u&9tIxolk&BLq>v&dn&${C4@0V zZqFvzcn%!aAwfC^Zk48u!&Qx^6u0&1%l!V0^3&Jn5qorIu$G|`I2OYb&#G`6xbJJL z+SOARZ7C{pz(gK$oO~$nI!h?ngtY_hpZm6YkHai8)QvuN+JV3sI}da&RlLP=)oXWy z1S#t=RGnfO)iYt^Af{FM z16e&=sOYv4k>eFwn!akjsfx;NyLGkGK?!7&&sHhNUK%p$xBKw#=Wf-WwsE(gL}$=` z1T!L)%AzFBM8FE-k;guMyZ6;QAp)#6?dO3b;xNQy~a1~x&az4D`TRh|wyY}NU|4@57 zA%~24^<#5*e4={c`}>e=*?Ap4f(QVVBK@D+#FP2oFSI@b0Dq7F?IHQwzirI_(f#Kh zC*xlVWaJS2CPZ&PPzXvqP7(lond;kCdZBt7ti-3lp zT}IF!;QRN#-9G|iySuvqfDh0g;N#AJV;{hef1p7@fKY>Af^dO=fINd- zfoy|}fwV!(L2}RpsHK{kj+vT%{EHCe7&J~zH62Se{rDF!2nR@eLU@u@BRaf9U{a3zQyEK0pZoMGOiN6cZ>25NZ%i5H1i9kY|u-kZq7LkTz&JNDi6+ zwXxLHkAJBIMF?^X8jp>oe*DWUC}0o{ka+AP_2XZnL1h8e0hD-9ra`F%sfinzctUu{e8EADIqMN?4Dt?MFGGP#fYTF zQejlY002f+xWSl_wl4qrkr0s;t`yV{_`km+f(Na#Ht?`>ai%jeFfunWGS)YyTpI1} z-XcdJ#ln94Zu}YUbKfUbNJuRd$PklLczCc3cz9Yu7)BEOfg%5IBL*wWSdadDpTQtQ z^c5gOI{0NVpp<10q_32*j1Xf`dO@ZP28OyXKlqdr0%1nj;f)f2LfB9Sk)JRrcd26j zZnHr_pZnfQJA#n(fR6t=RydH<$j;XJKi^rM`Mf#qNY);Hyr656-w&iNL*Byl0`GO$ zT(ft1Y*!7|7J&|iy+pzW%ygucq^GVQE}Pq(+q}aIp`_sA{E3n!)v3Vgb@98{#Kgp| zv0r6#o9}OfbBVhA$ef*SEApC-LZ7nEqH!;t>aWhWrDm;PUzR@})A{hZSlO|k?!95# zODtY=!9SApZ5X(T?Bu@2Q>JCsufD^(?>8uaqUV{1o|qzys)I~IOpV$zK4h^&CIO#wEBIIP#rA7t?KP;-$5)V{vt zL+-NSvG#)YY6{hA4@3Jfi1LPMOjWCV=SWp%s;!LWcUZk0zD`|+1F{rbYg`QRK{Kcc zYv6u?xMI)48$#h7##Klm+i=2$FG2=1cof3Gj24>iJRvDe>t z?Bj>eP8eg`ed3AsVLJYLS(Qq>*E6s!`-TGDZnLgCX=7$A@p%-k&@Suq6%@Klwi0t_ zq)OKL?*_r5M2qFr0OTvlRtmIizOqC^E=JcjT$|ieT+C6F?Z>N-tUfgg_|e-}8tXq~ zp{v6;)Gy>aK=_P9iF((yH4dM9SJ*P%2q`*-3&+Z}Sn#E=hd6q%*8I9CibN$He= z1={Z=D8h*7MAuvM*hIId^~Qy>F5F%Lp_~l?W`kC(`tTT%O11*v@~V0}x$#Zm8$@;v zW)w*$+(I2PBZWp{;*b^j*ED0_<1YQT#4+RPmRf$rtSX=}0rg?Kzv^dVc6 z{F&j8!4VWPV*1oMRM7FkR5Y>ibnZOmZXtDUVews@-+-LM3(zVEx6U1+FImUvOsD<( zjh>+3c6q}f70RFVps9lVpqweU%-CBB><QQTg5cQ1mIYWmWNzXfjC~^8G zDH~BdR2nI&wh@ixVl$K2TF%;L01_{1gxkVmV%?keYWMvkLbxhgQ;Wp$#!&Acq#l)T zXvqzg_STqMp&4$7&{t(~ORuh_WUgp5(Ws*l<365YJlb>Rq5WS7!uq-4;qa~1ZYo+T zz?}2vPf|S;?0>`f64|TlqkUG>T;eOb$dvk_W#@uwI*rsda~VOG|53}NYm#GS^;Qug zY66D9Jm28dTI3H5a%`mB5NeQ)MTZ`a)CoTi6lfhr{IagQZ=ajq-YD(WS_!1I76*L! zEw8msS}h|3NVbt{D8w!s7VSo;4@viH8+&@n-trX0P50;|G_zVT6 z@Ldn?L=B~7)Jh-iF`5eMy_-C?;x5H{FmxpS`Q9t zH%x^JootSX44`=3vaq~{{XPbu>s~=k>kb1`@})W!c>$4>;8V^2D8cX3eZY@ksA1FuRgY~KwX+)V{rR-*=M*6m zy;5ObN_7HY3GMK|OqIFR1nzKl<*r=y(svFN!j z;P8fpzPV^q6pe$v7%gyRLWLZM-TU3u*HqDfzfFnF+^yN4)o7&wk!|Yym{!0+oPRuL zz4U86m)O(>nyU*hwYghVP6z3KfK~0kuUCo6Y8*gM+CQ*xBZRO9w_h1n^?d(-;i7hapoaBbp-{;1{6Py%%JW{$gocKR+i=9 zATq7p4^rkJ+Yd?^J~DG09T^T!9js3Q>AmF}9(?9_S|ZQ1786Gdjrq3QTL-3ZoWuJChWFS^ zftNnpMnNOPYC;jq#m>r*_irPiyky_vBK|1lf=|t=Re-VKfUacrbyF2#+{PPp&Q=pr zhD8-TvP1>Q{pb~HBlK&UUA%3`)SC}{ekNz0xrbF%_2JU3lE~k|atXtpdSWE``D0Tl zX-!FzgBew){X*N3OJpu!gWnhPXGWacRQT|Mh<7iF2+~UB8=O|3U-8vx9lt|Z7DfNw z$#jwHw>FcWnXzz*bK1A6Nhc>AkBnEYg0kDv61uQ>`LSU?a+z1(TGrCe%F@N0#TyOndkF6HBi!C!+mvV8VAqf0_&3BLHqIAC&P*+DaI(+ZZfS*4yY%<40fexDqdlaW&XIYlvJ)i?qaPO&1 z-el&0Uqn`{MOBLHh|Z7sVgPgqt-V#(&wLmX;V2Jk&K;^C<|vFr#ICI+d(LxaRw~w! z;5-Q{t5`w3zT*M_#dVl1Jhz}SQYlkD`&ud=I@?MH3oQ?5seE%ej+fw^-Hzy>{6rsW zP}(y6Mb+#?dR6N}!0bfjloO9r?0Lsg7~tHdr8NMgsm}Urm1HUZ2ymZ{3kCk5wKImu zFBqDGB@tg3^OTkJb_igMJKqX4oRXLNDGYx z`dWq9Zx|?5#e5Y1tl1AS<{jWY?tg+=RpY8NzdFT;p~8Q*T~XC+wg`^eCsSc!kf_*W zsZ5uL3kJPp%MLC_ibHuJ0yo&~OHQjlM}-u%1Bw%3w!$X^>6iwW&KD+d!Wc0|W|X&9 ziV-LRWLAQma(_)g5V8AyRol=JUaU>*uBA6z%W ze%Lj-$)$X(cA+gCQd!^?@A2Pg*p8>->Jy*V5aL-pS9Ml4<6>$LC|lN zZPwnxnU!BUc~+ZbFX;-ll-=zpbsUhRBre$RZOw?h&sc2a%WT<#YUoue|M5hRFmw}G zx^Ii6=-}@x?|>3+pn}J@KA)3AnCfL7&rN84>Yf|O8XF6v)P5l?U^8FC_jLiHhaOX` zu66Dnlo5hsaA4 z!xdsMc-SUGB`Bw?pQj=`Wl9p3M&%3>3PF_^5`zw_;`$PGow2mzSu#~gWUJ&<(XiH@ zI-5~JumvaHB*=rrCJgNtW9g#8*bdED?V8Gc-S^b7FG*nO2O%`8FJBqr|J3=k?}3rq zAWFd5D^qrkgl6)+UWnRqP`<0rScLQ#m(T_9uj|Y0v<_tDCU7P(o zniiAWO5H_R7OpGkSwGDt7Zj>3IiR+Y%FP7U1NnsOpJ&N=rP{7p0k%=<(y!f5FdX&D zJn1PsO8O1KiG8i%?Y=7+Qyj3r7UREAI8Vju4^dhzuj}|_c9=E2vyRhLr8~r(X9x~2 z1gylhm1R){zkiQo{>UPUdNKDXc+%LHJilLm`HV)y~rR^{Z-LX@oR}> z8=48|r7iVaFMoVX1nf&YfNcS}ujM2_oS0$SiPrAXOf}e>ydTm|>4#2f<<-HgDLX-Q zCV_c*+#E5&z)SMSMb|r5G)MCm`fvbCP(lHRe}b+VRiUD3v@gI%W`^rvpr}V4US)k! ze*3siz%tKlkP4on-jhA|E{=Jm*IuwH6fI;K2(cTafJD)1Duxk>mg&hp4V zfhjC>_6pa}U_99lT9Bc&H(;MG4@DC_)`01Qac1m5RCVT($Y``wHP#&rq2QQy5qux{ z#_Ld)Q7EYR3K#o_AL$W$;VZ|aEIRpNuj7jJDwYPy&bp}xwZz!Udil9_?`4=2usb`D zO{h`peYLL${JDofMC~lk$mW45`?@#_`MsA%5?_N=+X#jBkJn-+i{_<+>R2ljh2Xa< z`vdQO3hTmhu2Liy?*M-LvP)OF7i-4Pjh~aDk~Yo|HcLy{FMZ2dCMFJ1GAht4kOes6 zI@!BL44Uyu0$lnWv|m5qE09Y_kU1xHmulbsAl}{mOi+1PFwa;IOin7O-@_|mjyr-= z^r}(Ro1|Qn$$sdPK(IFf^W613{Qcy_84HJ$dx73bMA#vhij%kE)JlSkagBh*n)&U>rSQH6-E4Z z#uBUH*(=kk+!)KRc495@mXxlhN}aPawOYF@n`o~g`D~p)i|B5);V0YtsJ35z!sV<^ z()_H5VlY!Pp_VV%j~sWCkY;S6JIa+1t}YMD&7ySQ)`@~em)Q+Z%MZ8F&+TZ(rJ zCo_qD-d>J(s|PXnf0+#s^Zu8CCO3(``pYnpo5&sZ)lpnDOOIrohnmT4V5cSU9Q|703v>)?g)#0fLt*3S%r84i_(Tm1YW-@3a; zkD7?AJ|s!mQsxZFlEp@OdB(zmIYqxzBf6Gz3h+r9O6Yg4+6} zq|>m#*GUh@Nd4)MR->;?K>n!VU#vN2OWi!~u2UW%_6=Z0gOJaac7A&0>#H^Q^A*mA zP}7<|CdunwbKUu9vLT&N1#mRAy)b}jO{KPpL7pFYPj<1poP18pWya*>G*-gK*CN(R zP3xyb__Q((7T(_Qk!eTVdReZFb_Ysi{RZC0NFy`M?>xgNH2$~Fv2@u~r1Ps*9be+R z^4N9)gf>5-0!BPb@CVYhoNHAYe329uiZG?sP|?NhUEjx5fEl0}@mf zTLb;Z$?e~oXE0iaI2)}m&73O-?>}EkTo2C#?AFDlk+$ecwT6?fUKiN&rVDH*hNvDb zHFw)1My#+x3nBZ)5hBcN<-Ofm>7@mf614Yd_E09M9&K{Z)360G#b?mSPu)K>~f&&iP2tV7Z7PqD*`Bz;r(Al-3IxsRG!r=RuTs$IcRqmnsZBL zE)mkm!K(dlK3!5?>a>-jN$6$NOja?}aRk|fR6?{#xWvZ)Kx?vv1mHCU3f0!MCJy+< z%;Lqe4=I!O#GUYQvGT#n*QuipfN|JD_m4ab98nS@CU&x8W=X#Xij`%KZDLg#!PR;} z0<^_qGLUh=eTh%i(~1|6%s8_pe`uvs<|sH@Dg41}0D1)LcV8WZxr9~qn36PcQC>)= zOv#SrLFI8se50e`)P1qOqI4eA2D}gXa06?%-~ef)DhC*bf#{an|Ll&YM3AA zbFnOdQBsx7#Gxscy}%tyudaxT=J65mqtv5^e{IThc5W%w$%@%=FaBBt>%l#So*yNMEMQUuJB;Q z&DHzyc5Umt2U6mN1BXg`Mzt+hWkE;pD#1!v_!neTp;}+neE6@cVpb%dMJZd5w$Du2 zQ3tlP8<5p@#7cdzX8D%ue9|B_h+wX|*w8X6kc}tV=_ceWHggqb(R{2Z+K0-Nl?OnVAS=I(zQcF9l($NYj z#86BfnQ$*QH@Md}bs3Dpw<48;4fbmBoEhQgz>V|`FYw?$yYygTtu{dQ@d+`$7m~mB z*jJOlLormIGrS&NWoKu-)+GDgzE3qnr_@Gg3KINI}^6SKp4_0}*_%#pGq z(QUh@pc$fNgbF#hL+d2G-(ARkNe(r^rrv4Z>i;G*?Liq8*z~*a{j4cHw74p8GPF;^ zfx81&R+j*kqTk^ORTkg)NEG)@y}gft6R97iKXfLqdDX`Gwq>1XPbQyBR1LXl!zNms z4esHGbG#*pv3U5Qk1(QbRXw0t%fhRL|HE@YSf^~7{pD16M(6d;oxVJelt=3!mh$K* zIY+1j-t{6;v<4sle+aH^!(v%PbyZi>1~iTK)Q(mZV^h4U+p+85#fqphUNUR8&zf(`=F8BPRTN{c zt8UlgaL@k^n04H<7n*f6K7}oM$uqScU;lwdmP|(3V#l{&r)_>3-u1bIO4iy~CMx{) z^=(T4^*g)>XX3d4blzAYi{k|&kJ)M*WH+8vBiyg=YWH)f!e3txE^5JdM`%AGrf54u znvh_g^lwmP-bkEzDYj+RtN)rctkK25JUR93)C#uJ3di-$vY}#fH*(Ohd^D(;i0ao7 zs8%-{G-L<&=7?M@NcvGtk8auVn|3`4`U(Tf`(Y)VPaI6^iL^tOAMoDV{3T)fLn}Rz zXon$lBeyhi3?jrUlDd{jQX73*+{aPGqQl=asAzN)d0GP@cI;f9N~D{HRoA)FDPLiR zj#;u*SyUo_4B{4h){{ZOr+2B6e0-OtjxMcL^$a*I}T ziZ-%~HnJv`GdEQIQKQDowwiytI$_vrN8#FnI`|EHZIB0hYkXeZnO>gs?@RICt55UQG&gKDcAA^xAEyi%}5_*-yzWr*raG+YP%Dfatcm?oq zx>sJ-@}Wz2N=0Qpipn?pmzVvY^41)cRd|bEn#L`8PvGqO!%4;6eQJt4bWZ8}?#Sxssta0) z(X|k5eXeeTF6K7wt%*`M7JLj|S@OLnV&t$*2J5Edm5nvsuoiM|Lk$olL;o^A41R`d z8~!E|We|&}xeSw<=^Lk_mw>XXYG&qmT{9$&AQMOFWe`0zu7{n%dOy0Zg_T4Om^pBSVN z#o)9#UNfnFPcS)f_#%%>i&w^B{3IVMNpjwWQW|yHBz3+*!#Us+*z$`D>25qA67Q@^ z^p;S9rFdTh{Zhzg@-xgzuj+FJti{o!0+ihmy!mxU(kWYOTy!IR50(zYI6ELh&XK5& z`{t}NO+)nD#Xh~+&9Tm?ugQiL8}Tb|n1BiElpk{7g1Nkf4P@eiv3z+gR!Y~D!p|Og z(3yNM$id{E4rfxjZAMoTYDb$OUN|_fs$WE+R|P9NOAzj0Sh)f_%ypBkJr?2_I)-*U z{}6KPp_jP|e&=~bpDEiURjhO|^JlKjnez@b?2sx}Ym_Rkv-?nvH_F51S!3)X#apYB zP9O_4N`txA;WW_KV?epv4}UogLNC#nE_oK3mrameAKQVM$B*!DDGL~RWZ}DNZsI7q1g7195gRx$BN~Sc$^Ou`h z!hTUadIg`E3qOT{uKPMyfarS?Jv-z)bm&bUw}A@OyRrGn5;^h$xnf#RJ@H&MQ~0J< zdh=dB7xtlYJoaHJd+aC68OR~yooL?gPw5Nlu+Gg3J3iM_$0}trXeJVZQ-4`uU{jS_ z5IiTOGk-z*gh++ks5WdPp|&wpQ$Z<8bN%*3`LiA+0RI%e)6*p{YcqTfaF{k@YKhO$QDncr7J-pMIE1Al^KyeLmk)A|6j5De4WtDQGM;*EnkKkU zBh-&Szxie>db^0`wsGal>t!jF*iBN-Et(}{yZ|#QQJFRyN~YlU1<=`Y4g}yIUh0px zy>ca6(xGt~Y8;y(;{KM<(&8ejz>(;yub$=Vu}}aFF85gmk|9jik+y81d$e5c>aJ4B z!z21J4{xw1X!zdVq`3Uhk%&qVT}etM%;EV+q`|@29H_40$W9(ic@=ACa9O_=MOw`D zit9?^(Ux(_40GWa&UCoblA$5}@VU9YyW|h2LA~zv)pUER9L6mf!|lj@$I#UlmN+*) z;#NHhgi{RsXO@|uqB+n*lZM?DTXUAe3L=Z`3b-}K$ zWA`bB_f^0@?3vBim&1J^UbR z`AiMFHDMD5$s>H}imjIeg$voCs?(s;W6!hxY5k1@cW>(*NF1~6G3@qWp9e0694e`) zM7DIHs!g1Esj18&=7}^h985G4c%m;iM>4gWIUnTMj;k?G?Dq0B31sjTqrPytK(I`y zBP46`NSfbC3!fWb-iA?3LPTc30)+)6{bJ$4j!Fe~wjKZvrVtn}Pwqpd!MR3Z_iN zb7f-$GYNt@c_d|B(RmwzUWf=s5IMu!d8V8u8F^x6=rYF?yP)UmE;~}^lu4x@2bnb# zC0o%qc&_O}I!6y_=IZ=qf$&1Qh66n=N!lOFHAYr`H$H%<1Kv7)6@zmEvWPBY9$f_A z7jG{o32>?2`i6qeeF-5#jqP+Zxlfxnxf<9{Gu*g>bklK)!9;KAU*GPOdzElMxYm}# zP^6>-89BpC9}RK7BlCmd(ZZ2qb|*PU>&9hz{Gxln#MmbEyD}qu9L|XqoBF%e^QYgU zZ;dieb2n0MKjVSu{_a()2*r5+O9=F zRw#+tjz2}lw@E*jo+E5r{E!e}@r7^K{(!;(;SYy|8W(IKDBhhVyX>|3bTCFi0(%0k z$(yYydFKOdexaN2^!|H%V;S_d-)0f~Tk7;zkOTgFiGqus9Q-g`Wp#nbHA8&DU?)gf zQLxhTe{lT23_`~7IGD=MXzS0W8O~<~Dvp4T4^?-><73sdii{(woOgFrYA;l39^ugM zBpHAhl+;MZU)f=b5lAAX&c%7k$3_a46D^do%fD&|lfvmSYAO_K6%F6bUMUj9jV@RB z_xoI@bu2zr!v9&_y0P=cLO_lYS94R`L~?DBYTPX>fR#NfA&WY5rXGS+`xMf8bjwto z`EA5T!jH5J5)&2dSm+JBozgnv4VD|3-;1}fZ)%9xVJQiyVIP6cR?xthe!mi!4qP@PwEqrbm-=mo?dccXk4 z*F0t1(M(v7x(=cOLZCv3*kW*)gQbAc5aVm6{zf&?MhE@&!~SMqCy}o$D8kSbcU+1& z*ozBqM6TnKhjc_PGed8UPX`a5YxtXZRCeRmz*NLdXmPn7Yq1tea$#o)Z3mBVQs*_N z?eFO1=;YAmwU(^)9V{dFNADkNdv4reB#3u@eyu#*s@HAAgVBj^C!0tL)K5CQJA)%H zJ)c=9%+I_QnU({LHX7y@+~|_!vZ`#`FyM3UWrn#b)b3pOc%U6Ph5u;nHBU?? z+9Zx~SJ{al_fzq>v}R;+N*=e2_iK;p44HXJn@yURj=FonduGp&Wt<%Sj1Z-im}2Sd zUJu2)l%Oxp-}61)^M+93b0_h5m&*9L#l9Sw)gh~h<=xN=4L-vV%NKu{w??M1FB?=7 zf{Sdx)6!>CD;1MFRHi!&mcpe|*oRy}8*)^RMO>KX*g2Iz>CohSE*Mr_@ScA5jNja@ z^in1bMQ`KB?P_h$bSq{Tp+~ZrB}dfAW)pB__CfIX+nVW?YF92)06?+$r~l^Lnt#8? z?d0rXZQ}IzAB>#o{E1s-k9+U>f7pA^sHmQxPk0ak$pQjmAm=nhk(`rA&S}Uw4{^v! z63ID(pd=-VfXDz6RE8l6NKP_CP7YbpUi^pmd7gcC&z|?oe%W($ZdG+vcXij?J6*rq zbZZt5D|l=vaH}!;2AK;l`8BtScliZ#5HTw}&)kAs+!e0V!@LelgSC0arR} ztL!$!{XWFz81!Cq~B_K|D8Mj{EziURIHhM$huI#BKftm$xRx9#(hMs@x+*XHlM^T&n z$xS(vLY~pfT+>2yw9lHA=xRKVLEo^$@N>QSou_TO4zg1e2Qmx;A7r#9rHW`7cD>ml~NlVpRBz2(3`orAcQ_=tCn>4wO40(*H@=! z$p zHTq$3gOiZ~7&6Fv^>Z%I#%c?xw>|op`@zWSLazm2+Pt^xNXpAnoPa3ou)M}6}S&Iz5? zRq40s+mP)oza^~qJrCaBVfN1Z&QB4*D;+DJ5;Ngb66*W;GhZxTew{^o{PPb3>1=0v z5l=gAFY+by>%C0mR#@rl=%1pKCY)Bvu6GC{_kB7O(c}^s>EJ7M#bBVCkG+MxE$Mhc zW)(3w#2mIF=yfyl>M>r&`A^HI{o@B9`eto6-woN^8Y$qP`Q6X$8)ssCHPn)Bxtp6JIG)n z$m=eeEoR%VLFibe*=1>+?4B$+y*hSMy24S=&G_Uliq94diMq$QHaO z3PX{v5&5sQR;-*pc}YuFGOKGlO>5_c5zcr^#<$CT0lCY~N{=k8qotxhQTI~9Y67M8 zm!&BQr|~{H6p{%K{75ZreEz+JpG&be(B{BdL}~Zu*ERF`yKV38zxEHYIdFH6pWjXx zX>H{R96b|yU4Hy^rTL(Jcx}C{{*3dYE(~0S6lVIr=9&f8*%P^dLNd-a*V9lk%Q)_~{e4D%b zz0*NGw}ab?$kBX<7NZ)D65AJJT`!NbZ%GTeVT(mF2M#f4j9>VWa*GggO0{aW zB)?bamuSx}3J*AWK={#jz~c?6zIQ<68=S3fng=T6s9*2I#Aaw&m-mx~@b5WF;(TPS ziM1J8-1HCjN*uOVR(Xj-gl!!)qFTxH+E1YE_uqJBWM zxrY6a3`W~_Pkv>3+nRC(y4>84t=%40Xg)OCar5DCY0A*}YO@#d%lDq~d66{TkH7fB z%-R=bUZALCJDT%VZL*=4uU44Ccn#hw=kzfoF(fpULbrzN$zfFd28xUZY}ojcIdX!M zvR>&crH4VuP%Pq=?2I|}1{~*@cHGS^<42HR2ctXb(`C_ED*=z3!Dmkx^IU?Q>cd#= zZ#wpW0l~r1w&#Yo;_s3>b~ULZUQqY0K^2t)%4;TQs(XJ$_m^8d-L071dg7L-{KVV= zb1|%gV%U(uvy!Le165o2t~nlP;YBE$##Egmlv8iZDvB8IBuO{X4Y38@fDG*+IXa|= zQcBejqBWlo!zM)7TuGiuo&^2BA4f6R30RR!#&P_#ZYh&|ntEkc&3Nc@E3sVHId`32 zvfDQ~<@rrnXqC5bJ#hmzr!km;`#|?X>N}z;#>aZB{Vk8`83lF$enoDitS7O$dyB6% z^(Jp}+R+PcriqUM8AhzNl(r3@axzTC82E0XawQZze4FRpQ{z0Tl)r(G6`St5@P~02 zeYb4XAbMm@Kcv(AU1v0N<7)p0k8k_rTzsiPA)2b~u6pY&MT*)Vlc6@Mn~sq#KQdwx z4V@McJ5(X!Q|ONeEJiD47HB=~It6!g%6F^bdDuIA2|rqja%qdrYv0y7^$g%4hlq1s zEsC<*Hus&Ge?OQIC^*3LV$%HjDCjxftuB17iP%z~(kf~Sr!Q_F>kn_mv`I7}AMSgR z)CHEi`L&{)?4LcV*m%S}+d1r^ByfPhcd9qK^HfDm*g?+utso0q=7y#I_KhlcWlb{9I@HMqH`!+0-L+o(OZLJGDT!uw!L-JS zyCmkvzf0}<9=qPiu5nl0qaAyn`q7@I_2oojx0_Pe7zs_QUvbNHH9B{Ko_me# z8M_5T(^TBOt{?9p5MJ+$5=+8{>A4k5-r1^htzZ;VT=nfMFX8m+b5ZZa_H?TGu)>Su zC6|H*boTOyxG{_Wv0t2eA>AE&2u0;5wU}T(e_dlwhQ|926DkPu+s)C+T&pQm;~vM{ zPiA*GBx%FWi_cMEf-nr$~noDD` zA2TM)*|y{*=|V8cZX%BeXUJThGJ@puhQcaTO&eZiyp#Q+NL~#d_Gk5LLwGb6rNuvb zCeHUw+_z==gIEg+1JP^{Acgut*Bf0#g;Hc#Jj|l^tAzdHMHEWby3)@Alp5u;e*KVh zAN4#Xe@=6dpx?(TR-b@LwDc44O7n@K``|rN`r|El(rr^LzP7EdelVh4KE>yO`2>XB zFpP2i@z3gvy|yEmTe^aP_8!;(W5FmjKH#`6S{5GQFDaGD2 z_T9Po=qdYKB@`12WaR_lvK$c)FMUhHr|5<oV$E}Qe&Z(>c$_uv3Zp5&6rXs zaZ$HJBkNm)tvfD9tuS2iMf4t6Sif5&yZ+aG?;!33ki3zqi9D<1{9U z5D5=`%)wQeJcdy>8VPVrrl9)l!*8|fZuK<=<^9C=F)v9@qnan%b$;ik>#UiC6J!U5 zu5W2d{NQHm-D=<9T6$=Xb=F&RDs;=~Q}y_=GDYl)X?cdKy2!IbhAhe9-LC{A;n@4z)(v zEEad;_hPYl(tQil1M_A!20N>`>R&N=a~o(y!^P#t?#`uIhtJ*8+45mEKswwG5eZ(rS=>;A2j z`kX3NQ=kXxoy#E^*l`oHZMt)g@sV^APS=60pI=_C?waz&Gx;3d9iu8W-orPM_;$ri zrv9<$?Ircgj}1udM?I5w@VeVhxo6YqTMoAQWz1Y_K5F`8VM&lPP7-4Kv^vmS30b6j zWY;L;;*~L;d_R-b!-a8Y+Ct6>b1ZI&W@l8jx#|UtR~&uP-d2A-J)_jF5JY}`;xZiq5L5Psa@FRzqOw1Ct z+uLC!x%=O{_A$m}DU?RTCJxWq_3ulhWq(sX$#sj%=N4OJ4y0b+7yl-{l0I$r{Bul;&p>){{Z{@6$>z^w-A2aBmeI+B`Khtz><{#B^)TTgvq=@EN6{Fnx_#H)&CCdHStrEnCk;; zl09U`BH1hBKRLvw6Huqz+mM219wigr277F?nA3Zt{cOG7LRObZ6u$5B^jxtu`HQ7L zmZc`_#*dtP?L~JF*XSqS3@m6q^bC&`W5CsR4B`gvFCw?s_d2Eb2^iYUvOgcv8#p2n z)|4kXQ3TfAFD$W)C3K6?vuEqHM(&?^rgzQPSfby*3hO9Pai^LM3Z<=#Tf(2FEeNd; zODQ&5X z{sEsCkRGG~=u}Za{i}w$Pl?=-yX94Dq2S5szzFrv{!P};d6Pe}mO{7>zn7e+YFj$9 zGcwrWZeJLS5byO?)C^nMZ(+oktLo=@laWy;EKJ4X67pCz_^#5e5;TMiG^f-1dFtuk zqUR$YSJalGbhRrxxLuMI#tRK7qv`ABE(*EKmIo&&ajs(frP7l6EBzVc)kCb(cWq|~ zN7EGuCu0OF3p_g#{uF2aTtk1=q(+T;6&K>q(@S^}D3B-qQ)Vx}H!YT*V3df6 z*D96NYYk+~x=tiNcP8@nH}n3n#l@!|IUqCXTZeek36>nB6mO{1x`tm|h*{p+p4?R? z8R&BL6vK)xI$wE_$W^A^vngFhmH+ytX0^Czg&IwrqXMa6tVdFtLPR2$5nZ zHC^seLuI8tRw*~4yuUMvU7p&15) zj$?wxWqfL}E6?#ISU&aGIi)yV^7p>~OehxAhm{vi9`GPU@eo$+N+7XJAI@XMhjaDB=CIA=3^xoFKwPINB;k-6L)g38)PJ9$^yHk*@-JMP7$ zgz^Ug0qk-7xR1m53JSoS$Re^~57iQzWyDY(;F#6rnl>{eNo129$^_hj6L%S{Z zj4^!g9GtuGKIi4-ntU7ki6<*Z$JtA4>EvUUa_Cb3(r`e7(=e`&B{)2U4^3XF^2V%W zR;Q2B&hL$xh{#sgw{;JfUnz)K`#t?EijQW2!K6|Kypl?-2e(5*tm`<`-1Aj-S=zD= zAkU6};$douWPIc7+29k(z8Z5`7Hg5NyN?OOzU!vOOl=yUJB-b%wLAykUW~o+_#vn* zXiImOlxDglJKXhlc+rxw;?2tZ9HR<_=WRnvtS}{kCw>cgo6qR@mux+X&igPCmbwDW zeog(<(+_4+L^RXy9SsFw`PFpmP_RA9rDKvMI(qTKFvLrR)^m!5O73Em0g9KR%vza( z8;z*?-N4c6sb(HJuV{z2 zJVYxiZ8?|i+jH66pf`o&sboUWnPqZWZD7)h0PJd=UT2Qbl{kRjEBkA_4LKEIiSvuaroQ0His`J=-;@^}N4s%z z2UeoG!kpvPn&j0Kw?377`A9S-|fJQ$H#gt6+$jxfF;=#daUWMXzmC{lpwK;6NGNd)6wtBu| zqW$~K8zySH6Y=Wag=*!c-AwaSarNJ=Qs)KJ2_5@3L4?0uM)g0eyu@DRYOpK`wGk&u(H>-SX;^n;26fc@ut-u&-2^}XI* zMAO=tk555KQShaW9)OPdNtKYdntXE|tF)a5k?sw!6*M?wdJ!lTlt8pJ|A_`qdCF+tI_dz5EBZQL zb&%U3rJ-*MwFM;+EzegQj}EL$O+3nW^w{Q>VoBeL?+{tX);IQEf>#GvQ^* z%0ME}XacBWORAZ14WnU(JlYxR+Y)W2Tf;Ju*^Lr|nzt01S-8`Sq~Av*pjn~1EjhDh z?hMW8rig0vBdBRh!7OBl-alO&QGn)!8n)!kn(r_irw1Y0&{9x~mZDh;T6)rSJVXSV z0iy-WmN27bNJ>{mEC)F+s9kN>I@G7G%vpH*T38J-Cp?oDA7Y_#Z2aO~d^c0AOo z1iw(NAiW5*Id{mfh?iC^5 z&Cv+Bo`#T4dH^|JQE2OA+gW^|&47OdTzj6`BeS{J6zzubgT=sg=UH}lPR-tgEkoMc zH0Qx|$)tTTsBR3|X+`i;Dc+)F&AxKfD0ZN97)@345MmWgh*3OE4K{9Pw8*GMOrY;$ z)K4>mP1~6kGIkNiXgrMkY09}#D5G>n5n>2UjU6~NZ5ViV_@XGLENu73@s{FoZCIe} zgA#g4)8h7es_EJ@!DpA=JF}%@VZP-%WOGFv@#BIY&Kx3IAH?&ZfSQwiV$g277cA4w1Hdz=X?2gF+04W?IgO*DD?RYj#>%7X$-4 zE+r{z`F1`eOdM|Rh~0k;-@fF2edYi@-1?kcNE5UEOR8McyO3`6YM1{BOqkN25n%&_&G`bXsXkLm3}_>qltwn z$%_9YL^FVM3%j28g2J8UApu0!CY(BnQ(mY&y||;uPc-Oz#kr*(NEX~l#7oeuX%b>&P?h^9FUBL9tZdqAt?O3 z;wj`d6$sR#lK`jZ27xeTH(;_xAeola`Xsgr!6J6eR5qk8suCjv`y?S>$T5`afb>SS zU?gDea2W_YIF%ZyhAPDfz*^vP5RTeZL!=9;5hDg`gUimdd!&jWO;OdDN3d47{5;2Q zsy{La)rOIRbp*>uvD2lJB9&3EG5n_=gXN?+ic&R^4yXo<=qWr{ww>J~6^t}Qy~7Bf zehQXv=U7PfK>DLvF_Ne4=Q5$}(y4SvO;kBX@U-PzE|jAq)dJ~(0;9m_T2w9C1Lc9< zMeU;LP;}@bR1w+&Wr1EmEuf`Q(&%Q41!e&wjp@LIVZ=|{&SinU`TfglT@OY{r&!LA zSkPAw)DwBXCEN7LLjQTB_5DJfKyQc^=c{ONv!$fJILcFXkxr-&P%+WA zeAz*Ehg3nNF{%psNVGLyevo4|)f)*z!J$&39cD7T?9{1*NJUf$l)vSpnH(=iR;n7( z4)q=?+5$I|tzkDzHA>Cc20}5TBHan z55sfX6s+9NwUD-pBt^wwm`>}@6+$_s(~6K_R2GKowDDZ&Qtndc^2w#irP8IrrN$-X zQub2&QuWgKQt?v%QvK5WQvOmmlyitCE4BW(dmhf0EebnR2h8tm9gy+DIR zwGvsCvut|#(e$F354gW&F86w&1E9g8&+@f2nAtMZdR5W4MRW4aG#JX$brF?lA*hLH zK|W-V-XUEOk%Q)j8i?lQn-4Oqrh6k=&=OFHXi>feFFkcSAtDOR2-R-MHZ$X8$Vyj3 zl%fTo#x40~kQ#c!bS^{&niHxos#n8mnB~$dj5dL~i9W4in#kBh9HMcd@+~Q|M(&Iv z8F`2SG!;~>C1cjaovArv2CC{u*T|r?-I;pDq z%!Htu<=ikbQ=^k71ZmSJ1GhjuG8~waI?ZLmns0Bq&KXHTpI@Hrmq=NSm^joBVu9)y zG4S3)-Q(~t+36-SM_8b23UA1mAUA<287Q1`WJS?+PB=gYcuwBVe7w)t^y8kyJ>aQ# zOCu6!M3os|GOp66D z0mUOEF{+q~ftQY^j(eAywzkY?SXk`BZZ=(~W;dl}M~;RT3yOMsNnluwU-&qx5|t(? z?s)GL%^$_aZzWS}X}WfI?fKl4kWKL)T$KDv@vw&ntV60&L2(B*Lo~k~O9TcK<@+06 z-GPyXbra2RMC{54(}Q0{8(n;5z`)rRn~COEZ6+fLWFRuc^?{Y91u4%pwTw;d_E_l< zQa5`xyqWh^#X`o^qDazEky&$sIgifrqvpiQC1a1;qm9N5Y|$(mX`SN15~kXGZlqcJ zUYkBvnNET~?4{<5;bYVNSx&+Y+l}ozru~H<$BQo7r(D*ABKG@9j(uO7sS5-IB{_?{ z?A!}BewfpgWt;OT$PE_nGubv)-B2*)jN8$ykv$w79SL*YY;JSfh$Iot9$V&X7!N7n zFpnXcpRt)qhI>7>W-b4^n-5t*KwGUdX*?039&X|hS-&5;=ZZOm2CdbJrJdOq$8Ylo z*d%#sV!BUXL_i5G-!RFzYBl& zt%V0>d05gI5_LaO^Wm~xsz!HzoQTEieS?4ns&_1TnwwgAOWXOI9;T^Tod!tNHbqW^ zciH?z&SJtyiwG`Qe9-Mz;tbc1^Hgr80cmGn@%=Bk@j@1s<(#tw$!ZD8iUzwW9KOf0itd4BRBh=B^gz zBwgQ6!>2zCmaFa6CRHikYv#dqV$eY?vl~<`*H-wQrgSjvlHR>g4PCsC5A%NCeRhT>m6q)|2+*)Sg_Kzh!1^uqoogb94bz|Om94hX;MT(Lw4$CGYZz~y zReSPsz}}31cdaj&wW(acpR4k93hru1D`=FFyJ^`?$wC32LyzG{P+Mdsy#IpKRxjv5 zb~=T0P!6)NBz-W+F#Th|87>)GH1JhaebdoJ?HY{qi$x7r88m7cIZ z_|w$VH>ecIqhS~&V!5Gz#mjS3d4Ey}>|Lfo?o4Wmmj`~!(`jlpFUc2hfu81-|0!)3 zO(#&))AYxR4=LA=*PNN_MXIjU)aFDRgto(ssa6@J*fs0onO46e?aVXf|J#(pTHFrN zov&FWKj6;N;`ZuPE`QTioPL=$H@-h8?0lYmS8_{e(#m9}G=Vw5l<~OY<@9tD>Y8QWC|!wsI+r{~^ZqI25H0J(E?m3wzR9mS@Cj$% zN2h{YL478qCeHjM#{yMTaA?eoU1u?FcQvwIYzAw^<-^SDTM&d>?UPGKOg_R_tco{) zi*WqnCAaWN;_38kl!t!R&hxw+4Hrv?|zoH5nJ z;QF@Ekk^)mzH#Q--4OLaucXc5BBFMT5J+qY^u&bWamizanQy_@up9MH&lwir;8Z zOjGSD(W*Vl?>Vb3SgoI9+|6nx;WLg}N*#!6HZj@zO8K^dvW_yvBZxNWE-Kyk(+@bP zThK9}1@XbykN%W1?N=qbg=fmM5OtQz&EShWQ_a^Bb$s21naT!GhlqvAUM3~qL(F_y zm;0S@cL&iX;~F>d*zLw@;m7^SAsR9F&fQy=qF5AmU2 z0nWGCYx4Xq`0d-;ib@)I9X^vg@<%&2-6|1WQ*YplZk&TaIhG6lKms_&gDt)fwb^OdyIhk{%9g?A)`!vj-) zvw=Sp1l*#f05~xl4BO#{g1dilxi%*vt&ZYY_=RK=!XQW7-on)=2_!MpkcQ3ZwKD`9V z7|ph)s!p!x*4NyXAp1E>1$sfeS{tliTF3rT1XuIfeo_b<*B)`7w$_AmwFoKvAlBS9-H(CN~q zxTJpVab~?ekk4Scm;G`3Uz25g2*cey2NIfqZ@d1f)sP7u-(S~U&zV1Mtr7AcE(juI zYEqh|`eZ^QB1_!}6mEg(jay-#6F4L44AZm32TW|)%HfWeV>}IJ_lJ_4tDXZ6aHF!Q z2MVLtgRS(W-_{cV&Av}|fjp*w7Z`|x&!xCQ75HQ;ihF(=@4n&I=G1{eNy*fWeT2KD zgx9%Zic2{$y41kz@dK76C#M&=?h_WEv2TfMf~) zVLl)v1dTnwl)MFm#UM~JHITw}Xx;?9QibU81MQN4!XdqJFVRs84p<;QatvdKl-Wy0 zED#Z3okl%RcN6rvLLr-a;DnLsQvYNw3>0qA8%KbOS{T3sITFIOkKlW)`yf!k3qf!$ zzoJsV+TO<{5D1Y>EqaJ@B*X@JGEKuBzmn06Q0;mZVO^)!ec9BsA~c}S$=UlQG-3ij zxo4J-ZU9p_7(@tp%5wIziU3GVQHrscu8GVWpvSkv0Qpf=HX}Dah+e$3H_pDYy-6vO z1q);g%o<0y5z<+Sb<7|TIGr6F82g9=$~mN_4+2ebm_vk^E+0q@z6F8cT3_L7EM0|9 zfm}3-!vk_at|`yT4SF@5E(um5Z=$520%^g3?piSbLQFFC)b*7lnVRPM%9>1V3?#F! zq>`xzf#g<;tCvs&NOmjkqoS~ZPy=D;q5`71fe*970Rq$*1?P(a;w>f*$zJ5=05sV- zP>P&@rc4@KrN=|(x(?^wxTXNj>n}kc32Y=rBK7(k0?g?SQgxqRm(w^GQn!4)2~?+F zQV7$Q`6J{9@_Y4AAWW93Zu=#OB4ZF}Qfn3Nh-n4_8(#^G->EVT*a1A5TD?7)9u$s+ zQUqT&D}ef_p zcRs;_VSzwEL_i?R8~>16L7}e8vE~V1RWY`(mlFDgteRO4y@F_Cj4Lcr!myBSD2uw6 z5Uq%@hQ-45Agtgl!(J}5KE@fA05^cJ)n|t?m{drc8EdO3{v^mBdmIODP zXWPvp?ZrdOW2{bJ1nWt$(q(D(vY~Y`PN(s~22yNAS>Rsk)~f^4c5aK5+P(?YCWiR5 zELg3bXJLKg@;-jT*C0&D>9cdKP-f}OqFzn31IGI_>Rcz3r6Y5p*P_>=&jMkAT5i&{rsbOweh>xi(3b`qYIX-){u( zlm$sLHrf&DBO3icmn78&(Ft`GO?+UO$o4IZq8A^n0JRp4&DSGINkbsecc4#1GxAL| zn94Io5$k9osFG+}zVRTVLq-E)3jF}8A)1wMI>@w|v5z=K-+;=tB%2xWGE!&6A-cR)0tMKNZuLJ129Jvf~j5r<~M=)iK| zW)OzjbVI~Dv@pg5Rse_0(|e?gAo9>W7z0=y+0m?_nhT?Unj381&ajZ~foMjHW6VzrgDpbo zrPJvUNoY2V?rF}sStvtCx&@*ZErOxOWMK?36BrRpGsYitj3LD&VKgyA7%-+5#Sb?o@(7i4_t%JuT-Ao{sEgi)A_*y(TZ3wSrsgqLw0kB!hI_KF<@## zhwh7e+|Ae&2>e35Qh=pMa>I`uz$`g>itj-(o_(p*^-)o^Z}=(`7UDrNMCpuRf-|A^ z#lWYW{a_3TRP{m{yg@-#?Yr{nHVDMUI230l?MrGDuUd&?O9u)U@KOJ!~z+S zxj}k0fA)DN$P2hGV3pEWgOmqT4@c(j#snP36x3nzTwZzyCMvG>c(8 z4389(O=%tg=EA*uzz?Tzj|Ab96zX3h{fpI7CnS2bS7#yuVYz1HzTE`~@&t5<+f zIAgcpWqlf_;W)XOQL2yYfl1ktm#LCc7ASf%s@$fx#;2+gFeRDh)G%Z`S?xWY=D6$f zLf=i%08%1F1MmLUK#4PYLaZsxMj!OGe?{?Q~D zP`R*FR_VRc97FO>u*HL_Z<01b_k>5@rSi1o0il@Xid;iV!?4FH& zhx`=lC{6=suhmDW|{Q0{DK{k8umuIPVKeky9fDr=U&tDrf3tChm zRQ7TF?&aI@%xAd@OD+8{@ITNAo3VD?b!nW8%n?`LDP2LGiBZ5=>|NtKxsv=GB&>r7 zT)_vvwACc(2vFM8Q>AJ5SGC0T_j2lc$5L1uau#@fq>fhshmm~RO-M_iI&#&DkQE&8818hwmFxt7=2LqN!z90G6`7+-gQXjzyqPw~MzeyA^53;aGuN zF`aQqVR7%ZGIf9a4&};M!#vh6CoJ%A6P|Z&iYyRfIQxL$@-=GDLRPz{0Jx80&TaT% z3N_11qTN*?-|(6L0Qs0~_E%z8u^t;aZ-la@d3B-e?)}LBMr2UBA~xx%Sb5VUa6Zp! zuTQOBZ7?03-q^lCu$r2xY{D}@HG`1M%xy1@wap(u`l0zF^eeByENy|#8FP&SzJOnL zQ|zYj2jgR^@CW|^(VDUrZ~3M(Dk4O-T1ha&)vQ{zle%fSujtDd@EX}jj9c5G5M-xu zUfz#nHh%JicHIuUz%WHZ3h7FV*BmO8q`oiUu)$n>ROefFS~5)z|AL;wj+n5h*%n~F z)U`ih*jIH``(xF>-4pETQb}_>Y->A{w^DBiVbv_?ai%o(6Zfqf|MdGdyoGY~Z&QXQ z-Asx8(*x15ErZk<++1)^%U~0>z)mru7k>P0Hvu!>tr79CtkHa0<#}@><9*9LmUQ=T zsj=JXETsDavHGf&-9&28uZ9H$UqA4E^K&vdOZ(J6Myy)EfYkf7Wd*$$yiW-)rd+sq zUJK;uKVs|3s}5h4QZ0LeyK@J){6CsgJ#glm{j~M2;h|-_Qmf?4#f;gbx2Po6p&YaF z?v3Zo@3rP?dvzjqvuo8|)M(55QM^s7yhy!h!ejl^Zd}$h-2ztX6)%hKuJ^mC+eCw< ztP9h7(Ry7*1hj@hi#Y$H8gh%VA#ci%=0HTWe15q7zqOxt_@NLjOg#%P~M)kpy4WVMU zU+$=S+iq3(!ZeI_h6fW0+XSWQ-{qZx2fQoA@h~eEGsQ9`J8fF6kCD|dE_WFqiy+r=sGB25GY#kf+USmYb6v+iP=_(rD8sHK$Ci@z zwqK@A9(uYdZ4xqL646aGwdzyRx!ZIf;wVr&UfnRuzFVH@rRMfd06vU-OI%AlUsdul zR_abe=Hd*kzAegUPc3F!%63;{I5QWXs@_L9U-mN|D6h+0O!|DL>loDM7`OuL~+U+2$jItNHxcQbxX+)fW?8;aitl1aU<2=Ty2% zlWi&$=Uk%2y_~*pUXn?Gf5sAZ*rikZfyv)qJlWB6$OzPC!7rrdSBPG?(MQrsjZYcM z(#+E+z*8}Ob0|)t(D2KkVP{>?FD--vnLgxB$GHxL1UEFqnewq2meujk;wM9lg>d%J zZ9BFAoxL%Tvts$1*V_sjdv6vivBnZ27idQON?86XQo&rMDb2(tFRFIxVpp(LhGFDp zVq+HhuQ@^1FdfaA*k^xnqS0XuaZMD-i!?x4xc#f$u$0Z0oJxD~K&3KZgDWWW%Ajsr zJGBHo0FDBafTpYOd`*KCnk=;Vg(Sc&4q_*d3Cp2XU9E%&5)~4r?2>{azie~mM7l{) zZocfKU>J163ZL}6>@(CSh9X?Ck(^frm*c;RYFeCr-P`0TJ-#H!XYfc#+w)*R37`lC~jFTw?;23_;zPJ_5IRRwjuk(tpoIqaQD;EkI*>cJrq*gk7 zeeWHR!P67AtV9WR&NwyhckOoH2+zH31-a=_-X7?>mkW5jcMGQd4!(C;_Xpf)@ta<> z13X_O#FVfCXb}yT46ue_Bbhps?lx!)SlEC8gzJA+JG?Cbgv8fNAWFIbVGBrRfC2nA z7Z3t}4iD2t!1vA+K%iWdA|=r7G6=L51+OrIoP+>N1DF`Vn9c4|c5={X0KXD|EnUb0 z;Kh?j*hoBB;HO2@!UcdU0qQc4leu6}cvCX9-4J1iI4Nj2_A!7L>Du?Kno`+Eaf3^-2lN-$bhT!4Oj}!HIEHjA_5c}pTj*P06^%I zEadTZsDUuJUL#S3$rpLKCNO&U!seI&W|X49HAV&g7b4>WdJg)Z7vcQ3 z;L;x;$}!SPdoR&M7$nQ2k`aLhv2_>#=i{$T%Fuq{?+&Q z_viH&{G-b+Dk=*8Q~Hmpt1`d9nE^Ws|J zA7u{@kN=IX(7zu4-S7W2KvMsV#&v~K64#$%4tDN#P%9q~sFWtqf6YIm^;iFS`Irrz z+yw>b|8s$bC)C5)&c+A)=rNxgKeL>#ldCPyV^L8)3GjcZ@UI4(+?{-!tXz3)pmu+n z(e<(Ov!hpXvU9x-)!#<{Rp~F&KPEtUd2FqG?4*Ez2=Vaq@$m8M@d=9w@reoX|84!B z^(1U<#QrgO%yVrcpvU(}?E0U81o)rAYb{qRcL!f92RkV{cOHG+KV|=l{_?=i7I5C( zfyV}B18kEbB_jHFi~mLbpOtNWfdK{FmeSG_;8zh)7X_;RGc^BL{j2boov*hYl*iW2 z-pSq0mi~{TyOo=rB%`Xky$3x%Blzz~{cqI-{?F6||IgHf{#E<;zVY?}`sD57Wb>DY zK33MQc04v7zV1Gfi~@p;e@UI(fYJO%D)hI|!}|K`@{g4NZ>i^h(ByyguMleG0JZXT z{6||zQ26g6e-EhbKT>`H(Z_#_Z5*wjRyIDs0RHXEqenu**WUkqBZys(=l{q3+nqn+ z|MfwJcY!C3o};guwY!y*t2fx^AM*MX)V54REs7UZ(Z;1Y!v-Agx3F+nzW;oJ&vP3K z|2qyDj{FUFMaDK&e5@Pn>||ugR4?ynbHvH4J)EVyaaZP68#lc$83TjRM9!I~#cu!L zY|d`})q2=tZ%8}ak4$!1(5=s3zOV$$_4KYdD4pjAht3xTSw0I(h$E!;np@i#7|tJ< zU1)1g`56iSi7}-RyAg%s&G@yjH@DKS@!RE)kfwnyupKatq>e;2(+L(mRS=jvgv53aX1MQ*})#EFk?M0UN-Y_E3%8GPEkB zVy?B@+1-=IvA;V935UF?4zWFQbm7NGv)okO<_8z%GHNWg2M=>fSJqYIw zS>Z?MyAq9O%2z+gM^N9Gdijl_s4_^fn-Kcpo8=b^XVxa@+)hm<@Zj3vPb;By*(Y5Z zHqDuKzrRWimbM;!*5I_919Rf|y(J%R<jH|w2m0I-=4ovrLxxE@()KKMeyb+|d z&_!MIqq0Wop1zH2ej!#=5xd@Wh_)T8idH_($ULRhjefy}!N)(`HP+FMYcUnf$`54n zzq_x+$0mbwxH&(R*79M0%8jSO)g~=c=}j!>(!Y|BIkYmj40xpL@9gvocU9ro|0=#U zA?cS!^{RKpR@3tS4BF2*IszXcOonEjGshp;AWfu6mnJ22Z{l}R z@qYjPPoB+A^6Yu%%w%R~<~`Xn(wq%?(giFWX;2*leo^5S`I;YTi-}BmDrK8x&5jqX z^+^cb4wRPdKcAnet5OU^$*hcbb;UBa&sSUZD6ULNu2LrTtSb9j>Q%Rr zH(S@)o=20s=jM4UOQ#zh8y~!=EIi4mKWN+PIW3ALC{)NNmsXW^Tz#a(?x7@Ne2ej; zn%Dy=QtrTD=hXhgYdw4Tlh&?PFCeKWFeKLf{#uX*h_{4H(^PTOLjj+K&&?b&zB)~*ILi39kZf2sqGE2LK=aXDFW*$%?~k@8kGlA>Hw=I;JM zn_z4!BXH#)gswT6t`^!t-YnTxDQ~;Z%$fDI^^HhujM~#gt)cV6D?D8il53$%wm>e% zjDptzJ{(_IxUxLqD5VRq2Ya(U=1w8OB`2q{7yuS6D z$~+&@@C*6rs!12c!+1&71oe`74f&(e^@z~h?o48KHkS1}U@yd`O(oxlS$TTRTPbVd zs?Ot6#E$saQkbrr@uy;<>wCj7Oc zb27(j^w#W<8~OXX_xbj`N;SqmcJl-dDY(;T>a)IWHI5j`#L5_26>)#z-KFLKpq{eG zta&?7g_F#fODANDVuS(ER-XoWdC&?E3s^i_XsrnKF=A|o=rqk)>xygZ#{8G5`6 z0|UKbRUD5i|@b#OI@_sjBMk7!6=&UhA7_xuL* zeoSS=ITcOr!|W_E22nAN$TI9+x!%d)ZYh+kYqaE1Pdf-aBC{(`YUcLD)|m`;CK$9@ zv#XJo-ug)GqLlOu&T;d@t06nq-kHbYR{rfG`mtW4oyAG1RFLwNy!UhB!_A<`r8T23 zuC9KT>0)$m$MY{=viG2WCE4=ul9-N{^&sw-c?vIo+1YA0ioP@yznR;U?1@=BL(Ll1 zMm?JTibZj7ZT9}$o0Q2Ox5F94QzwtqQ3f@x_cdSikA`sr{0U8WR4RCe6Vv9-zZ}8@ z@~Zu+`yC;Z$_+iPv|CDZ*OS;cF7|wfnBAb{nBf%~h-Sk?@12qG{eou0XZgV_}s8>?Ck#Ujm zK?V20mfXeVRKPMlk!u3DqWuK&tm@{x zX&EX68=M$%{U=Rpw* z-0rtFs{4Si&UHAb`+b^Wn`zLlW;HshFs+;4m%HeY`>-P+*CX9w-~nxN{%&ZuQ}0a( z?Ho5D2EK=&WsA%X=UeV zl`~^Udh_72GY@=5rv^jU0T(s|zW~R_zxDD~R+h5{RcRO&A$4T%0E-bd+rSp^>b>Ox ztJ~)O0i)GGosN~nYW?jjRyOYxN!#9IVbjLXI`K)q^6;doYHb)lRWj)Qwb<|#hb&ct z#0VsR$D{#Ubuw@E#n8vWVS*_`lwhB*TW=GS|rFS?-5p|K=N`mR6#=7n4l&)Fs}JH*7~4AYqAI0lMF!zww3t0h^wqHi4nV2 zj;U}{SrRJxSc3OvdoLDP1hg0nh8NNs$unLDc6nqK9-3=+dT1XC2mzFJm~`$38OWuaJK;Q8HD0^A3#|7YcK%^gyFR+A)MXBtWCa9b#v*nhhwU1=s= zWhPx=cCr>F#Hz=6@`X6V_hhKJpe!Aj(tJ4u&9{ZJ_5&xm^KO+|pO`1z9$jU0J48+R zIlHforg7|cb?kQ8wh&~x%2@%a!w8a=yR$go4qKpF7Q769(C>!Ms!{3XD7$ml6cx}o zJ92Lx^VkLI-o!XUXB5QmoqM_7E6TeA6b1NcN9yjn4xofGj#Dod|JH-H({aoNt0%b_Qme z?lVimi4md*^U5E*I2N^U%Djj0a8mj$o$Hf`RH8X)2I{%)OgJmAbP?y27Yt-Ju|DdN zt#Fyf!$FGvQ1eaF1t*!{P~o&nd{qC~(pAQ_U}!oSsk}12@Oq~ez3$Yqzwn-2$V($z zd-SdwZQ1_YMfVbP=~C|f5j)&&$a%(|0TG>4_XW_X^szRMEYJC5fQ}t4(R^M@UyR&y zo!lfBXKF$y;a4zt?PS&C#z}3tSilovI_h~h<^~7L41gg@rs#2|{0kR$T`i8hhf-G> z=arJ`Vx&W9*6k$dD_~DLL|lGP7BeACYR_&ONUg$kzEZmjK=GhW23( zi6iL`>`VY&TOlcuWgEKj#brzi)G3`~HjPItRTi~HM4L37tU}?U|5a*!*~n79i`s+< zY4a$2F!QNvS3e&|pld0crFOr6LS6!^bJ}YCZr4{IRb?Fl`Yh@?PTZP?JK^OT>DN{& zg6!z4N63(dWDC!RB{J|=5~P4X5bra?(~)|x>;n)@qZHLSJxaJ2;Q|bGJRp?od*OcQ zX0rW3ohIip4}$ib3Ri`~eag-6V_BqvittFcE^WdYzCyrxQB$%NhO&@AojG{#^I%@0 z%ubm*$^&iXpl2$N6>-QN0u>A(pe=SyB!t$BidI`+DPY zi=x5Cd&kj~kME&<8U{oMqsJw?-{4z%h=$J^7go|N$qS_d_t^ysltE0GT@jq&PZ2Yw zX;k>$OwJlc`|RgyxJXjga4iH~G&xh5BkR9YGv53FdTZ;KOTBv#pmW5G9k&o4Npby% zaBya>{eR=uMa;ORp`Z)mQ_)luaI$c=x3PAGVR_GL)Ffm~kfLJ}t#9S0mAV1$BQdNd z$WVpv zlPs{ccsOlrepl)kbk)V1Pn?+n;K(9zpsfr?7k8N7Mt_{{ z8e=9cu)no9G57ASURAb4ae*TWjdno!F6HS@ESaQpI2)N1)@hx;{>(>7N=-{?!D=;M zztS;w@Uddxag?e7pzYh5v{^;kE}d(;I5dmkjmXDW?iqw3Uae8r(X}th+^IejzgTEW zgN}~MtMwHtO?j9?o4nKqe8g7C4kL(ka4XtNo95c}dD?mGOzv3LaC$%<*5 zWVTW#WOn`%w!t{ejoPkZ{yIwebE1QbM}tFyX&nEs`ltgE-sR+x{%>-0E02~_fM`_01S6=!7h9-4f+%mt9%N23WTNMe#-zR`8TMIBLu?@|27SK z_1`FGiwM9}<=-e!2ow&*5cBX~Mf^9M%h)e}3FzN&Tp+eC)~;tN3#;(AMQ6+9{VzyZ zWB#o2|Bh1rFDNhw#PPqOF#jqMHnH$8C_hdjn3Dz6^;Z?0wt}#%oTz(*7*AR+ zE)L%ruxpscp=}R_!7+96)1QKIsfc3^43RG=@z43XxP*eBytpVxp5NWc=Cm!GLO*Ew z9`>FL2d9V}=P&3X;{OY+h=mkVl)wJ-EF>f*Dkv$U0FsyIcXe|*g~l3){W(75Al8lW zad5E5S*s5)PQPDP3;i_n^bPA1!V2~*A}FaSB!?x0PoYn(Uh~^lcfn?ZIk4-G-;#-G zijEeZ5IBYow*cGy>X@gRf5vUcM!Wbe>WnxzV2Cs9uTFES_-6nO%x&TiIly#j*Iz;2 z|2FkczuVaipP8KrwsES6MaEX&-)_0zITnZfcG8_{otpXQn(b^KxETJQ3HQHI{v1Ki t*6)}2v#Y(oHUGSoVn^8Df=c}l_V?{{ZV%z}5f& literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/sd_interface/model/sdModel.v b/usrp2/fpga/opencores/sd_interface/model/sdModel.v new file mode 100644 index 00000000..1e202bac --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/model/sdModel.v @@ -0,0 +1,99 @@ +`include "timescale.v" + +module sdModel( + spiClk, + spiDataIn, + spiDataOut, + spiCS_n +); +input spiClk; +input spiDataIn; +output spiDataOut; +reg spiDataOut; +input spiCS_n; + +//local wires and regs +reg [7:0] rxByte; +reg [7:0] respByte; +reg [1:0] smSt; +reg [7:0] cnt; + +`define START 2'b00 +`define WAIT_FF 2'b01 +`define WAIT_FF_FIN 2'b10 + +initial +begin + smSt = `START; +end + + +// ------------------------------ txRxByte -------------------------- +task txRxByte; +input [7:0] txData; +output [7:0] rxData; + +integer i; +begin + spiDataOut <= txData[7]; + //@(negedge spiCS_n); + for (i=0;i<=7;i=i+1) begin + @(posedge spiClk); + rxData[0] <= spiDataIn; + rxData = rxData << 1; + @(negedge spiClk); + spiDataOut <= txData[6]; + txData = txData << 1; + end +end +endtask + + +//response state machine +always begin + case (smSt) + `START: begin + txRxByte(8'hff, rxByte); + if (rxByte == 8'hff) begin + smSt <= `WAIT_FF; + cnt <= 8'h00; + end + end + `WAIT_FF: begin + txRxByte(8'hff, rxByte); + if (rxByte == 8'hff) begin + cnt <= cnt + 1'b1; + if (cnt == 8'h04) begin + txRxByte(respByte, rxByte); + smSt <= `WAIT_FF_FIN; + end + end + else begin + smSt <= `START; + cnt <= 8'h00; + end + end + `WAIT_FF_FIN: begin + txRxByte(8'hff, rxByte); + if (rxByte == 8'h04) begin + cnt <= cnt + 1'b1; + if (cnt == 8'hff) begin + txRxByte(respByte, rxByte); + smSt <= `START; + end + end + else + smSt <= `START; + end + endcase +end + +// setRespByte +task setRespByte; + input [7:0] dataByte; + begin + respByte = dataByte; + end +endtask + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v b/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v new file mode 100644 index 00000000..3f8b7ee6 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v @@ -0,0 +1,176 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// wb_master_model.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// Based on: //// +//// - i2c/bench/verilog/wb_master_model.v //// +//// Copyright (C) 2001 Richard Herveille //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "timescale.v" + +module wb_master_model(clk, rst, adr, din, dout, cyc, stb, we, sel, ack, err, rty); + + parameter dwidth = 32; + parameter awidth = 32; + + input clk, rst; + output [awidth -1:0] adr; + input [dwidth -1:0] din; + output [dwidth -1:0] dout; + output cyc, stb; + output we; + output [dwidth/8 -1:0] sel; + input ack, err, rty; + + // Internal signals + reg [awidth -1:0] adr; + reg [dwidth -1:0] dout; + reg cyc, stb; + reg we; + reg [dwidth/8 -1:0] sel; + + reg [dwidth -1:0] q; + + // Memory Logic + initial + begin + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + cyc = 1'b0; + stb = 1'bx; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + #1; + end + + // Wishbone write cycle + task wb_write; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signal + #1; + adr = a; + dout = d; + cyc = 1'b1; + stb = 1'b1; + we = 1'b1; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + + end + endtask + + // Wishbone read cycle + task wb_read; + input delay; + integer delay; + + input [awidth -1:0] a; + output [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signals + #1; + adr = a; + dout = {dwidth{1'bx}}; + cyc = 1'b1; + stb = 1'b1; + we = 1'b0; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + d = din; + + end + endtask + + // Wishbone compare cycle (read data from location and compare with expected data) + task wb_cmp; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d_exp; + + begin + wb_read (delay, a, q); + + if (d_exp !== q) + $display("Data compare error. Received %h, expected %h at time %t", q, d_exp, $time); + end + endtask + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat b/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat new file mode 100644 index 00000000..d3d60d70 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat @@ -0,0 +1,4 @@ +iverilog -o testHarness -cfilelist.icarus + +pause + diff --git a/usrp2/fpga/opencores/sd_interface/sim/compile.do b/usrp2/fpga/opencores/sd_interface/sim/compile.do new file mode 100644 index 00000000..0388e1db --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/compile.do @@ -0,0 +1,22 @@ + +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/dpMem_dc.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/fifoRTL.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/RxFifoBI.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/TxFifoBI.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/RxFifo.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/TxFifo.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/initSD.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/readWriteSPIWireData.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/readWriteSDBlock.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/sendCmd.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiCtrl.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiTxRxData.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiMaster.v +vlog +define+SIM_COMPILE +incdir+../rtl ../model/wb_master_model.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/wishBoneBI.v +vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/ctrlStsRegBI.v +vlog +define+SIM_COMPILE +incdir+../rtl ../model/sdModel.v +vlog +define+SIM_COMPILE +incdir+../rtl ../bench/testHarness.v +vlog +define+SIM_COMPILE +incdir+../rtl ../bench/testCase0.v + + diff --git a/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus b/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus new file mode 100644 index 00000000..48a2ad03 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus @@ -0,0 +1,21 @@ +../rtl/spiMasterTop.v +../rtl/dpMem_dc.v +../rtl/fifoRTL.v +../rtl/RxFifoBI.v +../rtl/TxFifoBI.v +../rtl/RxFifo.v +../rtl/TxFifo.v +../rtl/initSD.v +../rtl/readWriteSPIWireData.v +../rtl/readWriteSDBlock.v +../rtl/sendCmd.v +../rtl/spiCtrl.v +../rtl/spiTxRxData.v +../rtl/wishBoneBI.v +../rtl/ctrlStsRegBI.v +../model/wb_master_model.v +../model/sdModel.v +../bench/testHarness.v +../bench/testCase0.v ++incdir+../rtl + diff --git a/usrp2/fpga/opencores/sd_interface/sim/run.do b/usrp2/fpga/opencores/sd_interface/sim/run.do new file mode 100644 index 00000000..c0ff8bac --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/run.do @@ -0,0 +1,5 @@ +vsim testCase0 testHarness +do wave.do +run -all + + diff --git a/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat b/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat new file mode 100644 index 00000000..9d6aae00 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat @@ -0,0 +1,2 @@ +vvp testHarness + diff --git a/usrp2/fpga/opencores/sd_interface/sim/testHarness b/usrp2/fpga/opencores/sd_interface/sim/testHarness new file mode 100644 index 00000000..08c4dad3 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/testHarness @@ -0,0 +1,5458 @@ +:vpi_time_precision - 12; +:vpi_module "system"; +S_005FC090 .scope module, "testCase0" "testCase0"; + .timescale -9; +V_$006AD848 .var "dataRead", 7, 0; +V_$006AFD38 .var "dataWrite", 7, 0; +V_$006AFE40 .var/i "i", 31, 0; +S_006338F0 .scope module, "testHarness" "testHarness"; + .timescale -9; +V_$006E2EF0 .net "ack", 0, 0, V_$006DE038[0]; +V_$006E2F60 .net "adr", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +V_$006DF4B8 .var "clk", 0, 0; +V_$006DF480 .net "masterDin", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7]; +V_$006E31C0 .net "masterDout", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006E0BD0 .var "rst", 0, 0; +V_$006E0D70 .net "spiCS_n", 0, 0, L_006E3660; +V_$006DE750 .net "spiClk", 0, 0, V_$006CAA28[0]; +V_$006DE7C0 .net "spiMasterDataIn", 0, 0, V_$00644A08[0]; +V_$006E3498 .net "spiMasterDataOut", 0, 0, V_$006CAAD8[0]; +V_$006E3508 .var "spiSysClk", 0, 0; +V_$006E3578 .net "stb", 0, 0, V_$006607B0[0]; +V_$006E35B0 .net "we", 0, 0, V_$006A5C98[0]; +S_006C1500 .scope module, "u_spiMasterTop" "spiMasterTop", S_006338F0; + .timescale -9; +L_006E3540 .functor AND, V_$006DADF8[0], V_$006D6C70[0], C<1>, C<1>; +L_006E3660 .functor AND, L_006E3540, V_$006DBBB8[0], C<1>, C<1>; +V_$006DDD50 .net "SDAddr", 31, 0, V_$006DBED8[0], V_$006DBED8[1], V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5], V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9], V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13], V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17], V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21], V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25], V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29], V_$006DBED8[30], V_$006DBED8[31]; +V_$006DF148 .net "SDInitError", 1, 0, V_$006D8260[0], V_$006D8260[1]; +V_$006DF1E0 .net "SDReadError", 1, 0, V_$006D6488[0], V_$006D6488[1]; +V_$006DF278 .net "SDWriteError", 1, 0, V_$006D75E8[0], V_$006D75E8[1]; +V_$006DF310 .net "ack_o", 0, 0, V_$006DE038[0]; +V_$006DF378 .net "address_i", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +V_$006DF4F0 .net "checkSumByteFromInitSD", 7, 0, V_$006D7F80[0], V_$006D7F80[1], V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5], V_$006D7F80[6], V_$006D7F80[7]; +V_$006DF608 .net "checkSumByteFromRWSDBlock", 7, 0, V_$006D2790[0], V_$006D2790[1], V_$006D2790[2], V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6], V_$006D2790[7]; +V_$006DF7E8 .net "clk_i", 0, 0, V_$006DF4B8[0]; +V_$006DF850 .net "cmdByteFromInitSD", 7, 0, V_$006D7B08[0], V_$006D7B08[1], V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5], V_$006D7B08[6], V_$006D7B08[7]; +V_$006DFA08 .net "cmdByteFromRWSDBlock", 7, 0, V_$006D2970[0], V_$006D2970[1], V_$006D2970[2], V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6], V_$006D2970[7]; +V_$006DFBF0 .net "dataByte1FromInitSD", 7, 0, V_$006D7C70[0], V_$006D7C70[1], V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5], V_$006D7C70[6], V_$006D7C70[7]; +V_$006DFDD0 .net "dataByte1FromRWSDBlock", 7, 0, V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2], V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6], V_$006D2AB0[7]; +V_$006DFA40 .net "dataByte2FromInitSD", 7, 0, V_$006D7DD0[0], V_$006D7DD0[1], V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5], V_$006D7DD0[6], V_$006D7DD0[7]; +V_$006D8068 .net "dataByte2FromRWSDBlock", 7, 0, V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2], V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6], V_$006D2C18[7]; +V_$006D2D38 .net "dataByte3FromInitSD", 7, 0, V_$006D80C8[0], V_$006D80C8[1], V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5], V_$006D80C8[6], V_$006D80C8[7]; +V_$006D81E8 .net "dataByte3FromRWSDBlock", 7, 0, V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2], V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6], V_$006D2D78[7]; +V_$006D30F8 .net "dataByte4FromInitSD", 7, 0, V_$006D8228[0], V_$006D8228[1], V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5], V_$006D8228[6], V_$006D8228[7]; +V_$006D8380 .net "dataByte4FromRWSDBlock", 7, 0, V_$006D3138[0], V_$006D3138[1], V_$006D3138[2], V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6], V_$006D3138[7]; +V_$006E0468 .net "dataFromCtrlStsReg", 7, 0, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3], V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7]; +V_$006E0590 .net "dataFromRxFifo", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7]; +V_$006E07B0 .net "dataFromTxFifo", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>; +V_$006E09D0 .net "data_i", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006DE808 .net "data_o", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7]; +V_$006DE868 .net "readWriteSDBlockReq", 1, 0, V_$006DBA28[0], V_$006DBA28[1]; +V_$006DE8A0 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0]; +V_$006E0D38 .net "rst_i", 0, 0, V_$006E0BD0[0]; +V_$006E0DA8 .net "rxDataFromRWSPIWireData", 7, 0, V_$006C90B8[0], V_$006C90B8[1], V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5], V_$006C90B8[6], V_$006C90B8[7]; +V_$006CB650 .net "rxDataFromSpiTxRxData", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7]; +V_$006DD040 .net "rxDataRdyClrFromRWSDBlock", 0, 0, V_$006D6920[0]; +V_$006DD008 .net "rxDataRdyClrFromSendCmd", 0, 0, V_$006D1308[0]; +V_$006DCD68 .net "rxDataRdySetFromRWSPIWireData", 0, 0, V_$006CA688[0]; +V_$006DCD30 .net "rxFifoDataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7]; +V_$006D6B58 .net "sendCmdRespByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7]; +V_$006DCCC0 .net "spiCS_n", 0, 0, L_006E3660; +V_$006DCC88 .net "spiCS_nFromInitSD", 0, 0, V_$006DADF8[0]; +V_$006DCC50 .net "spiCS_nFromRWSDBlock", 0, 0, V_$006D6C70[0]; +V_$006E12C8 .net "spiCS_nFromSpiCtrl", 0, 0, V_$006DBBB8[0]; +V_$006E1300 .net "spiClkDelayFromCtrlStsReg", 7, 0, V_$006DCA38[0], V_$006DCA38[1], V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5], V_$006DCA38[6], V_$006DCA38[7]; +V_$006DCB70 .net "spiClkDelayFromInitSD", 7, 0, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7]; +V_$006DB2A8 .net "spiClkOut", 0, 0, V_$006CAA28[0]; +V_$006E1450 .net "spiDataIn", 0, 0, V_$00644A08[0]; +V_$006E14B8 .net "spiDataOut", 0, 0, V_$006CAAD8[0]; +V_$006E1520 .net "spiDirectAccessTxData", 7, 0, V_$006DD220[0], V_$006DD220[1], V_$006DD220[2], V_$006DD220[3], V_$006DD220[4], V_$006DD220[5], V_$006DD220[6], V_$006DD220[7]; +V_$006DD340 .net "spiSysClk", 0, 0, V_$006E3508[0]; +V_$006E1700 .net "spiTransType", 1, 0, V_$006DDBA0[0], V_$006DDBA0[1]; +V_$006DDBD8 .net "strobe_i", 0, 0, V_$006607B0[0]; +V_$006E1798 .net "txDataFromInitSD", 7, 0, V_$006D71D0[0], V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4], V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7]; +V_$006DB478 .net "txDataFromRWSDBlock", 7, 0, V_$006D7208[0], V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4], V_$006D7208[5], V_$006D7208[6], V_$006D7208[7]; +V_$006D7268 .net "txDataFromSendCmd", 7, 0, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3], V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7]; +V_$006E1AE8 .net "txDataFullClrFromRWSPIWireData", 0, 0, V_$006CAC78[0]; +V_$006E1B50 .net "txDataFullFromSpiTxRxData", 0, 0, V_$006CC7A8[0]; +V_$006E1B88 .net "txDataToRWSPIWireData", 7, 0, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3], V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7]; +V_$006CC978 .net "txDataWenFromInitSD", 0, 0, V_$006DB4B8[0]; +V_$006E1CD8 .net "txDataWenFromRWSDBlock", 0, 0, V_$006D72A8[0]; +V_$006E1D40 .net "txDataWenFromSendCmd", 0, 0, V_$006D17B8[0]; +V_$006E1DA8 .net "txFifoDataOut", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7]; +V_$006E1FC8 .net "we_i", 0, 0, V_$006A5C98[0]; +V_$006E2040 .net "ctrlStsRegSel", 0, 0, V_$006DE140[0]; +V_$006E2078 .net "rxFifoSel", 0, 0, V_$006DE9B8[0]; +V_$006E20E8 .net "txFifoSel", 0, 0, V_$006DEA20[0]; +V_$006E2158 .net "spiTransCtrl", 0, 0, V_$006DDF80[0]; +V_$006E2190 .net "spiTransSts", 0, 0, V_$006DBC48[0]; +V_$006E21C8 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0]; +V_$006E2310 .net "readWriteSDBlockRdy", 0, 0, V_$006D6E38[0]; +V_$006E2348 .net "rxDataRdyFromSpiTxRxData", 0, 0, V_$006CB9B8[0]; +V_$006E2498 .net "rxDataRdyClrFromSpiCtrl", 0, 0, V_$006DAC70[0]; +V_$006E24D0 .net "SDInitRdy", 0, 0, V_$006D7E68[0]; +V_$006E2628 .net "SDInitReq", 0, 0, V_$006DB678[0]; +V_$006E2660 .net "txDataWenFromSpiCtrl", 0, 0, V_$006DBDB0[0]; +V_$006E27C0 .net "sendCmdRespTout", 0, 0, V_$006D1010[0]; +V_$006E2830 .net "rxDataRdyClrFromInitSD", 0, 0, V_$006DACA8[0]; +V_$006E2998 .net "sendCmdRdy", 0, 0, V_$006D1360[0]; +V_$006E2A08 .net "sendCmdReqFromInitSD", 0, 0, V_$006DAD18[0]; +V_$006E2B78 .net "txDataEmptyFromRWSPIWireData", 0, 0, V_$006CAB30[0]; +V_$006DB320 .net "rRxFifoWE", 0, 0, V_$006D6B90[0]; +V_$006D6BC8 .net "sendCmdReqFromRWSDBlock", 0, 0, V_$006D6C38[0]; +V_$006E2CF0 .net "txFifoRE", 0, 0, V_$006D7488[0]; +V_$006D75B0 .net "hostTxFifoEmpty", 0, 0, V_$006C7FF8[0]; +V_$006E2EB8 .net "hostRxFifoFull", 0, 0, V_$006C4260[0]; +S_006DD638 .scope module, "u_wishBoneBI" "wishBoneBI", S_006C1500; + .timescale -9; +V_$006DDE60 .var "ack_delayed", 0, 0; +V_$006DDEE0 .var "ack_immediate", 0, 0; +V_$006DE038 .var "ack_o", 0, 0; +V_$006DE0A8 .net "address", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +V_$006DE108 .net "clk", 0, 0, V_$006DF4B8[0]; +V_$006DE140 .var "ctrlStsRegSel", 0, 0; +V_$006DE178 .net "dataFromCtrlStsReg", 7, 0, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3], V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7]; +V_$006DE280 .net "dataFromRxFifo", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7]; +V_$006DE3A0 .net "dataFromTxFifo", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>; +V_$006DE5B8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006DE8E8 .var "dataOut", 7, 0; +V_$006DE980 .net "rst", 0, 0, V_$006E0BD0[0]; +V_$006DE9B8 .var "rxFifoSel", 0, 0; +V_$006DE920 .net "strobe_i", 0, 0, V_$006607B0[0]; +V_$006DEA20 .var "txFifoSel", 0, 0; +V_$006DEA88 .net "writeEn", 0, 0, V_$006A5C98[0]; +E_006DDDA0/0 .event edge, V_$006DDEE0[0], V_$006DDE60[0], V_$0068AE60[0], V_$0068AE60[1]; +E_006DDDA0/1 .event edge, V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5]; +E_006DDDA0/2 .event edge, V_$0068AE60[6], V_$0068AE60[7], V_$006A5C98[0]; +E_006DDDA0 .event/or E_006DDDA0/0, E_006DDDA0/1, E_006DDDA0/2; +E_006DDDF8 .event edge, V_$006607B0[0]; +E_006DDE28/0 .event edge, C<0>, C<0>, C<0>, C<0>; +E_006DDE28/1 .event edge, C<0>, C<0>, C<0>, C<0>; +E_006DDE28/2 .event edge, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3]; +E_006DDE28/3 .event edge, V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7]; +E_006DDE28/4 .event edge, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3]; +E_006DDE28/5 .event edge, V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7]; +E_006DDE28/6 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3]; +E_006DDE28/7 .event edge, V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +E_006DDE28 .event/or E_006DDE28/0, E_006DDE28/1, E_006DDE28/2, E_006DDE28/3, E_006DDE28/4, E_006DDE28/5, E_006DDE28/6, E_006DDE28/7; +S_006DBE08 .scope module, "u_ctrlStsRegBI" "ctrlStsRegBI", S_006C1500; + .timescale -9; +V_$006DBED8 .var "SDAddr", 31, 0; +V_$006DC3C8 .net "SDInitError", 1, 0, V_$006D8260[0], V_$006D8260[1]; +V_$006DC440 .var "SDInitErrorSTB", 1, 0; +V_$006DC478 .net "SDReadError", 1, 0, V_$006D6488[0], V_$006D6488[1]; +V_$006DC4D0 .var "SDReadErrorSTB", 1, 0; +V_$006DCE08 .net "SDWriteError", 1, 0, V_$006D75E8[0], V_$006D75E8[1]; +V_$006DCE80 .var "SDWriteErrorSTB", 1, 0; +V_$006DCEF8 .net "address", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +V_$006DC578 .net "busClk", 0, 0, V_$006DF4B8[0]; +V_$006DC5B0 .net "ctrlStsRegSel", 0, 0, V_$006DE140[0]; +V_$006DC5E8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006DC658 .var "dataOut", 7, 0; +V_$006DC6B8 .var "rstFromBus", 0, 0; +V_$006DC620 .net "rstFromWire", 0, 0, V_$006E0BD0[0]; +V_$006DC710 .var "rstShift", 5, 0; +V_$006DC940 .var "rstSyncToBusClkOut", 0, 0; +V_$006DC978 .var "rstSyncToSpiClkFirst", 0, 0; +V_$006DCA00 .var "rstSyncToSpiClkOut", 0, 0; +V_$006DCA38 .var "spiClkDelay", 7, 0; +V_$006DCBF0 .net "spiDirectAccessRxData", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7]; +V_$006DD078 .var "spiDirectAccessRxDataSTB", 7, 0; +V_$006DD220 .var "spiDirectAccessTxData", 7, 0; +V_$006DD380 .var "spiDirectAccessTxDataSTB", 7, 0; +V_$006DDF48 .net "spiSysClk", 0, 0, V_$006E3508[0]; +V_$006DDF80 .var "spiTransCtrl", 0, 0; +V_$006DD6A8 .var "spiTransCtrlSTB", 0, 0; +V_$006DD6E0 .var "spiTransCtrlShift", 5, 0; +V_$006DD918 .net "spiTransStatus", 0, 0, V_$006DBC48[0]; +V_$006DD970 .var "spiTransStatusReg1", 0, 0; +V_$006DD9E0 .var "spiTransStatusReg2", 0, 0; +V_$006DDAA8 .var "spiTransStatusSTB", 0, 0; +V_$006DDBA0 .var "spiTransType", 1, 0; +V_$006DDC18 .var "spiTransTypeSTB", 1, 0; +V_$006DDCE0 .net "strobe_i", 0, 0, V_$006607B0[0]; +V_$006DDD18 .net "writeEn", 0, 0, V_$006A5C98[0]; +E_006DBE78/0 .event edge, V_$006DC710[0], V_$006DC710[1], V_$006DC710[2], V_$006DC710[3]; +E_006DBE78/1 .event edge, V_$006DC710[4], V_$006DC710[5]; +E_006DBE78 .event/or E_006DBE78/0, E_006DBE78/1; +E_006DBEB8/0 .event edge, V_$006DD078[0], V_$006DD078[1], V_$006DD078[2], V_$006DD078[3]; +E_006DBEB8/1 .event edge, V_$006DD078[4], V_$006DD078[5], V_$006DD078[6], V_$006DD078[7]; +E_006DBEB8/2 .event edge, V_$006DDAA8[0], V_$006DD6A8[0], V_$006DDC18[0], V_$006DDC18[1]; +E_006DBEB8/3 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3]; +E_006DBEB8/4 .event edge, V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7]; +E_006DBEB8 .event/or E_006DBEB8/0, E_006DBEB8/1, E_006DBEB8/2, E_006DBEB8/3, E_006DBEB8/4; +S_006DA008 .scope module, "u_spiCtrl" "spiCtrl", S_006C1500; + .timescale -9; +V_$006DB530 .var "CurrState_spiCtrlSt", 2, 0; +V_$006DB5C8 .var "NextState_spiCtrlSt", 2, 0; +V_$006DB620 .net "SDInitRdy", 0, 0, V_$006D7E68[0]; +V_$006DB678 .var "SDInitReq", 0, 0; +V_$006DB6D0 .net "clk", 0, 0, V_$006E3508[0]; +V_$006DB728 .var "next_SDInitReq", 0, 0; +V_$006DB760 .var "next_readWriteSDBlockReq", 1, 0; +V_$006DB7C0 .var "next_rxDataRdyClr", 0, 0; +V_$006DB810 .var "next_spiCS_n", 0, 0; +V_$006DB890 .var "next_spiTransSts", 0, 0; +V_$006DB918 .var "next_txDataWen", 0, 0; +V_$006DB9D0 .net "readWriteSDBlockRdy", 0, 0, V_$006D6E38[0]; +V_$006DBA28 .var "readWriteSDBlockReq", 1, 0; +V_$006DB950 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006DBB18 .net "rxDataRdy", 0, 0, V_$006CB9B8[0]; +V_$006DAC70 .var "rxDataRdyClr", 0, 0; +V_$006DBBB8 .var "spiCS_n", 0, 0; +V_$006DBC10 .net "spiTransCtrl", 0, 0, V_$006DDF80[0]; +V_$006DBC48 .var "spiTransSts", 0, 0; +V_$006DBCC8 .net "spiTransType", 1, 0, V_$006DDBA0[0], V_$006DDBA0[1]; +V_$006DBDB0 .var "txDataWen", 0, 0; +E_006DB510/0 .event edge, V_$006DB530[0], V_$006DB530[1], V_$006DB530[2], V_$006DBBB8[0]; +E_006DB510/1 .event edge, V_$006DBC48[0], V_$006DAC70[0], V_$006DB678[0], V_$006DBDB0[0]; +E_006DB510/2 .event edge, V_$006DBA28[0], V_$006DBA28[1], V_$006D6E38[0], V_$006D7E68[0]; +E_006DB510/3 .event edge, V_$006CB9B8[0], V_$006DDF80[0]; +E_006DB510 .event/or E_006DB510/0, E_006DB510/1, E_006DB510/2, E_006DB510/3; +S_006D5E10 .scope module, "u_initSD" "initSD", S_006C1500; + .timescale -9; +V_$006D7810 .var "CurrState_initSDSt", 3, 0; +V_$006D78C0 .var "NextState_initSDSt", 3, 0; +V_$006D7E68 .var "SDInitRdy", 0, 0; +V_$006D7F00 .net "SDInitReq", 0, 0, V_$006DB678[0]; +V_$006D7F80 .var "checkSumByte", 7, 0; +V_$006D7AD0 .net "clk", 0, 0, V_$006E3508[0]; +V_$006D7B08 .var "cmdByte", 7, 0; +V_$006D7C70 .var "dataByte1", 7, 0; +V_$006D7DD0 .var "dataByte2", 7, 0; +V_$006D80C8 .var "dataByte3", 7, 0; +V_$006D8228 .var "dataByte4", 7, 0; +V_$006D83C0 .var "delCnt1", 9, 0; +V_$006D83F8 .var "delCnt2", 7, 0; +V_$006D8260 .var "initError", 1, 0; +V_$006D8E20 .var "loopCnt", 7, 0; +V_$006D8560 .var "next_SDInitRdy", 0, 0; +V_$006D85E0 .var "next_checkSumByte", 7, 0; +V_$006D8900 .var "next_cmdByte", 7, 0; +V_$006D8B78 .var "next_dataByte1", 7, 0; +V_$006D90D8 .var "next_dataByte2", 7, 0; +V_$006D93B8 .var "next_dataByte3", 7, 0; +V_$006D9F90 .var "next_dataByte4", 7, 0; +V_$006D9750 .var "next_delCnt1", 9, 0; +V_$006D9AB8 .var "next_delCnt2", 7, 0; +V_$006D9D58 .var "next_initError", 1, 0; +V_$006DA0A8 .var "next_loopCnt", 7, 0; +V_$006DA348 .var "next_rxDataRdyClr", 0, 0; +V_$006DA3D0 .var "next_sendCmdReq", 0, 0; +V_$006DA450 .var "next_spiCS_n", 0, 0; +V_$006DA4D0 .var "next_spiClkDelayOut", 7, 0; +V_$006DA638 .var "next_txDataOut", 7, 0; +V_$006DA918 .var "next_txDataWen", 0, 0; +V_$006DA998 .net "respByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7]; +V_$006DAB98 .net "respTout", 0, 0, V_$006D1010[0]; +V_$006DAC00 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006DAC38 .net "rxDataRdy", 0, 0, V_$006CB9B8[0]; +V_$006DACA8 .var "rxDataRdyClr", 0, 0; +V_$006DACE0 .net "sendCmdRdy", 0, 0, V_$006D1360[0]; +V_$006DAD18 .var "sendCmdReq", 0, 0; +V_$006DADF8 .var "spiCS_n", 0, 0; +V_$006DAE30 .net "spiClkDelayIn", 7, 0, V_$006DCA38[0], V_$006DCA38[1], V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5], V_$006DCA38[6], V_$006DCA38[7]; +V_$006DB188 .var "spiClkDelayOut", 7, 0; +V_$006DB2E8 .net "txDataEmpty", 0, 0, V_$006CAB30[0]; +V_$006DB358 .net "txDataFull", 0, 0, V_$006CC7A8[0]; +V_$006D71D0 .var "txDataOut", 7, 0; +V_$006DB4B8 .var "txDataWen", 0, 0; +E_006C8B28/0 .event edge, V_$006D7810[0], V_$006D7810[1], V_$006D7810[2], V_$006D7810[3]; +E_006C8B28/1 .event edge, V_$006DACA8[0], V_$006DAD18[0], V_$006D7F80[0], V_$006D7F80[1]; +E_006C8B28/2 .event edge, V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5]; +E_006C8B28/3 .event edge, V_$006D7F80[6], V_$006D7F80[7], V_$006D8228[0], V_$006D8228[1]; +E_006C8B28/4 .event edge, V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5]; +E_006C8B28/5 .event edge, V_$006D8228[6], V_$006D8228[7], V_$006D80C8[0], V_$006D80C8[1]; +E_006C8B28/6 .event edge, V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5]; +E_006C8B28/7 .event edge, V_$006D80C8[6], V_$006D80C8[7], V_$006D7DD0[0], V_$006D7DD0[1]; +E_006C8B28/8 .event edge, V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5]; +E_006C8B28/9 .event edge, V_$006D7DD0[6], V_$006D7DD0[7], V_$006D7C70[0], V_$006D7C70[1]; +E_006C8B28/10 .event edge, V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5]; +E_006C8B28/11 .event edge, V_$006D7C70[6], V_$006D7C70[7], V_$006D7B08[0], V_$006D7B08[1]; +E_006C8B28/12 .event edge, V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5]; +E_006C8B28/13 .event edge, V_$006D7B08[6], V_$006D7B08[7], V_$006DB4B8[0], V_$006D71D0[0]; +E_006C8B28/14 .event edge, V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4]; +E_006C8B28/15 .event edge, V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7], V_$006D8260[0]; +E_006C8B28/16 .event edge, V_$006D8260[1], V_$006DADF8[0], V_$006D7E68[0], V_$006DB188[0]; +E_006C8B28/17 .event edge, V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4]; +E_006C8B28/18 .event edge, V_$006DB188[5], V_$006DB188[6], V_$006DB188[7], V_$006CAB30[0]; +E_006C8B28/19 .event edge, V_$006D83F8[0], V_$006D83F8[1], V_$006D83F8[2], V_$006D83F8[3]; +E_006C8B28/20 .event edge, V_$006D83F8[4], V_$006D83F8[5], V_$006D83F8[6], V_$006D83F8[7]; +E_006C8B28/21 .event edge, V_$006D83C0[0], V_$006D83C0[1], V_$006D83C0[2], V_$006D83C0[3]; +E_006C8B28/22 .event edge, V_$006D83C0[4], V_$006D83C0[5], V_$006D83C0[6], V_$006D83C0[7]; +E_006C8B28/23 .event edge, V_$006D83C0[8], V_$006D83C0[9], V_$006D0B40[0], V_$006D0B40[1]; +E_006C8B28/24 .event edge, V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5]; +E_006C8B28/25 .event edge, V_$006D0B40[6], V_$006D0B40[7], V_$006D1010[0], V_$006D1360[0]; +E_006C8B28/26 .event edge, V_$006D8E20[0], V_$006D8E20[1], V_$006D8E20[2], V_$006D8E20[3]; +E_006C8B28/27 .event edge, V_$006D8E20[4], V_$006D8E20[5], V_$006D8E20[6], V_$006D8E20[7]; +E_006C8B28/28 .event edge, V_$006CC7A8[0], V_$006DB678[0], V_$006DCA38[0], V_$006DCA38[1]; +E_006C8B28/29 .event edge, V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5]; +E_006C8B28/30 .event edge, V_$006DCA38[6], V_$006DCA38[7]; +E_006C8B28 .event/or E_006C8B28/0, E_006C8B28/1, E_006C8B28/2, E_006C8B28/3, E_006C8B28/4, E_006C8B28/5, E_006C8B28/6, E_006C8B28/7, E_006C8B28/8, E_006C8B28/9, E_006C8B28/10, E_006C8B28/11, E_006C8B28/12, E_006C8B28/13, E_006C8B28/14, E_006C8B28/15, E_006C8B28/16, E_006C8B28/17, E_006C8B28/18, E_006C8B28/19, E_006C8B28/20, E_006C8B28/21, E_006C8B28/22, E_006C8B28/23, E_006C8B28/24, E_006C8B28/25, E_006C8B28/26, E_006C8B28/27, E_006C8B28/28, E_006C8B28/29, E_006C8B28/30; +S_006D0E50 .scope module, "u_readWriteSDBlock" "readWriteSDBlock", S_006C1500; + .timescale -9; +V_$006D1830 .var "CurrState_rwBlkSt", 5, 0; +V_$006D1C70 .var "NextState_rwBlkSt", 5, 0; +V_$006D2078 .net "blockAddr", 31, 0, V_$006DBED8[0], V_$006DBED8[1], V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5], V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9], V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13], V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17], V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21], V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25], V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29], V_$006DBED8[30], V_$006DBED8[31]; +V_$006D2790 .var "checkSumByte", 7, 0; +V_$006D28F0 .net "clk", 0, 0, V_$006E3508[0]; +V_$006D2970 .var "cmdByte", 7, 0; +V_$006D2AB0 .var "dataByte1", 7, 0; +V_$006D2C18 .var "dataByte2", 7, 0; +V_$006D2D78 .var "dataByte3", 7, 0; +V_$006D3138 .var "dataByte4", 7, 0; +V_$006D3278 .var "delCnt1", 7, 0; +V_$006D3310 .var "delCnt2", 7, 0; +V_$006D3370 .var "locRespByte", 7, 0; +V_$006D32B0 .var "loopCnt", 8, 0; +V_$006D3580 .var "next_checkSumByte", 7, 0; +V_$006D3890 .var "next_cmdByte", 7, 0; +V_$006D3B18 .var "next_dataByte1", 7, 0; +V_$006D40D8 .var "next_dataByte2", 7, 0; +V_$006D43B8 .var "next_dataByte3", 7, 0; +V_$006D4F90 .var "next_dataByte4", 7, 0; +V_$006D4750 .var "next_delCnt1", 7, 0; +V_$006D4A70 .var "next_delCnt2", 7, 0; +V_$006D4D10 .var "next_locRespByte", 7, 0; +V_$006D5200 .var "next_loopCnt", 8, 0; +V_$006D5DD8 .var "next_readError", 1, 0; +V_$006D5F20 .var "next_readWriteSDBlockRdy", 0, 0; +V_$006D5FB0 .var "next_rxDataRdyClr", 0, 0; +V_$006D5520 .var "next_rxFifoData", 7, 0; +V_$006D5800 .var "next_rxFifoWen", 0, 0; +V_$006D5880 .var "next_sendCmdReq", 0, 0; +V_$006D5948 .var "next_spiCS_n", 0, 0; +V_$006D5A20 .var "next_timeOutCnt", 9, 0; +V_$006D6008 .var "next_txDataOut", 7, 0; +V_$006D62B0 .var "next_txDataWen", 0, 0; +V_$006D6330 .var "next_txFifoRen", 0, 0; +V_$006D63B0 .var "next_writeError", 1, 0; +V_$006D6488 .var "readError", 1, 0; +V_$006D6E38 .var "readWriteSDBlockRdy", 0, 0; +V_$006D6EC0 .net "readWriteSDBlockReq", 1, 0, V_$006DBA28[0], V_$006DBA28[1]; +V_$006D6F98 .net "respByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7]; +V_$006D6648 .net "respTout", 0, 0, V_$006D1010[0]; +V_$006D66A0 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006D66D8 .net "rxDataIn", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7]; +V_$006D68B8 .net "rxDataRdy", 0, 0, V_$006CB9B8[0]; +V_$006D6920 .var "rxDataRdyClr", 0, 0; +V_$006D6958 .var "rxFifoData", 7, 0; +V_$006D6B90 .var "rxFifoWen", 0, 0; +V_$006D6C00 .net "sendCmdRdy", 0, 0, V_$006D1360[0]; +V_$006D6C38 .var "sendCmdReq", 0, 0; +V_$006D6C70 .var "spiCS_n", 0, 0; +V_$006D6CA8 .var "timeOutCnt", 9, 0; +V_$006D7160 .net "txDataEmpty", 0, 0, V_$006CAB30[0]; +V_$006D7198 .net "txDataFull", 0, 0, V_$006CC7A8[0]; +V_$006D7208 .var "txDataOut", 7, 0; +V_$006D72A8 .var "txDataWen", 0, 0; +V_$006D73E8 .net "txFifoData", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7]; +V_$006D7488 .var "txFifoRen", 0, 0; +V_$006D75E8 .var "writeError", 1, 0; +E_006D1810/0 .event edge, V_$006D1830[0], V_$006D1830[1], V_$006D1830[2], V_$006D1830[3]; +E_006D1810/1 .event edge, V_$006D1830[4], V_$006D1830[5], V_$006D6958[0], V_$006D6958[1]; +E_006D1810/2 .event edge, V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5]; +E_006D1810/3 .event edge, V_$006D6958[6], V_$006D6958[7], V_$006D6B90[0], V_$006D7488[0]; +E_006D1810/4 .event edge, V_$006D6C38[0], V_$006D2790[0], V_$006D2790[1], V_$006D2790[2]; +E_006D1810/5 .event edge, V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6]; +E_006D1810/6 .event edge, V_$006D2790[7], V_$006D3138[0], V_$006D3138[1], V_$006D3138[2]; +E_006D1810/7 .event edge, V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6]; +E_006D1810/8 .event edge, V_$006D3138[7], V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2]; +E_006D1810/9 .event edge, V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6]; +E_006D1810/10 .event edge, V_$006D2D78[7], V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2]; +E_006D1810/11 .event edge, V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6]; +E_006D1810/12 .event edge, V_$006D2C18[7], V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2]; +E_006D1810/13 .event edge, V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6]; +E_006D1810/14 .event edge, V_$006D2AB0[7], V_$006D2970[0], V_$006D2970[1], V_$006D2970[2]; +E_006D1810/15 .event edge, V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6]; +E_006D1810/16 .event edge, V_$006D2970[7], V_$006D6920[0], V_$006D72A8[0], V_$006D7208[0]; +E_006D1810/17 .event edge, V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4]; +E_006D1810/18 .event edge, V_$006D7208[5], V_$006D7208[6], V_$006D7208[7], V_$006D75E8[0]; +E_006D1810/19 .event edge, V_$006D75E8[1], V_$006D6488[0], V_$006D6488[1], V_$006D6C70[0]; +E_006D1810/20 .event edge, V_$006D6E38[0], V_$006D3310[0], V_$006D3310[1], V_$006D3310[2]; +E_006D1810/21 .event edge, V_$006D3310[3], V_$006D3310[4], V_$006D3310[5], V_$006D3310[6]; +E_006D1810/22 .event edge, V_$006D3310[7], V_$006D3278[0], V_$006D3278[1], V_$006D3278[2]; +E_006D1810/23 .event edge, V_$006D3278[3], V_$006D3278[4], V_$006D3278[5], V_$006D3278[6]; +E_006D1810/24 .event edge, V_$006D3278[7], V_$006CB750[0], V_$006CB750[1], V_$006CB750[2]; +E_006D1810/25 .event edge, V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6]; +E_006D1810/26 .event edge, V_$006CB750[7], V_$006CB9B8[0], V_$006D3370[0], V_$006D3370[1]; +E_006D1810/27 .event edge, V_$006D3370[2], V_$006D3370[3], V_$006D3370[4], V_$006D3370[5]; +E_006D1810/28 .event edge, V_$006D3370[6], V_$006D3370[7], V_$006D6CA8[0], V_$006D6CA8[1]; +E_006D1810/29 .event edge, V_$006D6CA8[2], V_$006D6CA8[3], V_$006D6CA8[4], V_$006D6CA8[5]; +E_006D1810/30 .event edge, V_$006D6CA8[6], V_$006D6CA8[7], V_$006D6CA8[8], V_$006D6CA8[9]; +E_006D1810/31 .event edge, V_$006CAB30[0], V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2]; +E_006D1810/32 .event edge, V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6]; +E_006D1810/33 .event edge, V_$006C7CF0[7], V_$006D32B0[0], V_$006D32B0[1], V_$006D32B0[2]; +E_006D1810/34 .event edge, V_$006D32B0[3], V_$006D32B0[4], V_$006D32B0[5], V_$006D32B0[6]; +E_006D1810/35 .event edge, V_$006D32B0[7], V_$006D32B0[8], V_$006CC7A8[0], V_$006DBA28[0]; +E_006D1810/36 .event edge, V_$006DBA28[1], V_$006D1360[0], V_$006DBED8[0], V_$006DBED8[1]; +E_006D1810/37 .event edge, V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5]; +E_006D1810/38 .event edge, V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9]; +E_006D1810/39 .event edge, V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13]; +E_006D1810/40 .event edge, V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17]; +E_006D1810/41 .event edge, V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21]; +E_006D1810/42 .event edge, V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25]; +E_006D1810/43 .event edge, V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29]; +E_006D1810/44 .event edge, V_$006DBED8[30], V_$006DBED8[31]; +E_006D1810 .event/or E_006D1810/0, E_006D1810/1, E_006D1810/2, E_006D1810/3, E_006D1810/4, E_006D1810/5, E_006D1810/6, E_006D1810/7, E_006D1810/8, E_006D1810/9, E_006D1810/10, E_006D1810/11, E_006D1810/12, E_006D1810/13, E_006D1810/14, E_006D1810/15, E_006D1810/16, E_006D1810/17, E_006D1810/18, E_006D1810/19, E_006D1810/20, E_006D1810/21, E_006D1810/22, E_006D1810/23, E_006D1810/24, E_006D1810/25, E_006D1810/26, E_006D1810/27, E_006D1810/28, E_006D1810/29, E_006D1810/30, E_006D1810/31, E_006D1810/32, E_006D1810/33, E_006D1810/34, E_006D1810/35, E_006D1810/36, E_006D1810/37, E_006D1810/38, E_006D1810/39, E_006D1810/40, E_006D1810/41, E_006D1810/42, E_006D1810/43, E_006D1810/44; +S_006CC9E8 .scope module, "u_sendCmd" "sendCmd", S_006C1500; + .timescale -9; +V_$006CCC20 .var "CurrState_sndCmdSt", 4, 0; +V_$006CCD78 .var "NextState_sndCmdSt", 4, 0; +V_$006CD0D8 .var "checkSumByte", 7, 0; +V_$006CD390 .net "checkSumByte_1", 7, 0, V_$006D7F80[0], V_$006D7F80[1], V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5], V_$006D7F80[6], V_$006D7F80[7]; +V_$006CDF58 .net "checkSumByte_2", 7, 0, V_$006D2790[0], V_$006D2790[1], V_$006D2790[2], V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6], V_$006D2790[7]; +V_$006CD750 .net "clk", 0, 0, V_$006E3508[0]; +V_$006CD788 .var "cmdByte", 7, 0; +V_$006CDA58 .net "cmdByte_1", 7, 0, V_$006D7B08[0], V_$006D7B08[1], V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5], V_$006D7B08[6], V_$006D7B08[7]; +V_$006CDCF8 .net "cmdByte_2", 7, 0, V_$006D2970[0], V_$006D2970[1], V_$006D2970[2], V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6], V_$006D2970[7]; +V_$006CE188 .var "dataByte1", 7, 0; +V_$006CE428 .net "dataByte1_1", 7, 0, V_$006D7C70[0], V_$006D7C70[1], V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5], V_$006D7C70[6], V_$006D7C70[7]; +V_$006CE538 .net "dataByte1_2", 7, 0, V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2], V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6], V_$006D2AB0[7]; +V_$006CE7B0 .var "dataByte2", 7, 0; +V_$006CE460 .net "dataByte2_1", 7, 0, V_$006D7DD0[0], V_$006D7DD0[1], V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5], V_$006D7DD0[6], V_$006D7DD0[7]; +V_$006CECD8 .net "dataByte2_2", 7, 0, V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2], V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6], V_$006D2C18[7]; +V_$006CF158 .var "dataByte3", 7, 0; +V_$006CF3F8 .net "dataByte3_1", 7, 0, V_$006D80C8[0], V_$006D80C8[1], V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5], V_$006D80C8[6], V_$006D80C8[7]; +V_$006CFF80 .net "dataByte3_2", 7, 0, V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2], V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6], V_$006D2D78[7]; +V_$006CF750 .var "dataByte4", 7, 0; +V_$006CFA18 .net "dataByte4_1", 7, 0, V_$006D8228[0], V_$006D8228[1], V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5], V_$006D8228[6], V_$006D8228[7]; +V_$006CFCB8 .net "dataByte4_2", 7, 0, V_$006D3138[0], V_$006D3138[1], V_$006D3138[2], V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6], V_$006D3138[7]; +V_$006D01A8 .var "next_respByte", 7, 0; +V_$006D0488 .var "next_respTout", 0, 0; +V_$006D04F0 .var "next_rxDataRdyClr", 0, 0; +V_$006D0E18 .var "next_sendCmdRdy", 0, 0; +V_$006D0F08 .var "next_timeOutCnt", 9, 0; +V_$006D07C0 .var "next_txDataOut", 7, 0; +V_$006D0AC0 .var "next_txDataWen", 0, 0; +V_$006D0B40 .var "respByte", 7, 0; +V_$006D1010 .var "respTout", 0, 0; +V_$006D1090 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006D1150 .net "rxDataIn", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7]; +V_$006D12B0 .net "rxDataRdy", 0, 0, V_$006CB9B8[0]; +V_$006D1308 .var "rxDataRdyClr", 0, 0; +V_$006D1360 .var "sendCmdRdy", 0, 0; +V_$006D1398 .var "sendCmdReq", 0, 0; +V_$006D13D0 .net "sendCmdReq1", 0, 0, V_$006DAD18[0]; +V_$006D1428 .net "sendCmdReq2", 0, 0, V_$006D6C38[0]; +V_$006D14A8 .var "timeOutCnt", 9, 0; +V_$006D15B8 .net "txDataEmpty", 0, 0, V_$006CAB30[0]; +V_$006D1610 .net "txDataFull", 0, 0, V_$006CC7A8[0]; +V_$006D1678 .var "txDataOut", 7, 0; +V_$006D17B8 .var "txDataWen", 0, 0; +E_006CCA58/0 .event edge, V_$006CCC20[0], V_$006CCC20[1], V_$006CCC20[2], V_$006CCC20[3]; +E_006CCA58/1 .event edge, V_$006CCC20[4], V_$006D1360[0], V_$006D1010[0], V_$006D1308[0]; +E_006CCA58/2 .event edge, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3]; +E_006CCA58/3 .event edge, V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7]; +E_006CCA58/4 .event edge, V_$006D17B8[0], V_$006D1398[0], V_$006CD788[0], V_$006CD788[1]; +E_006CCA58/5 .event edge, V_$006CD788[2], V_$006CD788[3], V_$006CD788[4], V_$006CD788[5]; +E_006CCA58/6 .event edge, V_$006CD788[6], V_$006CD788[7], V_$006CD0D8[0], V_$006CD0D8[1]; +E_006CCA58/7 .event edge, V_$006CD0D8[2], V_$006CD0D8[3], V_$006CD0D8[4], V_$006CD0D8[5]; +E_006CCA58/8 .event edge, V_$006CD0D8[6], V_$006CD0D8[7], V_$006CAB30[0], V_$006CF750[0]; +E_006CCA58/9 .event edge, V_$006CF750[1], V_$006CF750[2], V_$006CF750[3], V_$006CF750[4]; +E_006CCA58/10 .event edge, V_$006CF750[5], V_$006CF750[6], V_$006CF750[7], V_$006CF158[0]; +E_006CCA58/11 .event edge, V_$006CF158[1], V_$006CF158[2], V_$006CF158[3], V_$006CF158[4]; +E_006CCA58/12 .event edge, V_$006CF158[5], V_$006CF158[6], V_$006CF158[7], V_$006CE188[0]; +E_006CCA58/13 .event edge, V_$006CE188[1], V_$006CE188[2], V_$006CE188[3], V_$006CE188[4]; +E_006CCA58/14 .event edge, V_$006CE188[5], V_$006CE188[6], V_$006CE188[7], V_$006D0B40[0]; +E_006CCA58/15 .event edge, V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4]; +E_006CCA58/16 .event edge, V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7], V_$006CB750[0]; +E_006CCA58/17 .event edge, V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4]; +E_006CCA58/18 .event edge, V_$006CB750[5], V_$006CB750[6], V_$006CB750[7], V_$006CB9B8[0]; +E_006CCA58/19 .event edge, V_$006D14A8[0], V_$006D14A8[1], V_$006D14A8[2], V_$006D14A8[3]; +E_006CCA58/20 .event edge, V_$006D14A8[4], V_$006D14A8[5], V_$006D14A8[6], V_$006D14A8[7]; +E_006CCA58/21 .event edge, V_$006D14A8[8], V_$006D14A8[9], V_$006CE7B0[0], V_$006CE7B0[1]; +E_006CCA58/22 .event edge, V_$006CE7B0[2], V_$006CE7B0[3], V_$006CE7B0[4], V_$006CE7B0[5]; +E_006CCA58/23 .event edge, V_$006CE7B0[6], V_$006CE7B0[7], V_$006CC7A8[0]; +E_006CCA58 .event/or E_006CCA58/0, E_006CCA58/1, E_006CCA58/2, E_006CCA58/3, E_006CCA58/4, E_006CCA58/5, E_006CCA58/6, E_006CCA58/7, E_006CCA58/8, E_006CCA58/9, E_006CCA58/10, E_006CCA58/11, E_006CCA58/12, E_006CCA58/13, E_006CCA58/14, E_006CCA58/15, E_006CCA58/16, E_006CCA58/17, E_006CCA58/18, E_006CCA58/19, E_006CCA58/20, E_006CCA58/21, E_006CCA58/22, E_006CCA58/23; +E_006CCC00 .event edge, V_$006D6C38[0], V_$006DAD18[0]; +S_006CABC8 .scope module, "u_spiTxRxData" "spiTxRxData", S_006C1500; + .timescale -9; +V_$006CBE48 .net "clk", 0, 0, V_$006E3508[0]; +V_$006CBE80 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006CBEB8 .net "rx1DataRdyClr", 0, 0, V_$006D6920[0]; +V_$006CBF60 .net "rx2DataRdyClr", 0, 0, V_$006D1308[0]; +V_$006CB4F8 .net "rx3DataRdyClr", 0, 0, V_$006DACA8[0]; +V_$006CB568 .net "rx4DataRdyClr", 0, 0, V_$006DAC70[0]; +V_$006CB5F0 .net "rxDataIn", 7, 0, V_$006C90B8[0], V_$006C90B8[1], V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5], V_$006C90B8[6], V_$006C90B8[7]; +V_$006CB750 .var "rxDataOut", 7, 0; +V_$006CB9B8 .var "rxDataRdy", 0, 0; +V_$006CBA20 .net "rxDataRdySet", 0, 0, V_$006CA688[0]; +V_$006CBA78 .net "tx1DataIn", 7, 0, V_$006D7208[0], V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4], V_$006D7208[5], V_$006D7208[6], V_$006D7208[7]; +V_$006CBD38 .net "tx1DataWEn", 0, 0, V_$006D72A8[0]; +V_$006CC038 .net "tx2DataIn", 7, 0, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3], V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7]; +V_$006CBAB0 .net "tx2DataWEn", 0, 0, V_$006D17B8[0]; +V_$006CC2F8 .net "tx3DataIn", 7, 0, V_$006D71D0[0], V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4], V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7]; +V_$006CCE78 .net "tx3DataWEn", 0, 0, V_$006DB4B8[0]; +V_$006CCF00 .net "tx4DataIn", 7, 0, V_$006DD220[0], V_$006DD220[1], V_$006DD220[2], V_$006DD220[3], V_$006DD220[4], V_$006DD220[5], V_$006DD220[6], V_$006DD220[7]; +V_$006CC720 .net "tx4DataWEn", 0, 0, V_$006DBDB0[0]; +V_$006CC7A8 .var "txDataFull", 0, 0; +V_$006CC800 .net "txDataFullClr", 0, 0, V_$006CAC78[0]; +V_$006CC858 .var "txDataOut", 7, 0; +S_006C9128 .scope module, "u_readWriteSPIWireData" "readWriteSPIWireData", S_006C1500; + .timescale -9; +V_$006C9298 .var "CurrState_rwSPISt", 1, 0; +V_$006C92D0 .var "NextState_rwSPISt", 1, 0; +V_$006C9BE0 .var "bitCnt", 3, 0; +V_$006C9C18 .net "clk", 0, 0, V_$006E3508[0]; +V_$006C9CC8 .net "clkDelay", 7, 0, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7]; +V_$006C9D00 .var "clkDelayCnt", 7, 0; +V_$006C9FA0 .var "next_bitCnt", 3, 0; +V_$006C9440 .var "next_clkDelayCnt", 7, 0; +V_$006C9660 .var "next_rxDataOut", 7, 0; +V_$006C9938 .var "next_rxDataRdySet", 0, 0; +V_$006C99C0 .var "next_rxDataShiftReg", 7, 0; +V_$006CA118 .var "next_spiClkOut", 0, 0; +V_$006CA198 .var "next_spiDataOut", 0, 0; +V_$006C99F8 .var "next_txDataEmpty", 0, 0; +V_$006CA268 .var "next_txDataFullClr", 0, 0; +V_$006CA2F0 .var "next_txDataShiftReg", 7, 0; +V_$006CAEC0 .net "rst", 0, 0, V_$006DCA00[0]; +V_$006C90B8 .var "rxDataOut", 7, 0; +V_$006CA688 .var "rxDataRdySet", 0, 0; +V_$006CA718 .var "rxDataShiftReg", 7, 0; +V_$006CAA28 .var "spiClkOut", 0, 0; +V_$006CAA80 .net "spiDataIn", 0, 0, V_$00644A08[0]; +V_$006CAAD8 .var "spiDataOut", 0, 0; +V_$006CAB30 .var "txDataEmpty", 0, 0; +V_$006CAB90 .net "txDataFull", 0, 0, V_$006CC7A8[0]; +V_$006CAC78 .var "txDataFullClr", 0, 0; +V_$006CAD08 .net "txDataIn", 7, 0, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3], V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7]; +V_$006CB278 .var "txDataShiftReg", 7, 0; +E_006C8530/0 .event edge, V_$006C9298[0], V_$006C9298[1], V_$006C90B8[0], V_$006C90B8[1]; +E_006C8530/1 .event edge, V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5]; +E_006C8530/2 .event edge, V_$006C90B8[6], V_$006C90B8[7], V_$006CAAD8[0], V_$006CAA28[0]; +E_006C8530/3 .event edge, V_$006CAC78[0], V_$006CAB30[0], V_$006CA688[0], V_$00644A08[0]; +E_006C8530/4 .event edge, V_$006CA718[0], V_$006CA718[1], V_$006CA718[2], V_$006CA718[3]; +E_006C8530/5 .event edge, V_$006CA718[4], V_$006CA718[5], V_$006CA718[6], V_$006CA718[7]; +E_006C8530/6 .event edge, V_$006C9BE0[0], V_$006C9BE0[1], V_$006C9BE0[2], V_$006C9BE0[3]; +E_006C8530/7 .event edge, V_$006CB278[0], V_$006CB278[1], V_$006CB278[2], V_$006CB278[3]; +E_006C8530/8 .event edge, V_$006CB278[4], V_$006CB278[5], V_$006CB278[6], V_$006CB278[7]; +E_006C8530/9 .event edge, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3]; +E_006C8530/10 .event edge, V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7]; +E_006C8530/11 .event edge, V_$006C9D00[0], V_$006C9D00[1], V_$006C9D00[2], V_$006C9D00[3]; +E_006C8530/12 .event edge, V_$006C9D00[4], V_$006C9D00[5], V_$006C9D00[6], V_$006C9D00[7]; +E_006C8530/13 .event edge, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3]; +E_006C8530/14 .event edge, V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7]; +E_006C8530/15 .event edge, V_$006CC7A8[0]; +E_006C8530 .event/or E_006C8530/0, E_006C8530/1, E_006C8530/2, E_006C8530/3, E_006C8530/4, E_006C8530/5, E_006C8530/6, E_006C8530/7, E_006C8530/8, E_006C8530/9, E_006C8530/10, E_006C8530/11, E_006C8530/12, E_006C8530/13, E_006C8530/14, E_006C8530/15; +S_006C4F78 .scope module, "u_txFifo" "TxFifo", S_006C1500; + .timescale -9; +V_$006C86B0 .net "busAddress", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2]; +V_$006C5188 .net "busClk", 0, 0, V_$006DF4B8[0]; +V_$006C5150 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006C5118 .net "busDataOut", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>; +V_$006C8968 .net "busFifoSelect", 0, 0, V_$006DEA20[0]; +V_$006C89E0 .net "busStrobe_i", 0, 0, V_$006607B0[0]; +V_$006C59A8 .net "busWriteEn", 0, 0, V_$006A5C98[0]; +V_$006C5A40 .net "fifoDataOut", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7]; +V_$006C8B48 .net "fifoEmpty", 0, 0, V_$006C7FF8[0]; +V_$006C8BA0 .net "fifoFull", 0, 0, V_$006C8098[0]; +V_$006C8BF8 .net "fifoREn", 0, 0, V_$006D7488[0]; +V_$006C8C68 .net "fifoWEn", 0, 0, V_$006C54B0[0]; +V_$006C8CD8 .net "forceEmptySyncToBusClk", 0, 0, V_$006C5548[0]; +V_$006C8C30 .net "forceEmptySyncToSpiClk", 0, 0, V_$006C55B8[0]; +V_$006C8D10 .net "numElementsInFifo", 15, 0, V_$006C82B8[0], V_$006C82B8[1], V_$006C82B8[2], V_$006C82B8[3], V_$006C82B8[4], V_$006C82B8[5], V_$006C82B8[6], V_$006C82B8[7], V_$006C82B8[8], V_$006C82B8[9], V_$006C82B8[10], V_$006C82B8[11], V_$006C82B8[12], V_$006C82B8[13], V_$006C82B8[14], V_$006C82B8[15]; +V_$006C9048 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0]; +V_$006C9080 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0]; +V_$006C90F0 .net "spiSysClk", 0, 0, V_$006E3508[0]; +S_006C5A78 .scope module, "u_fifo" "fifoRTL", S_006C4F78; + .timescale -9; +V_$006C52C8 .var "bufferCnt", 9, 0; +V_$006C5290 .var "bufferInIndex", 9, 0; +V_$006C5258 .var "bufferInIndexSyncToRdClk", 9, 0; +V_$006C7270 .var "bufferInIndexToMem", 8, 0; +V_$006C73C8 .var "bufferOutIndex", 9, 0; +V_$006C7F50 .var "bufferOutIndexSyncToWrClk", 9, 0; +V_$006C77D8 .var "bufferOutIndexToMem", 8, 0; +V_$006C7978 .net "dataFromMem", 7, 0, V_$006C53E0[0], V_$006C53E0[1], V_$006C53E0[2], V_$006C53E0[3], V_$006C53E0[4], V_$006C53E0[5], V_$006C53E0[6], V_$006C53E0[7]; +V_$006C7AD8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006C7CF0 .var "dataOut", 7, 0; +V_$006C7FF8 .var "fifoEmpty", 0, 0; +V_$006C8098 .var "fifoFull", 0, 0; +V_$006C8118 .net "fifoREn", 0, 0, V_$006D7488[0]; +V_$006C8030 .var "fifoREnDelayed", 0, 0; +V_$006C81A0 .net "fifoWEn", 0, 0, V_$006C54B0[0]; +V_$006C81D8 .net "forceEmptySyncToRdClk", 0, 0, V_$006C55B8[0]; +V_$006C8210 .net "forceEmptySyncToWrClk", 0, 0, V_$006C5548[0]; +V_$006C82B8 .var "numElementsInFifo", 15, 0; +V_$006C8550 .net "rdClk", 0, 0, V_$006E3508[0]; +V_$006C85E0 .net "rstSyncToRdClk", 0, 0, V_$006DCA00[0]; +V_$006C8618 .net "rstSyncToWrClk", 0, 0, V_$006DC940[0]; +V_$006C5628 .net "wrClk", 0, 0, V_$006DF4B8[0]; +E_005F17F0/0 .event edge, V_$006C73C8[0], V_$006C73C8[1], V_$006C73C8[2], V_$006C73C8[3]; +E_005F17F0/1 .event edge, V_$006C73C8[4], V_$006C73C8[5], V_$006C73C8[6], V_$006C73C8[7]; +E_005F17F0/2 .event edge, V_$006C73C8[8], V_$006C73C8[9], V_$006C5290[0], V_$006C5290[1]; +E_005F17F0/3 .event edge, V_$006C5290[2], V_$006C5290[3], V_$006C5290[4], V_$006C5290[5]; +E_005F17F0/4 .event edge, V_$006C5290[6], V_$006C5290[7], V_$006C5290[8], V_$006C5290[9]; +E_005F17F0 .event/or E_005F17F0/0, E_005F17F0/1, E_005F17F0/2, E_005F17F0/3, E_005F17F0/4; +E_003DDD60/0 .event edge, V_$006C73C8[0], V_$006C73C8[1], V_$006C73C8[2], V_$006C73C8[3]; +E_003DDD60/1 .event edge, V_$006C73C8[4], V_$006C73C8[5], V_$006C73C8[6], V_$006C73C8[7]; +E_003DDD60/2 .event edge, V_$006C73C8[8], V_$006C73C8[9], V_$006C5258[0], V_$006C5258[1]; +E_003DDD60/3 .event edge, V_$006C5258[2], V_$006C5258[3], V_$006C5258[4], V_$006C5258[5]; +E_003DDD60/4 .event edge, V_$006C5258[6], V_$006C5258[7], V_$006C5258[8], V_$006C5258[9]; +E_003DDD60 .event/or E_003DDD60/0, E_003DDD60/1, E_003DDD60/2, E_003DDD60/3, E_003DDD60/4; +S_006C5C68 .scope module, "u_dpMem_dc" "dpMem_dc", S_006C5A78; + .timescale -9; +V_$006C5B38 .net "addrIn", 8, 0, V_$006C7270[0], V_$006C7270[1], V_$006C7270[2], V_$006C7270[3], V_$006C7270[4], V_$006C7270[5], V_$006C7270[6], V_$006C7270[7], V_$006C7270[8]; +V_$006C6048 .net "addrOut", 8, 0, V_$006C77D8[0], V_$006C77D8[1], V_$006C77D8[2], V_$006C77D8[3], V_$006C77D8[4], V_$006C77D8[5], V_$006C77D8[6], V_$006C77D8[7], V_$006C77D8[8]; +V_$006C6340 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006C53E0 .var "dataOut", 7, 0; +V_$006C53A8 .net "rdClk", 0, 0, V_$006E3508[0]; +V_$006C5370 .net "readEn", 0, 0, V_$006D7488[0]; +V_$006C5338 .net "wrClk", 0, 0, V_$006DF4B8[0]; +V_$006C5300 .net "writeEn", 0, 0, V_$006C54B0[0]; +M_$006C68E0 .mem "buffer", 7,0, 0,511; +S_006C5098 .scope module, "u_TxfifoBI" "TxfifoBI", S_006C4F78; + .timescale -9; +V_$006C5060 .net "address", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2]; +V_$006C51C0 .net "busClk", 0, 0, V_$006DF4B8[0]; +V_$006C51F8 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006C5418 .net "busDataOut", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>; +V_$006C5478 .net "fifoSelect", 0, 0, V_$006DEA20[0]; +V_$006C54B0 .var "fifoWEn", 0, 0; +V_$006C54E8 .var "forceEmpty", 0, 0; +V_$006C5548 .var "forceEmptyShift", 5, 0; +V_$006C5580 .net "forceEmptySyncToBusClk", 0, 0, V_$006C5548[0]; +V_$006C55B8 .var "forceEmptySyncToSpiClk", 0, 0; +V_$006C55F0 .var "forceEmptySyncToSpiClkFirst", 0, 0; +V_$006C5660 .net "numElementsInFifo", 15, 0, V_$006C82B8[0], V_$006C82B8[1], V_$006C82B8[2], V_$006C82B8[3], V_$006C82B8[4], V_$006C82B8[5], V_$006C82B8[6], V_$006C82B8[7], V_$006C82B8[8], V_$006C82B8[9], V_$006C82B8[10], V_$006C82B8[11], V_$006C82B8[12], V_$006C82B8[13], V_$006C82B8[14], V_$006C82B8[15]; +V_$006C58F0 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0]; +V_$006C5928 .net "spiSysClk", 0, 0, V_$006E3508[0]; +V_$006C4F40 .net "strobe_i", 0, 0, V_$006607B0[0]; +V_$006C5A08 .net "writeEn", 0, 0, V_$006A5C98[0]; +E_006C45B0/0 .event edge, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3]; +E_006C45B0/1 .event edge, V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +E_006C45B0/2 .event edge, V_$006DEA20[0], V_$006607B0[0], V_$006A5C98[0], V_$0068AE60[0]; +E_006C45B0/3 .event edge, V_$0068AE60[1], V_$0068AE60[2]; +E_006C45B0 .event/or E_006C45B0/0, E_006C45B0/1, E_006C45B0/2, E_006C45B0/3; +S_006C1570 .scope module, "u_rxFifo" "RxFifo", S_006C1500; + .timescale -9; +V_$006C4760 .net "busAddress", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2]; +V_$006C4798 .net "busClk", 0, 0, V_$006DF4B8[0]; +V_$006C4660 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006C4840 .net "busDataOut", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7]; +V_$006C48A0 .net "busFifoSelect", 0, 0, V_$006DE9B8[0]; +V_$006C48D8 .net "busStrobe_i", 0, 0, V_$006607B0[0]; +V_$006C4910 .net "busWriteEn", 0, 0, V_$006A5C98[0]; +V_$006C4970 .net "dataFromFifoToBus", 7, 0, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3], V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7]; +V_$006C49D0 .net "fifoDataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7]; +V_$006C4A08 .net "fifoEmpty", 0, 0, V_$006C41F0[0]; +V_$006C4A40 .net "fifoFull", 0, 0, V_$006C4260[0]; +V_$006C4AB0 .net "fifoREn", 0, 0, V_$006A76F0[0]; +V_$006C4B20 .net "fifoWEn", 0, 0, V_$006D6B90[0]; +V_$006C4A78 .net "forceEmptySyncToBusClk", 0, 0, V_$00666B38[0]; +V_$006C4B58 .net "forceEmptySyncToSpiClk", 0, 0, V_$006A9EA0[0]; +V_$006C4BD8 .net "numElementsInFifo", 15, 0, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3], V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7], V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11], V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15]; +V_$006C4E68 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0]; +V_$006C4ED0 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0]; +V_$006C4F08 .net "spiSysClk", 0, 0, V_$006E3508[0]; +S_006C2110 .scope module, "u_fifo" "fifoRTL", S_006C1570; + .timescale -9; +V_$006C2810 .var "bufferCnt", 9, 0; +V_$006C2B10 .var "bufferInIndex", 9, 0; +V_$006C3170 .var "bufferInIndexSyncToRdClk", 9, 0; +V_$006C3508 .var "bufferInIndexToMem", 8, 0; +V_$006C3600 .var "bufferOutIndex", 9, 0; +V_$006C3D38 .var "bufferOutIndexSyncToWrClk", 9, 0; +V_$006C3740 .var "bufferOutIndexToMem", 8, 0; +V_$006C3898 .net "dataFromMem", 7, 0, V_$00639738[0], V_$00639738[1], V_$00639738[2], V_$00639738[3], V_$00639738[4], V_$00639738[5], V_$00639738[6], V_$00639738[7]; +V_$006C39F8 .net "dataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7]; +V_$006C4090 .var "dataOut", 7, 0; +V_$006C41F0 .var "fifoEmpty", 0, 0; +V_$006C4260 .var "fifoFull", 0, 0; +V_$006C4298 .net "fifoREn", 0, 0, V_$006A76F0[0]; +V_$006C4228 .var "fifoREnDelayed", 0, 0; +V_$006C42D0 .net "fifoWEn", 0, 0, V_$006D6B90[0]; +V_$006C4308 .net "forceEmptySyncToRdClk", 0, 0, V_$00666B38[0]; +V_$006C4360 .net "forceEmptySyncToWrClk", 0, 0, V_$006A9EA0[0]; +V_$006C4398 .var "numElementsInFifo", 15, 0; +V_$006C45D0 .net "rdClk", 0, 0, V_$006DF4B8[0]; +V_$006C4698 .net "rstSyncToRdClk", 0, 0, V_$006DC940[0]; +V_$006C46F0 .net "rstSyncToWrClk", 0, 0, V_$006DCA00[0]; +V_$006C4728 .net "wrClk", 0, 0, V_$006E3508[0]; +E_005EEF18/0 .event edge, V_$006C3600[0], V_$006C3600[1], V_$006C3600[2], V_$006C3600[3]; +E_005EEF18/1 .event edge, V_$006C3600[4], V_$006C3600[5], V_$006C3600[6], V_$006C3600[7]; +E_005EEF18/2 .event edge, V_$006C3600[8], V_$006C3600[9], V_$006C2B10[0], V_$006C2B10[1]; +E_005EEF18/3 .event edge, V_$006C2B10[2], V_$006C2B10[3], V_$006C2B10[4], V_$006C2B10[5]; +E_005EEF18/4 .event edge, V_$006C2B10[6], V_$006C2B10[7], V_$006C2B10[8], V_$006C2B10[9]; +E_005EEF18 .event/or E_005EEF18/0, E_005EEF18/1, E_005EEF18/2, E_005EEF18/3, E_005EEF18/4; +E_005EE048/0 .event edge, V_$006C3600[0], V_$006C3600[1], V_$006C3600[2], V_$006C3600[3]; +E_005EE048/1 .event edge, V_$006C3600[4], V_$006C3600[5], V_$006C3600[6], V_$006C3600[7]; +E_005EE048/2 .event edge, V_$006C3600[8], V_$006C3600[9], V_$006C3170[0], V_$006C3170[1]; +E_005EE048/3 .event edge, V_$006C3170[2], V_$006C3170[3], V_$006C3170[4], V_$006C3170[5]; +E_005EE048/4 .event edge, V_$006C3170[6], V_$006C3170[7], V_$006C3170[8], V_$006C3170[9]; +E_005EE048 .event/or E_005EE048/0, E_005EE048/1, E_005EE048/2, E_005EE048/3, E_005EE048/4; +S_006C2228 .scope module, "u_dpMem_dc" "dpMem_dc", S_006C2110; + .timescale -9; +V_$006AC480 .net "addrIn", 8, 0, V_$006C3508[0], V_$006C3508[1], V_$006C3508[2], V_$006C3508[3], V_$006C3508[4], V_$006C3508[5], V_$006C3508[6], V_$006C3508[7], V_$006C3508[8]; +V_$006AC4E0 .net "addrOut", 8, 0, V_$006C3740[0], V_$006C3740[1], V_$006C3740[2], V_$006C3740[3], V_$006C3740[4], V_$006C3740[5], V_$006C3740[6], V_$006C3740[7], V_$006C3740[8]; +V_$006AD2C8 .net "dataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7]; +V_$00639738 .var "dataOut", 7, 0; +V_$00615800 .net "rdClk", 0, 0, V_$006DF4B8[0]; +V_$005E3868 .net "readEn", 0, 0, V_$006A76F0[0]; +V_$006C2778 .net "wrClk", 0, 0, V_$006E3508[0]; +V_$006C27D8 .net "writeEn", 0, 0, V_$006D6B90[0]; +M_$005D1E00 .mem "buffer", 7,0, 0,511; +S_006C1658 .scope module, "u_RxfifoBI" "RxfifoBI", S_006C1570; + .timescale -9; +V_$006A4EE8 .net "address", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2]; +V_$006A6E08 .net "busClk", 0, 0, V_$006DF4B8[0]; +V_$0065A890 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7]; +V_$006A5290 .var "busDataOut", 7, 0; +V_$00660078 .net "fifoDataIn", 7, 0, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3], V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7]; +V_$006A76F0 .var "fifoREn", 0, 0; +V_$006A7BA8 .net "fifoSelect", 0, 0, V_$006DE9B8[0]; +V_$006A7DD8 .var "forceEmpty", 0, 0; +V_$00666B38 .var "forceEmptyShift", 5, 0; +V_$006A8D90 .net "forceEmptySyncToBusClk", 0, 0, V_$00666B38[0]; +V_$006A9EA0 .var "forceEmptySyncToSpiClk", 0, 0; +V_$006A8850 .var "forceEmptySyncToUsbClkFirst", 0, 0; +V_$00666678 .net "numElementsInFifo", 15, 0, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3], V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7], V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11], V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15]; +V_$0065D6E8 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0]; +V_$006AACA0 .net "spiSysClk", 0, 0, V_$006E3508[0]; +V_$006AA8A8 .net "strobe_i", 0, 0, V_$006607B0[0]; +V_$006685B0 .net "writeEn", 0, 0, V_$006A5C98[0]; +E_003DDB00/0 .event edge, V_$006DE9B8[0], V_$006607B0[0], V_$006A5C98[0], V_$0068AE60[0]; +E_003DDB00/1 .event edge, V_$0068AE60[1], V_$0068AE60[2]; +E_003DDB00 .event/or E_003DDB00/0, E_003DDB00/1; +E_003DDC30/0 .event edge, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3]; +E_003DDC30/1 .event edge, V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7]; +E_003DDC30/2 .event edge, V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11]; +E_003DDC30/3 .event edge, V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15]; +E_003DDC30/4 .event edge, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3]; +E_003DDC30/5 .event edge, V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7]; +E_003DDC30/6 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2]; +E_003DDC30 .event/or E_003DDC30/0, E_003DDC30/1, E_003DDC30/2, E_003DDC30/3, E_003DDC30/4, E_003DDC30/5, E_003DDC30/6; +E_003DE478 .event posedge, V_$006E3508[0]; +S_00624D88 .scope module, "u_wb_master_model" "wb_master_model", S_006338F0; + .timescale -9; +V_$00689E98 .net "ack", 0, 0, V_$006DE038[0]; +V_$0068AE60 .var "adr", 7, 0; +V_$00698EA8 .net "clk", 0, 0, V_$006DF4B8[0]; +V_$0069A858 .var "cyc", 0, 0; +V_$00657510 .net "din", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7]; +V_$0069AA18 .var "dout", 7, 0; +V_$0069FC50 .net "err", 0, 0, C<0>; +V_$006A05F8 .var "q", 7, 0; +V_$006A0F58 .net "rst", 0, 0, V_$006E0BD0[0]; +V_$006A10B0 .net "rty", 0, 0, C<0>; +V_$006A4238 .var "sel", 0, 0; +V_$006607B0 .var "stb", 0, 0; +V_$006A5C98 .var "we", 0, 0; +S_005FFF10 .scope task, "wb_cmp" "testHarness.u_wb_master_model.wb_cmp", S_00624D88; + .timescale -9; +V_$0067FD60 .var "a", 7, 0; +V_$00682498 .var "d_exp", 7, 0; +V_$00682CF8 .var/i "delay", 31, 0; +TD_testHarness.u_wb_master_model.wb_cmp ; + %load/v 32, V_$00682CF8[0], 32; + %set/v V_$0067ECA0[0], 32, 32; + %load/v 32, V_$0067FD60[0], 8; + %set/v V_$0067E7C8[0], 32, 8; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006A05F8[0], 32, 8; + %load/v 32, V_$00682498[0], 8; + %load/v 40, V_$006A05F8[0], 8; + %cmp/u 32, 40, 8; + %inv 6, 1; + %mov 32, 6, 1; + %jmp/0xz T_0.0, 32; + %vpi_call "$display", "Data compare error. Received %h, expected %h at time %t", V_$006A05F8, V_$00682498, $time; +T_0.0 ; + %end; +S_003D31C0 .scope task, "wb_read" "testHarness.u_wb_master_model.wb_read", S_00624D88; + .timescale -9; +V_$0067E7C8 .var "a", 7, 0; +V_$0067EE18 .var "d", 7, 0; +V_$0067ECA0 .var/i "delay", 31, 0; +TD_testHarness.u_wb_master_model.wb_read ; + %load/v 32, V_$0067ECA0[0], 32; +T_1.2 %cmp/u 0, 32, 32; + %jmp/0xz T_1.3, 5; + %add 32, 1, 32; + %wait E_003DE0F8; + %jmp T_1.2; +T_1.3 ; + %delay 1000; + %load/v 32, V_$0067E7C8[0], 8; + %set/v V_$0068AE60[0], 32, 8; + %set/v V_$0069AA18[0], 2, 8; + %set V_$0069A858[0], 1; + %set V_$006607B0[0], 1; + %set V_$006A5C98[0], 0; + %set V_$006A4238[0], 1; + %wait E_003DE0F8; +T_1.4 ; + %load 32, V_$00689E98[0]; + %inv 32, 1; + %jmp/0xz T_1.5, 32; + %wait E_003DE0F8; + %jmp T_1.4; +T_1.5 ; + %delay 1000; + %set V_$0069A858[0], 0; + %set V_$006607B0[0], 2; + %set/v V_$0068AE60[0], 2, 8; + %set/v V_$0069AA18[0], 2, 8; + %set V_$006A5C98[0], 2; + %set V_$006A4238[0], 2; + %load 32, V_$00657510[0]; + %load 33, V_$00657510[1]; + %load 34, V_$00657510[2]; + %load 35, V_$00657510[3]; + %load 36, V_$00657510[4]; + %load 37, V_$00657510[5]; + %load 38, V_$00657510[6]; + %load 39, V_$00657510[7]; + %set/v V_$0067EE18[0], 32, 8; + %end; +S_0062CD78 .scope task, "wb_write" "testHarness.u_wb_master_model.wb_write", S_00624D88; + .timescale -9; +V_$0067C5F0 .var "a", 7, 0; +V_$0067D930 .var "d", 7, 0; +V_$0067E2E8 .var/i "delay", 31, 0; +E_003DE0F8 .event posedge, V_$006DF4B8[0]; +TD_testHarness.u_wb_master_model.wb_write ; + %load/v 32, V_$0067E2E8[0], 32; +T_2.6 %cmp/u 0, 32, 32; + %jmp/0xz T_2.7, 5; + %add 32, 1, 32; + %wait E_003DE0F8; + %jmp T_2.6; +T_2.7 ; + %delay 1000; + %load/v 32, V_$0067C5F0[0], 8; + %set/v V_$0068AE60[0], 32, 8; + %load/v 32, V_$0067D930[0], 8; + %set/v V_$0069AA18[0], 32, 8; + %set V_$0069A858[0], 1; + %set V_$006607B0[0], 1; + %set V_$006A5C98[0], 1; + %set V_$006A4238[0], 1; + %wait E_003DE0F8; +T_2.8 ; + %load 32, V_$00689E98[0]; + %inv 32, 1; + %jmp/0xz T_2.9, 32; + %wait E_003DE0F8; + %jmp T_2.8; +T_2.9 ; + %delay 1000; + %set V_$0069A858[0], 0; + %set V_$006607B0[0], 2; + %set/v V_$0068AE60[0], 2, 8; + %set/v V_$0069AA18[0], 2, 8; + %set V_$006A5C98[0], 2; + %set V_$006A4238[0], 2; + %end; +S_00633880 .scope module, "u_sdModel" "sdModel", S_006338F0; + .timescale -9; +V_$006B0438 .var "cnt", 7, 0; +V_$006B0578 .var "respByte", 7, 0; +V_$006B0718 .var "rxByte", 7, 0; +V_$006B08C8 .var "smSt", 1, 0; +V_$0066EE28 .net "spiCS_n", 0, 0, L_006E3660; +V_$0063FB48 .net "spiClk", 0, 0, V_$006CAA28[0]; +V_$0067A3E8 .net "spiDataIn", 0, 0, V_$006CAAD8[0]; +V_$00644A08 .var "spiDataOut", 0, 0; +S_005C89B8 .scope task, "setRespByte" "testHarness.u_sdModel.setRespByte", S_00633880; + .timescale -9; +V_$006B0310 .var "dataByte", 7, 0; +TD_testHarness.u_sdModel.setRespByte ; + %load/v 32, V_$006B0310[0], 8; + %set/v V_$006B0578[0], 32, 8; + %end; +S_006399E8 .scope task, "txRxByte" "testHarness.u_sdModel.txRxByte", S_00633880; + .timescale -9; +V_$006AFF68 .var/i "i", 31, 0; +V_$006B00C0 .var "rxData", 7, 0; +V_$006B01E8 .var "txData", 7, 0; +E_00639FE8 .event negedge, V_$006CAA28[0]; +E_00619EB0 .event posedge, V_$006CAA28[0]; +TD_testHarness.u_sdModel.txRxByte ; + %load/v 32, V_$006B01E8[7], 1; + %assign V_$00644A08[0], 0, 32; + %set/v V_$006AFF68[0], 0, 32; +T_4.10 ; + %load/v 32, V_$006AFF68[0], 32; + %mov 64, 1, 3; + %mov 67, 0, 29; + %cmp/s 32, 64, 32; + %or 5, 4, 1; + %jmp/0xz T_4.11, 5; + %wait E_00619EB0; + %load 32, V_$0067A3E8[0]; + %assign V_$006B00C0[0], 0, 32; + %load/v 32, V_$006B00C0[0], 8; + %ix/load 0, 1; + %shiftl/i0 32, 8; + %set/v V_$006B00C0[0], 32, 8; + %wait E_00639FE8; + %load/v 32, V_$006B01E8[6], 1; + %assign V_$00644A08[0], 0, 32; + %load/v 32, V_$006B01E8[0], 8; + %ix/load 0, 1; + %shiftl/i0 32, 8; + %set/v V_$006B01E8[0], 32, 8; + %load/v 32, V_$006AFF68[0], 32; + %addi 32, 1, 32; + %set/v V_$006AFF68[0], 32, 32; + %jmp T_4.10; +T_4.11 ; + %end; + .scope S_005FC090; +T_5 ; + %vpi_call "$write", "\n\n"; + %delay 1000000; + %vpi_call "$write", "Testing register read/write\n"; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 3; + %set/v V_$0067C5F0[3], 0, 5; + %set/v V_$0067D930[0], 0, 3; + %set/v V_$0067D930[3], 1, 4; + %set V_$0067D930[7], 0; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 0, 3; + %set V_$0067C5F0[3], 1; + %set/v V_$0067C5F0[4], 0, 4; + %set V_$0067D930[0], 0; + %set/v V_$0067D930[1], 1, 2; + %set V_$0067D930[3], 0; + %set V_$0067D930[4], 1; + %set V_$0067D930[5], 0; + %set V_$0067D930[6], 1; + %set V_$0067D930[7], 0; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 1; + %set/v V_$0067C5F0[1], 0, 2; + %set V_$0067C5F0[3], 1; + %set/v V_$0067C5F0[4], 0, 4; + %set/v V_$0067D930[0], 0, 2; + %set V_$0067D930[2], 1; + %set V_$0067D930[3], 0; + %set/v V_$0067D930[4], 1, 2; + %set/v V_$0067D930[6], 0, 2; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set V_$0067C5F0[1], 1; + %set V_$0067C5F0[2], 0; + %set V_$0067C5F0[3], 1; + %set/v V_$0067C5F0[4], 0, 4; + %set V_$0067D930[0], 0; + %set V_$0067D930[1], 1; + %set/v V_$0067D930[2], 0, 2; + %set V_$0067D930[4], 1; + %set/v V_$0067D930[5], 0, 3; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$00682CF8[0], 1; + %set V_$00682CF8[1], 0; + %set/v V_$00682CF8[2], 0, 30; + %set/v V_$0067FD60[0], 1, 3; + %set/v V_$0067FD60[3], 0, 5; + %set/v V_$00682498[0], 0, 3; + %set/v V_$00682498[3], 1, 4; + %set V_$00682498[7], 0; + %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10; + %join; + %set V_$00682CF8[0], 1; + %set V_$00682CF8[1], 0; + %set/v V_$00682CF8[2], 0, 30; + %set/v V_$0067FD60[0], 0, 3; + %set V_$0067FD60[3], 1; + %set/v V_$0067FD60[4], 0, 4; + %set V_$00682498[0], 0; + %set/v V_$00682498[1], 1, 2; + %set V_$00682498[3], 0; + %set V_$00682498[4], 1; + %set V_$00682498[5], 0; + %set V_$00682498[6], 1; + %set V_$00682498[7], 0; + %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10; + %join; + %set V_$00682CF8[0], 1; + %set V_$00682CF8[1], 0; + %set/v V_$00682CF8[2], 0, 30; + %set V_$0067FD60[0], 1; + %set/v V_$0067FD60[1], 0, 2; + %set V_$0067FD60[3], 1; + %set/v V_$0067FD60[4], 0, 4; + %set/v V_$00682498[0], 0, 2; + %set V_$00682498[2], 1; + %set V_$00682498[3], 0; + %set/v V_$00682498[4], 1, 2; + %set/v V_$00682498[6], 0, 2; + %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10; + %join; + %set V_$00682CF8[0], 1; + %set V_$00682CF8[1], 0; + %set/v V_$00682CF8[2], 0, 30; + %set V_$0067FD60[0], 0; + %set V_$0067FD60[1], 1; + %set V_$0067FD60[2], 0; + %set V_$0067FD60[3], 1; + %set/v V_$0067FD60[4], 0, 4; + %set V_$00682498[0], 0; + %set V_$00682498[1], 1; + %set/v V_$00682498[2], 0, 2; + %set V_$00682498[4], 1; + %set/v V_$00682498[5], 0, 3; + %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10; + %join; + %vpi_call "$write", "Testing SPI bus direct access\n"; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set V_$0067C5F0[1], 1; + %set/v V_$0067C5F0[2], 0, 6; + %set/v V_$0067D930[0], 0, 8; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set/v V_$0067C5F0[1], 1, 2; + %set/v V_$0067C5F0[3], 0, 5; + %set/v V_$0067D930[0], 1, 5; + %set V_$0067D930[5], 0; + %set V_$0067D930[6], 1; + %set V_$0067D930[7], 0; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 2; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; +T_5.0 ; + %load/v 32, V_$006AD848[0], 1; + %jmp/0xz T_5.1, 32; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %jmp T_5.0; +T_5.1 ; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set/v V_$0067C5F0[1], 1, 2; + %set/v V_$0067C5F0[3], 0, 5; + %set V_$0067D930[0], 0; + %set V_$0067D930[1], 1; + %set V_$0067D930[2], 0; + %set V_$0067D930[3], 1; + %set V_$0067D930[4], 0; + %set V_$0067D930[5], 1; + %set V_$0067D930[6], 0; + %set V_$0067D930[7], 1; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 2; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; +T_5.2 ; + %load/v 32, V_$006AD848[0], 1; + %jmp/0xz T_5.3, 32; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %jmp T_5.2; +T_5.3 ; + %vpi_call "$write", "Testing SD init\n"; + %set V_$006B0310[0], 1; + %set/v V_$006B0310[1], 0, 7; + %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set V_$0067C5F0[1], 1; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 2; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %delay 60000000; + %set/v V_$006B0310[0], 0, 8; + %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8; + %join; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; +T_5.4 ; + %load/v 32, V_$006AD848[0], 1; + %jmp/0xz T_5.5, 32; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set/v V_$0067E7C8[0], 0, 2; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %jmp T_5.4; +T_5.5 ; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set V_$0067E7C8[0], 1; + %set V_$0067E7C8[1], 0; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %load/v 32, V_$006AD848[0], 2; + %cmpi/u 32, 0, 2; + %jmp/0xz T_5.6, 4; + %vpi_call "$write", "SD init test passed\n"; + %jmp T_5.7; +T_5.6 ; + %load/v 32, V_$006AD848[0], 2; + %vpi_call "$write", "---- ERROR: SD init test failed. Error code = 0x%01x\n", T<32,2,u>; +T_5.7 ; + %vpi_call "$write", "Testing block write\n"; + %set/v V_$006AFD38[0], 0, 8; + %set/v V_$006AFE40[0], 0, 32; +T_5.8 ; + %load/v 32, V_$006AFE40[0], 32; + %mov 64, 1, 9; + %mov 73, 0, 23; + %cmp/s 32, 64, 32; + %or 5, 4, 1; + %jmp/0xz T_5.9, 5; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 0, 5; + %set V_$0067C5F0[5], 1; + %set/v V_$0067C5F0[6], 0, 2; + %load/v 32, V_$006AFD38[0], 8; + %set/v V_$0067D930[0], 32, 8; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %load/v 32, V_$006AFD38[0], 8; + %addi 32, 1, 8; + %set/v V_$006AFD38[0], 32, 8; + %load/v 32, V_$006AFE40[0], 32; + %addi 32, 1, 32; + %set/v V_$006AFE40[0], 32, 32; + %jmp T_5.8; +T_5.9 ; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set V_$0067C5F0[1], 1; + %set/v V_$0067C5F0[2], 0, 6; + %set/v V_$0067D930[0], 1, 2; + %set/v V_$0067D930[2], 0, 6; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 2; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %delay 100000000; + %set V_$006B0310[0], 1; + %set V_$006B0310[1], 0; + %set V_$006B0310[2], 1; + %set/v V_$006B0310[3], 0, 5; + %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8; + %join; + %delay 400000000; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set V_$0067E7C8[0], 1; + %set V_$0067E7C8[1], 0; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %load/v 32, V_$006AD848[4], 2; + %cmpi/u 32, 0, 2; + %jmp/0xz T_5.10, 4; + %vpi_call "$write", "SD block write passed\n"; + %jmp T_5.11; +T_5.10 ; + %load/v 32, V_$006AD848[4], 2; + %vpi_call "$write", "---- ERROR: SD block write failed. Error code = 0x%01x\n", T<32,2,u>; +T_5.11 ; + %vpi_call "$write", "Testing block read\n"; + %set/v V_$006B0310[0], 0, 8; + %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set V_$0067C5F0[0], 0; + %set V_$0067C5F0[1], 1; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 0; + %set V_$0067D930[1], 1; + %set/v V_$0067D930[2], 0, 6; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 1, 2; + %set/v V_$0067C5F0[2], 0, 6; + %set V_$0067D930[0], 1; + %set/v V_$0067D930[1], 0, 7; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %delay 100000000; + %set V_$006B0310[0], 1; + %set V_$006B0310[1], 0; + %set V_$006B0310[2], 1; + %set/v V_$006B0310[3], 0, 5; + %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8; + %join; + %delay 400000000; + %set V_$0067ECA0[0], 1; + %set V_$0067ECA0[1], 0; + %set/v V_$0067ECA0[2], 0, 30; + %set V_$0067E7C8[0], 1; + %set V_$0067E7C8[1], 0; + %set V_$0067E7C8[2], 1; + %set/v V_$0067E7C8[3], 0, 5; + %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0; + %join; + %load/v 32, V_$0067EE18[0], 8; + %set/v V_$006AD848[0], 32, 8; + %load/v 32, V_$006AD848[2], 2; + %cmpi/u 32, 0, 2; + %jmp/0xz T_5.12, 4; + %vpi_call "$write", "SD block read passed\n"; + %jmp T_5.13; +T_5.12 ; + %load/v 32, V_$006AD848[2], 2; + %vpi_call "$write", "---- ERROR: SD block read failed. Error code = 0x%01x\n", T<32,2,u>; +T_5.13 ; + %set/v V_$006AFE40[0], 0, 32; +T_5.14 ; + %load/v 32, V_$006AFE40[0], 32; + %mov 64, 1, 9; + %mov 73, 0, 23; + %cmp/s 32, 64, 32; + %or 5, 4, 1; + %jmp/0xz T_5.15, 5; + %set V_$0067E2E8[0], 1; + %set V_$0067E2E8[1], 0; + %set/v V_$0067E2E8[2], 0, 30; + %set/v V_$0067C5F0[0], 0, 5; + %set V_$0067C5F0[5], 1; + %set/v V_$0067C5F0[6], 0, 2; + %load/v 32, V_$006AFD38[0], 8; + %set/v V_$0067D930[0], 32, 8; + %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78; + %join; + %load/v 32, V_$006AFD38[0], 8; + %addi 32, 1, 8; + %set/v V_$006AFD38[0], 32, 8; + %load/v 32, V_$006AFE40[0], 32; + %addi 32, 1, 32; + %set/v V_$006AFE40[0], 32, 32; + %jmp T_5.14; +T_5.15 ; + %vpi_call "$write", "Finished all tests\n"; + %vpi_call "$stop"; + %end; + .thread T_5; + .scope S_006DD638; +T_6 ; + %wait E_006DDE28; + %assign V_$006DE140[0], 0, 0; + %assign V_$006DE9B8[0], 0, 0; + %assign V_$006DEA20[0], 0, 0; + %load 32, V_$006DE0A8[0]; + %load 33, V_$006DE0A8[1]; + %load 34, V_$006DE0A8[2]; + %load 35, V_$006DE0A8[3]; + %load 36, V_$006DE0A8[4]; + %load 37, V_$006DE0A8[5]; + %load 38, V_$006DE0A8[6]; + %load 39, V_$006DE0A8[7]; + %mov 40, 0, 4; + %mov 44, 1, 4; + %and 32, 40, 8; + %cmpi/u 32, 0, 8; + %jmp/1 T_6.0, 6; + %cmpi/u 32, 16, 8; + %jmp/1 T_6.1, 6; + %cmpi/u 32, 32, 8; + %jmp/1 T_6.2, 6; + %ix/load 0, 8; + %assign/v0 V_$006DE8E8[0], 0, 0; + %jmp T_6.4; +T_6.0 ; + %assign V_$006DE140[0], 0, 1; + %load 32, V_$006DE178[0]; + %load 33, V_$006DE178[1]; + %load 34, V_$006DE178[2]; + %load 35, V_$006DE178[3]; + %load 36, V_$006DE178[4]; + %load 37, V_$006DE178[5]; + %load 38, V_$006DE178[6]; + %load 39, V_$006DE178[7]; + %ix/load 0, 8; + %assign/v0 V_$006DE8E8[0], 0, 32; + %jmp T_6.4; +T_6.1 ; + %assign V_$006DE9B8[0], 0, 1; + %load 32, V_$006DE280[0]; + %load 33, V_$006DE280[1]; + %load 34, V_$006DE280[2]; + %load 35, V_$006DE280[3]; + %load 36, V_$006DE280[4]; + %load 37, V_$006DE280[5]; + %load 38, V_$006DE280[6]; + %load 39, V_$006DE280[7]; + %ix/load 0, 8; + %assign/v0 V_$006DE8E8[0], 0, 32; + %jmp T_6.4; +T_6.2 ; + %assign V_$006DEA20[0], 0, 1; + %load 32, V_$006DE3A0[0]; + %load 33, V_$006DE3A0[1]; + %load 34, V_$006DE3A0[2]; + %load 35, V_$006DE3A0[3]; + %load 36, V_$006DE3A0[4]; + %load 37, V_$006DE3A0[5]; + %load 38, V_$006DE3A0[6]; + %load 39, V_$006DE3A0[7]; + %ix/load 0, 8; + %assign/v0 V_$006DE8E8[0], 0, 32; + %jmp T_6.4; +T_6.4 ; + %jmp T_6; + .thread T_6, $push; + .scope S_006DD638; +T_7 ; + %wait E_003DE0F8; + %load 32, V_$006DE920[0]; + %assign V_$006DDE60[0], 0, 32; + %jmp T_7; + .thread T_7; + .scope S_006DD638; +T_8 ; + %wait E_006DDDF8; + %load 32, V_$006DE920[0]; + %assign V_$006DDEE0[0], 0, 32; + %jmp T_8; + .thread T_8, $push; + .scope S_006DD638; +T_9 ; + %wait E_006DDDA0; + %load 32, V_$006DEA88[0]; + %cmpi/u 32, 0, 1; + %mov 32, 4, 1; + %load 33, V_$006DE0A8[0]; + %load 34, V_$006DE0A8[1]; + %load 35, V_$006DE0A8[2]; + %load 36, V_$006DE0A8[3]; + %load 37, V_$006DE0A8[4]; + %load 38, V_$006DE0A8[5]; + %load 39, V_$006DE0A8[6]; + %load 40, V_$006DE0A8[7]; + %cmpi/u 33, 16, 8; + %mov 33, 4, 1; + %load 34, V_$006DE0A8[0]; + %load 35, V_$006DE0A8[1]; + %load 36, V_$006DE0A8[2]; + %load 37, V_$006DE0A8[3]; + %load 38, V_$006DE0A8[4]; + %load 39, V_$006DE0A8[5]; + %load 40, V_$006DE0A8[6]; + %load 41, V_$006DE0A8[7]; + %cmpi/u 34, 32, 8; + %mov 34, 4, 1; + %or 33, 34, 1; + %and 32, 33, 1; + %jmp/0xz T_9.0, 32; + %load/v 32, V_$006DDE60[0], 1; + %assign V_$006DE038[0], 0, 32; + %jmp T_9.1; +T_9.0 ; + %load/v 32, V_$006DDEE0[0], 1; + %assign V_$006DE038[0], 0, 32; +T_9.1 ; + %jmp T_9; + .thread T_9, $push; + .scope S_006DBE08; +T_10 ; + %wait E_003DE0F8; + %load/v 32, V_$006DC940[0], 1; + %jmp/0xz T_10.0, 32; + %assign V_$006DDC18[0], 0, 0; + %assign V_$006DDC18[1], 0, 0; + %assign V_$006DD6A8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DD380[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DCA38[0], 0, 0; + %jmp T_10.1; +T_10.0 ; + %load 32, V_$006DDD18[0]; + %load 33, V_$006DC5B0[0]; + %and 32, 33, 1; + %load 33, V_$006DDCE0[0]; + %and 32, 33, 1; + %load 33, V_$006DCEF8[0]; + %load 34, V_$006DCEF8[1]; + %load 35, V_$006DCEF8[2]; + %load 36, V_$006DCEF8[3]; + %load 37, V_$006DCEF8[4]; + %load 38, V_$006DCEF8[5]; + %load 39, V_$006DCEF8[6]; + %load 40, V_$006DCEF8[7]; + %cmpi/u 33, 1, 8; + %mov 33, 4, 1; + %and 32, 33, 1; + %load 33, V_$006DC5E8[1]; + %and 32, 33, 1; + %jmp/0xz T_10.2, 32; + %assign V_$006DC6B8[0], 0, 1; + %jmp T_10.3; +T_10.2 ; + %assign V_$006DC6B8[0], 0, 0; +T_10.3 ; + %load 32, V_$006DDD18[0]; + %load 33, V_$006DC5B0[0]; + %and 32, 33, 1; + %load 33, V_$006DDCE0[0]; + %and 32, 33, 1; + %load 33, V_$006DCEF8[0]; + %load 34, V_$006DCEF8[1]; + %load 35, V_$006DCEF8[2]; + %load 36, V_$006DCEF8[3]; + %load 37, V_$006DCEF8[4]; + %load 38, V_$006DCEF8[5]; + %load 39, V_$006DCEF8[6]; + %load 40, V_$006DCEF8[7]; + %cmpi/u 33, 3, 8; + %mov 33, 4, 1; + %and 32, 33, 1; + %load 33, V_$006DC5E8[0]; + %and 32, 33, 1; + %jmp/0xz T_10.4, 32; + %assign V_$006DD6A8[0], 0, 1; + %jmp T_10.5; +T_10.4 ; + %assign V_$006DD6A8[0], 0, 0; +T_10.5 ; + %load 32, V_$006DDD18[0]; + %load 33, V_$006DC5B0[0]; + %and 32, 33, 1; + %load 33, V_$006DDCE0[0]; + %and 32, 33, 1; + %jmp/0xz T_10.6, 32; + %load 32, V_$006DCEF8[0]; + %load 33, V_$006DCEF8[1]; + %load 34, V_$006DCEF8[2]; + %load 35, V_$006DCEF8[3]; + %load 36, V_$006DCEF8[4]; + %load 37, V_$006DCEF8[5]; + %load 38, V_$006DCEF8[6]; + %load 39, V_$006DCEF8[7]; + %cmpi/u 32, 2, 8; + %jmp/1 T_10.8, 6; + %cmpi/u 32, 7, 8; + %jmp/1 T_10.9, 6; + %cmpi/u 32, 8, 8; + %jmp/1 T_10.10, 6; + %cmpi/u 32, 9, 8; + %jmp/1 T_10.11, 6; + %cmpi/u 32, 10, 8; + %jmp/1 T_10.12, 6; + %cmpi/u 32, 11, 8; + %jmp/1 T_10.13, 6; + %cmpi/u 32, 6, 8; + %jmp/1 T_10.14, 6; + %jmp T_10.15; +T_10.8 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %assign V_$006DDC18[0], 0, 32; + %assign V_$006DDC18[1], 0, 33; + %jmp T_10.15; +T_10.9 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DBED8[0], 0, 32; + %jmp T_10.15; +T_10.10 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DBED8[8], 0, 32; + %jmp T_10.15; +T_10.11 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DBED8[16], 0, 32; + %jmp T_10.15; +T_10.12 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DBED8[24], 0, 32; + %jmp T_10.15; +T_10.13 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DCA38[0], 0, 32; + %jmp T_10.15; +T_10.14 ; + %load 32, V_$006DC5E8[0]; + %load 33, V_$006DC5E8[1]; + %load 34, V_$006DC5E8[2]; + %load 35, V_$006DC5E8[3]; + %load 36, V_$006DC5E8[4]; + %load 37, V_$006DC5E8[5]; + %load 38, V_$006DC5E8[6]; + %load 39, V_$006DC5E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006DD380[0], 0, 32; + %jmp T_10.15; +T_10.15 ; +T_10.6 ; +T_10.1 ; + %jmp T_10; + .thread T_10; + .scope S_006DBE08; +T_11 ; + %wait E_006DBEB8; + %load 32, V_$006DCEF8[0]; + %load 33, V_$006DCEF8[1]; + %load 34, V_$006DCEF8[2]; + %load 35, V_$006DCEF8[3]; + %load 36, V_$006DCEF8[4]; + %load 37, V_$006DCEF8[5]; + %load 38, V_$006DCEF8[6]; + %load 39, V_$006DCEF8[7]; + %cmpi/u 32, 0, 8; + %jmp/1 T_11.0, 6; + %cmpi/u 32, 2, 8; + %jmp/1 T_11.1, 6; + %cmpi/u 32, 3, 8; + %jmp/1 T_11.2, 6; + %cmpi/u 32, 4, 8; + %jmp/1 T_11.3, 6; + %cmpi/u 32, 5, 8; + %jmp/1 T_11.4, 6; + %cmpi/u 32, 7, 8; + %jmp/1 T_11.5, 6; + %cmpi/u 32, 8, 8; + %jmp/1 T_11.6, 6; + %cmpi/u 32, 9, 8; + %jmp/1 T_11.7, 6; + %cmpi/u 32, 10, 8; + %jmp/1 T_11.8, 6; + %cmpi/u 32, 11, 8; + %jmp/1 T_11.9, 6; + %cmpi/u 32, 6, 8; + %jmp/1 T_11.10, 6; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 0; + %jmp T_11.12; +T_11.0 ; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 0; + %jmp T_11.12; +T_11.1 ; + %load/v 32, V_$006DDC18[0], 2; + %mov 34, 0, 6; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.2 ; + %load/v 32, V_$006DD6A8[0], 1; + %mov 33, 0, 7; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.3 ; + %load/v 32, V_$006DDAA8[0], 1; + %mov 33, 0, 7; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.4 ; + %load/v 32, V_$006DC440[0], 2; + %load/v 34, V_$006DC4D0[0], 2; + %load/v 36, V_$006DCE80[0], 2; + %mov 38, 0, 2; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.5 ; + %load/v 32, V_$006DBED8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.6 ; + %load/v 32, V_$006DBED8[8], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.7 ; + %load/v 32, V_$006DBED8[16], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.8 ; + %load/v 32, V_$006DBED8[24], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.9 ; + %load/v 32, V_$006DCA38[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.10 ; + %load/v 32, V_$006DD078[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DC658[0], 0, 32; + %jmp T_11.12; +T_11.12 ; + %jmp T_11; + .thread T_11, $push; + .scope S_006DBE08; +T_12 ; + %wait E_003DE0F8; + %load 32, V_$006DC620[0]; + %load/v 33, V_$006DC6B8[0], 1; + %or 32, 33, 1; + %jmp/0xz T_12.0, 32; + %ix/load 0, 6; + %assign/v0 V_$006DC710[0], 0, 1; + %jmp T_12.1; +T_12.0 ; + %load/v 32, V_$006DC710[1], 5; + %mov 37, 0, 1; + %ix/load 0, 6; + %assign/v0 V_$006DC710[0], 0, 32; +T_12.1 ; + %jmp T_12; + .thread T_12; + .scope S_006DBE08; +T_13 ; + %wait E_006DBE78; + %load/v 32, V_$006DC710[0], 1; + %assign V_$006DC940[0], 0, 32; + %jmp T_13; + .thread T_13, $push; + .scope S_006DBE08; +T_14 ; + %wait E_003DE478; + %load/v 32, V_$006DC940[0], 1; + %assign V_$006DC978[0], 0, 32; + %load/v 32, V_$006DC978[0], 1; + %assign V_$006DCA00[0], 0, 32; + %jmp T_14; + .thread T_14; + .scope S_006DBE08; +T_15 ; + %wait E_003DE0F8; + %load/v 32, V_$006DC940[0], 1; + %jmp/0xz T_15.0, 32; + %ix/load 0, 6; + %assign/v0 V_$006DD6E0[0], 0, 0; + %jmp T_15.1; +T_15.0 ; + %load/v 32, V_$006DD6A8[0], 1; + %jmp/0xz T_15.2, 32; + %ix/load 0, 6; + %assign/v0 V_$006DD6E0[0], 0, 1; + %jmp T_15.3; +T_15.2 ; + %load/v 32, V_$006DD6E0[1], 5; + %mov 37, 0, 1; + %ix/load 0, 6; + %assign/v0 V_$006DD6E0[0], 0, 32; +T_15.3 ; +T_15.1 ; + %jmp T_15; + .thread T_15; + .scope S_006DBE08; +T_16 ; + %wait E_003DE478; + %load/v 32, V_$006DD6E0[0], 1; + %assign V_$006DDF80[0], 0, 32; + %jmp T_16; + .thread T_16; + .scope S_006DBE08; +T_17 ; + %wait E_003DE478; + %load/v 32, V_$006DCA00[0], 1; + %jmp/0xz T_17.0, 32; + %assign V_$006DDBA0[0], 0, 0; + %assign V_$006DDBA0[1], 0, 0; + %assign V_$006DDF80[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DD220[0], 0, 0; + %jmp T_17.1; +T_17.0 ; + %load/v 32, V_$006DD380[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DD220[0], 0, 32; + %load/v 32, V_$006DDC18[0], 2; + %assign V_$006DDBA0[0], 0, 32; + %assign V_$006DDBA0[1], 0, 33; + %load/v 32, V_$006DD380[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DD220[0], 0, 32; +T_17.1 ; + %jmp T_17; + .thread T_17; + .scope S_006DBE08; +T_18 ; + %wait E_003DE0F8; + %load/v 32, V_$006DC940[0], 1; + %jmp/0xz T_18.0, 32; + %assign V_$006DDAA8[0], 0, 0; + %assign V_$006DD970[0], 0, 0; + %assign V_$006DD9E0[0], 0, 0; + %jmp T_18.1; +T_18.0 ; + %load 32, V_$006DD918[0]; + %assign V_$006DD970[0], 0, 32; + %load/v 32, V_$006DD970[0], 1; + %assign V_$006DD9E0[0], 0, 32; + %load/v 32, V_$006DD6A8[0], 1; + %jmp/0xz T_18.2, 32; + %assign V_$006DDAA8[0], 0, 1; + %jmp T_18.3; +T_18.2 ; + %load/v 32, V_$006DD9E0[0], 1; + %load/v 33, V_$006DD970[0], 1; + %cmpi/u 33, 0, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_18.4, 32; + %assign V_$006DDAA8[0], 0, 0; +T_18.4 ; +T_18.3 ; +T_18.1 ; + %load 32, V_$006DCBF0[0]; + %load 33, V_$006DCBF0[1]; + %load 34, V_$006DCBF0[2]; + %load 35, V_$006DCBF0[3]; + %load 36, V_$006DCBF0[4]; + %load 37, V_$006DCBF0[5]; + %load 38, V_$006DCBF0[6]; + %load 39, V_$006DCBF0[7]; + %ix/load 0, 8; + %assign/v0 V_$006DD078[0], 0, 32; + %load 32, V_$006DCE08[0]; + %load 33, V_$006DCE08[1]; + %assign V_$006DCE80[0], 0, 32; + %assign V_$006DCE80[1], 0, 33; + %load 32, V_$006DC478[0]; + %load 33, V_$006DC478[1]; + %assign V_$006DC4D0[0], 0, 32; + %assign V_$006DC4D0[1], 0, 33; + %load 32, V_$006DC3C8[0]; + %load 33, V_$006DC3C8[1]; + %assign V_$006DC440[0], 0, 32; + %assign V_$006DC440[1], 0, 33; + %jmp T_18; + .thread T_18; + .scope S_006DA008; +T_19 ; + %wait E_006DB510; + %load/v 32, V_$006DB530[0], 3; + %ix/load 0, 3; + %assign/v0 V_$006DB5C8[0], 0, 32; + %load/v 32, V_$006DBA28[0], 2; + %assign V_$006DB760[0], 0, 32; + %assign V_$006DB760[1], 0, 33; + %load/v 32, V_$006DBDB0[0], 1; + %assign V_$006DB918[0], 0, 32; + %load/v 32, V_$006DB678[0], 1; + %assign V_$006DB728[0], 0, 32; + %load/v 32, V_$006DAC70[0], 1; + %assign V_$006DB7C0[0], 0, 32; + %load/v 32, V_$006DBC48[0], 1; + %assign V_$006DB890[0], 0, 32; + %load/v 32, V_$006DBBB8[0], 1; + %assign V_$006DB810[0], 0, 32; + %load/v 32, V_$006DB530[0], 3; + %cmpi/u 32, 0, 3; + %jmp/1 T_19.0, 6; + %cmpi/u 32, 1, 3; + %jmp/1 T_19.1, 6; + %cmpi/u 32, 2, 3; + %jmp/1 T_19.2, 6; + %cmpi/u 32, 3, 3; + %jmp/1 T_19.3, 6; + %cmpi/u 32, 4, 3; + %jmp/1 T_19.4, 6; + %cmpi/u 32, 5, 3; + %jmp/1 T_19.5, 6; + %cmpi/u 32, 6, 3; + %jmp/1 T_19.6, 6; + %cmpi/u 32, 7, 3; + %jmp/1 T_19.7, 6; + %jmp T_19.8; +T_19.0 ; + %assign V_$006DB760[0], 0, 0; + %assign V_$006DB760[1], 0, 0; + %assign V_$006DB918[0], 0, 0; + %assign V_$006DB728[0], 0, 0; + %assign V_$006DB7C0[0], 0, 0; + %assign V_$006DB890[0], 0, 0; + %assign V_$006DB810[0], 0, 1; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 0; + %jmp T_19.8; +T_19.1 ; + %assign V_$006DB7C0[0], 0, 0; + %assign V_$006DB890[0], 0, 0; + %load 32, V_$006DBC10[0]; + %load 33, V_$006DBCC8[0]; + %load 34, V_$006DBCC8[1]; + %cmpi/u 33, 1, 2; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_19.9, 32; + %assign V_$006DB5C8[0], 0, 0; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 1; + %assign V_$006DB890[0], 0, 1; + %assign V_$006DB728[0], 0, 1; + %jmp T_19.10; +T_19.9 ; + %load 32, V_$006DBC10[0]; + %load 33, V_$006DBCC8[0]; + %load 34, V_$006DBCC8[1]; + %cmpi/u 33, 3, 2; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_19.11, 32; + %assign V_$006DB5C8[0], 0, 0; + %assign V_$006DB5C8[1], 0, 1; + %assign V_$006DB5C8[2], 0, 1; + %assign V_$006DB890[0], 0, 1; + %assign V_$006DB760[0], 0, 1; + %assign V_$006DB760[1], 0, 0; + %jmp T_19.12; +T_19.11 ; + %load 32, V_$006DBC10[0]; + %load 33, V_$006DBCC8[0]; + %load 34, V_$006DBCC8[1]; + %cmpi/u 33, 2, 2; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_19.13, 32; + %assign V_$006DB5C8[0], 0, 0; + %assign V_$006DB5C8[1], 0, 1; + %assign V_$006DB5C8[2], 0, 1; + %assign V_$006DB890[0], 0, 1; + %assign V_$006DB760[0], 0, 0; + %assign V_$006DB760[1], 0, 1; + %jmp T_19.14; +T_19.13 ; + %load 32, V_$006DBC10[0]; + %load 33, V_$006DBCC8[0]; + %load 34, V_$006DBCC8[1]; + %cmpi/u 33, 0, 2; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_19.15, 32; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 1; + %assign V_$006DB5C8[2], 0, 0; + %assign V_$006DB890[0], 0, 1; + %assign V_$006DB918[0], 0, 1; + %assign V_$006DB810[0], 0, 0; +T_19.15 ; +T_19.14 ; +T_19.12 ; +T_19.10 ; + %jmp T_19.8; +T_19.2 ; + %load 32, V_$006DBB18[0]; + %jmp/0xz T_19.17, 32; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 0; + %assign V_$006DB7C0[0], 0, 1; + %assign V_$006DB810[0], 0, 1; +T_19.17 ; + %jmp T_19.8; +T_19.3 ; + %assign V_$006DB918[0], 0, 0; + %assign V_$006DB5C8[0], 0, 0; + %assign V_$006DB5C8[1], 0, 1; + %assign V_$006DB5C8[2], 0, 0; + %jmp T_19.8; +T_19.4 ; + %assign V_$006DB728[0], 0, 0; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 1; + %jmp T_19.8; +T_19.5 ; + %load 32, V_$006DB620[0]; + %jmp/0xz T_19.19, 32; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 0; +T_19.19 ; + %jmp T_19.8; +T_19.6 ; + %assign V_$006DB760[0], 0, 0; + %assign V_$006DB760[1], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006DB5C8[0], 0, 1; + %jmp T_19.8; +T_19.7 ; + %load 32, V_$006DB9D0[0]; + %jmp/0xz T_19.21, 32; + %assign V_$006DB5C8[0], 0, 1; + %assign V_$006DB5C8[1], 0, 0; + %assign V_$006DB5C8[2], 0, 0; +T_19.21 ; + %jmp T_19.8; +T_19.8 ; + %jmp T_19; + .thread T_19, $push; + .scope S_006DA008; +T_20 ; + %wait E_003DE478; + %load 32, V_$006DB950[0]; + %jmp/0xz T_20.0, 32; + %ix/load 0, 3; + %assign/v0 V_$006DB530[0], 0, 0; + %jmp T_20.1; +T_20.0 ; + %load/v 32, V_$006DB5C8[0], 3; + %ix/load 0, 3; + %assign/v0 V_$006DB530[0], 0, 32; +T_20.1 ; + %jmp T_20; + .thread T_20; + .scope S_006DA008; +T_21 ; + %wait E_003DE478; + %load 32, V_$006DB950[0]; + %jmp/0xz T_21.0, 32; + %assign V_$006DBA28[0], 0, 0; + %assign V_$006DBA28[1], 0, 0; + %assign V_$006DBDB0[0], 0, 0; + %assign V_$006DB678[0], 0, 0; + %assign V_$006DAC70[0], 0, 0; + %assign V_$006DBC48[0], 0, 0; + %assign V_$006DBBB8[0], 0, 1; + %jmp T_21.1; +T_21.0 ; + %load/v 32, V_$006DB760[0], 2; + %assign V_$006DBA28[0], 0, 32; + %assign V_$006DBA28[1], 0, 33; + %load/v 32, V_$006DB918[0], 1; + %assign V_$006DBDB0[0], 0, 32; + %load/v 32, V_$006DB728[0], 1; + %assign V_$006DB678[0], 0, 32; + %load/v 32, V_$006DB7C0[0], 1; + %assign V_$006DAC70[0], 0, 32; + %load/v 32, V_$006DB890[0], 1; + %assign V_$006DBC48[0], 0, 32; + %load/v 32, V_$006DB810[0], 1; + %assign V_$006DBBB8[0], 0, 32; +T_21.1 ; + %jmp T_21; + .thread T_21; + .scope S_006D5E10; +T_22 ; + %wait E_006C8B28; + %load/v 32, V_$006D7810[0], 4; + %ix/load 0, 4; + %assign/v0 V_$006D78C0[0], 0, 32; + %load/v 32, V_$006DB188[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DA4D0[0], 0, 32; + %load/v 32, V_$006D7E68[0], 1; + %assign V_$006D8560[0], 0, 32; + %load/v 32, V_$006DADF8[0], 1; + %assign V_$006DA450[0], 0, 32; + %load/v 32, V_$006D8260[0], 2; + %assign V_$006D9D58[0], 0, 32; + %assign V_$006D9D58[1], 0, 33; + %load/v 32, V_$006D71D0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DA638[0], 0, 32; + %load/v 32, V_$006DB4B8[0], 1; + %assign V_$006DA918[0], 0, 32; + %load/v 32, V_$006D7B08[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D8900[0], 0, 32; + %load/v 32, V_$006D7C70[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D8B78[0], 0, 32; + %load/v 32, V_$006D7DD0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D90D8[0], 0, 32; + %load/v 32, V_$006D80C8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D93B8[0], 0, 32; + %load/v 32, V_$006D8228[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D9F90[0], 0, 32; + %load/v 32, V_$006D7F80[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D85E0[0], 0, 32; + %load/v 32, V_$006DAD18[0], 1; + %assign V_$006DA3D0[0], 0, 32; + %load/v 32, V_$006D8E20[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 32; + %load/v 32, V_$006D83C0[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D9750[0], 0, 32; + %load/v 32, V_$006D83F8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D9AB8[0], 0, 32; + %load/v 32, V_$006DACA8[0], 1; + %assign V_$006DA348[0], 0, 32; + %load/v 32, V_$006D7810[0], 4; + %cmpi/u 32, 0, 4; + %jmp/1 T_22.0, 6; + %cmpi/u 32, 1, 4; + %jmp/1 T_22.1, 6; + %cmpi/u 32, 2, 4; + %jmp/1 T_22.2, 6; + %cmpi/u 32, 3, 4; + %jmp/1 T_22.3, 6; + %cmpi/u 32, 13, 4; + %jmp/1 T_22.4, 6; + %cmpi/u 32, 4, 4; + %jmp/1 T_22.5, 6; + %cmpi/u 32, 5, 4; + %jmp/1 T_22.6, 6; + %cmpi/u 32, 6, 4; + %jmp/1 T_22.7, 6; + %cmpi/u 32, 7, 4; + %jmp/1 T_22.8, 6; + %cmpi/u 32, 8, 4; + %jmp/1 T_22.9, 6; + %cmpi/u 32, 9, 4; + %jmp/1 T_22.10, 6; + %cmpi/u 32, 10, 4; + %jmp/1 T_22.11, 6; + %cmpi/u 32, 11, 4; + %jmp/1 T_22.12, 6; + %cmpi/u 32, 12, 4; + %jmp/1 T_22.13, 6; + %jmp T_22.14; +T_22.0 ; + %load 32, V_$006DAE30[0]; + %load 33, V_$006DAE30[1]; + %load 34, V_$006DAE30[2]; + %load 35, V_$006DAE30[3]; + %load 36, V_$006DAE30[4]; + %load 37, V_$006DAE30[5]; + %load 38, V_$006DAE30[6]; + %load 39, V_$006DAE30[7]; + %ix/load 0, 8; + %assign/v0 V_$006DA4D0[0], 0, 32; + %assign V_$006D8560[0], 0, 0; + %assign V_$006DA450[0], 0, 1; + %assign V_$006D9D58[0], 0, 0; + %assign V_$006D9D58[1], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DA638[0], 0, 0; + %assign V_$006DA918[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8900[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8B78[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D90D8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D93B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D9F90[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D85E0[0], 0, 0; + %assign V_$006DA3D0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D9750[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D9AB8[0], 0, 0; + %assign V_$006DA348[0], 0, 0; + %assign V_$006D78C0[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[1], 0, 0; + %jmp T_22.14; +T_22.1 ; + %assign V_$006D8560[0], 0, 1; + %load 32, V_$006DAE30[0]; + %load 33, V_$006DAE30[1]; + %load 34, V_$006DAE30[2]; + %load 35, V_$006DAE30[3]; + %load 36, V_$006DAE30[4]; + %load 37, V_$006DAE30[5]; + %load 38, V_$006DAE30[6]; + %load 39, V_$006DAE30[7]; + %ix/load 0, 8; + %assign/v0 V_$006DA4D0[0], 0, 32; + %load 32, V_$006D7F00[0]; + %jmp/0xz T_22.15, 32; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 0; + %assign V_$006D8560[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 0; + %assign V_$006DA4D0[0], 0, 1; + %assign V_$006DA4D0[1], 0, 1; + %assign V_$006DA4D0[2], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006DA4D0[3], 0, 1; + %assign V_$006DA4D0[6], 0, 0; + %assign V_$006DA4D0[7], 0, 0; + %assign V_$006D9D58[0], 0, 0; + %assign V_$006D9D58[1], 0, 0; +T_22.15 ; + %jmp T_22.14; +T_22.2 ; + %load 32, V_$006DB358[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_22.17, 4; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DA638[0], 0, 1; + %assign V_$006DA918[0], 0, 1; + %load/v 32, V_$006D8E20[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 32; +T_22.17 ; + %jmp T_22.14; +T_22.3 ; + %assign V_$006DA918[0], 0, 0; + %load/v 32, V_$006D8E20[0], 8; + %cmpi/u 32, 160, 8; + %jmp/0xz T_22.19, 4; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 1; + %jmp T_22.20; +T_22.19 ; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 0; +T_22.20 ; + %jmp T_22.14; +T_22.4 ; + %load 32, V_$006DB2E8[0]; + %jmp/0xz T_22.21, 32; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 0; +T_22.21 ; + %jmp T_22.14; +T_22.5 ; + %ix/load 0, 6; + %assign/v0 V_$006D8900[0], 0, 0; + %assign V_$006D8900[6], 0, 1; + %assign V_$006D8900[7], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8B78[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D90D8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D93B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D9F90[0], 0, 0; + %assign V_$006D85E0[0], 0, 1; + %assign V_$006D85E0[1], 0, 0; + %assign V_$006D85E0[2], 0, 1; + %assign V_$006D85E0[3], 0, 0; + %assign V_$006D85E0[4], 0, 1; + %assign V_$006D85E0[5], 0, 0; + %assign V_$006D85E0[6], 0, 0; + %assign V_$006D85E0[7], 0, 1; + %assign V_$006DA3D0[0], 0, 1; + %load/v 32, V_$006D8E20[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 32; + %assign V_$006DA450[0], 0, 0; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 0; + %jmp T_22.14; +T_22.6 ; + %assign V_$006DA3D0[0], 0, 0; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 0; + %jmp T_22.14; +T_22.7 ; + %load 32, V_$006DACE0[0]; + %jmp/0xz T_22.23, 32; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[3], 0, 0; + %assign V_$006DA450[0], 0, 1; +T_22.23 ; + %jmp T_22.14; +T_22.8 ; + %load 32, V_$006DAB98[0]; + %load 33, V_$006DA998[0]; + %load 34, V_$006DA998[1]; + %load 35, V_$006DA998[2]; + %load 36, V_$006DA998[3]; + %load 37, V_$006DA998[4]; + %load 38, V_$006DA998[5]; + %load 39, V_$006DA998[6]; + %load 40, V_$006DA998[7]; + %cmpi/u 33, 1, 8; + %inv 4, 1; + %mov 33, 4, 1; + %or 32, 33, 1; + %load/v 33, V_$006D8E20[0], 8; + %cmpi/u 33, 255, 8; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_22.25, 32; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 0; + %jmp T_22.26; +T_22.25 ; + %load 32, V_$006DAB98[0]; + %load 33, V_$006DA998[0]; + %load 34, V_$006DA998[1]; + %load 35, V_$006DA998[2]; + %load 36, V_$006DA998[3]; + %load 37, V_$006DA998[4]; + %load 38, V_$006DA998[5]; + %load 39, V_$006DA998[6]; + %load 40, V_$006DA998[7]; + %cmpi/u 33, 1, 8; + %inv 4, 1; + %mov 33, 4, 1; + %or 32, 33, 1; + %jmp/0xz T_22.27, 32; + %assign V_$006D78C0[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[1], 0, 0; + %assign V_$006D9D58[0], 0, 1; + %assign V_$006D9D58[1], 0, 0; + %jmp T_22.28; +T_22.27 ; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 1; +T_22.28 ; +T_22.26 ; + %jmp T_22.14; +T_22.9 ; + %load 32, V_$006DACE0[0]; + %jmp/0xz T_22.29, 32; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 1; + %assign V_$006DA450[0], 0, 1; +T_22.29 ; + %jmp T_22.14; +T_22.10 ; + %load 32, V_$006DAB98[0]; + %load 33, V_$006DA998[0]; + %load 34, V_$006DA998[1]; + %load 35, V_$006DA998[2]; + %load 36, V_$006DA998[3]; + %load 37, V_$006DA998[4]; + %load 38, V_$006DA998[5]; + %load 39, V_$006DA998[6]; + %load 40, V_$006DA998[7]; + %cmpi/u 33, 0, 8; + %inv 4, 1; + %mov 33, 4, 1; + %or 32, 33, 1; + %load/v 33, V_$006D8E20[0], 8; + %cmpi/u 33, 255, 8; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_22.31, 32; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 1; + %jmp T_22.32; +T_22.31 ; + %load 32, V_$006DAB98[0]; + %load 33, V_$006DA998[0]; + %load 34, V_$006DA998[1]; + %load 35, V_$006DA998[2]; + %load 36, V_$006DA998[3]; + %load 37, V_$006DA998[4]; + %load 38, V_$006DA998[5]; + %load 39, V_$006DA998[6]; + %load 40, V_$006DA998[7]; + %cmpi/u 33, 0, 8; + %inv 4, 1; + %mov 33, 4, 1; + %or 32, 33, 1; + %jmp/0xz T_22.33, 32; + %assign V_$006D78C0[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[1], 0, 0; + %assign V_$006D9D58[0], 0, 0; + %assign V_$006D9D58[1], 0, 1; + %jmp T_22.34; +T_22.33 ; + %assign V_$006D78C0[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[1], 0, 0; +T_22.34 ; +T_22.32 ; + %jmp T_22.14; +T_22.11 ; + %assign V_$006D8900[0], 0, 1; + %ix/load 0, 5; + %assign/v0 V_$006D8900[1], 0, 0; + %assign V_$006D8900[6], 0, 1; + %assign V_$006D8900[7], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8B78[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D90D8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D93B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D9F90[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D85E0[0], 0, 1; + %assign V_$006DA3D0[0], 0, 1; + %load/v 32, V_$006D8E20[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006DA0A8[0], 0, 32; + %assign V_$006DA450[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D9750[0], 0, 0; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 1; + %jmp T_22.14; +T_22.12 ; + %load/v 32, V_$006D83C0[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006D9750[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D9AB8[0], 0, 0; + %assign V_$006DA3D0[0], 0, 0; + %load/v 32, V_$006D83C0[0], 10; + %cmpi/u 32, 375, 10; + %jmp/0xz T_22.35, 4; + %ix/load 0, 3; + %assign/v0 V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[3], 0, 1; + %jmp T_22.36; +T_22.35 ; + %assign V_$006D78C0[0], 0, 0; + %assign V_$006D78C0[1], 0, 0; + %assign V_$006D78C0[2], 0, 1; + %assign V_$006D78C0[3], 0, 1; +T_22.36 ; + %jmp T_22.14; +T_22.13 ; + %load/v 32, V_$006D83F8[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006D9AB8[0], 0, 32; + %load/v 32, V_$006D83F8[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_22.37, 4; + %assign V_$006D78C0[0], 0, 1; + %assign V_$006D78C0[1], 0, 1; + %assign V_$006D78C0[2], 0, 0; + %assign V_$006D78C0[3], 0, 1; +T_22.37 ; + %jmp T_22.14; +T_22.14 ; + %jmp T_22; + .thread T_22, $push; + .scope S_006D5E10; +T_23 ; + %wait E_003DE478; + %load 32, V_$006DAC00[0]; + %jmp/0xz T_23.0, 32; + %ix/load 0, 4; + %assign/v0 V_$006D7810[0], 0, 0; + %jmp T_23.1; +T_23.0 ; + %load/v 32, V_$006D78C0[0], 4; + %ix/load 0, 4; + %assign/v0 V_$006D7810[0], 0, 32; +T_23.1 ; + %jmp T_23; + .thread T_23; + .scope S_006D5E10; +T_24 ; + %wait E_003DE478; + %load 32, V_$006DAC00[0]; + %jmp/0xz T_24.0, 32; + %load 32, V_$006DAE30[0]; + %load 33, V_$006DAE30[1]; + %load 34, V_$006DAE30[2]; + %load 35, V_$006DAE30[3]; + %load 36, V_$006DAE30[4]; + %load 37, V_$006DAE30[5]; + %load 38, V_$006DAE30[6]; + %load 39, V_$006DAE30[7]; + %ix/load 0, 8; + %assign/v0 V_$006DB188[0], 0, 32; + %assign V_$006D7E68[0], 0, 0; + %assign V_$006DADF8[0], 0, 1; + %assign V_$006D8260[0], 0, 0; + %assign V_$006D8260[1], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D71D0[0], 0, 0; + %assign V_$006DB4B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D7B08[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D7C70[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D7DD0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D80C8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8228[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D7F80[0], 0, 0; + %assign V_$006DAD18[0], 0, 0; + %assign V_$006DACA8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D8E20[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D83C0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D83F8[0], 0, 0; + %jmp T_24.1; +T_24.0 ; + %load/v 32, V_$006DA4D0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006DB188[0], 0, 32; + %load/v 32, V_$006D8560[0], 1; + %assign V_$006D7E68[0], 0, 32; + %load/v 32, V_$006DA450[0], 1; + %assign V_$006DADF8[0], 0, 32; + %load/v 32, V_$006D9D58[0], 2; + %assign V_$006D8260[0], 0, 32; + %assign V_$006D8260[1], 0, 33; + %load/v 32, V_$006DA638[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D71D0[0], 0, 32; + %load/v 32, V_$006DA918[0], 1; + %assign V_$006DB4B8[0], 0, 32; + %load/v 32, V_$006D8900[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D7B08[0], 0, 32; + %load/v 32, V_$006D8B78[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D7C70[0], 0, 32; + %load/v 32, V_$006D90D8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D7DD0[0], 0, 32; + %load/v 32, V_$006D93B8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D80C8[0], 0, 32; + %load/v 32, V_$006D9F90[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D8228[0], 0, 32; + %load/v 32, V_$006D85E0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D7F80[0], 0, 32; + %load/v 32, V_$006DA3D0[0], 1; + %assign V_$006DAD18[0], 0, 32; + %load/v 32, V_$006DA348[0], 1; + %assign V_$006DACA8[0], 0, 32; + %load/v 32, V_$006DA0A8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D8E20[0], 0, 32; + %load/v 32, V_$006D9750[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D83C0[0], 0, 32; + %load/v 32, V_$006D9AB8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D83F8[0], 0, 32; +T_24.1 ; + %jmp T_24; + .thread T_24; + .scope S_006D0E50; +T_25 ; + %wait E_006D1810; + %load/v 32, V_$006D1830[0], 6; + %ix/load 0, 6; + %assign/v0 V_$006D1C70[0], 0, 32; + %load/v 32, V_$006D6E38[0], 1; + %assign V_$006D5F20[0], 0, 32; + %load/v 32, V_$006D6C70[0], 1; + %assign V_$006D5948[0], 0, 32; + %load/v 32, V_$006D6488[0], 2; + %assign V_$006D5DD8[0], 0, 32; + %assign V_$006D5DD8[1], 0, 33; + %load/v 32, V_$006D75E8[0], 2; + %assign V_$006D63B0[0], 0, 32; + %assign V_$006D63B0[1], 0, 33; + %load/v 32, V_$006D7208[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 32; + %load/v 32, V_$006D72A8[0], 1; + %assign V_$006D62B0[0], 0, 32; + %load/v 32, V_$006D6920[0], 1; + %assign V_$006D5FB0[0], 0, 32; + %load/v 32, V_$006D2970[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3890[0], 0, 32; + %load/v 32, V_$006D2AB0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3B18[0], 0, 32; + %load/v 32, V_$006D2C18[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D40D8[0], 0, 32; + %load/v 32, V_$006D2D78[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D43B8[0], 0, 32; + %load/v 32, V_$006D3138[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D4F90[0], 0, 32; + %load/v 32, V_$006D2790[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3580[0], 0, 32; + %load/v 32, V_$006D6C38[0], 1; + %assign V_$006D5880[0], 0, 32; + %load/v 32, V_$006D32B0[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 32; + %load/v 32, V_$006D3278[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 32; + %load/v 32, V_$006D3310[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 32; + %load/v 32, V_$006D7488[0], 1; + %assign V_$006D6330[0], 0, 32; + %load/v 32, V_$006D6B90[0], 1; + %assign V_$006D5800[0], 0, 32; + %load/v 32, V_$006D6958[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D5520[0], 0, 32; + %load/v 32, V_$006D6CA8[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 32; + %load/v 32, V_$006D3370[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D4D10[0], 0, 32; + %load/v 32, V_$006D1830[0], 6; + %cmpi/u 32, 0, 6; + %jmp/1 T_25.0, 6; + %cmpi/u 32, 4, 6; + %jmp/1 T_25.1, 6; + %cmpi/u 32, 1, 6; + %jmp/1 T_25.2, 6; + %cmpi/u 32, 2, 6; + %jmp/1 T_25.3, 6; + %cmpi/u 32, 3, 6; + %jmp/1 T_25.4, 6; + %cmpi/u 32, 5, 6; + %jmp/1 T_25.5, 6; + %cmpi/u 32, 6, 6; + %jmp/1 T_25.6, 6; + %cmpi/u 32, 7, 6; + %jmp/1 T_25.7, 6; + %cmpi/u 32, 8, 6; + %jmp/1 T_25.8, 6; + %cmpi/u 32, 9, 6; + %jmp/1 T_25.9, 6; + %cmpi/u 32, 10, 6; + %jmp/1 T_25.10, 6; + %cmpi/u 32, 40, 6; + %jmp/1 T_25.11, 6; + %cmpi/u 32, 41, 6; + %jmp/1 T_25.12, 6; + %cmpi/u 32, 42, 6; + %jmp/1 T_25.13, 6; + %cmpi/u 32, 43, 6; + %jmp/1 T_25.14, 6; + %cmpi/u 32, 44, 6; + %jmp/1 T_25.15, 6; + %cmpi/u 32, 45, 6; + %jmp/1 T_25.16, 6; + %cmpi/u 32, 23, 6; + %jmp/1 T_25.17, 6; + %cmpi/u 32, 24, 6; + %jmp/1 T_25.18, 6; + %cmpi/u 32, 25, 6; + %jmp/1 T_25.19, 6; + %cmpi/u 32, 26, 6; + %jmp/1 T_25.20, 6; + %cmpi/u 32, 27, 6; + %jmp/1 T_25.21, 6; + %cmpi/u 32, 28, 6; + %jmp/1 T_25.22, 6; + %cmpi/u 32, 29, 6; + %jmp/1 T_25.23, 6; + %cmpi/u 32, 30, 6; + %jmp/1 T_25.24, 6; + %cmpi/u 32, 31, 6; + %jmp/1 T_25.25, 6; + %cmpi/u 32, 46, 6; + %jmp/1 T_25.26, 6; + %cmpi/u 32, 32, 6; + %jmp/1 T_25.27, 6; + %cmpi/u 32, 33, 6; + %jmp/1 T_25.28, 6; + %cmpi/u 32, 34, 6; + %jmp/1 T_25.29, 6; + %cmpi/u 32, 35, 6; + %jmp/1 T_25.30, 6; + %cmpi/u 32, 36, 6; + %jmp/1 T_25.31, 6; + %cmpi/u 32, 37, 6; + %jmp/1 T_25.32, 6; + %cmpi/u 32, 38, 6; + %jmp/1 T_25.33, 6; + %cmpi/u 32, 39, 6; + %jmp/1 T_25.34, 6; + %cmpi/u 32, 11, 6; + %jmp/1 T_25.35, 6; + %cmpi/u 32, 12, 6; + %jmp/1 T_25.36, 6; + %cmpi/u 32, 13, 6; + %jmp/1 T_25.37, 6; + %cmpi/u 32, 14, 6; + %jmp/1 T_25.38, 6; + %cmpi/u 32, 15, 6; + %jmp/1 T_25.39, 6; + %cmpi/u 32, 16, 6; + %jmp/1 T_25.40, 6; + %cmpi/u 32, 17, 6; + %jmp/1 T_25.41, 6; + %cmpi/u 32, 18, 6; + %jmp/1 T_25.42, 6; + %cmpi/u 32, 19, 6; + %jmp/1 T_25.43, 6; + %cmpi/u 32, 20, 6; + %jmp/1 T_25.44, 6; + %cmpi/u 32, 21, 6; + %jmp/1 T_25.45, 6; + %cmpi/u 32, 22, 6; + %jmp/1 T_25.46, 6; + %cmpi/u 32, 47, 6; + %jmp/1 T_25.47, 6; + %jmp T_25.48; +T_25.0 ; + %assign V_$006D5F20[0], 0, 0; + %assign V_$006D5948[0], 0, 1; + %assign V_$006D5DD8[0], 0, 0; + %assign V_$006D5DD8[1], 0, 0; + %assign V_$006D63B0[0], 0, 0; + %assign V_$006D63B0[1], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 0; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D5FB0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3890[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3B18[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D40D8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D43B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D4F90[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3580[0], 0, 0; + %assign V_$006D5880[0], 0, 0; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 0; + %assign V_$006D5DD8[0], 0, 0; + %assign V_$006D5DD8[1], 0, 0; + %assign V_$006D63B0[0], 0, 0; + %assign V_$006D63B0[1], 0, 0; + %assign V_$006D6330[0], 0, 0; + %assign V_$006D5800[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D5520[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D4D10[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %jmp T_25.48; +T_25.1 ; + %assign V_$006D5948[0], 0, 1; + %assign V_$006D5F20[0], 0, 1; + %load 32, V_$006D6EC0[0]; + %load 33, V_$006D6EC0[1]; + %cmpi/u 32, 2, 2; + %jmp/0xz T_25.49, 4; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %assign V_$006D5948[0], 0, 0; + %assign V_$006D5F20[0], 0, 0; + %assign V_$006D5DD8[0], 0, 0; + %assign V_$006D5DD8[1], 0, 0; + %jmp T_25.50; +T_25.49 ; + %load 32, V_$006D6EC0[0]; + %load 33, V_$006D6EC0[1]; + %cmpi/u 32, 1, 2; + %jmp/0xz T_25.51, 4; + %assign V_$006D1C70[0], 0, 1; + %ix/load 0, 5; + %assign/v0 V_$006D1C70[1], 0, 0; + %assign V_$006D5948[0], 0, 0; + %assign V_$006D5F20[0], 0, 0; + %assign V_$006D63B0[0], 0, 0; + %assign V_$006D63B0[1], 0, 0; +T_25.51 ; +T_25.50 ; + %jmp T_25.48; +T_25.2 ; + %ix/load 0, 3; + %assign/v0 V_$006D3890[0], 0, 0; + %assign V_$006D3890[3], 0, 1; + %assign V_$006D3890[4], 0, 1; + %assign V_$006D3890[5], 0, 0; + %assign V_$006D3890[6], 0, 1; + %assign V_$006D3890[7], 0, 0; + %load 32, V_$006D2078[24]; + %load 33, V_$006D2078[25]; + %load 34, V_$006D2078[26]; + %load 35, V_$006D2078[27]; + %load 36, V_$006D2078[28]; + %load 37, V_$006D2078[29]; + %load 38, V_$006D2078[30]; + %load 39, V_$006D2078[31]; + %ix/load 0, 8; + %assign/v0 V_$006D3B18[0], 0, 32; + %load 32, V_$006D2078[16]; + %load 33, V_$006D2078[17]; + %load 34, V_$006D2078[18]; + %load 35, V_$006D2078[19]; + %load 36, V_$006D2078[20]; + %load 37, V_$006D2078[21]; + %load 38, V_$006D2078[22]; + %load 39, V_$006D2078[23]; + %ix/load 0, 8; + %assign/v0 V_$006D40D8[0], 0, 32; + %load 32, V_$006D2078[8]; + %load 33, V_$006D2078[9]; + %load 34, V_$006D2078[10]; + %load 35, V_$006D2078[11]; + %load 36, V_$006D2078[12]; + %load 37, V_$006D2078[13]; + %load 38, V_$006D2078[14]; + %load 39, V_$006D2078[15]; + %ix/load 0, 8; + %assign/v0 V_$006D43B8[0], 0, 32; + %load 32, V_$006D2078[0]; + %load 33, V_$006D2078[1]; + %load 34, V_$006D2078[2]; + %load 35, V_$006D2078[3]; + %load 36, V_$006D2078[4]; + %load 37, V_$006D2078[5]; + %load 38, V_$006D2078[6]; + %load 39, V_$006D2078[7]; + %ix/load 0, 8; + %assign/v0 V_$006D4F90[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D3580[0], 0, 1; + %assign V_$006D5880[0], 0, 1; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[2], 0, 0; + %jmp T_25.48; +T_25.3 ; + %load 32, V_$006D6C00[0]; + %load 33, V_$006D6648[0]; + %load 34, V_$006D6F98[0]; + %load 35, V_$006D6F98[1]; + %load 36, V_$006D6F98[2]; + %load 37, V_$006D6F98[3]; + %load 38, V_$006D6F98[4]; + %load 39, V_$006D6F98[5]; + %load 40, V_$006D6F98[6]; + %load 41, V_$006D6F98[7]; + %cmpi/u 34, 0, 8; + %inv 4, 1; + %mov 34, 4, 1; + %or 33, 34, 1; + %and 32, 33, 1; + %jmp/0xz T_25.53, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %assign V_$006D63B0[0], 0, 1; + %assign V_$006D63B0[1], 0, 0; + %jmp T_25.54; +T_25.53 ; + %load 32, V_$006D6C00[0]; + %jmp/0xz T_25.55, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; +T_25.55 ; +T_25.54 ; + %jmp T_25.48; +T_25.4 ; + %assign V_$006D5880[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[2], 0, 0; + %jmp T_25.48; +T_25.5 ; + %assign V_$006D62B0[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.6 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.57, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.57 ; + %jmp T_25.48; +T_25.7 ; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.8 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.59, 4; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.59 ; + %jmp T_25.48; +T_25.9 ; + %assign V_$006D62B0[0], 0, 0; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.10 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.61, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %assign V_$006D6008[0], 0, 0; + %ix/load 0, 7; + %assign/v0 V_$006D6008[1], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.61 ; + %jmp T_25.48; +T_25.11 ; + %load/v 32, V_$006D3370[0], 8; + %cmpi/u 32, 0, 8; + %mov 32, 4, 1; + %load/v 33, V_$006D6CA8[0], 10; + %cmpi/u 33, 182, 10; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_25.63, 32; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %load/v 32, V_$006D6CA8[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 32; + %jmp T_25.64; +T_25.63 ; + %load/v 32, V_$006D6CA8[0], 10; + %cmpi/u 32, 182, 10; + %jmp/0xz T_25.65, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %assign V_$006D63B0[0], 0, 1; + %assign V_$006D63B0[1], 0, 1; + %jmp T_25.66; +T_25.65 ; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; +T_25.66 ; +T_25.64 ; + %jmp T_25.48; +T_25.12 ; + %load 32, V_$006D68B8[0]; + %jmp/0xz T_25.67, 32; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %load 32, V_$006D66D8[0]; + %load 33, V_$006D66D8[1]; + %load 34, V_$006D66D8[2]; + %load 35, V_$006D66D8[3]; + %load 36, V_$006D66D8[4]; + %load 37, V_$006D66D8[5]; + %load 38, V_$006D66D8[6]; + %load 39, V_$006D66D8[7]; + %ix/load 0, 8; + %assign/v0 V_$006D4D10[0], 0, 32; +T_25.67 ; + %jmp T_25.48; +T_25.13 ; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D5FB0[0], 0, 0; + %load/v 32, V_$006D3278[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 0; + %load/v 32, V_$006D3278[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_25.69, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.70; +T_25.69 ; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; +T_25.70 ; + %jmp T_25.48; +T_25.14 ; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; + %assign V_$006D5FB0[0], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.48; +T_25.15 ; + %load/v 32, V_$006D3310[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 32; + %load/v 32, V_$006D3310[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_25.71, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; +T_25.71 ; + %jmp T_25.48; +T_25.16 ; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 0; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.48; +T_25.17 ; + %assign V_$006D3890[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D3890[1], 0, 0; + %assign V_$006D3890[4], 0, 1; + %assign V_$006D3890[5], 0, 0; + %assign V_$006D3890[6], 0, 1; + %assign V_$006D3890[7], 0, 0; + %load 32, V_$006D2078[24]; + %load 33, V_$006D2078[25]; + %load 34, V_$006D2078[26]; + %load 35, V_$006D2078[27]; + %load 36, V_$006D2078[28]; + %load 37, V_$006D2078[29]; + %load 38, V_$006D2078[30]; + %load 39, V_$006D2078[31]; + %ix/load 0, 8; + %assign/v0 V_$006D3B18[0], 0, 32; + %load 32, V_$006D2078[16]; + %load 33, V_$006D2078[17]; + %load 34, V_$006D2078[18]; + %load 35, V_$006D2078[19]; + %load 36, V_$006D2078[20]; + %load 37, V_$006D2078[21]; + %load 38, V_$006D2078[22]; + %load 39, V_$006D2078[23]; + %ix/load 0, 8; + %assign/v0 V_$006D40D8[0], 0, 32; + %load 32, V_$006D2078[8]; + %load 33, V_$006D2078[9]; + %load 34, V_$006D2078[10]; + %load 35, V_$006D2078[11]; + %load 36, V_$006D2078[12]; + %load 37, V_$006D2078[13]; + %load 38, V_$006D2078[14]; + %load 39, V_$006D2078[15]; + %ix/load 0, 8; + %assign/v0 V_$006D43B8[0], 0, 32; + %load 32, V_$006D2078[0]; + %load 33, V_$006D2078[1]; + %load 34, V_$006D2078[2]; + %load 35, V_$006D2078[3]; + %load 36, V_$006D2078[4]; + %load 37, V_$006D2078[5]; + %load 38, V_$006D2078[6]; + %load 39, V_$006D2078[7]; + %ix/load 0, 8; + %assign/v0 V_$006D4F90[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D3580[0], 0, 1; + %assign V_$006D5880[0], 0, 1; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.18 ; + %load 32, V_$006D6C00[0]; + %load 33, V_$006D6648[0]; + %load 34, V_$006D6F98[0]; + %load 35, V_$006D6F98[1]; + %load 36, V_$006D6F98[2]; + %load 37, V_$006D6F98[3]; + %load 38, V_$006D6F98[4]; + %load 39, V_$006D6F98[5]; + %load 40, V_$006D6F98[6]; + %load 41, V_$006D6F98[7]; + %cmpi/u 34, 0, 8; + %inv 4, 1; + %mov 34, 4, 1; + %or 33, 34, 1; + %and 32, 33, 1; + %jmp/0xz T_25.73, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %assign V_$006D5DD8[0], 0, 1; + %assign V_$006D5DD8[1], 0, 0; + %jmp T_25.74; +T_25.73 ; + %load 32, V_$006D6C00[0]; + %jmp/0xz T_25.75, 32; + %assign V_$006D1C70[0], 0, 0; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[5], 0, 0; +T_25.75 ; +T_25.74 ; + %jmp T_25.48; +T_25.19 ; + %assign V_$006D5880[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.20 ; + %load/v 32, V_$006D3370[0], 8; + %cmpi/u 32, 254, 8; + %inv 4, 1; + %mov 32, 4, 1; + %load/v 33, V_$006D6CA8[0], 10; + %cmpi/u 33, 72, 10; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_25.77, 32; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %load/v 32, V_$006D6CA8[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 0; + %jmp T_25.78; +T_25.77 ; + %load/v 32, V_$006D6CA8[0], 10; + %cmpi/u 32, 72, 10; + %jmp/0xz T_25.79, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %assign V_$006D5DD8[0], 0, 0; + %assign V_$006D5DD8[1], 0, 1; + %jmp T_25.80; +T_25.79 ; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %assign V_$006D5FB0[0], 0, 1; +T_25.80 ; +T_25.78 ; + %jmp T_25.48; +T_25.21 ; + %load 32, V_$006D68B8[0]; + %jmp/0xz T_25.81, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %load 32, V_$006D66D8[0]; + %load 33, V_$006D66D8[1]; + %load 34, V_$006D66D8[2]; + %load 35, V_$006D66D8[3]; + %load 36, V_$006D66D8[4]; + %load 37, V_$006D66D8[5]; + %load 38, V_$006D66D8[6]; + %load 39, V_$006D66D8[7]; + %ix/load 0, 8; + %assign/v0 V_$006D4D10[0], 0, 32; +T_25.81 ; + %jmp T_25.48; +T_25.22 ; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; + %assign V_$006D5FB0[0], 0, 1; + %ix/load 0, 5; + %assign/v0 V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.23 ; + %load/v 32, V_$006D3278[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006D4750[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 0; + %load/v 32, V_$006D3278[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_25.83, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.84; +T_25.83 ; + %assign V_$006D1C70[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; +T_25.84 ; + %jmp T_25.48; +T_25.24 ; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.25 ; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D5FB0[0], 0, 0; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.26 ; + %load/v 32, V_$006D3310[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006D4A70[0], 0, 32; + %load/v 32, V_$006D3310[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_25.85, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[5], 0, 0; +T_25.85 ; + %jmp T_25.48; +T_25.27 ; + %assign V_$006D62B0[0], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %load/v 32, V_$006D32B0[0], 9; + %addi 32, 1, 9; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 32; + %assign V_$006D1C70[0], 0, 1; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.48; +T_25.28 ; + %assign V_$006D62B0[0], 0, 0; + %load 32, V_$006D68B8[0]; + %jmp/0xz T_25.87, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %assign V_$006D5800[0], 0, 1; + %assign V_$006D5FB0[0], 0, 1; + %load 32, V_$006D66D8[0]; + %load 33, V_$006D66D8[1]; + %load 34, V_$006D66D8[2]; + %load 35, V_$006D66D8[3]; + %load 36, V_$006D66D8[4]; + %load 37, V_$006D66D8[5]; + %load 38, V_$006D66D8[6]; + %load 39, V_$006D66D8[7]; + %ix/load 0, 8; + %assign/v0 V_$006D5520[0], 0, 32; +T_25.87 ; + %jmp T_25.48; +T_25.29 ; + %load/v 32, V_$006D32B0[0], 9; + %cmpi/u 32, 0, 9; + %jmp/0xz T_25.89, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %assign V_$006D5FB0[0], 0, 0; + %assign V_$006D5800[0], 0, 0; + %jmp T_25.90; +T_25.89 ; + %ix/load 0, 5; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %assign V_$006D5FB0[0], 0, 0; + %assign V_$006D5800[0], 0, 0; +T_25.90 ; + %jmp T_25.48; +T_25.30 ; + %ix/load 0, 5; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %assign V_$006D5FB0[0], 0, 0; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 0; + %jmp T_25.48; +T_25.31 ; + %assign V_$006D62B0[0], 0, 0; + %load 32, V_$006D7160[0]; + %jmp/0xz T_25.91, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; +T_25.91 ; + %jmp T_25.48; +T_25.32 ; + %assign V_$006D62B0[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.48; +T_25.33 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.93, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.93 ; + %jmp T_25.48; +T_25.34 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.95, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.95 ; + %jmp T_25.48; +T_25.35 ; + %assign V_$006D62B0[0], 0, 0; + %load/v 32, V_$006D32B0[0], 9; + %cmpi/u 32, 0, 9; + %jmp/0xz T_25.97, 4; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.98; +T_25.97 ; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; +T_25.98 ; + %jmp T_25.48; +T_25.36 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.99, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %load 32, V_$006D73E8[0]; + %load 33, V_$006D73E8[1]; + %load 34, V_$006D73E8[2]; + %load 35, V_$006D73E8[3]; + %load 36, V_$006D73E8[4]; + %load 37, V_$006D73E8[5]; + %load 38, V_$006D73E8[6]; + %load 39, V_$006D73E8[7]; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 32; + %assign V_$006D62B0[0], 0, 1; +T_25.99 ; + %jmp T_25.48; +T_25.37 ; + %assign V_$006D6330[0], 0, 1; + %load/v 32, V_$006D32B0[0], 9; + %addi 32, 1, 9; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 32; + %assign V_$006D1C70[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.38 ; + %assign V_$006D6330[0], 0, 0; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.39 ; + %ix/load 0, 9; + %assign/v0 V_$006D5200[0], 0, 0; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.40 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.101, 4; + %assign V_$006D1C70[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.101 ; + %jmp T_25.48; +T_25.41 ; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %jmp T_25.48; +T_25.42 ; + %assign V_$006D62B0[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 0; + %load 32, V_$006D7160[0]; + %jmp/0xz T_25.103, 32; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; +T_25.103 ; + %jmp T_25.48; +T_25.43 ; + %load 32, V_$006D7198[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_25.105, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 0; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; +T_25.105 ; + %jmp T_25.48; +T_25.44 ; + %load/v 32, V_$006D6CA8[0], 10; + %cmpi/u 32, 512, 10; + %jmp/0xz T_25.107, 4; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006D1C70[3], 0, 0; + %assign V_$006D63B0[0], 0, 0; + %assign V_$006D63B0[1], 0, 1; + %jmp T_25.108; +T_25.107 ; + %load/v 32, V_$006D3370[0], 5; + %cmpi/u 32, 5, 5; + %jmp/0xz T_25.109, 4; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %jmp T_25.110; +T_25.109 ; + %assign V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; +T_25.110 ; +T_25.108 ; + %jmp T_25.48; +T_25.45 ; + %ix/load 0, 4; + %assign/v0 V_$006D1C70[0], 0, 1; + %assign V_$006D1C70[4], 0, 0; + %assign V_$006D1C70[5], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D6008[0], 0, 1; + %assign V_$006D62B0[0], 0, 1; + %load/v 32, V_$006D6CA8[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006D5A20[0], 0, 32; + %assign V_$006D5FB0[0], 0, 1; + %jmp T_25.48; +T_25.46 ; + %load 32, V_$006D68B8[0]; + %jmp/0xz T_25.111, 32; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 0; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %load 32, V_$006D66D8[0]; + %load 33, V_$006D66D8[1]; + %load 34, V_$006D66D8[2]; + %load 35, V_$006D66D8[3]; + %load 36, V_$006D66D8[4]; + %load 37, V_$006D66D8[5]; + %load 38, V_$006D66D8[6]; + %load 39, V_$006D66D8[7]; + %ix/load 0, 8; + %assign/v0 V_$006D4D10[0], 0, 32; +T_25.111 ; + %jmp T_25.48; +T_25.47 ; + %assign V_$006D1C70[0], 0, 0; + %assign V_$006D1C70[1], 0, 1; + %assign V_$006D1C70[2], 0, 1; + %assign V_$006D1C70[3], 0, 0; + %assign V_$006D1C70[4], 0, 1; + %assign V_$006D1C70[5], 0, 0; + %assign V_$006D62B0[0], 0, 0; + %assign V_$006D5FB0[0], 0, 0; + %jmp T_25.48; +T_25.48 ; + %jmp T_25; + .thread T_25, $push; + .scope S_006D0E50; +T_26 ; + %wait E_003DE478; + %load 32, V_$006D66A0[0]; + %jmp/0xz T_26.0, 32; + %ix/load 0, 6; + %assign/v0 V_$006D1830[0], 0, 0; + %jmp T_26.1; +T_26.0 ; + %load/v 32, V_$006D1C70[0], 6; + %ix/load 0, 6; + %assign/v0 V_$006D1830[0], 0, 32; +T_26.1 ; + %jmp T_26; + .thread T_26; + .scope S_006D0E50; +T_27 ; + %wait E_003DE478; + %load 32, V_$006D66A0[0]; + %jmp/0xz T_27.0, 32; + %assign V_$006D6E38[0], 0, 0; + %assign V_$006D6C70[0], 0, 1; + %assign V_$006D6488[0], 0, 0; + %assign V_$006D6488[1], 0, 0; + %assign V_$006D75E8[0], 0, 0; + %assign V_$006D75E8[1], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D7208[0], 0, 0; + %assign V_$006D72A8[0], 0, 0; + %assign V_$006D6920[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D2970[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D2AB0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D2C18[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D2D78[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3138[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D2790[0], 0, 0; + %assign V_$006D6C38[0], 0, 0; + %assign V_$006D7488[0], 0, 0; + %assign V_$006D6B90[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D6958[0], 0, 0; + %ix/load 0, 9; + %assign/v0 V_$006D32B0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3278[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3310[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D6CA8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D3370[0], 0, 0; + %jmp T_27.1; +T_27.0 ; + %load/v 32, V_$006D5F20[0], 1; + %assign V_$006D6E38[0], 0, 32; + %load/v 32, V_$006D5948[0], 1; + %assign V_$006D6C70[0], 0, 32; + %load/v 32, V_$006D5DD8[0], 2; + %assign V_$006D6488[0], 0, 32; + %assign V_$006D6488[1], 0, 33; + %load/v 32, V_$006D63B0[0], 2; + %assign V_$006D75E8[0], 0, 32; + %assign V_$006D75E8[1], 0, 33; + %load/v 32, V_$006D6008[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D7208[0], 0, 32; + %load/v 32, V_$006D62B0[0], 1; + %assign V_$006D72A8[0], 0, 32; + %load/v 32, V_$006D5FB0[0], 1; + %assign V_$006D6920[0], 0, 32; + %load/v 32, V_$006D3890[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D2970[0], 0, 32; + %load/v 32, V_$006D3B18[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D2AB0[0], 0, 32; + %load/v 32, V_$006D40D8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D2C18[0], 0, 32; + %load/v 32, V_$006D43B8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D2D78[0], 0, 32; + %load/v 32, V_$006D4F90[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3138[0], 0, 32; + %load/v 32, V_$006D3580[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D2790[0], 0, 32; + %load/v 32, V_$006D5880[0], 1; + %assign V_$006D6C38[0], 0, 32; + %load/v 32, V_$006D6330[0], 1; + %assign V_$006D7488[0], 0, 32; + %load/v 32, V_$006D5800[0], 1; + %assign V_$006D6B90[0], 0, 32; + %load/v 32, V_$006D5520[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D6958[0], 0, 32; + %load/v 32, V_$006D5200[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006D32B0[0], 0, 32; + %load/v 32, V_$006D4750[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3278[0], 0, 32; + %load/v 32, V_$006D4A70[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3310[0], 0, 32; + %load/v 32, V_$006D5A20[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D6CA8[0], 0, 32; + %load/v 32, V_$006D4D10[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D3370[0], 0, 32; +T_27.1 ; + %jmp T_27; + .thread T_27; + .scope S_006CC9E8; +T_28 ; + %wait E_006CCC00; + %load 32, V_$006D13D0[0]; + %load 33, V_$006D1428[0]; + %or 32, 33, 1; + %assign V_$006D1398[0], 0, 32; + %jmp T_28; + .thread T_28, $push; + .scope S_006CC9E8; +T_29 ; + %wait E_003DE478; + %load 32, V_$006CDA58[0]; + %load 33, V_$006CDA58[1]; + %load 34, V_$006CDA58[2]; + %load 35, V_$006CDA58[3]; + %load 36, V_$006CDA58[4]; + %load 37, V_$006CDA58[5]; + %load 38, V_$006CDA58[6]; + %load 39, V_$006CDA58[7]; + %load 40, V_$006CDCF8[0]; + %load 41, V_$006CDCF8[1]; + %load 42, V_$006CDCF8[2]; + %load 43, V_$006CDCF8[3]; + %load 44, V_$006CDCF8[4]; + %load 45, V_$006CDCF8[5]; + %load 46, V_$006CDCF8[6]; + %load 47, V_$006CDCF8[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CD788[0], 0, 32; + %load 32, V_$006CE428[0]; + %load 33, V_$006CE428[1]; + %load 34, V_$006CE428[2]; + %load 35, V_$006CE428[3]; + %load 36, V_$006CE428[4]; + %load 37, V_$006CE428[5]; + %load 38, V_$006CE428[6]; + %load 39, V_$006CE428[7]; + %load 40, V_$006CE538[0]; + %load 41, V_$006CE538[1]; + %load 42, V_$006CE538[2]; + %load 43, V_$006CE538[3]; + %load 44, V_$006CE538[4]; + %load 45, V_$006CE538[5]; + %load 46, V_$006CE538[6]; + %load 47, V_$006CE538[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CE188[0], 0, 32; + %load 32, V_$006CE460[0]; + %load 33, V_$006CE460[1]; + %load 34, V_$006CE460[2]; + %load 35, V_$006CE460[3]; + %load 36, V_$006CE460[4]; + %load 37, V_$006CE460[5]; + %load 38, V_$006CE460[6]; + %load 39, V_$006CE460[7]; + %load 40, V_$006CECD8[0]; + %load 41, V_$006CECD8[1]; + %load 42, V_$006CECD8[2]; + %load 43, V_$006CECD8[3]; + %load 44, V_$006CECD8[4]; + %load 45, V_$006CECD8[5]; + %load 46, V_$006CECD8[6]; + %load 47, V_$006CECD8[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CE7B0[0], 0, 32; + %load 32, V_$006CF3F8[0]; + %load 33, V_$006CF3F8[1]; + %load 34, V_$006CF3F8[2]; + %load 35, V_$006CF3F8[3]; + %load 36, V_$006CF3F8[4]; + %load 37, V_$006CF3F8[5]; + %load 38, V_$006CF3F8[6]; + %load 39, V_$006CF3F8[7]; + %load 40, V_$006CFF80[0]; + %load 41, V_$006CFF80[1]; + %load 42, V_$006CFF80[2]; + %load 43, V_$006CFF80[3]; + %load 44, V_$006CFF80[4]; + %load 45, V_$006CFF80[5]; + %load 46, V_$006CFF80[6]; + %load 47, V_$006CFF80[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CF158[0], 0, 32; + %load 32, V_$006CFA18[0]; + %load 33, V_$006CFA18[1]; + %load 34, V_$006CFA18[2]; + %load 35, V_$006CFA18[3]; + %load 36, V_$006CFA18[4]; + %load 37, V_$006CFA18[5]; + %load 38, V_$006CFA18[6]; + %load 39, V_$006CFA18[7]; + %load 40, V_$006CFCB8[0]; + %load 41, V_$006CFCB8[1]; + %load 42, V_$006CFCB8[2]; + %load 43, V_$006CFCB8[3]; + %load 44, V_$006CFCB8[4]; + %load 45, V_$006CFCB8[5]; + %load 46, V_$006CFCB8[6]; + %load 47, V_$006CFCB8[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CF750[0], 0, 32; + %load 32, V_$006CD390[0]; + %load 33, V_$006CD390[1]; + %load 34, V_$006CD390[2]; + %load 35, V_$006CD390[3]; + %load 36, V_$006CD390[4]; + %load 37, V_$006CD390[5]; + %load 38, V_$006CD390[6]; + %load 39, V_$006CD390[7]; + %load 40, V_$006CDF58[0]; + %load 41, V_$006CDF58[1]; + %load 42, V_$006CDF58[2]; + %load 43, V_$006CDF58[3]; + %load 44, V_$006CDF58[4]; + %load 45, V_$006CDF58[5]; + %load 46, V_$006CDF58[6]; + %load 47, V_$006CDF58[7]; + %or 32, 40, 8; + %ix/load 0, 8; + %assign/v0 V_$006CD0D8[0], 0, 32; + %jmp T_29; + .thread T_29; + .scope S_006CC9E8; +T_30 ; + %wait E_006CCA58; + %load/v 32, V_$006CCC20[0], 5; + %ix/load 0, 5; + %assign/v0 V_$006CCD78[0], 0, 32; + %load/v 32, V_$006D17B8[0], 1; + %assign V_$006D0AC0[0], 0, 32; + %load/v 32, V_$006D1678[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %load/v 32, V_$006D14A8[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D0F08[0], 0, 32; + %load/v 32, V_$006D1308[0], 1; + %assign V_$006D04F0[0], 0, 32; + %load/v 32, V_$006D0B40[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D01A8[0], 0, 32; + %load/v 32, V_$006D1010[0], 1; + %assign V_$006D0488[0], 0, 32; + %load/v 32, V_$006D1360[0], 1; + %assign V_$006D0E18[0], 0, 32; + %load/v 32, V_$006CCC20[0], 5; + %cmpi/u 32, 17, 5; + %jmp/1 T_30.0, 6; + %cmpi/u 32, 18, 5; + %jmp/1 T_30.1, 6; + %cmpi/u 32, 0, 5; + %jmp/1 T_30.2, 6; + %cmpi/u 32, 1, 5; + %jmp/1 T_30.3, 6; + %cmpi/u 32, 2, 5; + %jmp/1 T_30.4, 6; + %cmpi/u 32, 3, 5; + %jmp/1 T_30.5, 6; + %cmpi/u 32, 4, 5; + %jmp/1 T_30.6, 6; + %cmpi/u 32, 5, 5; + %jmp/1 T_30.7, 6; + %cmpi/u 32, 6, 5; + %jmp/1 T_30.8, 6; + %cmpi/u 32, 7, 5; + %jmp/1 T_30.9, 6; + %cmpi/u 32, 8, 5; + %jmp/1 T_30.10, 6; + %cmpi/u 32, 9, 5; + %jmp/1 T_30.11, 6; + %cmpi/u 32, 10, 5; + %jmp/1 T_30.12, 6; + %cmpi/u 32, 11, 5; + %jmp/1 T_30.13, 6; + %cmpi/u 32, 12, 5; + %jmp/1 T_30.14, 6; + %cmpi/u 32, 13, 5; + %jmp/1 T_30.15, 6; + %cmpi/u 32, 14, 5; + %jmp/1 T_30.16, 6; + %cmpi/u 32, 15, 5; + %jmp/1 T_30.17, 6; + %cmpi/u 32, 16, 5; + %jmp/1 T_30.18, 6; + %cmpi/u 32, 19, 5; + %jmp/1 T_30.19, 6; + %jmp T_30.20; +T_30.0 ; + %assign V_$006D0E18[0], 0, 1; + %load/v 32, V_$006D1398[0], 1; + %jmp/0xz T_30.21, 32; + %ix/load 0, 4; + %assign/v0 V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %assign V_$006D0E18[0], 0, 0; + %assign V_$006D0488[0], 0, 0; +T_30.21 ; + %jmp T_30.20; +T_30.1 ; + %assign V_$006D0E18[0], 0, 0; + %assign V_$006D0AC0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 0; + %assign V_$006D04F0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D01A8[0], 0, 0; + %assign V_$006D0488[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D0F08[0], 0, 0; + %assign V_$006CCD78[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[4], 0, 1; + %jmp T_30.20; +T_30.2 ; + %assign V_$006D0AC0[0], 0, 0; + %assign V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[1], 0, 1; + %assign V_$006CCD78[2], 0, 0; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %jmp T_30.20; +T_30.3 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.23, 4; + %ix/load 0, 5; + %assign/v0 V_$006CCD78[0], 0, 0; + %load/v 32, V_$006CE7B0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.23 ; + %jmp T_30.20; +T_30.4 ; + %ix/load 0, 4; + %assign/v0 V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[4], 0, 1; + %jmp T_30.20; +T_30.5 ; + %assign V_$006D0AC0[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %jmp T_30.20; +T_30.6 ; + %assign V_$006D0AC0[0], 0, 0; + %assign V_$006CCD78[0], 0, 1; + %ix/load 0, 4; + %assign/v0 V_$006CCD78[1], 0, 0; + %jmp T_30.20; +T_30.7 ; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 1; + %assign V_$006CCD78[2], 0, 0; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 1; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 1; + %assign V_$006D0AC0[0], 0, 1; + %load/v 32, V_$006D14A8[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006D0F08[0], 0, 32; + %assign V_$006D04F0[0], 0, 1; + %jmp T_30.20; +T_30.8 ; + %load 32, V_$006D12B0[0]; + %jmp/0xz T_30.25, 32; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 0; + %load 32, V_$006D1150[0]; + %load 33, V_$006D1150[1]; + %load 34, V_$006D1150[2]; + %load 35, V_$006D1150[3]; + %load 36, V_$006D1150[4]; + %load 37, V_$006D1150[5]; + %load 38, V_$006D1150[6]; + %load 39, V_$006D1150[7]; + %ix/load 0, 8; + %assign/v0 V_$006D01A8[0], 0, 32; +T_30.25 ; + %jmp T_30.20; +T_30.9 ; + %load/v 32, V_$006D14A8[0], 10; + %cmpi/u 32, 512, 10; + %jmp/0xz T_30.27, 4; + %assign V_$006CCD78[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[4], 0, 1; + %assign V_$006D0488[0], 0, 1; + %jmp T_30.28; +T_30.27 ; + %load/v 32, V_$006D0B40[7], 1; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.29, 4; + %assign V_$006CCD78[0], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[4], 0, 1; + %jmp T_30.30; +T_30.29 ; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 0; +T_30.30 ; +T_30.28 ; + %jmp T_30.20; +T_30.10 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.31, 4; + %assign V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 0; + %load/v 32, V_$006CE188[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.31 ; + %jmp T_30.20; +T_30.11 ; + %assign V_$006D0AC0[0], 0, 0; + %assign V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %jmp T_30.20; +T_30.12 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.33, 4; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 0; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %load/v 32, V_$006CF158[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.33 ; + %jmp T_30.20; +T_30.13 ; + %assign V_$006D0AC0[0], 0, 0; + %assign V_$006CCD78[0], 0, 0; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[1], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %jmp T_30.20; +T_30.14 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.35, 4; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 1; + %assign V_$006CCD78[2], 0, 0; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %load/v 32, V_$006CF750[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.35 ; + %jmp T_30.20; +T_30.15 ; + %assign V_$006D0AC0[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D0F08[0], 0, 0; + %load 32, V_$006D15B8[0]; + %jmp/0xz T_30.37, 32; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 0; +T_30.37 ; + %jmp T_30.20; +T_30.16 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.39, 4; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 0; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 1; + %assign V_$006CCD78[4], 0, 0; + %load/v 32, V_$006CD0D8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.39 ; + %jmp T_30.20; +T_30.17 ; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.41, 4; + %assign V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[1], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[2], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 1; + %assign V_$006D0AC0[0], 0, 1; +T_30.41 ; + %jmp T_30.20; +T_30.18 ; + %assign V_$006D0AC0[0], 0, 0; + %load 32, V_$006D1610[0]; + %cmpi/u 32, 0, 1; + %jmp/0xz T_30.43, 4; + %assign V_$006CCD78[0], 0, 1; + %assign V_$006CCD78[1], 0, 1; + %ix/load 0, 3; + %assign/v0 V_$006CCD78[2], 0, 0; + %load/v 32, V_$006CD788[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D07C0[0], 0, 32; + %assign V_$006D0AC0[0], 0, 1; +T_30.43 ; + %jmp T_30.20; +T_30.19 ; + %assign V_$006CCD78[0], 0, 0; + %assign V_$006CCD78[1], 0, 1; + %assign V_$006CCD78[2], 0, 1; + %assign V_$006CCD78[3], 0, 0; + %assign V_$006CCD78[4], 0, 0; + %assign V_$006D0AC0[0], 0, 0; + %assign V_$006D04F0[0], 0, 0; + %jmp T_30.20; +T_30.20 ; + %jmp T_30; + .thread T_30, $push; + .scope S_006CC9E8; +T_31 ; + %wait E_003DE478; + %load 32, V_$006D1090[0]; + %jmp/0xz T_31.0, 32; + %assign V_$006CCC20[0], 0, 0; + %assign V_$006CCC20[1], 0, 1; + %assign V_$006CCC20[2], 0, 0; + %assign V_$006CCC20[3], 0, 0; + %assign V_$006CCC20[4], 0, 1; + %jmp T_31.1; +T_31.0 ; + %load/v 32, V_$006CCD78[0], 5; + %ix/load 0, 5; + %assign/v0 V_$006CCC20[0], 0, 32; +T_31.1 ; + %jmp T_31; + .thread T_31; + .scope S_006CC9E8; +T_32 ; + %wait E_003DE478; + %load 32, V_$006D1090[0]; + %jmp/0xz T_32.0, 32; + %assign V_$006D17B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D1678[0], 0, 0; + %assign V_$006D1308[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006D0B40[0], 0, 0; + %assign V_$006D1010[0], 0, 0; + %assign V_$006D1360[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006D14A8[0], 0, 0; + %jmp T_32.1; +T_32.0 ; + %load/v 32, V_$006D0AC0[0], 1; + %assign V_$006D17B8[0], 0, 32; + %load/v 32, V_$006D07C0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D1678[0], 0, 32; + %load/v 32, V_$006D04F0[0], 1; + %assign V_$006D1308[0], 0, 32; + %load/v 32, V_$006D01A8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006D0B40[0], 0, 32; + %load/v 32, V_$006D0488[0], 1; + %assign V_$006D1010[0], 0, 32; + %load/v 32, V_$006D0E18[0], 1; + %assign V_$006D1360[0], 0, 32; + %load/v 32, V_$006D0F08[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006D14A8[0], 0, 32; +T_32.1 ; + %jmp T_32; + .thread T_32; + .scope S_006CABC8; +T_33 ; + %wait E_003DE478; + %load 32, V_$006CBE80[0]; + %jmp/0xz T_33.0, 32; + %ix/load 0, 8; + %assign/v0 V_$006CC858[0], 0, 0; + %assign V_$006CC7A8[0], 0, 0; + %jmp T_33.1; +T_33.0 ; + %load 32, V_$006CBD38[0]; + %jmp/0xz T_33.2, 32; + %load 32, V_$006CBA78[0]; + %load 33, V_$006CBA78[1]; + %load 34, V_$006CBA78[2]; + %load 35, V_$006CBA78[3]; + %load 36, V_$006CBA78[4]; + %load 37, V_$006CBA78[5]; + %load 38, V_$006CBA78[6]; + %load 39, V_$006CBA78[7]; + %ix/load 0, 8; + %assign/v0 V_$006CC858[0], 0, 32; + %assign V_$006CC7A8[0], 0, 1; + %jmp T_33.3; +T_33.2 ; + %load 32, V_$006CBAB0[0]; + %jmp/0xz T_33.4, 32; + %load 32, V_$006CC038[0]; + %load 33, V_$006CC038[1]; + %load 34, V_$006CC038[2]; + %load 35, V_$006CC038[3]; + %load 36, V_$006CC038[4]; + %load 37, V_$006CC038[5]; + %load 38, V_$006CC038[6]; + %load 39, V_$006CC038[7]; + %ix/load 0, 8; + %assign/v0 V_$006CC858[0], 0, 32; + %assign V_$006CC7A8[0], 0, 1; + %jmp T_33.5; +T_33.4 ; + %load 32, V_$006CCE78[0]; + %jmp/0xz T_33.6, 32; + %load 32, V_$006CC2F8[0]; + %load 33, V_$006CC2F8[1]; + %load 34, V_$006CC2F8[2]; + %load 35, V_$006CC2F8[3]; + %load 36, V_$006CC2F8[4]; + %load 37, V_$006CC2F8[5]; + %load 38, V_$006CC2F8[6]; + %load 39, V_$006CC2F8[7]; + %ix/load 0, 8; + %assign/v0 V_$006CC858[0], 0, 32; + %assign V_$006CC7A8[0], 0, 1; + %jmp T_33.7; +T_33.6 ; + %load 32, V_$006CC720[0]; + %jmp/0xz T_33.8, 32; + %load 32, V_$006CCF00[0]; + %load 33, V_$006CCF00[1]; + %load 34, V_$006CCF00[2]; + %load 35, V_$006CCF00[3]; + %load 36, V_$006CCF00[4]; + %load 37, V_$006CCF00[5]; + %load 38, V_$006CCF00[6]; + %load 39, V_$006CCF00[7]; + %ix/load 0, 8; + %assign/v0 V_$006CC858[0], 0, 32; + %assign V_$006CC7A8[0], 0, 1; +T_33.8 ; +T_33.7 ; +T_33.5 ; +T_33.3 ; + %load 32, V_$006CC800[0]; + %jmp/0xz T_33.10, 32; + %assign V_$006CC7A8[0], 0, 0; +T_33.10 ; +T_33.1 ; + %jmp T_33; + .thread T_33; + .scope S_006CABC8; +T_34 ; + %wait E_003DE478; + %load 32, V_$006CBE80[0]; + %jmp/0xz T_34.0, 32; + %ix/load 0, 8; + %assign/v0 V_$006CB750[0], 0, 0; + %assign V_$006CB9B8[0], 0, 0; + %jmp T_34.1; +T_34.0 ; + %load 32, V_$006CBEB8[0]; + %load 33, V_$006CBF60[0]; + %or 32, 33, 1; + %load 33, V_$006CB4F8[0]; + %or 32, 33, 1; + %load 33, V_$006CB568[0]; + %or 32, 33, 1; + %jmp/0xz T_34.2, 32; + %assign V_$006CB9B8[0], 0, 0; +T_34.2 ; + %load 32, V_$006CBA20[0]; + %jmp/0xz T_34.4, 32; + %assign V_$006CB9B8[0], 0, 1; + %load 32, V_$006CB5F0[0]; + %load 33, V_$006CB5F0[1]; + %load 34, V_$006CB5F0[2]; + %load 35, V_$006CB5F0[3]; + %load 36, V_$006CB5F0[4]; + %load 37, V_$006CB5F0[5]; + %load 38, V_$006CB5F0[6]; + %load 39, V_$006CB5F0[7]; + %ix/load 0, 8; + %assign/v0 V_$006CB750[0], 0, 32; +T_34.4 ; +T_34.1 ; + %jmp T_34; + .thread T_34; + .scope S_006C9128; +T_35 ; + %wait E_006C8530; + %load/v 32, V_$006C9298[0], 2; + %assign V_$006C92D0[0], 0, 32; + %assign V_$006C92D0[1], 0, 33; + %load/v 32, V_$006CA688[0], 1; + %assign V_$006C9938[0], 0, 32; + %load/v 32, V_$006CAB30[0], 1; + %assign V_$006C99F8[0], 0, 32; + %load/v 32, V_$006CB278[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006CA2F0[0], 0, 32; + %load/v 32, V_$006CA718[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C99C0[0], 0, 32; + %load/v 32, V_$006C9BE0[0], 4; + %ix/load 0, 4; + %assign/v0 V_$006C9FA0[0], 0, 32; + %load/v 32, V_$006C9D00[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 32; + %load/v 32, V_$006CAC78[0], 1; + %assign V_$006CA268[0], 0, 32; + %load/v 32, V_$006CAA28[0], 1; + %assign V_$006CA118[0], 0, 32; + %load/v 32, V_$006CAAD8[0], 1; + %assign V_$006CA198[0], 0, 32; + %load/v 32, V_$006C90B8[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C9660[0], 0, 32; + %load/v 32, V_$006C9298[0], 2; + %cmpi/u 32, 0, 2; + %jmp/1 T_35.0, 6; + %cmpi/u 32, 1, 2; + %jmp/1 T_35.1, 6; + %cmpi/u 32, 2, 2; + %jmp/1 T_35.2, 6; + %cmpi/u 32, 3, 2; + %jmp/1 T_35.3, 6; + %jmp T_35.4; +T_35.0 ; + %assign V_$006C9938[0], 0, 0; + %assign V_$006C99F8[0], 0, 1; + %load 32, V_$006CAB90[0]; + %jmp/0xz T_35.5, 32; + %assign V_$006C92D0[0], 0, 1; + %assign V_$006C92D0[1], 0, 0; + %load 32, V_$006CAD08[0]; + %load 33, V_$006CAD08[1]; + %load 34, V_$006CAD08[2]; + %load 35, V_$006CAD08[3]; + %load 36, V_$006CAD08[4]; + %load 37, V_$006CAD08[5]; + %load 38, V_$006CAD08[6]; + %load 39, V_$006CAD08[7]; + %ix/load 0, 8; + %assign/v0 V_$006CA2F0[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006C99C0[0], 0, 0; + %ix/load 0, 4; + %assign/v0 V_$006C9FA0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 0; + %assign V_$006CA268[0], 0, 1; + %assign V_$006C99F8[0], 0, 0; +T_35.5 ; + %jmp T_35.4; +T_35.1 ; + %load/v 32, V_$006C9D00[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 32; + %assign V_$006CA268[0], 0, 0; + %assign V_$006C9938[0], 0, 0; + %load/v 32, V_$006C9D00[0], 8; + %load 40, V_$006C9CC8[0]; + %load 41, V_$006C9CC8[1]; + %load 42, V_$006C9CC8[2]; + %load 43, V_$006C9CC8[3]; + %load 44, V_$006C9CC8[4]; + %load 45, V_$006C9CC8[5]; + %load 46, V_$006C9CC8[6]; + %load 47, V_$006C9CC8[7]; + %cmp/u 32, 40, 8; + %mov 32, 4, 1; + %jmp/0xz T_35.7, 32; + %assign V_$006C92D0[0], 0, 0; + %assign V_$006C92D0[1], 0, 1; + %assign V_$006CA118[0], 0, 0; + %load/v 32, V_$006CB278[7], 1; + %assign V_$006CA198[0], 0, 32; + %mov 32, 0, 1; + %load/v 33, V_$006CB278[0], 7; + %ix/load 0, 8; + %assign/v0 V_$006CA2F0[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 0; +T_35.7 ; + %jmp T_35.4; +T_35.2 ; + %load/v 32, V_$006C9D00[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 32; + %load/v 32, V_$006C9BE0[0], 4; + %cmpi/u 32, 8, 4; + %mov 32, 4, 1; + %load 33, V_$006CAB90[0]; + %and 32, 33, 1; + %jmp/0xz T_35.9, 32; + %assign V_$006C92D0[0], 0, 1; + %assign V_$006C92D0[1], 0, 0; + %assign V_$006C9938[0], 0, 1; + %load/v 32, V_$006CA718[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C9660[0], 0, 32; + %load 32, V_$006CAD08[0]; + %load 33, V_$006CAD08[1]; + %load 34, V_$006CAD08[2]; + %load 35, V_$006CAD08[3]; + %load 36, V_$006CAD08[4]; + %load 37, V_$006CAD08[5]; + %load 38, V_$006CAD08[6]; + %load 39, V_$006CAD08[7]; + %ix/load 0, 8; + %assign/v0 V_$006CA2F0[0], 0, 32; + %ix/load 0, 4; + %assign/v0 V_$006C9FA0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 0; + %assign V_$006CA268[0], 0, 1; + %jmp T_35.10; +T_35.9 ; + %load/v 32, V_$006C9BE0[0], 4; + %cmpi/u 32, 8, 4; + %jmp/0xz T_35.11, 4; + %assign V_$006C92D0[0], 0, 0; + %assign V_$006C92D0[1], 0, 0; + %assign V_$006C9938[0], 0, 1; + %load/v 32, V_$006CA718[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C9660[0], 0, 32; + %jmp T_35.12; +T_35.11 ; + %load/v 32, V_$006C9D00[0], 8; + %load 40, V_$006C9CC8[0]; + %load 41, V_$006C9CC8[1]; + %load 42, V_$006C9CC8[2]; + %load 43, V_$006C9CC8[3]; + %load 44, V_$006C9CC8[4]; + %load 45, V_$006C9CC8[5]; + %load 46, V_$006C9CC8[6]; + %load 47, V_$006C9CC8[7]; + %cmp/u 32, 40, 8; + %mov 32, 4, 1; + %jmp/0xz T_35.13, 32; + %assign V_$006C92D0[0], 0, 1; + %assign V_$006C92D0[1], 0, 0; + %assign V_$006CA118[0], 0, 1; + %load/v 32, V_$006C9BE0[0], 4; + %addi 32, 1, 4; + %ix/load 0, 4; + %assign/v0 V_$006C9FA0[0], 0, 32; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 0; + %load 32, V_$006CAA80[0]; + %load/v 33, V_$006CA718[0], 7; + %ix/load 0, 8; + %assign/v0 V_$006C99C0[0], 0, 32; +T_35.13 ; +T_35.12 ; +T_35.10 ; + %jmp T_35.4; +T_35.3 ; + %ix/load 0, 4; + %assign/v0 V_$006C9FA0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C9440[0], 0, 0; + %assign V_$006CA268[0], 0, 0; + %assign V_$006C9938[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006CA2F0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C99C0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C9660[0], 0, 0; + %assign V_$006CA198[0], 0, 0; + %assign V_$006CA118[0], 0, 0; + %assign V_$006C99F8[0], 0, 0; + %assign V_$006C92D0[0], 0, 0; + %assign V_$006C92D0[1], 0, 0; + %jmp T_35.4; +T_35.4 ; + %jmp T_35; + .thread T_35, $push; + .scope S_006C9128; +T_36 ; + %wait E_003DE478; + %load 32, V_$006CAEC0[0]; + %jmp/0xz T_36.0, 32; + %assign V_$006C9298[0], 0, 1; + %assign V_$006C9298[1], 0, 1; + %jmp T_36.1; +T_36.0 ; + %load/v 32, V_$006C92D0[0], 2; + %assign V_$006C9298[0], 0, 32; + %assign V_$006C9298[1], 0, 33; +T_36.1 ; + %jmp T_36; + .thread T_36; + .scope S_006C9128; +T_37 ; + %wait E_003DE478; + %load 32, V_$006CAEC0[0]; + %jmp/0xz T_37.0, 32; + %assign V_$006CA688[0], 0, 0; + %assign V_$006CAB30[0], 0, 0; + %assign V_$006CAC78[0], 0, 0; + %assign V_$006CAA28[0], 0, 0; + %assign V_$006CAAD8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C90B8[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006CB278[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006CA718[0], 0, 0; + %ix/load 0, 4; + %assign/v0 V_$006C9BE0[0], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006C9D00[0], 0, 0; + %jmp T_37.1; +T_37.0 ; + %load/v 32, V_$006C9938[0], 1; + %assign V_$006CA688[0], 0, 32; + %load/v 32, V_$006C99F8[0], 1; + %assign V_$006CAB30[0], 0, 32; + %load/v 32, V_$006CA268[0], 1; + %assign V_$006CAC78[0], 0, 32; + %load/v 32, V_$006CA118[0], 1; + %assign V_$006CAA28[0], 0, 32; + %load/v 32, V_$006CA198[0], 1; + %assign V_$006CAAD8[0], 0, 32; + %load/v 32, V_$006C9660[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C90B8[0], 0, 32; + %load/v 32, V_$006CA2F0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006CB278[0], 0, 32; + %load/v 32, V_$006C99C0[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006CA718[0], 0, 32; + %load/v 32, V_$006C9FA0[0], 4; + %ix/load 0, 4; + %assign/v0 V_$006C9BE0[0], 0, 32; + %load/v 32, V_$006C9440[0], 8; + %ix/load 0, 8; + %assign/v0 V_$006C9D00[0], 0, 32; +T_37.1 ; + %jmp T_37; + .thread T_37; + .scope S_006C5C68; +T_38 ; + %wait E_003DE478; + %load 32, V_$006C6048[0]; + %load 33, V_$006C6048[1]; + %load 34, V_$006C6048[2]; + %load 35, V_$006C6048[3]; + %load 36, V_$006C6048[4]; + %load 37, V_$006C6048[5]; + %load 38, V_$006C6048[6]; + %load 39, V_$006C6048[7]; + %load 40, V_$006C6048[8]; + %ix/get 3, 32, 9; + %ix/mul 3, 8; + %load/m 32, M_$006C68E0; + %ix/add 3, 1; + %load/m 33, M_$006C68E0; + %ix/add 3, 1; + %load/m 34, M_$006C68E0; + %ix/add 3, 1; + %load/m 35, M_$006C68E0; + %ix/add 3, 1; + %load/m 36, M_$006C68E0; + %ix/add 3, 1; + %load/m 37, M_$006C68E0; + %ix/add 3, 1; + %load/m 38, M_$006C68E0; + %ix/add 3, 1; + %load/m 39, M_$006C68E0; + %ix/load 0, 8; + %assign/v0 V_$006C53E0[0], 0, 32; + %jmp T_38; + .thread T_38; + .scope S_006C5C68; +T_39 ; + %wait E_003DE0F8; + %load 32, V_$006C5300[0]; + %jmp/0xz T_39.0, 32; + %load 32, V_$006C6340[0]; + %load 33, V_$006C6340[1]; + %load 34, V_$006C6340[2]; + %load 35, V_$006C6340[3]; + %load 36, V_$006C6340[4]; + %load 37, V_$006C6340[5]; + %load 38, V_$006C6340[6]; + %load 39, V_$006C6340[7]; + %load 40, V_$006C5B38[0]; + %load 41, V_$006C5B38[1]; + %load 42, V_$006C5B38[2]; + %load 43, V_$006C5B38[3]; + %load 44, V_$006C5B38[4]; + %load 45, V_$006C5B38[5]; + %load 46, V_$006C5B38[6]; + %load 47, V_$006C5B38[7]; + %load 48, V_$006C5B38[8]; + %ix/get 3, 40, 9; + %ix/mul 3, 8; + %jmp/1 t_833, 4; + %assign/m M_$006C68E0, 0, 32; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 33; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 34; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 35; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 36; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 37; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 38; + %ix/add 3, 1; + %assign/m M_$006C68E0, 0, 39; +t_833 ; +T_39.0 ; + %jmp T_39; + .thread T_39; + .scope S_006C5A78; +T_40 ; + %wait E_003DE0F8; + %load/v 32, V_$006C73C8[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006C7F50[0], 0, 32; + %load 32, V_$006C8618[0]; + %load 33, V_$006C8210[0]; + %or 32, 33, 1; + %jmp/0xz T_40.0, 32; + %assign V_$006C8098[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006C5290[0], 0, 0; + %jmp T_40.1; +T_40.0 ; + %load 32, V_$006C81A0[0]; + %jmp/0xz T_40.2, 32; + %load/v 32, V_$006C5290[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006C5290[0], 0, 32; +T_40.2 ; + %load/v 32, V_$006C7F50[0], 9; + %load/v 41, V_$006C5290[0], 9; + %cmp/u 32, 41, 9; + %mov 32, 4, 1; + %load/v 33, V_$006C7F50[9], 1; + %load/v 34, V_$006C5290[9], 1; + %cmp/u 33, 34, 1; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_40.4, 32; + %assign V_$006C8098[0], 0, 1; + %jmp T_40.5; +T_40.4 ; + %assign V_$006C8098[0], 0, 0; +T_40.5 ; +T_40.1 ; + %jmp T_40; + .thread T_40; + .scope S_006C5A78; +T_41 ; + %wait E_003DDD60; + %load/v 32, V_$006C5258[0], 10; + %load/v 42, V_$006C73C8[0], 10; + %sub 32, 42, 10; + %ix/load 0, 10; + %assign/v0 V_$006C52C8[0], 0, 32; + %jmp T_41; + .thread T_41, $push; + .scope S_006C5A78; +T_42 ; + %wait E_003DE478; + %load/v 32, V_$006C52C8[0], 10; + %mov 42, 0, 8; + %ix/load 0, 16; + %assign/v0 V_$006C82B8[0], 0, 32; + %load/v 32, V_$006C5290[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006C5258[0], 0, 32; + %load 32, V_$006C85E0[0]; + %load 33, V_$006C81D8[0]; + %or 32, 33, 1; + %jmp/0xz T_42.0, 32; + %assign V_$006C7FF8[0], 0, 1; + %ix/load 0, 10; + %assign/v0 V_$006C73C8[0], 0, 0; + %assign V_$006C8030[0], 0, 0; + %jmp T_42.1; +T_42.0 ; + %load 32, V_$006C8118[0]; + %assign V_$006C8030[0], 0, 32; + %load/v 33, V_$006C8030[0], 1; + %cmpi/u 33, 0, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_42.2, 32; + %load 32, V_$006C7978[0]; + %load 33, V_$006C7978[1]; + %load 34, V_$006C7978[2]; + %load 35, V_$006C7978[3]; + %load 36, V_$006C7978[4]; + %load 37, V_$006C7978[5]; + %load 38, V_$006C7978[6]; + %load 39, V_$006C7978[7]; + %ix/load 0, 8; + %assign/v0 V_$006C7CF0[0], 0, 32; + %load/v 32, V_$006C73C8[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006C73C8[0], 0, 32; +T_42.2 ; + %load/v 32, V_$006C5258[0], 10; + %load/v 42, V_$006C73C8[0], 10; + %cmp/u 32, 42, 10; + %mov 32, 4, 1; + %jmp/0xz T_42.4, 32; + %assign V_$006C7FF8[0], 0, 1; + %jmp T_42.5; +T_42.4 ; + %assign V_$006C7FF8[0], 0, 0; +T_42.5 ; +T_42.1 ; + %jmp T_42; + .thread T_42; + .scope S_006C5A78; +T_43 ; + %wait E_005F17F0; + %load/v 32, V_$006C5290[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006C7270[0], 0, 32; + %load/v 32, V_$006C73C8[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006C77D8[0], 0, 32; + %jmp T_43; + .thread T_43, $push; + .scope S_006C5098; +T_44 ; + %wait E_003DE0F8; + %load 32, V_$006C5A08[0]; + %load 33, V_$006C5478[0]; + %and 32, 33, 1; + %load 33, V_$006C5060[0]; + %load 34, V_$006C5060[1]; + %load 35, V_$006C5060[2]; + %cmpi/u 33, 4, 3; + %mov 33, 4, 1; + %and 32, 33, 1; + %load 33, V_$006C4F40[0]; + %and 32, 33, 1; + %load 33, V_$006C51F8[0]; + %and 32, 33, 1; + %jmp/0xz T_44.0, 32; + %assign V_$006C54E8[0], 0, 1; + %jmp T_44.1; +T_44.0 ; + %assign V_$006C54E8[0], 0, 0; +T_44.1 ; + %jmp T_44; + .thread T_44; + .scope S_006C5098; +T_45 ; + %wait E_003DE0F8; + %load 32, V_$006C58F0[0]; + %jmp/0xz T_45.0, 32; + %ix/load 0, 6; + %assign/v0 V_$006C5548[0], 0, 0; + %jmp T_45.1; +T_45.0 ; + %load/v 32, V_$006C54E8[0], 1; + %jmp/0xz T_45.2, 32; + %ix/load 0, 6; + %assign/v0 V_$006C5548[0], 0, 1; + %jmp T_45.3; +T_45.2 ; + %load/v 32, V_$006C5548[1], 5; + %mov 37, 0, 1; + %ix/load 0, 6; + %assign/v0 V_$006C5548[0], 0, 32; +T_45.3 ; +T_45.1 ; + %jmp T_45; + .thread T_45; + .scope S_006C5098; +T_46 ; + %wait E_003DE478; + %load 32, V_$006C5580[0]; + %assign V_$006C55F0[0], 0, 32; + %load/v 32, V_$006C55F0[0], 1; + %assign V_$006C55B8[0], 0, 32; + %jmp T_46; + .thread T_46; + .scope S_006C5098; +T_47 ; + %wait E_006C45B0; + %load 32, V_$006C5060[0]; + %load 33, V_$006C5060[1]; + %load 34, V_$006C5060[2]; + %cmpi/u 32, 0, 3; + %mov 32, 4, 1; + %load 33, V_$006C5A08[0]; + %and 32, 33, 1; + %load 33, V_$006C4F40[0]; + %and 32, 33, 1; + %load 33, V_$006C5478[0]; + %and 32, 33, 1; + %jmp/0xz T_47.0, 32; + %assign V_$006C54B0[0], 0, 1; + %jmp T_47.1; +T_47.0 ; + %assign V_$006C54B0[0], 0, 0; +T_47.1 ; + %jmp T_47; + .thread T_47, $push; + .scope S_006C2228; +T_48 ; + %wait E_003DE0F8; + %load 32, V_$006AC4E0[0]; + %load 33, V_$006AC4E0[1]; + %load 34, V_$006AC4E0[2]; + %load 35, V_$006AC4E0[3]; + %load 36, V_$006AC4E0[4]; + %load 37, V_$006AC4E0[5]; + %load 38, V_$006AC4E0[6]; + %load 39, V_$006AC4E0[7]; + %load 40, V_$006AC4E0[8]; + %ix/get 3, 32, 9; + %ix/mul 3, 8; + %load/m 32, M_$005D1E00; + %ix/add 3, 1; + %load/m 33, M_$005D1E00; + %ix/add 3, 1; + %load/m 34, M_$005D1E00; + %ix/add 3, 1; + %load/m 35, M_$005D1E00; + %ix/add 3, 1; + %load/m 36, M_$005D1E00; + %ix/add 3, 1; + %load/m 37, M_$005D1E00; + %ix/add 3, 1; + %load/m 38, M_$005D1E00; + %ix/add 3, 1; + %load/m 39, M_$005D1E00; + %ix/load 0, 8; + %assign/v0 V_$00639738[0], 0, 32; + %jmp T_48; + .thread T_48; + .scope S_006C2228; +T_49 ; + %wait E_003DE478; + %load 32, V_$006C27D8[0]; + %jmp/0xz T_49.0, 32; + %load 32, V_$006AD2C8[0]; + %load 33, V_$006AD2C8[1]; + %load 34, V_$006AD2C8[2]; + %load 35, V_$006AD2C8[3]; + %load 36, V_$006AD2C8[4]; + %load 37, V_$006AD2C8[5]; + %load 38, V_$006AD2C8[6]; + %load 39, V_$006AD2C8[7]; + %load 40, V_$006AC480[0]; + %load 41, V_$006AC480[1]; + %load 42, V_$006AC480[2]; + %load 43, V_$006AC480[3]; + %load 44, V_$006AC480[4]; + %load 45, V_$006AC480[5]; + %load 46, V_$006AC480[6]; + %load 47, V_$006AC480[7]; + %load 48, V_$006AC480[8]; + %ix/get 3, 40, 9; + %ix/mul 3, 8; + %jmp/1 t_863, 4; + %assign/m M_$005D1E00, 0, 32; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 33; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 34; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 35; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 36; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 37; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 38; + %ix/add 3, 1; + %assign/m M_$005D1E00, 0, 39; +t_863 ; +T_49.0 ; + %jmp T_49; + .thread T_49; + .scope S_006C2110; +T_50 ; + %wait E_003DE478; + %load/v 32, V_$006C3600[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006C3D38[0], 0, 32; + %load 32, V_$006C46F0[0]; + %load 33, V_$006C4360[0]; + %or 32, 33, 1; + %jmp/0xz T_50.0, 32; + %assign V_$006C4260[0], 0, 0; + %ix/load 0, 10; + %assign/v0 V_$006C2B10[0], 0, 0; + %jmp T_50.1; +T_50.0 ; + %load 32, V_$006C42D0[0]; + %jmp/0xz T_50.2, 32; + %load/v 32, V_$006C2B10[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006C2B10[0], 0, 32; +T_50.2 ; + %load/v 32, V_$006C3D38[0], 9; + %load/v 41, V_$006C2B10[0], 9; + %cmp/u 32, 41, 9; + %mov 32, 4, 1; + %load/v 33, V_$006C3D38[9], 1; + %load/v 34, V_$006C2B10[9], 1; + %cmp/u 33, 34, 1; + %inv 4, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_50.4, 32; + %assign V_$006C4260[0], 0, 1; + %jmp T_50.5; +T_50.4 ; + %assign V_$006C4260[0], 0, 0; +T_50.5 ; +T_50.1 ; + %jmp T_50; + .thread T_50; + .scope S_006C2110; +T_51 ; + %wait E_005EE048; + %load/v 32, V_$006C3170[0], 10; + %load/v 42, V_$006C3600[0], 10; + %sub 32, 42, 10; + %ix/load 0, 10; + %assign/v0 V_$006C2810[0], 0, 32; + %jmp T_51; + .thread T_51, $push; + .scope S_006C2110; +T_52 ; + %wait E_003DE0F8; + %load/v 32, V_$006C2810[0], 10; + %mov 42, 0, 8; + %ix/load 0, 16; + %assign/v0 V_$006C4398[0], 0, 32; + %load/v 32, V_$006C2B10[0], 10; + %ix/load 0, 10; + %assign/v0 V_$006C3170[0], 0, 32; + %load 32, V_$006C4698[0]; + %load 33, V_$006C4308[0]; + %or 32, 33, 1; + %jmp/0xz T_52.0, 32; + %assign V_$006C41F0[0], 0, 1; + %ix/load 0, 10; + %assign/v0 V_$006C3600[0], 0, 0; + %assign V_$006C4228[0], 0, 0; + %jmp T_52.1; +T_52.0 ; + %load 32, V_$006C4298[0]; + %assign V_$006C4228[0], 0, 32; + %load/v 33, V_$006C4228[0], 1; + %cmpi/u 33, 0, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %jmp/0xz T_52.2, 32; + %load 32, V_$006C3898[0]; + %load 33, V_$006C3898[1]; + %load 34, V_$006C3898[2]; + %load 35, V_$006C3898[3]; + %load 36, V_$006C3898[4]; + %load 37, V_$006C3898[5]; + %load 38, V_$006C3898[6]; + %load 39, V_$006C3898[7]; + %ix/load 0, 8; + %assign/v0 V_$006C4090[0], 0, 32; + %load/v 32, V_$006C3600[0], 10; + %addi 32, 1, 10; + %ix/load 0, 10; + %assign/v0 V_$006C3600[0], 0, 32; +T_52.2 ; + %load/v 32, V_$006C3170[0], 10; + %load/v 42, V_$006C3600[0], 10; + %cmp/u 32, 42, 10; + %mov 32, 4, 1; + %jmp/0xz T_52.4, 32; + %assign V_$006C41F0[0], 0, 1; + %jmp T_52.5; +T_52.4 ; + %assign V_$006C41F0[0], 0, 0; +T_52.5 ; +T_52.1 ; + %jmp T_52; + .thread T_52; + .scope S_006C2110; +T_53 ; + %wait E_005EEF18; + %load/v 32, V_$006C2B10[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006C3508[0], 0, 32; + %load/v 32, V_$006C3600[0], 9; + %ix/load 0, 9; + %assign/v0 V_$006C3740[0], 0, 32; + %jmp T_53; + .thread T_53, $push; + .scope S_006C1658; +T_54 ; + %wait E_003DE0F8; + %load 32, V_$006685B0[0]; + %load 33, V_$006A7BA8[0]; + %and 32, 33, 1; + %load 33, V_$006A4EE8[0]; + %load 34, V_$006A4EE8[1]; + %load 35, V_$006A4EE8[2]; + %cmpi/u 33, 4, 3; + %mov 33, 4, 1; + %and 32, 33, 1; + %load 33, V_$006AA8A8[0]; + %and 32, 33, 1; + %load 33, V_$0065A890[0]; + %and 32, 33, 1; + %jmp/0xz T_54.0, 32; + %assign V_$006A7DD8[0], 0, 1; + %jmp T_54.1; +T_54.0 ; + %assign V_$006A7DD8[0], 0, 0; +T_54.1 ; + %jmp T_54; + .thread T_54; + .scope S_006C1658; +T_55 ; + %wait E_003DE0F8; + %load 32, V_$0065D6E8[0]; + %jmp/0xz T_55.0, 32; + %ix/load 0, 6; + %assign/v0 V_$00666B38[0], 0, 0; + %jmp T_55.1; +T_55.0 ; + %load/v 32, V_$006A7DD8[0], 1; + %jmp/0xz T_55.2, 32; + %ix/load 0, 6; + %assign/v0 V_$00666B38[0], 0, 1; + %jmp T_55.3; +T_55.2 ; + %load/v 32, V_$00666B38[1], 5; + %mov 37, 0, 1; + %ix/load 0, 6; + %assign/v0 V_$00666B38[0], 0, 32; +T_55.3 ; +T_55.1 ; + %jmp T_55; + .thread T_55; + .scope S_006C1658; +T_56 ; + %wait E_003DE478; + %load 32, V_$006A8D90[0]; + %assign V_$006A8850[0], 0, 32; + %load/v 32, V_$006A8850[0], 1; + %assign V_$006A9EA0[0], 0, 32; + %jmp T_56; + .thread T_56; + .scope S_006C1658; +T_57 ; + %wait E_003DDC30; + %load 32, V_$006A4EE8[0]; + %load 33, V_$006A4EE8[1]; + %load 34, V_$006A4EE8[2]; + %cmpi/u 32, 0, 3; + %jmp/1 T_57.0, 6; + %cmpi/u 32, 2, 3; + %jmp/1 T_57.1, 6; + %cmpi/u 32, 3, 3; + %jmp/1 T_57.2, 6; + %ix/load 0, 8; + %assign/v0 V_$006A5290[0], 0, 0; + %jmp T_57.4; +T_57.0 ; + %load 32, V_$00660078[0]; + %load 33, V_$00660078[1]; + %load 34, V_$00660078[2]; + %load 35, V_$00660078[3]; + %load 36, V_$00660078[4]; + %load 37, V_$00660078[5]; + %load 38, V_$00660078[6]; + %load 39, V_$00660078[7]; + %ix/load 0, 8; + %assign/v0 V_$006A5290[0], 0, 32; + %jmp T_57.4; +T_57.1 ; + %load 32, V_$00666678[8]; + %load 33, V_$00666678[9]; + %load 34, V_$00666678[10]; + %load 35, V_$00666678[11]; + %load 36, V_$00666678[12]; + %load 37, V_$00666678[13]; + %load 38, V_$00666678[14]; + %load 39, V_$00666678[15]; + %ix/load 0, 8; + %assign/v0 V_$006A5290[0], 0, 32; + %jmp T_57.4; +T_57.2 ; + %load 32, V_$00666678[0]; + %load 33, V_$00666678[1]; + %load 34, V_$00666678[2]; + %load 35, V_$00666678[3]; + %load 36, V_$00666678[4]; + %load 37, V_$00666678[5]; + %load 38, V_$00666678[6]; + %load 39, V_$00666678[7]; + %ix/load 0, 8; + %assign/v0 V_$006A5290[0], 0, 32; + %jmp T_57.4; +T_57.4 ; + %jmp T_57; + .thread T_57, $push; + .scope S_006C1658; +T_58 ; + %wait E_003DDB00; + %load 32, V_$006A4EE8[0]; + %load 33, V_$006A4EE8[1]; + %load 34, V_$006A4EE8[2]; + %cmpi/u 32, 0, 3; + %mov 32, 4, 1; + %load 33, V_$006685B0[0]; + %cmpi/u 33, 0, 1; + %mov 33, 4, 1; + %and 32, 33, 1; + %load 33, V_$006AA8A8[0]; + %and 32, 33, 1; + %load 33, V_$006A7BA8[0]; + %and 32, 33, 1; + %jmp/0xz T_58.0, 32; + %assign V_$006A76F0[0], 0, 1; + %jmp T_58.1; +T_58.0 ; + %assign V_$006A76F0[0], 0, 0; +T_58.1 ; + %jmp T_58; + .thread T_58, $push; + .scope S_00624D88; +T_59 ; + %set/v V_$0068AE60[0], 2, 8; + %set/v V_$0069AA18[0], 2, 8; + %set V_$0069A858[0], 0; + %set V_$006607B0[0], 2; + %set V_$006A5C98[0], 2; + %set V_$006A4238[0], 2; + %delay 1000; + %end; + .thread T_59; + .scope S_00633880; +T_60 ; + %set/v V_$006B08C8[0], 0, 2; + %end; + .thread T_60; + .scope S_00633880; +T_61 ; + %load/v 32, V_$006B08C8[0], 2; + %cmpi/u 32, 0, 2; + %jmp/1 T_61.0, 6; + %cmpi/u 32, 1, 2; + %jmp/1 T_61.1, 6; + %cmpi/u 32, 2, 2; + %jmp/1 T_61.2, 6; + %jmp T_61.3; +T_61.0 ; + %set/v V_$006B01E8[0], 1, 8; + %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8; + %join; + %load/v 32, V_$006B00C0[0], 8; + %set/v V_$006B0718[0], 32, 8; + %load/v 32, V_$006B0718[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_61.4, 4; + %assign V_$006B08C8[0], 0, 1; + %assign V_$006B08C8[1], 0, 0; + %ix/load 0, 8; + %assign/v0 V_$006B0438[0], 0, 0; +T_61.4 ; + %jmp T_61.3; +T_61.1 ; + %set/v V_$006B01E8[0], 1, 8; + %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8; + %join; + %load/v 32, V_$006B00C0[0], 8; + %set/v V_$006B0718[0], 32, 8; + %load/v 32, V_$006B0718[0], 8; + %cmpi/u 32, 255, 8; + %jmp/0xz T_61.6, 4; + %load/v 32, V_$006B0438[0], 8; + %addi 32, 1, 8; + %ix/load 0, 8; + %assign/v0 V_$006B0438[0], 0, 32; + %load/v 32, V_$006B0438[0], 8; + %cmpi/u 32, 10, 8; + %jmp/0xz T_61.8, 4; + %load/v 32, V_$006B0578[0], 8; + %set/v V_$006B01E8[0], 32, 8; + %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8; + %join; + %load/v 32, V_$006B00C0[0], 8; + %set/v V_$006B0718[0], 32, 8; + %assign V_$006B08C8[0], 0, 0; + %assign V_$006B08C8[1], 0, 1; +T_61.8 ; + %jmp T_61.7; +T_61.6 ; + %assign V_$006B08C8[0], 0, 0; + %assign V_$006B08C8[1], 0, 0; +T_61.7 ; + %jmp T_61.3; +T_61.2 ; + %set/v V_$006B01E8[0], 1, 8; + %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8; + %join; + %load/v 32, V_$006B00C0[0], 8; + %set/v V_$006B0718[0], 32, 8; + %load/v 32, V_$006B0718[0], 8; + %cmpi/u 32, 255, 8; + %inv 4, 1; + %jmp/0xz T_61.10, 4; + %assign V_$006B08C8[0], 0, 0; + %assign V_$006B08C8[1], 0, 0; +T_61.10 ; + %jmp T_61.3; +T_61.3 ; + %jmp T_61; + .thread T_61; + .scope S_006338F0; +T_62 ; + %vpi_call "$dumpfile", "wave.vcd"; + %vpi_call "$dumpvars", 1'sb0, S_006C1500; + %end; + .thread T_62; + .scope S_006338F0; +T_63 ; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %wait E_003DE0F8; + %assign V_$006E0BD0[0], 0, 1; + %wait E_003DE0F8; + %assign V_$006E0BD0[0], 0, 0; + %wait E_003DE0F8; + %end; + .thread T_63; + .scope S_006338F0; +T_64 ; + %delay 20000; + %assign V_$006DF4B8[0], 0, 0; + %delay 20000; + %assign V_$006DF4B8[0], 0, 1; + %jmp T_64; + .thread T_64; + .scope S_006338F0; +T_65 ; + %delay 10000; + %assign V_$006E3508[0], 0, 0; + %delay 10000; + %assign V_$006E3508[0], 0, 1; + %jmp T_65; + .thread T_65; diff --git a/usrp2/fpga/opencores/sd_interface/sim/wave.do b/usrp2/fpga/opencores/sd_interface/sim/wave.do new file mode 100644 index 00000000..0cc94b31 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/sim/wave.do @@ -0,0 +1,133 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider ctrlStsRegBI +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/dataIn +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/address +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/writeEn +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/strobe_i +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/busClk +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiSysClk +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/dataOut +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/ctrlStsRegSel +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransType +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatus +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessTxData +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessRxData +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstFromWire +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToBusClkOut +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToSpiClkOut +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDWriteError +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDReadError +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDInitError +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDAddr +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiClkDelay +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/clk +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstShift +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstFromBus +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessTxDataSTB +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessRxDataSTB +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransTypeSTB +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrlSTB +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusSTB +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToSpiClkFirst +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrlShift +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusReg1 +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusReg2 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDWriteErrorSTB +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDReadErrorSTB +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDInitErrorSTB +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg1 +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg2 +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg3 +add wave -noupdate -divider spiTxRxData +add wave -noupdate -divider readWriteSPIWireData +add wave -noupdate -divider spiCtrl +add wave -noupdate -divider initSD +add wave -noupdate -divider sendCmd +add wave -noupdate -divider sdModel +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiClk +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiDataIn +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiDataOut +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiCS_n +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/rxByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/respByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/smSt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/cnt +add wave -noupdate -divider txFifo +add wave -noupdate -divider txFifoBI +add wave -noupdate -divider readWriteSDBlock +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/blockAddr +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/clk +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readWriteSDBlockReq +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/respByte +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/respTout +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rst +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataIn +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataRdy +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/sendCmdRdy +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataEmpty +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataFull +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txFifoData +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/checkSumByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/cmdByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte1 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte2 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte3 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte4 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readError +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readWriteSDBlockRdy +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataRdyClr +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxFifoData +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxFifoWen +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/sendCmdReq +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/spiCS_n +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataOut +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataWen +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txFifoRen +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/writeError +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_checkSumByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_cmdByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte1 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte2 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte3 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte4 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_readError +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_readWriteSDBlockRdy +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxDataRdyClr +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxFifoData +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxFifoWen +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_sendCmdReq +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_spiCS_n +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txDataOut +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txDataWen +add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txFifoRen +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_writeError +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/delCnt1 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_delCnt1 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/delCnt2 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_delCnt2 +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/locRespByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_locRespByte +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/loopCnt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_loopCnt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/timeOutCnt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_timeOutCnt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/CurrState_rwBlkSt +add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/NextState_rwBlkSt +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {717201000 ps} 0} {{Cursor 2} {102093853 ps} 0} +configure wave -namecolwidth 456 +configure wave -valuecolwidth 73 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +update +WaveRestoreZoom {0 ps} {115986988 ps} diff --git a/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf new file mode 100644 index 00000000..f60b6c83 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf @@ -0,0 +1,23 @@ +# Copyright (C) 1991-2007 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + + + +QUARTUS_VERSION = "7.2" +DATE = "14:03:18 February 21, 2008" + + +# Revisions + +PROJECT_REVISION = "spiMaster" diff --git a/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf new file mode 100644 index 00000000..3a5f8880 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf @@ -0,0 +1,57 @@ +# Copyright (C) 1991-2007 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + + +# The default values for assignments are stored in the file +# spiMaster_assignment_defaults.qdf +# If this file doesn't exist, and for assignments not listed, see file +# assignment_defaults.qdf + +# Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20Q240C8 +set_global_assignment -name TOP_LEVEL_ENTITY spiMaster +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.2 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:03:18 FEBRUARY 21, 2008" +set_global_assignment -name LAST_QUARTUS_VERSION 7.2 +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace +set_global_assignment -name DEVICE_FILTER_PACKAGE PQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240 +set_global_assignment -name VERILOG_FILE ../rtl/wishBoneBus_h.v +set_global_assignment -name VERILOG_FILE ../rtl/ctrlStsRegBI.v +set_global_assignment -name VERILOG_FILE ../rtl/dpMem_dc.v +set_global_assignment -name VERILOG_FILE ../rtl/fifoRTL.v +set_global_assignment -name VERILOG_FILE ../rtl/initSD.v +set_global_assignment -name VERILOG_FILE ../rtl/readWriteSDBlock.v +set_global_assignment -name VERILOG_FILE ../rtl/readWriteSPIWireData.v +set_global_assignment -name VERILOG_FILE ../rtl/RxFifo.v +set_global_assignment -name VERILOG_FILE ../rtl/RxFifoBI.v +set_global_assignment -name VERILOG_FILE ../rtl/sendCmd.v +set_global_assignment -name VERILOG_FILE ../rtl/spiCtrl.v +set_global_assignment -name VERILOG_FILE ../rtl/spiMaster.v +set_global_assignment -name VERILOG_FILE ../rtl/spiMaster_h.v +set_global_assignment -name VERILOG_FILE ../rtl/spiTxRxData.v +set_global_assignment -name VERILOG_FILE ../rtl/timescale.v +set_global_assignment -name VERILOG_FILE ../rtl/TxFifo.v +set_global_assignment -name VERILOG_FILE ../rtl/TxFifoBI.v +set_global_assignment -name VERILOG_FILE ../rtl/wishBoneBI.v +set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top +set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file diff --git a/usrp2/fpga/opencores/simple_gpio/CVS/Entries b/usrp2/fpga/opencores/simple_gpio/CVS/Entries new file mode 100644 index 00000000..df1462bb --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/CVS/Entries @@ -0,0 +1 @@ +D/rtl//// diff --git a/usrp2/fpga/opencores/simple_gpio/CVS/Repository b/usrp2/fpga/opencores/simple_gpio/CVS/Repository new file mode 100644 index 00000000..b869a0de --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/CVS/Repository @@ -0,0 +1 @@ +simple_gpio diff --git a/usrp2/fpga/opencores/simple_gpio/CVS/Root b/usrp2/fpga/opencores/simple_gpio/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/simple_gpio/CVS/Template b/usrp2/fpga/opencores/simple_gpio/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries new file mode 100644 index 00000000..8c625813 --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries @@ -0,0 +1,2 @@ +/simple_gpio.v/1.2/Sun Dec 22 16:10:17 2002// +D diff --git a/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository new file mode 100644 index 00000000..955303d8 --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository @@ -0,0 +1 @@ +simple_gpio/rtl diff --git a/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Template b/usrp2/fpga/opencores/simple_gpio/rtl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v b/usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v new file mode 100644 index 00000000..0b78f992 --- /dev/null +++ b/usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v @@ -0,0 +1,193 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// OpenCores Simple General Purpose IO core //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: simple_gpio.v,v 1.2 2002/12/22 16:10:17 rherveille Exp $ +// +// $Date: 2002/12/22 16:10:17 $ +// $Revision: 1.2 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: simple_gpio.v,v $ +// Revision 1.2 2002/12/22 16:10:17 rherveille +// Fixed some typos in the documentation section. +// +// + + + +// +// Very basic 8bit GPIO core +// +// +// Registers: +// +// 0x00: Control Register +// bits 7:0 R/W Input/Output '1' = output mode +// '0' = input mode +// 0x01: Line Register +// bits 7:0 R Status Current GPIO pin level +// W Output GPIO pin output level +// +// +// HOWTO: +// +// Use a pin as an input: +// Program the corresponding bit in the control register to 'input mode' ('0'). +// The pin's state (input level) can be checked by reading the Line Register. +// Writing to the GPIO pin's Line Register bit while in input mode has no effect. +// +// Use a pin as an output: +// Program the corresponding bit in the control register to 'output mode' ('1'). +// Program the GPIO pin's output level by writing to the corresponding bit in +// the Line Register. +// Reading the GPIO pin's Line Register bit while in output mode returns the +// current output level. +// +// Addapt the core for fewer GPIOs: +// If less than 8 GPIOs are required, than the 'io' parameter can be set to +// the amount of required interrupts. GPIOs are mapped starting at the LSBs. +// So only the 'io' LSBs per register are valid. +// All other bits (i.e. the 8-'io' MSBs) are set to zero '0'. +// Codesize is approximately linear to the amount of interrupts. I.e. using +// 4 instead of 8 GPIO sources reduces the size by approx. half. +// + + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +module simple_gpio( + clk_i, rst_i, cyc_i, stb_i, adr_i, we_i, dat_i, dat_o, ack_o, + gpio +); + + // + // Inputs & outputs + // + parameter io = 8; // number of GPIOs + + // 8bit WISHBONE bus slave interface + input clk_i; // clock + input rst_i; // reset (asynchronous active low) + input cyc_i; // cycle + input stb_i; // strobe + input adr_i; // address adr_i[1] + input we_i; // write enable + input [ 7:0] dat_i; // data output + output [ 7:0] dat_o; // data input + output ack_o; // normal bus termination + + // GPIO pins + inout [io:1] gpio; + + // + // Module body + // + reg [io:1] ctrl, line; // ControlRegister, LineRegister + reg [io:1] lgpio, llgpio; // LatchedGPIO pins + + // + // perform parameter checks + // + // synopsys translate_off + initial + begin + if(io > 8) + $display("simple_gpio: max. 8 GPIOs supported."); + end + // synopsys translate_on + + // + // WISHBONE interface + + wire wb_acc = cyc_i & stb_i; // WISHBONE access + wire wb_wr = wb_acc & we_i; // WISHBONE write access + + always @(posedge clk_i or negedge rst_i) + if (~rst_i) + begin + ctrl <= #1 {io{1'b0}}; + line <= #1 {io{1'b0}}; + end + else if (wb_wr) + if ( adr_i ) + line <= #1 dat_i[io-1:0]; + else + ctrl <= #1 dat_i[io-1:0]; + + + reg [7:0] dat_o; + always @(posedge clk_i) + if ( adr_i ) + dat_o <= #1 { {(8-io){1'b0}}, llgpio}; + else + dat_o <= #1 { {(8-io){1'b0}}, ctrl}; + + reg ack_o; + always @(posedge clk_i or negedge rst_i) + if (~rst_i) + ack_o <= #1 1'b0; + else + ack_o <= #1 wb_acc & !ack_o; + + + // + // GPIO section + + // latch GPIO input pins + always @(posedge clk_i) + lgpio <= #1 gpio; + + // latch again (reduce meta-stability risc) + always @(posedge clk_i) + llgpio <= #1 lgpio; + + // assign GPIO outputs + integer n; + reg [io:1] igpio; // temporary internal signal + + always @(ctrl or line) + for(n=1;n<=io;n=n+1) + igpio[n] <= ctrl[n] ? line[n] : 1'bz; + + assign gpio = igpio; + +endmodule + diff --git a/usrp2/fpga/opencores/simple_pic/CVS/Entries b/usrp2/fpga/opencores/simple_pic/CVS/Entries new file mode 100644 index 00000000..df1462bb --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/CVS/Entries @@ -0,0 +1 @@ +D/rtl//// diff --git a/usrp2/fpga/opencores/simple_pic/CVS/Repository b/usrp2/fpga/opencores/simple_pic/CVS/Repository new file mode 100644 index 00000000..73de5bf2 --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/CVS/Repository @@ -0,0 +1 @@ +simple_pic diff --git a/usrp2/fpga/opencores/simple_pic/CVS/Root b/usrp2/fpga/opencores/simple_pic/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/simple_pic/CVS/Template b/usrp2/fpga/opencores/simple_pic/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries new file mode 100644 index 00000000..e5e64109 --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries @@ -0,0 +1,2 @@ +/simple_pic.v/1.3/Tue Dec 24 10:26:51 2002// +D diff --git a/usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository new file mode 100644 index 00000000..2639a29e --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository @@ -0,0 +1 @@ +simple_pic/rtl diff --git a/usrp2/fpga/opencores/simple_pic/rtl/CVS/Root b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/simple_pic/rtl/CVS/Template b/usrp2/fpga/opencores/simple_pic/rtl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v b/usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v new file mode 100644 index 00000000..28184cbe --- /dev/null +++ b/usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v @@ -0,0 +1,228 @@ + +// Modified by M. Ettus, 2008 for 32 bit width + +///////////////////////////////////////////////////////////////////// +//// //// +//// OpenCores Simple Programmable Interrupt Controller //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// +// +// This is a simple Programmable Interrupt Controller. +// The number of interrupts is depending on the databus size. +// There's one interrupt input per databit (i.e. 16 interrupts for a 16 +// bit databus). +// All attached devices share the same CPU priority level. +// +// +// +// Registers: +// +// 0x00: EdgeEnable Register +// bits 7:0 R/W Edge Enable '1' = edge triggered interrupt source +// '0' = level triggered interrupt source +// 0x01: PolarityRegister +// bits 7:0 R/W Polarity '1' = high level / rising edge +// '0' = low level / falling edge +// 0x02: MaskRegister +// bits 7:0 R/W Mask '1' = interrupt masked (disabled) +// '0' = interrupt not masked (enabled) +// 0x03: PendingRegister +// bits 7:0 R/W Pending '1' = interrupt pending +// '0' = no interrupt pending +// +// A CPU interrupt is generated when an interrupt is pending and its +// MASK bit is cleared. +// +// +// +// HOWTO: +// +// Clearing pending interrupts: +// Writing a '1' to a bit in the interrupt pending register clears the +// interrupt. Make sure to clear the interrupt at the source before +// writing to the interrupt pending register. Otherwise the interrupt +// will be set again. +// +// Priority based interrupts: +// Upon reception of an interrupt, check the interrupt register and +// determine the highest priority interrupt. Mask all interrupts from the +// current level to the lowest level. This negates the interrupt line, and +// makes sure only interrupts with a higher level are triggered. After +// completion of the interrupt service routine, clear the interrupt source, +// the interrupt bit in the pending register, and restore the MASK register +// to it's previous state. +// +// Addapt the core for fewer interrupt sources: +// If less than 8 interrupt sources are required, than the 'is' parameter +// can be set to the amount of required interrupts. Interrupts are mapped +// starting at the LSBs. So only the 'is' LSBs per register are valid. All +// other bits (i.e. the 8-'is' MSBs) are set to zero '0'. +// Codesize is approximately linear to the amount of interrupts. I.e. using +// 4 instead of 8 interrupt sources reduces the size by approx. half. +// + + +module simple_pic( + clk_i, rst_i, cyc_i, stb_i, adr_i, we_i, dat_i, dat_o, ack_o, int_o, + irq +); + parameter is = 8; // Number of interrupt sources + parameter dwidth = 32; + // + // Inputs & outputs + // + + // 8bit WISHBONE bus slave interface + input clk_i; // clock + input rst_i; // reset (asynchronous active low) + input cyc_i; // cycle + input stb_i; // strobe (cycle and strobe are the same signal) + input [ 2:1] adr_i; // address + input we_i; // write enable + input [ dwidth-1:0] dat_i; // data output + output [ dwidth-1:0] dat_o; // data input + output ack_o; // normal bus termination + + output int_o; // interrupt output + + // + // Interrupt sources + // + input [is:1] irq; // interrupt request inputs + + + // + // Module body + // + reg [is:1] pol, edgen, pending, mask; // register bank + reg [is:1] lirq, dirq; // latched irqs, delayed latched irqs + + + // + // perform parameter checks + // + // synopsys translate_off + initial + begin + if(is > dwidth) + $display("simple_pic: max number of interrupts = data bus width."); + end + // synopsys translate_on + + // + // latch interrupt inputs + always @(posedge clk_i) + lirq <= #1 irq; + + // + // generate delayed latched irqs + always @(posedge clk_i) + dirq <= #1 lirq; + + + // + // generate actual triggers + function trigger; + input edgen, pol, lirq, dirq; + + reg edge_irq, level_irq; + begin + edge_irq = pol ? (lirq & ~dirq) : (dirq & ~lirq); + level_irq = pol ? lirq : ~lirq; + + trigger = edgen ? edge_irq : level_irq; + end + endfunction + + reg [is:1] irq_event; + integer n; + always @(posedge clk_i) + for(n=1; n<=is; n=n+1) + irq_event[n] <= #1 trigger(edgen[n], pol[n], lirq[n], dirq[n]); + + // + // generate wishbone register bank writes + wire wb_acc = cyc_i & stb_i; // WISHBONE access + wire wb_wr = wb_acc & we_i; // WISHBONE write access + + always @(posedge clk_i) + if (rst_i) + begin + pol <= #1 {is{1'b0}}; // clear polarity register + edgen <= #1 {is{1'b0}}; // clear edge enable register + mask <= #1 {is{1'b1}}; // mask all interrupts + end + else if(wb_wr) // wishbone write cycle?? + case (adr_i) // synopsys full_case parallel_case + 2'b00: edgen <= #1 dat_i[is-1:0]; // EDGE-ENABLE register + 2'b01: pol <= #1 dat_i[is-1:0]; // POLARITY register + 2'b10: mask <= #1 dat_i[is-1:0]; // MASK register + 2'b11: ; // PENDING register is a special case (see below) + endcase + + + // pending register is a special case + always @(posedge clk_i) + if (rst_i) + pending <= #1 {is{1'b0}}; // clear all pending interrupts + else if ( wb_wr & (&adr_i) ) + pending <= #1 (pending & ~dat_i[is-1:0]) | irq_event; + else + pending <= #1 pending | irq_event; + + // + // generate dat_o + reg [dwidth-1:0] dat_o; + always @(posedge clk_i) + case (adr_i) // synopsys full_case parallel_case + 2'b00: dat_o <= #1 { {(dwidth-is){1'b0}}, edgen}; + 2'b01: dat_o <= #1 { {(dwidth-is){1'b0}}, pol}; + 2'b10: dat_o <= #1 { {(dwidth-is){1'b0}}, mask}; + 2'b11: dat_o <= #1 { {(dwidth-is){1'b0}}, pending}; + endcase + + // + // generate ack_o + reg ack_o; + always @(posedge clk_i) + ack_o <= #1 wb_acc & !ack_o; + + // + // generate CPU interrupt signal + reg int_o; + always @(posedge clk_i) + if(rst_i) + int_o <= #1 0; + else + int_o <= #1 |(pending & ~mask); + +endmodule + diff --git a/usrp2/fpga/opencores/spi/CVS/Entries b/usrp2/fpga/opencores/spi/CVS/Entries new file mode 100644 index 00000000..62011c46 --- /dev/null +++ b/usrp2/fpga/opencores/spi/CVS/Entries @@ -0,0 +1,4 @@ +D/bench//// +D/doc//// +D/rtl//// +D/sim//// diff --git a/usrp2/fpga/opencores/spi/CVS/Repository b/usrp2/fpga/opencores/spi/CVS/Repository new file mode 100644 index 00000000..c928c4b7 --- /dev/null +++ b/usrp2/fpga/opencores/spi/CVS/Repository @@ -0,0 +1 @@ +spi diff --git a/usrp2/fpga/opencores/spi/CVS/Root b/usrp2/fpga/opencores/spi/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/CVS/Template b/usrp2/fpga/opencores/spi/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/bench/CVS/Entries b/usrp2/fpga/opencores/spi/bench/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/spi/bench/CVS/Repository b/usrp2/fpga/opencores/spi/bench/CVS/Repository new file mode 100644 index 00000000..f45728d0 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/CVS/Repository @@ -0,0 +1 @@ +spi/bench diff --git a/usrp2/fpga/opencores/spi/bench/CVS/Root b/usrp2/fpga/opencores/spi/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/bench/CVS/Template b/usrp2/fpga/opencores/spi/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries new file mode 100644 index 00000000..68404f87 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries @@ -0,0 +1,4 @@ +/spi_slave_model.v/1.2/Wed Mar 26 16:00:03 2003// +/tb_spi_top.v/1.6/Mon Mar 15 17:46:04 2004// +/wb_master_model.v/1.1.1.1/Wed Jun 12 15:45:23 2002// +D diff --git a/usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository new file mode 100644 index 00000000..78a3c4a9 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository @@ -0,0 +1 @@ +spi/bench/verilog diff --git a/usrp2/fpga/opencores/spi/bench/verilog/CVS/Root b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/bench/verilog/CVS/Template b/usrp2/fpga/opencores/spi/bench/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v b/usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v new file mode 100644 index 00000000..dfdaed92 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v @@ -0,0 +1,73 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spi_slave_model.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "timescale.v" + +module spi_slave_model (rst, ss, sclk, mosi, miso); + + input rst; // reset + input ss; // slave select + input sclk; // serial clock + input mosi; // master out slave in + output miso; // master in slave out + + reg miso; + + reg rx_negedge; // slave receiving on negedge + reg tx_negedge; // slave transmiting on negedge + reg [31:0] data; // data register + + parameter Tp = 1; + + always @(posedge(sclk && !rx_negedge) or negedge(sclk && rx_negedge) or rst) + begin + if (rst) + data <= #Tp 32'b0; + else if (!ss) + data <= #Tp {data[30:0], mosi}; + end + + always @(posedge(sclk && !tx_negedge) or negedge(sclk && tx_negedge)) + begin + miso <= #Tp data[31]; + end + +endmodule + diff --git a/usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v b/usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v new file mode 100644 index 00000000..529c0aca --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v @@ -0,0 +1,339 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// tb_spi_top.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// Based on: //// +//// - i2c/bench/verilog/tst_bench_top.v //// +//// Copyright (C) 2001 Richard Herveille //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "timescale.v" + +module tb_spi_top(); + + reg clk; + reg rst; + wire [31:0] adr; + wire [31:0] dat_i, dat_o; + wire we; + wire [3:0] sel; + wire stb; + wire cyc; + wire ack; + wire err; + wire int; + + wire [7:0] ss; + wire sclk; + wire mosi; + wire miso; + + reg [31:0] q; + reg [31:0] q1; + reg [31:0] q2; + reg [31:0] q3; + reg [31:0] result; + + parameter SPI_RX_0 = 5'h0; + parameter SPI_RX_1 = 5'h4; + parameter SPI_RX_2 = 5'h8; + parameter SPI_RX_3 = 5'hc; + parameter SPI_TX_0 = 5'h0; + parameter SPI_TX_1 = 5'h4; + parameter SPI_TX_2 = 5'h8; + parameter SPI_TX_3 = 5'hc; + parameter SPI_CTRL = 5'h10; + parameter SPI_DIVIDE = 5'h14; + parameter SPI_SS = 5'h18; + + // Generate clock + always #5 clk = ~clk; + + // Wishbone master model + wb_master_model #(32, 32) i_wb_master ( + .clk(clk), .rst(rst), + .adr(adr), .din(dat_i), .dout(dat_o), + .cyc(cyc), .stb(stb), .we(we), .sel(sel), .ack(ack), .err(err), .rty(1'b0) + ); + + // SPI master core + spi_top i_spi_top ( + .wb_clk_i(clk), .wb_rst_i(rst), + .wb_adr_i(adr[4:0]), .wb_dat_i(dat_o), .wb_dat_o(dat_i), + .wb_sel_i(sel), .wb_we_i(we), .wb_stb_i(stb), + .wb_cyc_i(cyc), .wb_ack_o(ack), .wb_err_o(err), .wb_int_o(int), + .ss_pad_o(ss), .sclk_pad_o(sclk), .mosi_pad_o(mosi), .miso_pad_i(miso) + ); + + // SPI slave model + spi_slave_model i_spi_slave ( + .rst(rst), .ss(ss[0]), .sclk(sclk), .mosi(mosi), .miso(miso) + ); + + initial + begin + $display("\nstatus: %t Testbench started\n\n", $time); + + $dumpfile("bench.vcd"); + $dumpvars(1, tb_spi_top); + $dumpvars(1, tb_spi_top.i_spi_slave); + + // Initial values + clk = 0; + + i_spi_slave.rx_negedge = 1'b0; + i_spi_slave.tx_negedge = 1'b0; + + result = 32'h0; + + // Reset system + rst = 1'b0; // negate reset + #2; + rst = 1'b1; // assert reset + repeat(20) @(posedge clk); + rst = 1'b0; // negate reset + + $display("status: %t done reset", $time); + + @(posedge clk); + + // Program core + i_wb_master.wb_write(0, SPI_DIVIDE, 32'h00); // set devider register + i_wb_master.wb_write(0, SPI_TX_0, 32'h5a); // set tx register to 0x5a + i_wb_master.wb_write(0, SPI_CTRL, 32'h208); // set 8 bit transfer + i_wb_master.wb_write(0, SPI_SS, 32'h01); // set ss 0 + + $display("status: %t programmed registers", $time); + + i_wb_master.wb_cmp(0, SPI_DIVIDE, 32'h00); // verify devider register + i_wb_master.wb_cmp(0, SPI_TX_0, 32'h5a); // verify tx register + i_wb_master.wb_cmp(0, SPI_CTRL, 32'h208); // verify tx register + i_wb_master.wb_cmp(0, SPI_SS, 32'h01); // verify ss register + + $display("status: %t verified registers", $time); + + i_spi_slave.rx_negedge = 1'b1; + i_spi_slave.tx_negedge = 1'b0; + i_spi_slave.data[31:0] = 32'ha5967e5a; + i_wb_master.wb_write(0, SPI_CTRL, 32'h308); // set 8 bit transfer, start transfer + + $display("status: %t generate transfer: 8 bit, msb first, tx posedge, rx negedge", $time); + + // Check bsy bit + i_wb_master.wb_read(0, SPI_CTRL, q); + while (q[8]) + i_wb_master.wb_read(1, SPI_CTRL, q); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + if (i_spi_slave.data[7:0] == 8'h5a && q == 32'h000000a5) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b0; + i_spi_slave.tx_negedge = 1'b1; + i_wb_master.wb_write(0, SPI_TX_0, 32'ha5); + i_wb_master.wb_write(0, SPI_CTRL, 32'h408); // set 8 bit transfer, tx negedge + i_wb_master.wb_write(0, SPI_CTRL, 32'h508); // set 8 bit transfer, tx negedge, start transfer + + $display("status: %t generate transfer: 8 bit, msb first, tx negedge, rx posedge", $time); + + // Check bsy bit + i_wb_master.wb_read(0, SPI_CTRL, q); + while (q[8]) + i_wb_master.wb_read(1, SPI_CTRL, q); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + if (i_spi_slave.data[7:0] == 8'ha5 && q == 32'h00000096) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b0; + i_spi_slave.tx_negedge = 1'b1; + i_wb_master.wb_write(0, SPI_TX_0, 32'h5aa5); + i_wb_master.wb_write(0, SPI_CTRL, 32'hc10); // set 16 bit transfer, tx negedge, lsb + i_wb_master.wb_write(0, SPI_CTRL, 32'hd10); // set 16 bit transfer, tx negedge, start transfer + + $display("status: %t generate transfer: 16 bit, lsb first, tx negedge, rx posedge", $time); + + // Check bsy bit + i_wb_master.wb_read(0, SPI_CTRL, q); + while (q[8]) + i_wb_master.wb_read(1, SPI_CTRL, q); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + if (i_spi_slave.data[15:0] == 16'ha55a && q == 32'h00005a7e) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b1; + i_spi_slave.tx_negedge = 1'b0; + i_wb_master.wb_write(0, SPI_TX_0, 32'h76543210); + i_wb_master.wb_write(0, SPI_TX_1, 32'hfedcba98); + i_wb_master.wb_write(0, SPI_CTRL, 32'h1a40); // set 64 bit transfer, rx negedge, lsb + i_wb_master.wb_write(0, SPI_CTRL, 32'h1b40); // set 64 bit transfer, rx negedge, start transfer + + $display("status: %t generate transfer: 64 bit, lsb first, tx posedge, rx negedge", $time); + + // Check bsy bit + i_wb_master.wb_read(0, SPI_CTRL, q); + while (q[8]) + i_wb_master.wb_read(1, SPI_CTRL, q); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + i_wb_master.wb_read(1, SPI_RX_1, q1); + result = result + q1; + + if (i_spi_slave.data == 32'h195d3b7f && q == 32'h5aa5a55a && q1 == 32'h76543210) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b0; + i_spi_slave.tx_negedge = 1'b1; + i_wb_master.wb_write(0, SPI_TX_0, 32'hccddeeff); + i_wb_master.wb_write(0, SPI_TX_1, 32'h8899aabb); + i_wb_master.wb_write(0, SPI_TX_2, 32'h44556677); + i_wb_master.wb_write(0, SPI_TX_3, 32'h00112233); + i_wb_master.wb_write(0, SPI_CTRL, 32'h400); + i_wb_master.wb_write(0, SPI_CTRL, 32'h500); + + $display("status: %t generate transfer: 128 bit, msb first, tx posedge, rx negedge", $time); + + // Check bsy bit + i_wb_master.wb_read(0, SPI_CTRL, q); + while (q[8]) + i_wb_master.wb_read(1, SPI_CTRL, q); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + i_wb_master.wb_read(1, SPI_RX_1, q1); + result = result + q1; + i_wb_master.wb_read(1, SPI_RX_2, q2); + result = result + q2; + i_wb_master.wb_read(1, SPI_RX_3, q3); + result = result + q3; + + if (i_spi_slave.data == 32'hccddeeff && q == 32'h8899aabb && q1 == 32'h44556677 && q2 == 32'h00112233 && q3 == 32'h195d3b7f) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b0; + i_spi_slave.tx_negedge = 1'b1; + i_wb_master.wb_write(0, SPI_TX_0, 32'haa55a5a5); + i_wb_master.wb_write(0, SPI_CTRL, 32'h1420); + i_wb_master.wb_write(0, SPI_CTRL, 32'h1520); + + $display("status: %t generate transfer: 32 bit, msb first, tx negedge, rx posedge, ie", $time); + + // Check interrupt signal + while (!int) + @(posedge clk); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + @(posedge clk); + if (!int && i_spi_slave.data == 32'haa55a5a5 && q == 32'hccddeeff) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b1; + i_spi_slave.tx_negedge = 1'b0; + i_wb_master.wb_write(0, SPI_TX_0, 32'h01248421); + i_wb_master.wb_write(0, SPI_CTRL, 32'h3220); + i_wb_master.wb_write(0, SPI_CTRL, 32'h3320); + + $display("status: %t generate transfer: 32 bit, msb first, tx posedge, rx negedge, ie, ass", $time); + + while (!int) + @(posedge clk); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + @(posedge clk); + if (!int && i_spi_slave.data == 32'h01248421 && q == 32'haa55a5a5) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + i_spi_slave.rx_negedge = 1'b1; + i_spi_slave.tx_negedge = 1'b0; + i_wb_master.wb_write(0, SPI_TX_0, 32'h1); + i_wb_master.wb_write(0, SPI_CTRL, 32'h3201); + i_wb_master.wb_write(0, SPI_CTRL, 32'h3301); + + $display("status: %t generate transfer: 1 bit, msb first, tx posedge, rx negedge, ie, ass", $time); + + while (!int) + @(posedge clk); + + i_wb_master.wb_read(1, SPI_RX_0, q); + result = result + q; + + @(posedge clk); + if (!int && i_spi_slave.data == 32'h02490843 && q == 32'h0) + $display("status: %t transfer completed: ok", $time); + else + $display("status: %t transfer completed: nok", $time); + + $display("\n\nstatus: %t Testbench done", $time); + + #25000; // wait 25us + + $display("report (%h)", (result ^ 32'h2e8b36ab) + 32'hdeaddead); + $display("exit (%h)", result ^ 32'h2e8b36ab); + + $stop; + end + +endmodule + + diff --git a/usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v b/usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v new file mode 100644 index 00000000..3f8b7ee6 --- /dev/null +++ b/usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v @@ -0,0 +1,176 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// wb_master_model.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// Based on: //// +//// - i2c/bench/verilog/wb_master_model.v //// +//// Copyright (C) 2001 Richard Herveille //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "timescale.v" + +module wb_master_model(clk, rst, adr, din, dout, cyc, stb, we, sel, ack, err, rty); + + parameter dwidth = 32; + parameter awidth = 32; + + input clk, rst; + output [awidth -1:0] adr; + input [dwidth -1:0] din; + output [dwidth -1:0] dout; + output cyc, stb; + output we; + output [dwidth/8 -1:0] sel; + input ack, err, rty; + + // Internal signals + reg [awidth -1:0] adr; + reg [dwidth -1:0] dout; + reg cyc, stb; + reg we; + reg [dwidth/8 -1:0] sel; + + reg [dwidth -1:0] q; + + // Memory Logic + initial + begin + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + cyc = 1'b0; + stb = 1'bx; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + #1; + end + + // Wishbone write cycle + task wb_write; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signal + #1; + adr = a; + dout = d; + cyc = 1'b1; + stb = 1'b1; + we = 1'b1; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + + end + endtask + + // Wishbone read cycle + task wb_read; + input delay; + integer delay; + + input [awidth -1:0] a; + output [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signals + #1; + adr = a; + dout = {dwidth{1'bx}}; + cyc = 1'b1; + stb = 1'b1; + we = 1'b0; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + d = din; + + end + endtask + + // Wishbone compare cycle (read data from location and compare with expected data) + task wb_cmp; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d_exp; + + begin + wb_read (delay, a, q); + + if (d_exp !== q) + $display("Data compare error. Received %h, expected %h at time %t", q, d_exp, $time); + end + endtask + +endmodule + diff --git a/usrp2/fpga/opencores/spi/doc/CVS/Entries b/usrp2/fpga/opencores/spi/doc/CVS/Entries new file mode 100644 index 00000000..ff33fa59 --- /dev/null +++ b/usrp2/fpga/opencores/spi/doc/CVS/Entries @@ -0,0 +1,2 @@ +/spi.pdf/1.5/Mon Mar 15 17:46:05 2004/-kb/ +D/src//// diff --git a/usrp2/fpga/opencores/spi/doc/CVS/Repository b/usrp2/fpga/opencores/spi/doc/CVS/Repository new file mode 100644 index 00000000..772adcef --- /dev/null +++ b/usrp2/fpga/opencores/spi/doc/CVS/Repository @@ -0,0 +1 @@ +spi/doc diff --git a/usrp2/fpga/opencores/spi/doc/CVS/Root b/usrp2/fpga/opencores/spi/doc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/doc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/doc/CVS/Template b/usrp2/fpga/opencores/spi/doc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/doc/spi.pdf b/usrp2/fpga/opencores/spi/doc/spi.pdf new file mode 100644 index 0000000000000000000000000000000000000000..d88ee280719d098022a22ac4f5a9844124761be4 GIT binary patch literal 78741 zcmdqJ1ymhNvo;(oxVu|$-MG63x8UyXZb1UU-CcsayGw8h?h+sb4;l#YZOHNW{P#Wo z_gz`*E?9f^%=C0sS5;R({dCVJlNS-A1JJX;lWlEJe1eAo5HS+j8d$*d@DRZ>D7)Jk z6EVo^n;JU;&xCEAZJdYz%)qZw=0=W0nnWzX!iq$Ip9iL&2WIByi!476tW3{mY){oH z60tM>Ja9fAI5?gU@SHzS0gOM-0RZ;r>)J%{e0)Uk#x_Pz`aIS17rlh_o%F42O@ZqC z)bOVacm_d32U{^)2Wz0Jzh06tcG5Qj7AFEQu@M1)n*6cqCry8^+T6*?n21uw99W5? zt%(zns;z?&5gn1EojJXctsxcA3=YQnPPPt2l&a=7OhD@qnK?PxaWOEsy1LRE>Dw6F zIp~`^m>bg@+FAok3pzWQJr#B|x3;x${Pl5jTN@F5C!ib=E+$4s7Di?OD}V#Q%mmN? zmXLR_HF7pI20jF=-OAj6h?$;|nMmtN9y5~`6|jVit<{VFXYxGEz|ev3XB! z`Mr6+dGy(A4#rO&eKt2dgQBsct+Rt6(APj8Ke-Di$k@nSU&z)C=<6rfu>qKf*g2Vq zfUW^XgN+mL>8CJYeJ=gei+}do)16;rGe{fTm^zseu{{@N5Hq)O0ydFB%nE1+5o1GJ zBV(c`cRM-(&1(%0`RDypY6plRi6g9up^h^9aiG9+9jp?_LdJ3~&mHQd#YH|h^ z#)eKr3`))hPS2K-v<3!05re9^5m0p|7B(UV31f3pv!`yrNW>sy?&K(M>>zAwZD(uq z)09t!v9fhgveP#NJ||-AVs2-+C;w^=4bT(*#<{LIq)xDz=2jh z`s(!X3FK-l=Qp=#SeDn%J$>k0fX#51XZ~wrpQ?Il88hc^jeYXYuZ{i16M&z+@mIzE z16M@EF3ScoA_bk?p*elcXc1wz_c2bFol2eeMoJ{mNj%zONJXMaugkhjAO|D*&8xP>Xi9gj zUN+0tTtCfuG}HY91~G6YVKpMwVy%41{@2t=$?i{ji*Y6<0mD;PWO(j6LPQM8#%@l}8RJ=P;JCsd#z^#R z4n^Qs05By36;^!i{0zT#z^77@j6^>Nf~PL=hmqiUxcQ4Ep9TP+;pFxIKN1?#PZ|F- zp#gqoG{A2ujX}`H#`ZbaG5t)9e@=7H<^S5d{__$YhL{P=F1en9FxdNS5NYfeLsUrC ze-n1UrZ`sC-=psTca8%#<+l_E%y$2h;W(aC|6enlqBzje|1QA+{w~AaJ!UC(bxjJy z8W_y<&LSxzDhT9zl!e+C4}QQ>=LIaCX$Fg9jDZ``zwxwh#^{8#cZVV7SW5*v35M|`^U?Ii>Tk^QH4)n!9Qa9McA^cby>Qo9H5m7&u3MY^KCTR2^2W~YsP ziTi%;!PVj+h1{=|vu_Ycp@vH4ccXa?I|V~J1W2tA(~v_G{PZYx9Dw^N+ZbXj|qY=YSgsVq?T_Yr>tZl z#{ppxy|{vM;78HfdLd~pqp+gXtD+f+>;?jCakjCd_Uo{Tlb{_{1wW|rx~gg{an#W` zG~dSu?;3!4<}!;M+mSaR7!T$9YK0V~H`-_?qw9Aojq8H8&Ku2UuZ8T$6%+*s_~zG< zGL`3E`caHlto9t<>TR`5%4S8fK~A^gv%8lWU+fPd4c9rCl4`#hrX=Qn6&fHskFG1ImesVg?p{*f( z=Zn4wHpVKd&5>GsF}1d<+>dh_4e>~D<{T7C9iAy=$2i>cxa4s=W39L3*07|w#0WM_ zKj2U$Besa|bF7t`u83&)14E>E_!cU3Wk{UjY4KzGjT??*`@v7L>?{WjVoeTSwRa=y zU#Jg#M}rW0-{j`+4Ws2>eiQntlf72ko2yfrY0(sRj!!uBz0<03nA93(n9o2drIKH%*XTm4 zLX}Vv2L~xG{bpj+4k{2jZxBHCss_lI3)G9)sP>G$wDAn zA2#8UL^!3=BnJ&AuA0$~G{51pRt^R0-rtJawQ7^8&Y)X*O$$q=i}f z!gu0nNK`>5#HI()!yva_uuO(#x~oS5Dh&tAo>hD0PGh6iITzXeu3Fg?^%RPC+HRES`6@1X8rz^ScH$rG) z<=c!9?qU~$Ks&l_wJTTZ(JtISGORXBwfC-=#Ylz!`2M}4msNCUx3ui z@=IS;!Cev?6}cuZ;_EzsZVT^B=;Z!rzdwU-!#du9)-=YiOfEAGR@6jM~ zec58O3G1DYehMLMzdZB$b^cKXeEVJ}C){^6Yb&pBGUggkT&zCsAV`5^`^sS&AlcPb zFQ9s2FKh>#d$t51$v{e(@3)pL;yP(D8ug(XDZ%N=Edd!TUGfuE+0!br zK-^WyaLg$Ip)GBJ!@Lq$xhrpey=@m_cg`sXIwDUf6y#x=vbw24SG!1CVsVC|a&(l{ zM$f%gd{DEU(eld|_*QyuHcoqOm$t8c&WmS04=pp{nDaX+=MktOMMU((C^7EWz8@+h zC}~qY+#5y5aN1LR9`slZy!q8Isl`G8I9&$eFIfol@YTYnIOq5M!Oj6(dtBO8dYocO zn>_>^j*W`B7#b}VCgOsv+OROD9BcHZ$1lVAJNI60oO8eJE0stO9Q7CO28}3ct9?PZ zqaK?IJY|MGl|&M_F3u!_9&y;7w8Hf4mWH?*8%c*;CmVj0qjidzo7-D z|00a4Xlt!+^Ct}VjK_Y%aDR&&h5s>fWDsK_dRG1KKqL@f{w<1p#&N%C@N@e24D$X6 z$feC~EP>~WPjf;|A^;;NJrG+lGt;vJ1u`7m;2GlzDG@zk*PrzX z0jYzhIj$0LBKY*LNyH5N)BXu{f4TW{Vh#B1-t*KNc=m)$7@w;Ye6A5#hl--)&kxE! zFb=kM#=zNvgRvvMt%E7mU!d|cCG(d?{yV7r2hQZ5%>9{uLS?4Efy&H3LHD1b@^krL zRQh%13*=;;W&pne$p2s>`foXbe+HGG1wJY92dE6}2fxjme(~S0vytCtXn&#u{tKZp z(|;ROewOrPia$W*XJ`J!M?kvcANr_XW5@=V6RG`x#?1+V)KNDwbfp?sK3~Lw0wkOh zJP5_@Z67v8@{99JC7COsh6aleRMIMln99&f9rUon2hSd~oYUUA$IBaQ2Wsv!OI%rwghXXsa;Mk$wF8Jh^6tCRKlDkwF0n=3D@cs_x-OYqovc;vW7Rp4rQ5)- ztKv(h7C!B)M{p^^FBs7ty?-4RxOEl%w_(4Yck zaXd(T@q7XCRFxh&ld7rvX2RFEKl%H_E*&6`JOvsQJ%;Lz}}?J`${_e@LYRcjBz zUzBIDMkn5?1oP|7LWWpsbK~PvxEZ{88DB`@H1kOwK%WlZ&E!`A!Yiy}_L+$Nb=X|@ zDY2a`eC2&>>Rta4?qGBDebZj=Hd@$e2O)te#L?hA7l*98$({Xj?{@`%C?-%0!jwGB!#in+O>}p*cCZAHsf8AguWil~Tz=!R2Mn z_$~wzr0LR4dR`k02m=b}l}k`jiis58(9mrL&0m`|m(7)?-MOn&BvRrL=O5TH^)FJV zU@OATdZuV54OpNDKpGj@B^)IU(@Sa-H+`er32oCXuZFe^+%GU0ry3$Vsd9{~fb;s! z&uPm-ktYX>v=q|)!eB;QXL4ai#E$fiRVBa-(1BQI4p{h9a zxw4^g4#NUGic7=1)QQH+cD4Q>k3|q3aRzvsu`IxmJ}4P_1&hq?c@=Z)7ODiqE1~Rt zHH;~8Cr~6VFifzQwsu@`lhu8A#xp4nlhgGJDKli{GDU`9`;qdCZz}_+dk^_y&H2pm zfS{w<&y9qg1d^3WaA=Y9bvM`PN=6>){Ws<#e#M%=Fh}k|E>@3ZyN8baM1h*qy2SUi zo^oNhk?w^D!QetmoSB3>bQNAj0a(R{(wfLH`H7KMCfx5iqmT)R(#bQgGiyX{aBEya*g{@ zQ0um<5t;}d*DbEA>5w&*0vv*vf3)-xw3reEUUf@!+-AIIpBJQ1yzA`ttB`9-mB=bt zevcw&;Os`^BFqw}!o02zrL6#@w&)=1!^;{r9W{!uxD-ZtjxZ8FZC95iL;|9SdHGqj%NWa%s>a9 zhb-I+^6hsQwi>jr+r1_M%J^1apshJo#37D~NAkGHX8247{hH$Iwp)6&5{qyB6l$=` z*3b{IZ&Pqx?UZc|+z6GdkCGLpN}@mZ7*wmCXjMD(zYNbzuo$p`yY!njSO zEcQecY36pU0E*P0NO8_PZF`@qO#6IHj>01*L$;4OuAqi42ndtlOxUM_Lc8(Dt#~_W zXujYcFFTG8HF9Vn#^15N5LXY**mp*dgghAk&J`Rbk9ZujN`}l79`wdThd(E|GSPN; z`40K6-Ka|sHuhc=Mn(oQ^G+1z<=$DJeLW&xuyMWg54fF}sl3_hBJvZ8N07sWslb0l zv(IDG(|847V`ljc%{~K}Uq-R#MU{VLC;u(R{11R7@Yde~%V*T{o7n%Q!18&K?=Ow~ zcfgY6*L9|Uwx|U3#e0D^_Wd$V{azsV-LUNOFnf@YN$sVx@lI_` zP0jLS+jNJ*sd(@=!%Mr%2a4q%Rjs!SH|MKkvv-}z1C&XrX{|K%^6G_ZZ%=}Bon%Ww zWLen}RXG`AZEPN#XL--&OAk8v8Y{E`Sqi zp{9C!LIlc>jy-gA-t35{c*Q|Su<=2r!y;)=x{`10?d|m=^tA~A7+mh|>=Aalv-RN+ zHDr5feF8hLm6muRw~K_@jq>E;zyw7#Kc7n7eNcd%LRvHT9?-=m+NfHG$b`<^V|Tg?C) z;=OZS%VNA`dPd~<#>7J;NI_nqE02NDQJ)#4QQiAuOp5Mi8PMVRSHZg<9W@2qdg3Z%LXCaQnUpJgOW!_?Wt59U9M zlnUEI704+>SKb8dvy3t>JrryC$oM!|!*Uvres1ZdJiz42@VP9>nq};O$%wZ@D3Fj8 z{WLLr$*d}=|0<9htdqJwbDd*ls35JGsaJ`plH>@_(+3?(dP;Auy>9BILabg&`wGj) zx=%6t^#%HUxp`Q2829+;a&Yc#pDfwFoKY>C!Iu=sGVBn>RmdsM^l_)NYT2vkexSuR%1YVZ`K<>+jU@JiB7}!Xt}VK4 zA#b6OI*1O#748dBm>9OShe;n=bb0s8;>{5z@D2=3p*%=!bo(K;N1+=_x7+L~R;=-p zthhEaOPY#!b6QKb)5F)lP<0+~(ffBm{P1^oX!-6@j#05jCR~N1`U>m1AkP=j?|2({ zr`7Lge}`%_wz0uaT9F@gZ{UL*LC>CRd%#f&b%`G^@;y*<)}B5E^|(0l9FSp$=&S`^ zif?a?4=H#YxIAaCj@*oAns0`_aQxoK$Sy^GecqmnGNi8jOoT@FE5|`XpG%n%iDebN zXGq;q%GIvblo)kCSU{M629jPI6_LFkDX}Ny*9~_~DOZj0 zO}A$n7`E{)sZ4z6Uxw6k$?ea1PrTdMd9$4>zZCL1EydVHe=85pxw5Em&Un9`eC9x5 z4Huo9ZA76l@${iO(lJuvVvlS56UW&nyi26_-akCFA;ntIm_A9r`CJtZ(i9R(-9+iI z@RsUrmwitP3On-_bkKMW{e@mctQ|fce3WxV04!5QJ9&3AftYY~ip_i76dMR}{KU=e zQGM)kBizp#eyrqj(m$9GT$E$=(47%tU%dD(87o1dVfku0B$2-RvI;Xp4uwig?$hKg z32FfCRA>yjM(2aMEL{j!cQ6fG z2D?IY)zisd4+uoBCA#aznqe*Is>0^@kTz7lP%1)wVQ0}1nY$0GZDJ@O4R?Vaypi4O zEIrtAv)D2QU9&3I@n;lxwF#4) z*R%+4cuKLORr*#Kt2R4#>tm^ zO&m&gXnbebrqw>*YYNdBGQloF{6REAZ+Jm6&NSw|n+suuQTFO3naqy-b*2&C=Hb8@S&!LWXlI}SRZt-1i53J6L*MD?C}R^=sW z8&mK2g+S*SlfBnza}*?wr@h-_;Wd}vD<-DHwgYBl;MhM#AY zI4<8>wj5JCLx142oj&dW&naizXNTVwxA1}HvKM3!PdENHM}P}jwGm|S5P>NIRQhE} z(L7Ug2Ahpg(wHyvW=n_Tc~yU09}!|&$Pg{(SH3&w6Fjb4qA3oe#y%#Ui_7`POXs&GHXnL4^(FSaKD8mjX9WTc+X%)!(bCY zrND=>FrZ_=Ij_V=SS;9YP*AiAYQ}hgm%G&0H;W5JAZsD(=uQgW0*4}FO6<$8; z#5I%lA25x_N| z^~D#&V9RjpDJx|a{ypR!t}k2Hs~S)7Kf{b0xDx4QmwAAOd-KrdF^!?|Nb6zP% z>TghQGxuh@yyoo|*msr{+$s+j*w_wa%i3Fd7hXReT-IljGy)P+z(;j2NSpVv7Tz`U_= zpi(h8gRO|ZzOnP0H2w;*hUAlSD3R+ z%vzdR^;g!WMa}?IX||S-y2W>xD1?`$XCeDM z%xfV7wKL)=4FXn8=*wl-l3`--i3?bttf10JC0~KmD7CE6GAEFIYWz?{o1)z`r(o0E zJ#E%K14Da>dn`gN8nV-)qa{VlE`oE3wf~B&Ci`vuXXHVrJ7XE1phZX;>Q0YxMuY8A zHJUD#6ytVJQjM>V(&b(1F;2O88kwcpgV7=3U%g8JCQKt9rlzA7se_{#LeR9N<|kx; zqI98*N|wrDl5qog#eglp{X%fadwoB(j z(sYI$B5~$F5)!;xFI?A{iOVx8RMEA_m-aLoYCbXtIO`aUL=%PO+S$d^GxKNpzXz_| z^X)~-3Fn>#NVe9J5e!9B#0GL!r zA#I7)Wv0duf*=4>Z=~!IAStJqVc2H51ixC1qO4vqnw}ZZNr3J3GMOXJ7zqaPz`I7^ z7)S&eZ2yV`wb~4&yM|6UC7l?e3yN*O(z-=i2{MRgbQT6qYQt7Lm^Xtwwlf+TKHT;_ za-B1JgULh;boKM+S1r}UFNfUTe0UYpFdH%&W$w)ckoXK4f*W(b0`O8rBbZzXg9}lu!!OIDOleD;g(l!mljZG9gEnGqgoRwb+cvd=z`a=IIk{>a`$Xy!C7AXKXH|GjyztAdT&|A>A!21c zVch!R9%2!eU@(@lE)8KU)8n5X*>-pTo$a_ zR%)YJR<0_4&bs|^IBByqtH2UFJ2xF;aBU-?q;aNOYWjnIDlN0KIa8smm&tM<@ZbaI zh9^>KZTLY0Z`n!X*f|d7ru8!S$>k>-APAh%p9wojIJgs&H%)oN63Lk&^dJ; z;=iH)e%;&30$}`|{$qJsu=<5-_|MsJDPe5oV(esYsQ;&>>}Oi*H#z@zOWD8Cj0|GT zM9+%<-BLDi@%ZOL>0efPpXspQH27c2I6l8m@RvsZJI0aq=bGg|-Sx@xlW}DE8^)3K zCwKYhrR?YOzo_&p_d{W{Mj3aQH`fs8CKfaXB^54cdK1+Hs#UB{QXJ`J^ zNB@{{tk=?X#chu9nXmjJev}yzen)0&Z{o7$7|RjjJs0I@782V;8iybm#r*xU7xqiwT~YTwjWhn@{uUr zR_k@8aR5EdJrXPzhrylg2M;w1M@h>F)=q>S^tP(krOW+3_3BxslbV`1a8~l2F;@{N zJv^m92BTD&pjN+s;Wo|K*J{+(@gs(FZ1k3R=lg?&p+m4)mKqoT7Bt8vuvqBF{oQpM zeBgJCUa#6kz6-v|09k(o*mArYO#bdi#PTEM0G)$Tmyp*8$BS~`xl6#@~&AAzpmzDoXQx5y}ISE=mhDsobbF?Lu ziM<3hK3MHX$2*9f-UzrZ*zy(zRX$Mm;vexNuh-vh7M2p_w@x8x6>&a@_Nj@{&VnN| z$ZL3DGof5YC`Tg+wN2%VPm9}uj0~>}%tw!ZG!p&lw%tVt>7pLMvN>Sz8kyjcWUH&_ z62QMz^r~E?2oBlS7OgHyr9oVvtM4r~8FaQRs>s!_R2@acr*?Fi4(uw#VWjF*w|+PXvn{^)rN_WQqT{7fs98Z0J_Y?C5otsF$ki;U@@0o zLgXBp5PgBqVmewMG$1g>b+cwF{9|eoM>1+t!|Zghmf$_-kXjP58RjG_Jw=l`?vD1#*6rqHp<$ zX#}y{rL#X2xG)&e=tNj*WrY#9FccPQZr;ZYRrnVa-U`tz`tCHX?+g4;;G0r3lB-fe zb`8?c;HfQ@A&Xa6p`3P{B`p+6+#mx+xLLQAg0(| z4OpAG9|{8(l!db=sw z;D%hYyV2x7*^Yv(IPW-W5uq==!z9J8%`3E3;8l_TdLNGi`n%R!6^KtMS+~=|3@{lH zqJ}85YuIwRTGS%?aK`1KBA>F|Ci2=SgD7`$GR~3u3DZM~HudrYkV~>&wB=1XE3OG) zjDDLxQJ|0LSDHrZ6uLdo=llW}u(Df~4r>#=OpqAX61_|q49WA-13PEFPn?9?{+AfMisl%m6Fa;vmRPCF@y5Ek|hW0!UZ&w zbGmgyu>DM$NRh~Gud8yg@Syq9b8}NWLa~)hk8&UrWhR+_*rpvlEWTB8ONbJ!+CnPZ zkkKCR_U|V7{8TIB57r&(wg6(t$V{}=Zp6|{OL2CHw|QYohT07GeC5YiQ4E8sX;{H# z{h1w0xAIAjb>Rx$VRk7W!qE%pRnPF@{7@;TUG0E8@e+tEGS-*U(wm2>C3@T7gkwhl zThqe`a-Kt^B8xMpI4uX&H&iW?;unVQ+7$*oSWFx{{8R%0q+)LyR1q9tx{-`jA>Er> zS1UTkp_xa?CvCC(^jCr&&FbF-a0SFmfaHrTb*Ui+_E*o2L-%d22vv=z4=9*UFHFEs zyVlz>9!Yj^CU3J)771RMO*qWc@Qz^H4cA_1A7OjV!ENCdzJy?XPjIA8ZndvIj<1yY z;lyGhe3r_jD)k#3oCg%&QsYeLWTI>!4qkER4El2R!56;nRZL;dnL_bk#-ot3o;2BE>Rxv?cKM&Y&ZBwY|q~ zoKLSx$CMM&yX>W4)r(JPg*8mlp7B~O0WbABV}wmn!LJThtj-f%$PKwO|J38_>J)8?dk{8*^j6@D;peBI>XmOg8& z4?p@u!?0h$99E_WC?q=DBMWG5# z5lho6;~;96u7#_xOBay$dFdmQEpoa<#b=u|Eg5;#Hc_Y1Wegd57BeP{nUFU4re$qu zWz97k`tIePk7Vv?Gt*sq`}^1HSC|Gi(_?|{>oy&DMwY@#koI^}Xx-4MOe>RU-2fR} zg#xMo(p0t@X(ez9v)9KBESHMXlW0=_8O?ZYGX*xFM{|l36y-Xxz*3JB7M;qzAKBg+L(b~19UsWNOL8#(EU6WT_jWTVA1ZS1MFeGBeD@Sy zc8qZ>2?qf3J&$XdB#v`35ml~XY{O3{;mf`%X6r4HuBW~4XoHiCi#f+IRtNg0ZgRBH zl+RkVYkJ4y?7R75xeiMlCyW;sLje>X#WeUl9+_Rdgr>rl8R)Xe(lClRG#-VbOXFry z!gvJd>o4!~NLiXEvxkx$DI8{6Li1$s)ZuPp>8~i$sN`5gH7% zp8715uBKMK76%o^FjOL`bIJF;ae0K1O`S8Y8W>-U4U?nlmyZ~7rLD*3joOd^4*F^? zP8|tk4uT)3E2n7PQyc4{Omf&(kdJQ?-9tCrPEB1kGr3r+qe+}bKLLGP6!H%3fcIS? zf?<+|naHR9BZfVOd;x1V2LhSe#CbJ#l@|B%>xMnbK>hab4|Q#xYA$I>bXS4!9&Py~ zb|lqID@YjK_6F7>DOGThD3+unfug{xFL`wo7xntx5d9{n2zsENBgmxR+!IPxJwx+# z@+9DVrL>+5&c@;pb}XQ{#V^yp20JO7dd(OZ;?~A%qETCE;DPuHl&f96{(6_G;}xU(1ZAZ)+zZ= znN(mgtv$|g)iq_Sd;aZ_vZ91TM-Q2!TO|m$f`nt&f^=BR*sgC@EU`Is16)-FkD&@L zvxn{zb)J)SK4%j1%jy=WZ_VkUhfYnZEQ>9(0((YK`Jb3oI?d1-mngHhX~Cz7lS*Lg zVX&;xCUa0hztg^+U8Q7c!Ddhkuo1zv^NJtlX7Ci6hQ!Z3OpkIW-uY_%C8}g*ORi$0eIos(;t6b^o_THF>`&G`eJM^&S&`2=<>CpC2nxmUE=Lj!; zypkJSMdHX_d13yUr~pGdCv9Om*5?lV#7}c@4tKo{VrVX%1c|i5BHzo}OwIp;y2M$uSgu0D*w&-1Q)FCBs9Z5YOErqqdtG$}6Ie%diwo1dzF+>OU_O5zk!58%9 zUI$sUdYulVRpHpQJXW=3vy8-E%oXOP0hsdLx_b2P(M^Q#BYjz$YM7uK?8~Z>!-(K* zgL>!Mid)E>5J$j=7psH7CDWeqMRhmXLveIgkR zY5qjkIL|P<6r`S)(JLH!UcWUPgx%&;jkiyTIP9LI@xW)eN&^V%*GV9~-^2io;V5Lk zrT#YYg?V6fv$8R-?3-4(cZw#$c`qbq*dAXZ_NAR{Z)^4WGWkb$uik@zfR%gXZTbm< zvj=QLJ)xrGXs(o5k%hK1u+ow39E zJ;40x>42T*s*LjuGyI5ecRsFaUSry2YGrx4&m!H%(TdX|of_&lh{lppb~nPa^qP-y zK=gs8b5uF}J!G>6S5R>{d0jr;ZZX11WW7_sXLiH}L~N~=zV)3NI1NH~DE-_H9<6oz z*wa&WwKB~xCwd>b9W|UF`O~W^@mJAaOc8SPp4Fr|c0^$$CuB)*D|{|K#d zy7{n*7o_mi@~18C8{~Y?qnm;k7l-hdl`(jZ^JCpG)R5uBR1!MuJ9t%Z9ZxR6lhi|X z0+n~D`vQnb32G_*Kh0im)4x~PH-mb zdcP85m0QRw2YfkmT}9C!N#2CFhAn!B#5mCFWN9JgHRCfeFH zAH?IL-b?6MOJ9IR$M+A2WU-0kqLy99M})i$DdSmKa;;==rlhtyLughC3Y}UFiU28ddeF8DHRD6aLG10VLWkmQz({ zY;v%Gfk2fv;g061`rtg;d9tcs7;p9YDH)Re&vx@gVQd|zN!|(D7uMF_p;FMPolsHG zfyz2e7YKU?S_hYAsMo2S%2k?iRJwat)2AtCbtFk>yAgp89_U zEWhs#W^9oYA#xt}8H_d)wzJQA|I!8AUVrig3nfXd*DmY5m2r(3Ax4p<99)(li*_*_Om^CF1g0pgYi_Fw*UAETQez8QWU7pm$ah>FX zcNTmq2`37+b^e2i4 ztuTc(YJ@a{GdYgj+g>#+J_f~5MW#r7dEXq~Fp57!Zb+T^Q7g|_G{~>HbTug1^VmnxWF$AbAm>8Ug^dic-b4Zw$7|__2X$j<|Fj2P-ct26Nf$4j`b%m`ww;q{wKX2^epf>`2G-wPsHx8iv8r+|L1mb zvi=^k|HZpFpCvst`wyJzvon7+#V@}l!updm{|7#5*Vc3-W48fvsvIir*oAXUCzze? z-ir*anE zRT@0FeGhWS;dOU@cDp+}b~(E}w%U4qFlnLf@})@lJMugs^uUb#&0dn}3c$T;jUb%u zs~*DjAoCZfRj(#rd_wvMwmn^nQ9sxt_^+V&8O$opH`nXF{GDLzx_N06C&#*`_(Hg^YoF?`H z860gg69a@cn~Q0VP#QN1nT~#FZR&Yxt<= z{s4Ht{o3@BR#>V@kt77d5=^wlC@=Bj7`khS_}K<_zt>ejUPX#TY%?6s#_`AAHh72p zLc$D~Oh3XrHIx44Sjxc7P6+@UFKB#M7}|~`?>LGmm*UHruyO(H$a0ayQEiq`u|#(# z(81~!-rBXi-QfY<7~)C)w&6eo4M~P^-l1RsJenPC1Ol@@t^SRQlXC;YfcB>^j8Z%s zYU11U?pT6UEZ;eKg6TQL6!KxQ9Gv{~&5)7Ea?mBn4+3Gf@jVJ}kW-PPkf)?TgI2^d zIM2FHgc#<;TLY_EjK;;6A?#pf0wg-n+4=~>-%B|VeN}W5fft_XCQ9xT07-lW6{=Yt z_b|!uwNm;RbAUHIdt0*cJN7K5fA4Aa_!& zfp5@5`JIK!lzkv)OWT&vJaR?><;qEdlY5VmX)rB;Ew0N%3*GgZ124Ks{ym7uKqS$! zo;-FZ6tTFvEPu-egUvgs(07Ch!(dEQ3^qrQ*XVY?m#E+```wt4jgqP<-BiS*^148UU-ziZE3d$C~L2jM{mm>jl z?(?^yzJx921us{k?Pk652WXu2EnZ)(AjDrcM*X4Y^H(E`<`GJ(+YXc5_)pM@v=dI&`51u< zY@>iPI_KdG6y$7Xu9y+s4Y-5&!}sHiF_Xc8p@Fy;RHbVS9a=!)IHe+c*^?F!#bCYS z>|;zYu@)@WWRNwiE;{8AjilJKOrj3d!k?Y6&31r+K))fHQ(|-!6nuyL0vfsWV}(ZD*G*%lfvMGCC8z!;K5>XbVyw3b z9VhcFA&QZR!71t#%@@LIl7h~?2Pg!?RvNB4c)IHw)EAp}u#XKS?3kI&(~moF6x{)V zT==0L9zmQ)J&7LlSuv2k>SlY2upZ>}`r+kSN?|9D_oo$KJ~DfDox%AX5ufVEKs*MO z(9CzKG0ca(mc}RcY}`d zPjrWoM2{?H9qAhzAL+mGyoozFC`Ot~9678yO;7(UdY_KB%k;25V7lcXHgenSa5f!t z`YnZC2Mzh=f})k9MQ;ej`QhR4#?IUK{JciL^~i+M8vRSeX3^EE0Dy z^$f~Q&RpwFrlG_{485cv021CcCRC*Hd{QCqW2R4cV6GEDk>{Wa$b#XCEHf)K{S}MT z#=)jMW%hEw;A2siUJHwCHk%JoE}xrz!HCffy3Liimr~z+QJT)f&`u2_Fpl|E(bKL$ zJ_)+^v-Y&tP^(cvP2+?0OWF>1S;$SulD)~#$@at`N#8fNq7t+(b>PYx)u_l{h1!UF zUy==kG9vD?LHDAONvsfBwTXAqsBz^{8?v_ZL~q@Hrmpl#lsf1$O_oV<2XJ?6-yVKF zgBdu#bvs{1e)y0u7B4W8UOBpR!Sto-v4m8FVcdhMJX;t2fM|5SfJ8_f0#M=pBUVud zctP469UdpCPMMjj5{HBlqff2lj*PR7`n5+lF5jVX4mL(TKofL4)#eXqRMeOhpJ0rUme+{wZKCKj1%;+VI8&LG!aUG zN$70W#=NeVBigcfuZm^ar%31DCqGA_1G6$-rAJq=gupFvRE=7M@1n6*q}Ge8V&;)H zh=5_Px!^t_|LU+0f%>pXNw0A7NB%;H!#XN=*rM=ZIIHY}!paQ-x8H(3g}mmW$lOcr zz9l^hB<{mHMKG853;KoVxK*g{d0jku{p*|hvrq4(jgw*TO)cs`imh_QoN<2_6}fAJ z%DsA)Kw&tjiob#Cw|9%SLG>yNjYr|w)1Tt?BG|;jAU02x*l~A29|4cVQI~KTog1hn zEWxX*4fA{yH_&QGInua`7}D2k%N<5p8V=FgeD` zK@;Xmf>BXCIf&~6P^%m4V9MyyqZfLMV_w_A^byELsQII!sBX+)W0bL)K*&lQZK4(7 zr~hur;5btQSXl~nQ1owdt>B^latMV&$L5=LZatw8vPz(Emxq-!k4YFP2t=#hr z;BA$2_N{S3Q#bdoohdU7L{*0Q_d}_n`B>4Unkn8HY=)eTvNaEXo4&LYGC-urcd;Xx z!;tl>fA`cdRz+x8KVXZHrR;>ZVA8pO9!pht+d9lR21Qa)^H+Zb$Jv>{$`+~_k$o@J zHA;w;3w>(PSzVJycwzIqq+NfE>zzA)0XVlU(FF*LCKJUCs+zB$Tu5Pk!%UW4N<=St z&PW6_i$UZ>o{KaXfMtTloc4w39mB)UQBQ4C$#e4{*Y_*^?m9O`mqq2GN;Gc|_p@0l z@}dP{PQjy1ezlrr?YHHzObl6}zVR?c=w zw)oAg1WIl0eq91*KG^ z>Pyy5A*w`lUstToy>$q6SJddd_-^mwdc8U>nVgXcO&MY1q|Q%N4fA1}AH@Q`xN8Oc z!*(`y31l(<>8B6d{2#Vu|FT_OlYvsajvZPI!YK>6w7E?a#s#5P}Vn~Kjd`Iux0BXNFadYF^L@h?i{EjtxbrK?UIw|6}T9k>Y zSK~vmsP%&DvwoeEAftrRZ}c+n{~8~?RGIbHli3C&BrLtI;Cfp^on{b27$i{I`=)Ip zhg^?>JV64j&t6bW?R@FR=3gA)1F-yojM(l&ld)vVKPSTP(uo!9urH%jVWl{wrbPv> zY!)m=ajm#_G0-Sv<)BJ-C#tPN*pCG~sy=e?zrJm`Ixbq>8R?H>5l`NV&4+~JSe)a} z$CT&`dn6#}0PouZIlgG}LvKEWU!R3FM7ZPpc=+^6&)dy<;(>ooMb@z@#oOIv{jmM| zu4Jv*VR-gz#oG;6GWdz$rE~1wEe%Pg(xfBJikA%j4?)8tvpxLAj?ZKj6<2tyg4gHA z${Cwot0H)MZL4cnGZVL@@XLWzf%Dj(4WnyzX)=dBch(D44tggiu%sGy= z)EMU7x$mVt7FRt)o~U?fb`c~JPNo{WO(l-<(Ps@J<@Czh=1Fs0I?>go#S2y`r&S{S1nW_@h;c02LJ21YS3AXsR< zrp<|?34wj#v*i~7ZSO1laha2(h=0Zu%?#^P{jkc!U*f$68fUkM!seM-s|H+oP-7SV z^n|Sj;CsdBlYib46*ksK7)!p&^&hcBhl_*aFivY?5IFH|?Cde$EZW&Re!ARm+=(J^ z*f?F$f*o7SfDzl*AZG<;UDwXyirIM9wZIk2eJ`=4ItXQ0 zNn8~ig?Tqv-Bmtnj9#&Lp9puX=xqBMfM$zEmOC78^RgdlqDpZ)c{G8vk< zrM+-F7Oq65)1|Z^Xa9(N%WjZ4(&J5u7^*$dA{0Cnml%6L38z{wD37I#JaG~J=MTk2 z_&j45ls|-prp)63-4&kTzhM|qkRO_xA^om6F24I@V{CEQ1z3+BtmT41#=;^WH~a9T zK*GKjf%m8}2OR(s(lBSU+6BUx8Vw>Nq!kY?1{G(mJD`c5n5<05=}+!M9MH`5MXidH zI)A3d#tf*{maNd=mPH2=%O;@U4%CqrUQh+gna;i?q1B7*!6ruT!G5fnDMSI5A1g{> z6iwbD3`t0sufi8`z2|9yQSVW(1NOnvBbtD@B8!fWmB)t>p_d*XuHhLP0H%(n16#1l zwW}C9D6E+u;nO&c`GfMbJp?B#OLZQ3_MeS@A98ir!>a&|N*0V9eMUf(Rx%29i3jSMC zDyXQ`wW`KK#h#U>6MXaauz8Jn`^!$b>E0IdEZPrwywb3p-pk92^?6X`U=)%Ijqh76 zliCfsr4kI&=+$7uVbD}NjKBSTY0rkVy>Adr*!~IngM#MPY)~g1j13N0Uds9SrWM35 zy^g0J%ck!dn_N$QugjYtpDXwc-7HP<>I+1t*M!-KwwS~LG<9u+ySEG*OPzz>jIFW8 z_7kc$YtQBpij?n9c&B2$eDE7K{`cS$%&)vVJc;oSkDZiIpQLQoVK#(SW3~du8Rd)5 ztqiF4$A1-5C!1h)fUFeA*7S#Tg9Lp0;WtJd8_OH#1Sfx64aG1e>Ir5*=h%@oHS`L) zl9})qt1+17feehpyu9%&1Cs#D8wiUY#)|dw0h2l)ah9?vy=SO&*1~`Z&MaEbjtuZR z8q^j3%mX)aC{vGRW`+gm&H=9J%B=#-du;+N?m-p%%4;fygtLf!g`><4AWp^qqx0{Fz;qP4b+D$jfaM7 zoCMzx8`)q6RW_pzkd~M4#`(C1{|@dN=4%HwT71i)v#g)EnaL?STxjH&bB79LphtXD zCk|SC@+(DKFv0t%hd&AL0}LnQ#^yyhO*wFMq34;{33`wr*@UTGGV-?9>$}965-Mt* z0nSC%K%pZq+1f8du{E4w4?dggEvjlP*MVj%Qn8c1m!%=NApaGX?}Melpdd+!Nu*=h zoY0|fb2&A0c8y<@jBpXZ_?!MIbYmMB&;F%0Zfxgkrzt&b50qZ^^?oz!Sxrqq;Vu5e zu^54u7phFj5~oo|S=*KEZ1(yq-9jl6c;~KPK01x#0>fr_V)_kVkg9$yQ?yP&pS;M- zgNt`3<07KW(*}q9#RKEV+9MWi1f^|L5)?v5PB~AfhRL^regyIeWh>?gW`+W1K0L*3 zBLqtueJma0UQh(?=&vo`%Dd8qk=E76UI|vEG}WV11i|m5`6<(lEoH>e^_*Ucw&1o4 zrhG<0pch8&D1EpR|6WnqG8qBX_hSi#mhvkOg8mP-k(3t6w5XWbnQFoi^&%PQdcRCe|M*SPPxo-pQpnA zthEFqO#em|6<~WW)?iX*w4qy90GZ|K7p_Tw= zNnc6UVV@RjdJ(l|D8feRS3vpgy~ZRqt^fvF63wH42le~RYEgJX5A9fhr@M%pPsu`2 ztE>XJ&E>AhI^xBxNN5tz>krCC8U;`>kgmNA(b1?+lbdoBgqmG(tcS1oa{B{`)f
    kp%^9W~EzM|uyU&#r8!bdYl^FHAOcH>q zwCrwrUHSP`UL|?I%(p-KejFOy%QnS zcA&@s!I{#;K~b=ZBD^&=;TndkudYWT+Ev&`?o{k>}t; z643=aKFe>IH3Lz`Ta0Z_tvugSp`SAkqagrzksZ9NFf6~w0l+D#)&$BNR%=%H8D&gs zyh5JhC|4sjq`2;tu3sq>+rc)_u&VmTPjfD5DWhwP!ibg@uYBSAsRNN2ZTgX7IiT#z z#$M+~?`Sfx&c35=5UA8iV?UDpVmKpBrOS~eX`*~lbJD%gVCXd>E_++c$}CdQXzWBC zUtVEc)UH2)y@<|y60SUvkg=eY!`+tk7+-@5_uQ-w2j|WJ)dC1Mf+pTVlSCz#Sv^?@ zeFKA4l;T_G=6%@%Tn51soVGsv#IHDu3UYRi3eq8nq(7qZat>;BUN0X5og{lmz+@rS z4U}J3zI#1B4hD^~4Ibw|jqVpeMFLdgYSh`+M?7GS*9j`Mr9-CUmb@Fo#+n7o@du~A-#gi>d$kmp7T)x zb`$7%qoq4H)_@J*cg|*zgSgT85z2gek|a!DCcK081dMUB#W zV8+XQtyirez?Mris8<4h=Pby#hnTL`rc*Y_WzkL#*CTm|h6UXvba+O|zW`;Br*e$_ zAuvqWm^wCIQQv$m;RcUxu;_U{K2CSZic8`oyl{kY)iEBwE-A)<1)5}3mz=W!#495^a`KKv`Nu1*yGJC0N zAq&vaKWQPIBII4~r2a`KM|ZU-a=3YS$Av}IN+$M)jKijjdUN?z5*ByDs*cAcfcJYN zUnlqT{^>iIu31Rje+8xg#M z(!u#p`1If4>Azrb|JUNve^(9pPfq@i@M+e6fXM$YKK)S+;;-3d{RdF}kNEUINcVrl zr~hvMpRiBXe=zR<2KM>C2%rAj;9rCIcR4?-|E|o(|M8q3*8lf6`@b2V{@c=DQ}OTc z>A!>dpJVh70pY(9qjoK|IIYGAuX7#SKl{R&5~S9f+l@n)E}DCm@+yhgMVfd=5@#WE zR?dx$kGDTBED0ycf>&$sR8DD*cfgUsKGCsnXq&PZzeL^b@l^tKxS!Vuyu95nlU^pT zN5f3sjlPI9yEJ*&=VX@V8&Gy_(0NZ$mHq@A10LUq3yS0j=-$uuOE3HT z`?Ve(G}ww>I%nM^GdGh2N5iEIJ-vhC9kM*T%f;CylJj8L8cNM0;NI!&a+?XuJMR+4 ziqI8T^6aq04n55KVJoPpF=f0mdR)fl99UsMiQYb8#hi^4@5*!31UTr^i)X z#KwO#9IoMFtN-%Z-;LTFJbeM(v*1&dqoaUvpcEftuc^f>GN#=C6E?BsEBCV0){z85 ze&C~5>ftII;}>BorT!d6wA~P8Vyu~gLUwVv+-q9vYn`25L!RyJbg8#|NqnK|(8B84rjM;VTPFE3Gsn4oe^KoF05m{o?3N*S{|Ny>F|;fSMDNMLp8s=D_P#|vVw4C&q~#OJBE_H-xa|%49O7t%8%21PyJqDa_1n2nb&a zyy zd6O8U9+wQ_dEg=AAH~IY#&Y zxvmC4@=S-AuN#c`$_NndJlqjX+)BNhWk-NeS)+l*Y%gx#74KZsN>>UT+q(BmU$E*d z%J(2tW39Ea*ppTs%oXKXBubStdo7MgwqiBQV+Qag>xXUm^0#Gx_w=mtN^=ocoqxkc zUs^0u^K2=EB0;&ej4cml6cuHZ*E}fUa9JOOqLJ6cbVQT$$M00N6)>YPLkG~;WGYoC z83bQGs1TT#os&fF^Z)GgJ#9Y{Dd%k3Bu$4w`hAziOD8+rdA?H$wdYBY zQn%93Snn2n1I+}URkjZ7y14W+ROA&XdiS!AEjz~7!Gy}rE{2}s3lKo z-f<_`2Y#s&{c0_WP8OS(pvmR{cq^69cOD>6Aw1@JzE>wcm{6TL*BD4+6Ys$@$+OP) z&BOk+H1*2TFYtA_Zu?fU2{k-?l?bvK9WbmqO{rjNg?!*_VLnM9WC3MLeeIb6x#Pb^ z40fhaaVYa`5{QfivRU?V&ToHffP$1+dDdqhG0f=m;+LQW6}5|^CkXv)E36%HSqiK$ z_4FRqn4fTmfe{f3%KOtdgzpMA^PD8}ZBaYyH_*K$oqJCZ42y%P9#owp7%L^63;&oF zQ(Mv&ODQzvKy*$cR9x6riQFxBeG9d-!ZibY|3Sy4blSIBJmN9dbT{|8F5E&!%g_6Z zmOE@LN4P|RNO!VwUPHONJGP18s28)tGDUaJRJW*ilFGx&d()Oknn1H;Z4UZQlg5lY z-vbMDhM0gmMsFY#Cf1-9s<{_aF7%WZ*7Z==ZtX)ixG8rF4ZO7rHZpBa34vdVA*__A zIEzbEbzz|WP(86hJKAu3KS{HjGL-w8I&<#=RZm2RWA#KF1LQ!?{qK&C??(M7;q;Wm z5F{u1lBNyW-iJH#V8d(1Iw3a`95+NP>=XUW65^y&TgI`f6?odp$fgPQA>sAs>Kqq+ z`#;i-fhfbeerRpzt=mtmE5cHwHJ$Y*7jSX9Uj)o(x8Nzyh1jL)t2+aI3O(=x@O0bJ z<#w2v*Y?$+T1I}Xo{_a-Oeyx9Tt0zMlQm2IMiXLEWIck4P0R44kI}63j0FthaI&S> z+Jr#gLFkW;`o`*Zm1 z2_u{UdBxZ%#aA1q>rXOw zqoS^ndk$5E6}1TcNSP5EF_Yk*dr6s*lZQLrR4Ye%y}U)n)aR19`5DxUxLM;&md%q& zn2VRiIj`QWO`c<5M5m~jZo(>tSYX`5VW|}jK)X%7Wo4b2>n5X3aVGG$IMnr2F;Do0B*7tfzC6|>vbY3KDIiWtxw$@|&eo)y zaZQ{$lIiP19;}|5(hZT$FoG;h;}p!ufixU!X{k7;@NHanE}nd25X-!I9Si?-*LSH}TT;EX-d zLMz2b@?@PKcJKDL&hFOd9((6|o$q&z4k?o8JC@oPa1o5%>poZUto*LxnJe>x@T}ul zO!f)_1jDR{KwvX;>=;?I9c@WRFkvQmX!=psqo+CHVMrMqh_0dWIm(iZ(=lf||2pTg+-KcA1HVKj>(vN_cBq zL?(Wf!<*dA&zp$M52ZZJBFzyAb$)-tcMds#%6g+qwz|wRkKbS_tqOY6wutWM8#~V@ zcu#EnSd@K#t6q}tY|-O;hj2u#CGs~ME=U|K%Xk1-n+0&UVe{A%u!S!&dxr#OjLwSU zVDOF+(0fw93L=W$gnRi0dTN_^#{}9>!4lAEOqYlbFSLXQa*%xT1ZcxKBTal_0_S<_ zb~UTJ-fVknee8Z@hFyYYz`9}#{;3u3i&gg_2<~q0nxZKg)<3vnksI4wT!J?p+E`yy zkWT)bG?{t2lZzeKEjRO+)=Fq0E?5yjbm9DeTo3^>-89&uil?O{fhz^;rg1BtVLcTMfvpJGK(x>)7MVn_` zZU5HusMgnOrlc#X_JNg$^qO;LdL1v_R;tRd{Pnivgfzq~uPT2qbo#;(ol_C_mIf8Q z@^*)pm+EJT&(ssbOb6}{$5B~ccfQiXgiIYexm=NAk-!B`3K*hW?ykAtQq2dv&$B9% zI&V7=o=7Jz^yDLQY!Nc3SHs@#XZ_aThy)T&?GxNvQV5i+{SuUv=1z$O}OQ&PD2a>cDv9PS1nMuLB*GkTODYbM+=vfz0 zS$$VrT8M%#XkD*tcvD=Oqu0pD4Q9~qI#U?-HIoDRI1XrA6T&7 zg_%az1(qFdgv~R^+=Sw+@BZlbqc=Un>I_00Yzr1BGU#WpQ3+qbu};PS%P2q}Z%en8 z4s&xJW>&Osci$5(&n=IgMT@nVDzcsa=wUPrPMaeB2QA0b#X|Oczr;U`*u3nM;JAus zdcoWcDx0b(nZFb~|87l|YR@(?DVENZVMWlrfA*mb}dProHI zsip&Yw3?na*_IYzH90#>4e;`KB-8+iX9ZQPXL z>(&?h4QBZ>!1-f&(rR_KU(AqnSG%FFal-wJ9|r&Jigcj0y2$M6!qSkCEaMzO_k~)Qr1@k*vLQ7&f=(B2ztE#)a~r_I?wgPJz%8#c_fsl^6Pv0_$Mlu{0vjTqqbANS>bHQQhMm9ZbCifk)$ ze^h)0aJkH!|L_(-Ftkoq*_S{qZI0I-k!&($IX-i#D7P&=O1cic{K*gt%#e)u1t{On z>EQP(3nGoN$0>%qHJQ;D!4h|WsFCWCY*SV^85d7iP@MVJiKbIl(X^#O3L(wNHbHHA z6L@>Wv$ZEVFneF!U5(FOulh?9xwwrXJycH8GEh8pE$D?aXRT{14X3mp{}0i+=P^&K@#3}gDQPQmCSm16FV z7#Xx!^hcp;Arph)@yzxlSp{u{4E4v~nVwg9Dn>0Xa38^_yaI)y4?g54hdTE&@K!A3 z>kPmwhTN=9a_WcDS8p~MwzD$d*mVGwdv&IMLHejbo0~6bxsv7a2+^keL@h@jmzvRL zTqMsy#J;zj<|Xl;0|CH`P@X~F;X>Ebf^w@$K@ zh+mlEVsbK>{L$%&`WN4!2Vf+()~~Ua+-EG33~`~jo zEzLf_ZolX7%RXPgvrIUJv`MA6IfRk3NjHy4o00G#=$S;;qYUaEX+Xxw&?8UNZZlyh z^MFv?aFS@s(Xi=fR$GGDgM+7_xk`JX+d7~3HF=#yKf7%_RPN8tgn267`Q$--#a1^5 z08uaiKfcfUk$(}9d{#h=_&HISEcB`089`s|bFz$RSHBO|5oD&|51?4_=fn~GM*mE8 zq|iKQn|*P&`w{Vdau@m?>1@XCKKMOIyYB`>(`tH68M$H@c~|A%?UrE?KpD^R0WuVz zpfT^rZ{e3W328rQB0nz8;zVAC*_vohWy*;G{dQgsaBnNv_oWe^CTXlU`6}7>cd#bD zx`~?DK+7B}JG=v9E|pnod!CkK!u2-!Bwc=|u#npOzuEJ!oq{l_vBEno3}f{W6T9z{^1DA zx5I5OPm`D@lT^a3QE{Rfp?NqAFhLO_W=HJCBm#Lr^kq8xpAJnWL4 zOe9JeBcKq0B?1$;($TSp$l6ecAg@ZzB9%>y1HAn?c6vE5jYo&TDG@?Y9oxw&&^B>9VHF^|v+w@3+O!owGZWEYE&h=jYnY@{e0y5~$9NeCl%NRe7oHt68And?k+{>LRMZxonx8 zuhGg_8*1!v4XMLh>t5k=Waaw#bkRs*1R>=mdgn)~CVa2{5 z{PU;$iEK{n=%M5=MW?{b>XqfjS@t$9ifHDkgDJ?wnLZ&Xj*E4`ud&|;#eOM}zw{|L z$rPEEqhxbZhxNP;VFiR7?edlOCBJ=hg)6>;<7W)gRsi7}-}J9Ovap=4<4)yPgBOI1 zMxM6+P68_6nRLj1O&25Z$Ui}3Vq<8CwZ#rf8`RH)k-GIAHYh78zy-!Ou1K1~CAvZW zI-dwtZUvirVghYByFl8bawjmHVRWJ%{p`ZUrHKrA1tjV9N8IJ+f%~0hS%`YTlVy`* zQwx}EOvsSao~J4#gZrl87O#2UkN0l9J%PsOTYGO`w{9e=jLA9UpgpanZP2G^jeGC{jGAkU!BUKFm|0qVlFNhmYWF07O5V;I;A-W zp6`4oT(bhuoXZ^x#1W+>w=|_QXHcJOg>T47<%#>OJx2}PjGIO4VmX{`5;Hr{Cbb|- z9o8SjT>D`zr!A>ouZ|Pqq1tvLr_c-ZvCE%-llpezQ@7G7pzk~L<}t_RH7xz(+_jqH zXCiGTCj9<-VLs{g8CrtT*?cvUT?)NTlm(3)c2bd84~YqhCHsE*=kqhzrkx)u@#)wy zRurgFTVBfJlB~c>?OYtXca2<}?BXwoo(`ZP55@yz7aXA1m4zg(O#IQwX~ydFIa3%wt#E;z>may_@SaAJ%U_WvS3& zwkxmkJ+oU3?|MC=K;+A_ywFAFnV!9=pbhJ~=Co}G9xzS^FZ>`VAv4m}ASKwtM*flK z(-jL164n;^p~&21gDXF+tB(R-4l^XDP+sTBf(S+|^Zj|)#6_Qo5(`8WyfA_6do$4{ z++A7vc_nNlm^<)_Q@`Jnp7Ha?Rs=`I53==xINXDwUYK$Rb$POc75@`WnoLh5ImT1k zuD9*fAWYbc*CDll9m%Sz3fu7Duh2J@*Q z=71{xKKf${Hqox(y#?Cb;BjZjRp?x+=8N0d@?!WsGjbe~RoB}%i%zAFW@;`es;SNE zL~#c6_Wci`zPVWr?$FCr#;gz+9$x!|GBhuVJo-)fy-$d=Ei&XGkkp$wN+hy7t8x!o zivwkmG*~j?prC+H_mXV7d~^sE>J3l6dVqv+L*ibrgfuozLs;N+;PdlJ|NVwfUIYl4 zW~Av^OTai<@JfKxR89$g&GhGwV~O$RR$b)=T;VvUx~vZlN5bfz$-VR`7TPQs`o;dp zN^g6YhbNbZ-q8b>wJU(Lqy78a)7I9*)AIV|{N>X#Zgg97N2@ox$K!5LWu=a1MAGPm z_43CXMc<$sSiybg=&^`FLkow<)oi0)W;-%<0rlBs-qV*tmu!uVYpi*bq;dC3XmwlV7r*~63)oc}M$|N^mhkJwvUBSm@hbq_GRbJMkNag~y)%KRN z4#7hk9+@avUw1pRIr3n!0QHg3Jioc{_8Y%Hr=QuKa)#Vea zLM{(}Tu`~1gsKjm;Eiiyqh4V_O&SzR>ElribpWPdicqspf6KVz;=QtoG0Z)+j6aIC zPqd2@_YF`vW+Sv;P+?7lTyyw%6<}QHu$A)~)mn*yQtckowxXxVbl-nvh`-(t=njJYj`46=xnuDXm?uNY4bF5?jFP7WN& zK9AM2KoF+t+R%XXV~vVRn$z$w;jv|UuOBmN)u>VeUom9ORnTZW2$e&abiBh zN%S)nG6gj=av&BGn|)i?>v=N1TUPi3Th76W2q{MVGrm`u=4;|6Dte+f)1_5?`u*Y` z9|G5yvJxVwWol#wvP_|0BY((-ze%=K#95Rw!}%QH+>fCh)*Q8fKPzN2G#)_jN*D7l z)K4cmy`JZ2R33pfakn;&)_`*~0%u}sQk>8~*_AjUA`>QAs0=xwhElYH4K6!{wUQ>2 zaYL;8%MtvMDJTRGZyQDFJ$2hVx9eDI?Mo2jy z%IFS_UM9BTS=_`Cn&DBJ4!#edC8O2%l0})Qa@mV>i&Y{OW1$|zlE}~d6lWW(?l2wJ zN6N2Qo?OM&+ZFnotj|1uO#`bHr%PN{aX(#cga|9Rk3KaNf|NA&Y3iZ8VD#vRz8i=4 z`|)~b=hOc2tx98_2|Z}It0)Q6yZOPJ>hbgc2lIvXFU0&W6rGLZqekSvGGG3tSNsdM z{y)on`8T!O|0>78O87UA;lI*d{sZCW|5Axtw*Me?@sE@LBf1OQKfH$jF5QLgA01n^ zfA|^yf$qZgZ?d<4h2>w=H~wz_pQscc#c%(j%=|Z~6#t9pE`J;RYY_jA?!xvL=jLAr z_J3NAf$blGiofRVe>2_XZ%co<{qN{5e+Tnlr{Z7a7});dGyOMW)XrmNL(USraZRJ% zLcexI{!l)`I^(H|5ou6AdNwgetDYfEX_z@?I7}K134QkbcK)a4xu7L$@N5JD9P)^L ze$UN$S*yXDz4phqr$zlo+sC`p9r~S%<@IHqqYW9IOwX4rYXEuv*4}&y2itO?Dp;0O z=TiC@vOkt~2hZpu_wv@>d&WH5o6FsFRwv)u+uPaO#YH9kdv%XdgkD!vAc#QP=_^9+ zA!*RnSy-nB$IH?7)+K-sK+qd@j+C^hvY3P!wdmYPu%HJSjaRsm^S;zGIFURh{PsA? zlon2)9|-{-_$lbQ7t66vAOB1z3Td))YM6}!x6D8M7rdi`b&o{fIk26plY~L1CiyQl zhDqjl%;~RR2x*H#Lg^1X7gsw(zZjQEv>TdWZi~rIV{&lwy)Y-+qr<^2R6NkYMsL(Z z#X>3*i0OXSAhXps(aB@zo|zQQ{;Rl_$b%}nr*lD^Yz?rCG(ODV!!Tkbu8t9tfHQmOr3?|8P-;rZpjrsL@)Y^Bpx2Bt&{j6Y^SNf^CoOI~}k;+wVN z8kMO@nMO7N7vK=HiOq@~-psevx|f5b*kdVg21a17(W+@vZr(kb(QWMnFG5LA7$CDr zMZU(L6(~t)%2T&xH)Y1C@FQ#M%`-^ChV0y`4Cpt)%N^p?D^=;71tnv%3do*q4XUee znFUamzg8e(643!R>bAbZQh99zj();u3M1G2AuV>Pgfqcelta(nnxG>}(>)4Yl}8Xb zU?UP+bzKjHFym^pVvo<9iqUrvTw!z9q##gQ%O}A((S;&mahUZDqaO89da-(@VW)Ah zU-liP?u5>2@LCt+&0STsmf@sinY;TLJYo4gFNj6BGFC3Jz0p;a#C;>o%v4TnvlQ_l zU1H}@YDN{=t&X}$*MDGwfzZ4yMxLtn@+{LK_q5$gbf~$57+ilu8CBy4#nj0Ub*xXT zPFNYM$1OqgK~>W(NSudPL9oSFH^DXc4W2?{g7O>nTe;!>plXUj(za?I*4Uo70fN|= zmj+rAl<>fg-7ZUq*ZgoE`K}X5pz%ZiMN`ABmGK05Q^Pz5M5$NCZSKaolRY5P4%LA| z=hl({Rg)eD$#0~=gcCM*!ztbNP`K0T88A&AQKuCyxZ>_wGYZYzaybBNedb(i^Mkr9 z($m@R#PEm?KE=7lAxpRuM0pAkl}}?W-J9^@;pe_M+{(eV)QG3U*yyQo!%&1sK!L$5 z-I2mzL5dxI6WSo;Utg7zpJdTOdT2fA4qmX0?HUm6C!+m{(Cn0!5VqZUADy8Osse8{ zg!|9sdUVES9^PuV7=g_!%!2ja;wTOtdjwiHml7l-K^{4{{DdV73Nn}+iEvjHo{gJk zlC5UE254{L6iz|La4l8ID)AEA|r4bcD4e*lR} zvoONwrpnktkx_jQ%L=$dJ-IZVl7YGa#HY!PGmu#Y>Z_ry-4A^GUU~twHgd&`o4x8{ z6?O8hZGd;1TE$YdA$iYbHWF)?+o(;PwWKC#H}+6#%p|@UP^bqo(jt^GI(pu^+HbY# z=ybUqU!QIa+dqDo%T3Mlz!|Qo@PaAr#8s_|M)b3qW$C=R<6{D$P zoXg+V_hff$VIQiZcEG}{Z)$Vr@d#mYxV#y9|F5Ch$Llytfc29MlAY8AXiZjZH8f(|44CdPt**~s=dnQ6Gwiu&W3=%($G>He7X z?QXJ{mA8vsp)t4v>0lB$sPo;VNg7Wkm*Wm$@sTbz@34`J1f}K7@X=C{NwaZg(CmQ_ zc50}<(qTO(sx}qNy3v}-P*FU{Lc+Mo>E-g<}{@T2-O?xcW_L8R0`9DXqLoJyc!V%g8Wm5*j=UDc-i4xc+D3Ga-etS z)?jMuuj&!Pg3#TLCc?nqWtSGID#ZiD`9v-|@9ksD{uh;ZzrI3ncXvjg=%CjKY3C@I zrgzN@__?)HU{P^#?-gSw<;J9*?)EPTMGWM{%^Z0y1{Wu}A~4D~bS8^}X`Q$27Y2i) z7|gjihfAX?nI@y&I2yB1S?8(Icd}{AHaGU9TTWnSN)$}0@5qc4QTd(S=!VhC06?Y& ze|@+&Y?t{$J%zER!#nw&7+!xUoxZu9`Q=~DN&~gNmZO{rQ=Citb#$KFX0%1Sn#l0q z8T+1izz+{wIV_FROTl560VSyKJEq(y$fkz7j|d|0TqVYCoOYY#?E|e38>RCu`4s}7Dpc^!^F$VX?@LV| ze2U}Xp>x{T(H-8r%BLK~1kjhk&*kMb3`^Xya=4U^HE(%)9gq8({Y*d+MOz-oEoKL> zkTEQ*pFV{T41oDrA+LMf958neemPyH@VTblVnHMJVl)6TY-2 z(5UUzGw&fQHmEd!kJqsPQT?DIoE1XJosuFU1d9x~#oE zo;_VkQH52wGfHk5H{C`sg10+MN5jWUPEKWDK`vEwIUXnHXt^Vb!;f8|^4E9-w9|yf~?(m;0Q}yKg3@S+|QyQJnt; zog%DrSRvO)?BL7EE^{HG1gJRdb9~M%)u~vMGiCgC!h(VJOAvi+1m|AFcawAx#9_CI zdWEJLl+fwKc>dSHH5y~Nd+<2`?F~Ek)VYz7J126)($>IWy#-mZpKx=%0WP!4_wY5T z9q1_%K~AK*uta~cXdJKs2Ax3u$$nvp<3ivI-1<}-q@u?Kn}DNGfm#rCY4)ukr@P5v z4m@>c^klta_-519%4>o(MfU2(YjmL){F)AD?H79Hw>|B1arMhAPJ1{kdM-5{6@Hr2 zU}HRG68lugViDt1M{YgCNRP<14<9#>H5ZmNcCRVXfOxKKAuEi;Du1X?X)6ZWfK)*< z6!%x*jHt4G-F-z&S)n~6V^|q@OfQNuRyrUZOXIzB=LYzTgOj8kqRWcS=U4C7vqv`X zmzO`ZvG?rj1>b2Smb5%Ss-Se3+m)x$<%lkHt(yjWDFHg`PbvzIKm&Vo(zhn@@Z{+acy1-x zb^NCgmdNIKBBoIAP~Z|UR2*(-WJ8_OkKSwd3aGz#WImEs*r{IPIJUK%8Y_;LW2(5R zJyLFDo&5%koYjtBIkH9$<9H#88$>iorTvhp3Hh9{%S81^O7gX`6Elm#pvfNA9)Z3S zZ6YtF^5~l=g7=qRlSMwQPR=!?`=G_ey_%Hw$J5bH&&TD1$#)gJC5zDm`bjVLT1_V` zh|hPRhcTv%G&il$;}kvX31B*hj6&6A#FJ)@=0%lPCh*~DBT!>nj-zxWQNwi1)k!m` zi;p%dN#!h$!`$Poe|dgc2MTX<1HS*b2CLS`7NmtDJ z){BY;e6K6?mK_A5z{uKWA1sbxEWlzx)e+@`&pO?>?%}%2qmbEx3zoHUHDj(3X|A@k z!qxb5%$B`3RXcOYNH-3E!+`vbc@;GtLchPC%tMHibqT{WXvhgwW>+#9`v+C)rH*2~7xM+}Uy9Uqtdy)$>KOW_fDo)yfH*wnJd zpO4)u(~Kn?@Hy>UZZ&LevYds?y2G^u!CZ%fGJS{*puufY?;4vGP>caH5wSYLR>9>XZ6?zs<_c2WLu zDU!!3S~@dzXhKAWY_)HgYtPE>pBvFw7%!137aO<2c1IF&9dP7j}t4vVd=N;5R&N_?T+w0|Iw4;}*)5+B*A~yVI zBFqO59|FdiM1qk{DX$?bikGT*tn$}rqNtau4zJxH(H9J&Y_;ZJX0*l~c$!f-6wc}< z-~oDHPBr*==bMDp?E+_9h)pQ#tiii6KEXnYhV&&a&$RRdYA%-wBCQzNF@j-Q86TGO zw6#6l?b#Vn*aJfN(4>>ecOqI;NLJ8M922(V^04|Us|e$f6ZgoI+n;S8R{O`Jf@DUR z$CGKE4tk9xBIYYBLEKRdXG`yV!HuWRY#8cy_gEG8!)d1ufvY?%K9WerhgmCtOye(1 zng@BcGP(B?C^~JAZh;m_8ypvBpVx%orkNaUBr$@4&V2W^7L!x!VXx^TKQsCKsf%ws z^4|~|pQ%=H^awzc*O`rj7H$W5{||R>0Tt)6r40uNP9TBc9^9pI4ek)!8VT;&c#uGV z;K3b&I|O$L?(PnayA%BHB#2HbZ>E_j+qTW( zvAS(PC6l^l^tZ%0nAePNq)ri8*Ecc!Dg9Vz<=~b_^J|9N7C^7@Xz?pe>V^X?T6QEd zNbz#~2_HrSz%VGU1vEKK%x}X;ZJQ3*fJp6ccyoF*#ru~cI;U+857|-xv@$U8g_4N6 z^KQqI(B_7Ox9yQ(sR4dQ9BLE%X#;n#4a)8P$_Ld$SKrQ@x&6H}P7BLX+!h+=TSqso z>A7BP=cGQ32O#Hnz&D&ik=piDOxWT0r?xJElbA3SUD3Aa*_P{dho6sXh*t?s*@EOR z8eRG{QCNw02^%R4#XVpYMY#i<8NY|HZaLRUsl~3mJBz?CRp>ZXWkR~`zbbT6*(I*S zWXDQO2_02v(PD~-KRa~^PkPlVut=nH=ES4E9_7FLK=b}+lJD%n!tLouXqy{IbEJPo zKwn)e$Ma3oA9KB3g-MJFCcojj6DDRW&4Or){aPjKYlZTIY04M3;|~is>6fv=HoxM)(B<(d0znNqv*GtofPun5A8ja0NJeR%+oM|sZT zyOI-}-l*h<aM`%k~{>fy7KiWydyxbL%scd-rx~>OUBA zf<9c9Lm_EGEaAF#Nb=>y|ig0z{7rd5*5~aKN53#9o^K@ zz7*jI3*@Db{OG+}-6u;IiL93~lFhgpN$znd%>p#q5IWTN~h_C#B646BNNGA5;ORsnG@!^uF#&^!L+vI!GsgY9VN#K<`m+$YwcL6EEG022F}KV>TjV{b_u1CAO)A zDp{AI;maeL*5_&46-Y8+{r678sTU820|NL!-O?T_TV2|sunCz>>=AAJV*Ay2l%lw` zFg4ZT;}VU&Ldl|HFC@dw`Z}NYdImyRaKWR7t#JT>{~ZM{O{f2@Pvv2>fp*i7}jFL z#~3mRNcKQ(b;Q!aDUHB)pA2PE3_sc>m$e(OfBR--`7QSvm+IRI0$mT*YH_xHPFms% zgjm;U!n&Z1GwT*USRsfFQ9wU4K#w5y6>MoWT?%Z60iC`T4*4KZTdHld;B#|R2a_RU zTPXVes?bLd75qsv^&sSq{8c;`S?)jAS7Ng=Q|H?_>9=+|dLYO;HKxHZlXr2f!A^FvjejEoZMF zw7zsWUlo`JN3n{Jg0bq7)ATR!z?EHyQEf@i^E8x*p3r($k-KSIl(atL^I zJ&|&OSwB*W3<>mXQjw`P+t2oTYR=R@Oe+Ap5?j%oAQtm}aL0`5zemLB1R~=2bF0Gy zCkuxa+4rhKonffqP4iH+b}`hYh+P@3ViG+dZ#gqFy3 zlacI#IK_`!=t8&x(C%VYsO4lz?PZW=AB@pvz666d&bD|7p>-cLNtvsy?w4u{uXn|^ zxajXPyV20l0I;oRaELOK!^vucbt2G#*qqpcgaLsaLu+S>R?)7f>klrH8q(VKv;@^` zVfM<^J6qUr$(9m%wcUEO`ZUf9_pHs;lM&N3XmlhcTwX=Z=$%nI@ktMb$rL~4S!9&+ zcjfzD=MAAtG`gf|2=>b;0=ATdIMn87IAy*h$k9+pk<@;$_KcJQ5dr^{uhH3=e0mXTBpKe8qwczMSp&M&)3G zWZjJK@kNcpOC8D*lVjqlcti1PqGWCh$rZK8ndvN6hv~E@l0eg{FyXUH;vVU21X>%9Aq z!_NOI|KMMx}sys0hE#$^R1~(mH=W^MIOhIuq9Xjt@Mj_ZMn&NI$%pu}VE?bF2wcBy zk^B!(5q{u+&ZNLz4UGr?g@fdZ|SBL@q|}ES;yid_f5=S**ud+pX%K- znmng~YZ>FA;ARz|6y3+I00 z<{%@;I`3b_LFIg!=lbsZARHi`S-$@B(9i~E!r=$icP9p1$CRAbaPkC z{8+J+dOb+R)#uX-e%##LSV&m3^jWmbA0GGcUvR>Su=x}dC^Y^^^E%#cia-xl@pqP* zmQ5xT%hY4K3Hl@^6=Vlh3td@kHFb0MiTYbS&Ro(y0}>onJXO(y#R4(>4dO>W{@ zhyKn|s;tNumuGIqBz!M^mY9H1v5fu68-j!D^LCQaTokTLyC!GSf}ye1dmclM5C!CY zU;ZT)AR6^PVTxY*(U0xp$v74yg#IYBG1LpyioR&Eow5uPT)x|`H@N%Zti4rec2C$r z0GFwfcONC!XI)w25KRRhW~M|q&2&P>q2bub0w4X5zm#y}0dlh%1)cBmj;`3bw<%6v zdaia}uF}lR$SDZzOZ9Qku#qR?6KtBj)5?i8FXuGZm7UA&F|PXr8vqMn>=DpEuQ&&< z+anuoH<>}}Ipv?zK1^bH22rO(F z&HBu;7u}5nKkUqD+g=3m?^N#G$r;S8TIo40UsCL|hST${AF&>3tTJX4zz1R6F0c-B zajwM~r(A|E-?0vpW?hTmZj)tQE91gvZ@sz>WMvf1?b>HWEohRqV_|WBvM^gP2UA`2 zunyZ571PzVWeZf*MW4JW9A-vyw^F=J%dqX|BI*6Spkgz-`NkqYL*YZt1LFHk(VJq=im-nYCdN^&xK|Ty6;VQ>#hlf88K?L zHK(sFsL}jQxUo&IpyE+NUMQs(=y4>(^@19u0Z(VgU$@D5sh}>RcHu6TfGX$>a{slU2k1&AvYmy zvFO#awtO#IurIcl``aN_#Ug`GX4{0)P*hd#&N2tXw6^N=>$jIVvV|0}kW0Wo;YQ_Vy z^t}aKK2rgU;Iw-&?>;d~R319cBB~F+!K$wrs7{iHliiEkURcgj*l}2#i^&8!Q&oN8 zt$bHR$z7K9xlmzHzB-(^S=4#P<+U|(eM=VCS{f~(U_@Y?Kd?d<-FR|@Du_+jua{$) zE7JMHF_DsalcQAUk~ZC`Ga8&Ji|*kNSxCmVbgi|9ii$jAq;`|Txa9C>P-15ED9qv8 z%+!ol!8I)^>~BGbO0}azZ`b3WeL&lshyDH;^qjN~cV(V23u}|J7vu7k`Vk#UL{=W?1w}J<)5s z7FirTj{W|NY@Z*Vv_;QxEiVpaMocROp8TTa}49i8-T-^+5*j)M}9~P7se=61@Kp= zV>FC+h=@kQIj9S)YfTs{?5d#WfDo$CiBH^kNW+yzdyNWZ<6n$W#O_vX)@QTo2nu~RP#|~6vyq?@3@3z-_DrTnJ~YAEL0`ohW#@{(AM?1uJn^lt|>#u7S%l4g5 z>a=lMyxwdvE6yK|#G&rvog5r#-dElB`4FmsdVH{f_*Ayh7eOpgW+QkoY0`W+`94p; z+2V5Zn}-JBG-uJ!7PeEPo}kmhec9B|N5(1ed#9brC7UwTbRMTW?fFyMkZPRhy{MFA%3naSeYRP`TiuQ{#tPUvD)~rVuAk)7nzIX*UIi60Kl)+>0jCY zF9iTxKiRv8{HvHm*%!2KHlaQ`z(GS}ar$)7lY`!|;O7uJ~n zO*r6JhCiF&~boo5ea-ZrNe<(k0XJKQHJWj}p*K;+y zdj4sJ?@AC9Y=I;%$7I8#95}o^oI5dA^yjg;?o@i;~cF5L1ZNF8J|qcdc+- zkyQ3>Na6TsWsolhyLs67te}XnjW2S;oE{|N7#5{ScxSo_kLv1u-$7 z33m!Tnl^A_14(5&Z6v0+OcA}a{gpPGsK%Zfx{=9Ck$LKsnSd4#hKYBRY9(wj((rDX zvAqFR5ck0$RHmQ4u9i1v%SPO_jsVOy)fR7bDEks`J?b5)M;)QXya=*202prcPui?0%E?3EWD@D&dav*OoHvBHE zg&17yh|Uef675X)tJ)>avtRw}Bw%RQCv`9cD|X@1vFf8S8es?onO;c6XXeKWjV%Lg z_(#)1C29>*rm~w{41pX>LC$SLF&Z$>N?aE8prT?g)K65rBY5Wn^2c+n;p!x<4!nEn zUT1!iDf5l2s+f6O#h-@XY%evQ$9EeKU36NcX0l;N6V$JL*=(O{pNeT?b9Sqj+MX=GvC z`8Kv`4iqKM276Uf$&IrzDx+3+@$`3uM#Ut-kX4RRs23t;PoC}=GvEYy8s$Z6@NV}s zmUyDr1zxi6uHZoXvW%tTF7B)cBVyCnoEd1wOtJs8B)XnKHC7{dK@fIZaV z3>dTIE8o~yUTACjXEtIMBEC!77JWWflFf(6(^ZVIfgTTgafHl7X3>3$2Ojcy+ipi$ zl}W(jb)I<{;XZ2|LOu9m?vahLttG!PEZ6F}POB&1Vyl;%6W6>X{*m!x1r>-_6d#;X zsrf{0wI#{v%#p#ombSOMza5|MbEb5)ro(}@JI3cpL+@V0n(v|b zcX34YxK!OOIX$V{xV78bw>x6sAO&%@wC6dWv3kyh(zK+SJz9)=!~I3$zw>0uG^^86 zE74YZMYs|9F5~bWE`bSKUhd*N%Cqf1-(%NzR~agEzj1xo+p-I{M93iDe;*#FhX3St zd$MKX?&jp=>dM6@8{vXsg1St+3^Y7i?EpbsnSw9&zIY-idU7vDRJAB2T7?gheblYg z!a&y35tLuyb9>rbfShZjA0AKY<$9-2DwMU}tnOZHLX04EkUHMo+Ifcy2&VvsE#!5v z?oRJI)(Ztkt@?I1!HR2i@r0HdR80Lpdc!{-wihPdUOj-pjVt^f&M~z=4jwK$uFH;= ziPA_d(MFbA+n>Deqy;^%b?kTCl?+w5RUGEf633Ya%=xHJf*5D6eNFrt@=O}|7WG=2 zZelyq5-i^A>COVc&h2TqR0tlDhl7-GjH4wo{9zri4Y)lyj5JD2l}kPY=zwr3Cg{S{ z=0gQ=^Q$Q$hvQUxa2S+dNIAgfKt5q7Rx*S}0S=l$ORT#0lW0Fx5LO8sBxSilMF(jO zi>2Y~*x+3&K=>Rg6k3#8d76cX)dGhGT{&FHO;^;}o6p7HDVsMVmUN*aol~5@l_Qp_ zV2D1fXueB+bi&(?iPK0LIPLR=?3FRUe`{sVsG%6bJ4k?aA%boAQY!4FklWYL$cyKr zReHyiB)DYj=%Xdrvu>z4G1=a+a#%r=ZzUvbqAAc153Ds{0y?6UM7~tZJs(RzPOzXE zdy}h$#=RNuh3IZxruFeW^09g}P^gj8 z@SBo&nJrdD14C{QUx$O=w2%R=P@Z+`d*z1wZFc$c7vbU1vRo)$`--XEZk_=T0aL(% zUZP89-F4|MSecKWqGA%6<({H)+kue7x=9cU9+N?=Xt6T5o}Nb%?>@W*)E_m()ves6 zZ{2;E1HuV=r6B=WctII8-{a2A5jBr1$lZvKY*XwFBd*3|Gf=j_nT-m?!NU{Q(ZTCm z!$K2r0$otadCakCugflO14xpB_&J!w?QyLw;xKBmz(3OzO6r~sEU9ABm)=4%N+ zmXayEYAxb&t8$xiOgdt5OWiOP3Gr9t7WiQw`tP>Mo+#c&*(J!n0P&z`*uh1@r>gak$@s4~w!0LO)iTcL3WQirS++_~xDw1>RyOSyqR`SJClTjCMNSJzE5gA>35b*OCM1e`7^C8Emc zmzhx-I;h6Q+1D|CF9G4)Eycdky=!cTOTZ5=C!_|r!iGsxvX6O8L-U>aCaFf{HM6iU z0v%L@m2{a&%#E?9YG%^9Da5oAwY%Vzj*o6DeW@eys??$spM^pP9d2;>UNkTH;l+hd z42Jt5OJ~YF;}K>q+DHq3d55Sd@EP=pM$lIi9{q5p@v#I+`@%dW*OA&~@O$JVdzxi) znCVWh->adzWx8?vo>v)YRqA$JJX@@m$74a_P!ZT4CHrMSHjtl^6cSSc#|sVRWPLtc zk;^9UFY{5lyy2_9Ia&lgE8NS>Z_M9Lm-)rt(2~B>^XCpv`4|k~eYvWrZ(@Ypyl2m)y7SvS><({xgq)>^GT(GlaHgmej8@YC; zUQZn@N#ycoDkEpLIGTI-Qrpr-#7=#LpJc4 zg)!&Z)0o%8LM_Wt=M#ns7=C~m40su;y?Q@AuK+$t_#SHLpm`FE@KHy2R9D4TvU5CP z=UEve`a&5~qtV^f7AE1twfGx7HFVe|o-7u+OXDY_R)t%A-|Xuj zFQX6wUEGik7A1I0n0aw%UOp}#xP4C==3n7kOR*d$O;8?9@Z|t^BdcYQBOUN6yk`#! z!!CyA$~-#tRYd^Rtu7if?dg;H#-UJ?63Q)8*;9-ecSD}YjC(O`zxl{=je}bz?@YT! z(TjvZ?xq?m8xPyd;AYB!MN+$UXcn}X>2kD)Y`2L2LL3J9i@nBSp+)yocWOIqFZqu) z>4?ESwj)f&Hd}$&b6?MK*5-K21k=@rQkT?qEHAu-CM=q%^|dG1-t4q|YRg={9MQ`;=tX?ak{2;hjEQjhsiB_j2Z0L=FnJ%ByR4@U#IhBqZz(cNppFiqwVMi+3`*s> z#e4>ZRIQhAeYvAk4TH+L_N($s`ne5)giN3KKM#(4mv-)+=)tGtfO);&jz6!)OLrLc zabyh&J18-x+F5GK$5b18bp=Pkn_c*bab=rDD_fa*rU3a?U^OyVj(8L2*_RiltFa5t zubdfl5ZUoF-@&jDnEN0Y2DF2 zO)WeceJz0PIuEXxPh-e5?Q>{TKGXXzUCeicF792hy{yH?UQM>1I4Y@SDq|f$q%3(oNZv**qB>yIW+o$Jq@)kRHRJu#_wjr6 z3Pi2n>&E-87TS0e@I$2hpyEa0jGH+!8C7j)wS2(-{!yA_=#-RH;8ze%8*lVP|L2$u z(DTTH;h2~CFg^PaL)BVuDm|YSK?U0vo!&|XainspB+F*MRC#%62w`|{Frq_iT^_mn z%8&+KpjuGeFS|qUh)PgX+PBIJ-8De(r{pKL<&wZOsP=5MSbeR^Pkg4U6&_{9>d#Z59=19Kx1@z^IVeYc+JEifsc4#QRyo7yXN=V<@7z=(~;%m#PLw1F_IPz@#^|0rDF^&=Zk@rC{ zTjf`v@_GTP(Uo3G28vyeC;Lm1O4v2b*wmox58t#Qt~vu$=~(dD?N!+^NGP0C*zYJ{ z>A;hPuG!=q)(CGtM$D)W^t>kbjV+^*)GA21*YP>N=6dmr^@XU|iwG%k>Hg1nQ@O4x z?D!sLxOt^KoOdY=4YJc88^0?o`R<&QCA2{cPIAL|qlak>^J?8brM#MN#G601T+E5J zW)5efoM32MQgzA7ua79xhH1pxGD_-$BlONSY11adt7xN|O=>sSM~K1Q+M8@>X%);A z(MrUO&Wllc>GZ5j?W)Ihz@7cxUcxPU<*YXViZNL9Y+ow4k4{TLDHI!(reE|-H+}5J zldU4LeWpa~qa+PMHG1+3qX>fFiM9rv%CbUzwNf-kf@w_`)Vy!3Sd^YCW!(&vx(He! zw@L_UHBl$DQwUXheKzDToN$|(t3IUPLaxm=2Ck%RX0JP$F~#94_6&H}W6Z;jEURgf z@4f9#p6n{yd&`*ByirhGxP`q$lNTC~6ztGXs3;fw3|D;&UczTlt0AxA*nOv9P?&I- z@SRTVKoec* zI0@y#B&PcAj62J|SBP@g^oF%IibE48-i_fWZ`cb6h0$=~xRRjv!OWA*ZJ1HX8|-2e zN}Z4()tbc{=*^SM6l$L-Zhufa`*C^3VC-yfa;3r zhxw#uO@b$I#}U~kWv;R+$%Aq+=2H^5dbq9pZ+72o0vhm1YO8cARe4e%{=RcQo>!`} zdF_6#We7_j*YPo2pvC^q^tQXT(~%4L%PCWJt}4L%4UZiv@@}yx( zr7%fp#NgXu1wEP%*Nln!<|3>iBV&an^P0B&aFO~oIM*mf_sbP(71Pi5KS@xa)7R2e z=bZwrQzKX-gOizIdTe|z@r+dAV{23^;bqlzKJ^tT6fw5b1J_mC(C1R2YZ)Skl+{&K zWlKLp(e1ep)6Si&E*9=Bb(z}fcE8nphT-yBefo(aiDCcAH++4(u;j}26G-Ad4bH-4 z5=sGP$im@A(CO&V7;|5mLN%-E$B+uCJd+~i1L6})lQ$HwWXYhfb%B5{QaeG*eUoXx zS?OjM!O+gH%^cr0V=nL2c{WRvtv_0oN=I=cK&gQz(h@&?HA*u_Ai-A< z`Q+F=K|uA+3$i<+XxT_e=|Xs{;e5Ll59eX8^hDURf?Z?_yo?Bun?ajc%j=kmhzLB+ zRS)dB4(wQnA{n=h`|yKZ;OOo&1Uc(;p?@=ud}HFde|rD;1l7$7Cw6_@ZY$&Z#f?A5 z#NExE=73CXEF52?r{nwT8M+328^)H_x+d4ghds6Fk3E||O7qVot~NX$x=m-4fu2eG za~&PE$GTqEhfC>GdP&a(fkxP!#tI06dnpoJrtf@@nOYueck_p%^=|u(?{`tQWD{DC zV{4jHnFBDo-lkQ$vuuKGE;}scn42+WJ?<1<4ZhkZFkiAtti-*g1DHD0?VNPiVUxpK zG9H|xG3kA{p8`$G6;RRI&jst`uM{&{D*>8`N^Kt1#8!I4JiMNm>wEOl@R^ehMFJba zVVc^uVa?4Rbpn0#y2p3ti4SY-tKZ+hqs(w&d#}$$Z_>uxQns{M|MF!#lxDopao?sX z@#A$*G>@ZFKZeMXrCRC)`3U7WIgtyKMf8rhT=X*& z476m?^c8Z7AmFIX@25qhRfTpdlRJCf%#0jRylOu2HfKU~J)QU_6)u2HXBHJxjp*v6 zP4wmL0=SEx?U@5Gjzj_&=M{y>7Zs3-q|DzXHtcPh+`^fw?>ZJZ)kd}9u zwv<)`Gk6iBfYk1-&mT^PlCKCH_eK#$gYGTkxIH4F;$wmRa+A8$7jos%J~J}4D@dtJ z*oRB!t!KeEAH>vhI+fP zg9(b;=Y_%J&e|hTX=Nity*?6INo@W$<7__SALsHnQO_v=s+d=}6y>vFWhR^639DC% z(b1=KTD7XW-<05a<3DLh?6j&{cJr57VGDec<{Y>hqi+=hXglYO>7GBEwZd6Bj63v8 zP2UTrSE;tj*5(fjaA50vvzgm1k^3^)(o6xF6L#)~HjXefnW52cjDBGu_KIY4c_o_$ zRC^$u)tozfYo5F1m1I7Z9LnN~^uy7&Dbk!+w!xE}kofS9Y+dK!-h4 zA>%?47Zy*?ZjQ9X&^T^Z=D%ssL0K?YAs5f0TuO$l%7DL1DVLS8QOVXKqbZl9B;vit zTXC*RkMGjnV2sUJ$i^55O*g=(mak-=L?Xxtsum99RUNRkkEO5+FZuyr z1s`-3Ykl^kQ2wr^Xt!TTyv(Dic z=X68=zVMw|hq=h7=jhWER4R!q)FBia0X!eY@^`yDSl+5hIfQcM)D8t9kxy=JMV?)f z=v}6AP>gEM{46YYzKtcI3B@bGda!`Do)2A&ie55iw)eM|{@5B7z3w291N7##i;C(Z z45-V{UynY|QooqHMRL2ir`n_Ax`-6NGM;U(Y=J&{58bUfI}!}@jA9=4kS>)*TTpA9 z29&~6k{bZ3!_irGDGzXL7^esY#iDA+LZ**Wg9TGXJap-unIE)cj2bD~jxjOyouUnA zTXG&YDqO+NP=59$L-)|*)?3{JI}5h4!^@}@n!9|P@K)!ta|LmJlbDaCx5^ki74P1e z90||3(_h~2hUpZtW+1%%9UgYFf6_{+AivPUMi!! zQarwq@K({Bo|5fCs(5tDN~Q|=WSXZ^<$b`i zY2|_R-Fmb$KBJde<-xjlOC1j>ZGWIL~IZ>GHVL_R^c-l z$|A_S8nQL*`xSO6vJS-%2h4!2P0g~n>0{RJ zuW2VS;HKbE(y}qz-{um8mwOf`V$VyQtFQQYTjc;V(XNiGDVvU;{9JB4zY#7e+2?wj zOZEzyFEQld22{lhwxLU1$P}$ZooPnYVV;sYKs9m1TB0Nmb?rNl-FPV#pTnCcetNKr zeNxyV$H)5&@7B7HWpu6U0^uHn_L=z8vS=1$gQSB4kN?G|1~=ubF~eAEvWZ)Ct!skB z@%XrVy!#(+=7~vqj*I;aIVRmpY7Ny^m-vWsTAEl$IC{Q^N#9ir5-*8ncVqBEzyK|) zxep2p4Ao?MUR61i2E+Ar11Za_rX^2#%*pQowL47@Zo zOzom)y0~mD8|>9&U*SjKJPDV3d#RxiCBZi#8&7FbRT_NJY+K6Ii^0T@&W{NWrUb(A z0O)d?Y%insoq6a2wmMhWO$yKzBvN&f;zF-aO*IU9q_4c{{e+gcfsy!adM{uis~ugu;# z*cvJugGjVVnBIwslQ2nHzc)1&fn;aw3L^Q*;rS&G;ue)joSB5>PkR1eEGs5)014Zl zWF0n=UlsXHuwv3sFt9K-1d;qqE5%Lni%s(n+^b*2puY<9zm$9Ri}v(it0XfwBY*_J z$;QaWP6A+MVdUb5{Qc64u=1~#{oQ23whl(d4kS#tM>{ zV(w^WU~6N{9c&rvBxND2G~dw!ofOO~+-f5_ppN z*DS=gsN9{wnOt8|5;jPEtP!9^Xk@E0h4CWS^wMv3N=r4P#vK@ReczJsRZmUv+dAi( zn4~{7C*Ct}c`N5Xaj)2sT&vQj3S7M?rPcuTw@(z;|f}O>3#p>^am~4xf|{lxM;t2 zM+7r~X8YvEQnwm=~>sbRYaQFu(A zofS>Pasvu%RT6T`mHs3S-YXd%Ygl2^Fr3G|A8pww;=BtR!b z0p_x<;YeHNeVXwxAd;=wq4?xvbz;bcovA6Rbm_+A^wKK_#sMvNzQ!?2rbg!=?z*1y zgOjPD2Z%YjB_PqbDKX(~N-pff1|+I!WQ=KkyMTD}aC1oY@O*RG(|N8p&i*QAq`EoO z@}`?)Wa(D=H$~3^9VS*)MWN&-y#tPDt1!L4mr@;d2U-gcTx}ph)bENTJ8hzfj~ET zf`+x4JX^=i>IFVCg^@#%ZBcy0RE!8#^tBf5(=U-`@MSgSQ#I+^`S46O$Mxk)jtJ+5 zClM|P1N;n)c~-(+d{)%+0b>D~HJhjV0pAb(0yAxhaDpY~_{34VhO>VhY}wxpKRziu zUJE1!+;gHb4SdoA)}Y<68)(L>dOL9qP0W3BD3x~y1GTB`QxgHjDVuC5^&3=MKn;NI z{`=}n%aXwHc7Lrh`^z?IVAzzSd>@lXyuGoWa_ONxyD9K%5x3M#U9M%yZe4l_A?1uc ztf|eA3+k3nY^5o4N<9x_Cls9o_s80~tbsZlQw{C`b1uhoK4*e&4lx*9jv>eUzoG%# zN_uD--2I3t^~~3oKCxLTZHXZnW$?uWo6mx`!9=9c2P_r zYfIpOP~Oi6XcCnf@ihezlG>$p#J3< z^p4Z_y`PzA&(|hIr_3JP9NXW-jn8B?m)qy!pWkG(Oz$k4RXHur_knx9l`c&`X4tYB zRIJR;Jmw9YyFAVB_cW#YMLd$flgrXMKNx*i>eFAn|Mi9JM77_?UA!4k7$9}(o#Fgu zwl1Wxx(Zn$QOo-ihri)P@6ty&WQ!EzVeCRmy6ZEQAQ{NlUv5+FK4qm*tJMstrAAl~ z2vToIe77i1C#2x|?3ClAe@it)0SQU+``I>8Q$;2nzpMAhQ^m+?Wl1mgeW!j(udT9y z)K0^;c18n`+c;wacAlwHl>5m0~t7ok}t~-C}V70xZbONG;;)z*@ z9Z1LgtU3$|2$8xiP1{rq2YqHRiN7|RCRO7ehl&?jS^T4u8aO-t(Q)m}cYo@1ADu%! z9Wv5>b>;-4oqdSJ+{#%4=Zs`ite*d8u#O&>vL#a7>(AiMOuVm@-{NWX#Q=#uow+FGxoC%@qq$jE7Js zJi4^0T{g9cK~O+frKG9bT&jh>Xynt5PFS10n<}#IzjspJy;_UhJxtHvxI-3|jw` z{^}(}*uSNm@T|RIT4~sf_(v$r0(5a9-^)PS%(BHzjFLpjK4#$U%MTDmhE@P__I^}+qTTF{gR)1F-9OW5=etV zc3#X)%|IlO>M{wNgB;%(JBZj?+d+^rWIG3P)s0ES*2>mF+3vj|WKT!b*xB6BSV=W4W^~MCy&0XQsV+U< z3;t0-lrz)vUEOA}gQxm^udm*EIxb}`EX`2yxBCmIaJ>ncB(257%v3vBevj@Gn|$O4 zWluA^;ZGp-6@L2KGMBr}HQO1%^b_G{aJrWxt*(ln{a#WES41}-w|XzMHgfYZUh@y^ ztO(L$7cES!Z`oHbJaT^=yDMnRhCZabC`0jS?7iRLN5z;G*qVhW1`^*sRFDZBYqw41JL>FGP*CUyUu@FnL~DFl%J;KEk>um^=T>D{9`$w^F~&v~ zF9m?3UJ%8SNr=&T{jk5$M(d!*S|&}Kr9y!|788oyn|odBX)LXznX1OOS!E)T!^*u56N;hT<#1qMKE%_jSOmAUL>fz zqLK@$#22Ov;ktjafFi5^_|aCot<4k$YNggEEi{#4UUTZS2y4`L>&%6L1kQe9s+NHS zhkeTuZW@{w8DjoVv*vDRonFrK+0?})A1pzxW@)DHyL2xrC>EL?k%D&O?qb-gq-yBx0H6sYRC!4#QL7rSKe{qd98AR4Ek&)F#0USjS=j{tNt6XquS%;au90LZ)OP zTJGTzrln^Yi5t);bF*rmG|csj{VcHJ+gm|b^@(gqps}h7wU5)EATeK9&2>F#2)?-? zAdYSu+||I!&W{86YkXJ=cZ`v7q>T@g-;TaruJKte;I!3xyRCYW37Z{qU?xjr!SE_O z_pS8vgBv8bf&@cRixYZGjhcYYALm^kI&EU)<7!3;@e87p$9>1%l)|?;t3~W6aZH+v zUOQlTxoUX2F7l(a>R55_Ey-Vrf$1qQPs+(at4}43Mg??f|pps zY!XIcG~Wm!B70uA5OsAm9Cek#T-r0*@4-FTIROR6lWX1Pj6I0)j56=Lm+*%UsI#9& zCdMDu?~c{%K19;zJwo3~sECF2pO=TO@H@PH>L&V&z`)!j|&fIk!x_@?Q9vq$aV z-TFv%@8Em4qhm~dQF zy~T5OiL0|0rylZ3J>p=JfO>e%Q~AjC9P?2M1tgm`Kbc>~XmxBDPZ{InN?Q4Z!=aW7 z6tR#nz9?DhLn$KKC|=bw&oXJ#)K7964P6Y5aBS~$T{NV>zHE~f5vMHiUQ*@K)kcn% z2se4-Z|CA?yN=&-?4q;kQO5b_3Yl@w-z$~~PICHkPybO3vD>Run`zMSw?-zbhTH;q zE?7+vD)mQm!s;7`9&q4V>PT%2(KUKcy$|5yDg!yMU|p*Xt`M>D zR;~>!aQ>Z{TJ)n+$p9#7qpB%hoO#w><&$e($~&Z6v3{1l%JZ3a2Dt=_^Ykvvn3JuT&=tw^)VUEW-UsnRc`VlfG#=GppQCldHoxaMt+Il%Rv zoJq?yFU_Jk9e@TtKU>5yUZiv9g$;C(y;{c==;c49AL!&i}P43fpQme z591Jif}>^esmePAx~DY6IwZP0SjTulC~%jedF#H$yi9e4t_pqFpwOq1qlcL`MPIp7 zS#VFBLNy#-mm)_kd-Qc4&yDNNqjL{g5@mYl6(z-cB|(Fqhy3OBMPJ&NCZ9M?#;W*` zEGMRt2g15JXA+mK@P10@idV|7=zJA;ug(Q9Uv@^uE1MW*+56vGFCMciEO+RgNtpP| zQm|zh$((lBV19EsN}CzZ(|I8e{PrBR0ylenw~dFsc2qJQwP<5}8#7}$LP7R_n&EU72Tk_nc{LQWZ91Q z`+YslGF?|la=RirF>yBi)Y3(p;e0Pvg(sSxXw^Sda z!1wPMp2%Pwtt;dU%_v*5LGqiVYO5#n(wvQ7bROOq3Q`)r8G-L$2vrSf#C0fN2d;T5=^VVBK>@OdVUI>WJ)C}aHUYkc(O_R>&Y9L*^4JMnPRj`9khWP zl!~14)EjD9Nif<)RA7gdU&iG$&|8SJ5@XyIi_kV__IJrpH9Xx~sJexB(4yG+^QOLE zf{ij41J8vsNTi&X_<_`Za#x#BQH3&)V>ayU%hC_o_ablGP84N0P5Z-IzAH3cv#c}o zG2_tl89EsYP7upU@pokG8P2WvX0*`|0M7j(zi^p%O}gM83$g849ARn)`SYRV&h&qG z#`k};5c}7I!hfvE0NA$O2a1H7f36C)*9yN%{-3%g0}~!f?WyH|`dAFIGvod*ugSnW zkPBeQ&hp_eR0c3)XMwk8O|~tvYuE4P$IjaB{~aO&82t08;h$?V2w`dX)3*QbYcj~r zvqD1Z{(da@eJerz&71dE!ilTanv6x%n7#4BoAFhMIx;+_uF}qNh*6s0@i`&CbT70rMM-^49q=Jrm>wcb( zs<~x31V`V~GwRJ$AvxeGNOs})^)u2h9iTF;QA?t7Pv+ES&ON*J?j`#%%I_YOk1uV_ zI|gd;jKB1G6W(@8V^(R5G$Py^=jbk5^l5#j@1{reX)Xt6Cv7uo>d;L;dKSmXcr9XP za&WqtiX$gf;Od<;NvC9*@$ZkeC8L6FKR}7~&zvxMHVqNxJ#%(u@wC;75tuGf4jW{Kv*2%}gb7vwJ)Tk&QV8@9dbCAt_v6n!fbnwNg0b>@+n zT}<>RPcp?v@@H1kuB{G#3Am_{t$ot}+N+2jk!U^j;E)SBsxT&BBlm8rk~WKD%W#bY zLmH{1$m1{>zSI0}u#~3@4Jd8|Yfn!;4f_L|)BGQj$uGEarXQ4sJ>w`W@jM@#PWs_| zLkKaMgc&Q!!GA^2Ol3Up_~g3#5L>F~M+75d*q9GbK$zHLJ&#!Dl!_1TM^hrb9pBcg zt1QwhrI@3{sWO970%wu~jkPj-5EL})A71A9>l~)>Dr}N%OZF__I+=1C5r7dmT*}wY z;p!zPu&l0$m8_OuV>>6K@i>z+BJDtvmEsMqmY^%>=zEY1+vEd_^5QuNVpYj{$+6s5 zMve6f1_ztYQ05zWhO#ki7HYi{AhV3Q;qRkPlS$u^7FrP{SE<8%u%qTfZ--+}yJn-} zVD!V@Frynn2iy&+&s(w78cu&>ySH@#*&GxsJA7O!_n-qA_@ppH;pxCjvm9rvb0^t{ zbuOo!$bPQDi}0jflAA(WkBu94P8zd6OPM{z8V(8)i0e7WlAbG=n37H1_@2%sV?55k zkarvu{5}Ac_>yNjffI4;a{PMoCPu1Ts5rnw_>A?7bG~LzTbpVT_2N#9PhXHlb$@^@ zIfm=jGjPS!k*X$i$#tAYlkm?p6ZpE^0C-Z*(GC9*Ix#QB(f z)kyRqv^6G=@ZK&t9%*lqG~Ji*AYsmsFardZYw@{Wvn;4Tk~ z!5uJpSs~7_uislE%oaCvmBo6fO(37gh%aA|uDQ3j7G+8-hh@&x&z&R!($5q*T0&JeHpfgg7n(SJ9?)%Ct^)Ly2eN$R|#j%vJLEJ~Fry z?(R@9UmX$F`0oa<9Z9jx6Dl7!BS?mE-BE1GeT0#Xl&3w^9 z+;zZ!R+9k(A^pWVZ=$DO^BXmJ$Sqy|uOt11XN&)o@SQxBSn`s=a1dn@>%e@%H@H!u$(609aY*u+?KXhpc zsP79LDlrQ1fMeWEp=D>>(EF(hZ=%WyZ!hoSIC5V-z#E60a6`Afcv~rT2jpwxAo3nm9%$j*VB?pBvT5$svvOT^ZXPuI8yG>q=ukJDo?A@MdkuTP4ofoNaIu!WAjg}K8&NzO=5e$Ijh zQu&*3R8vuEG_r;==yA3;qC>3J?QjMh*yB+!T{-78z4rf%|1V%7t?)xxc0-dA9O4H7$2q0Cd$sKHf1ft%=0F& zj>G_n8nrkKmG>qidD+JL{GganK!5M1M^u9fqGG^Gf5h!*RRE0AR;abd@ouaCl; zfbqg-a+C_*aFAMfl%B<$k?N!8KP<^%50G^b@y99Y2z?92_c_EVK1p&NdL!ya1#DRr zUk%?#Rv;)y#}dcyHa z-nUd6@{lW6ebZhEMrVAtLnu+?$10VDtzibQNT$?{dEU6DAxn4HEDnCj%SR>q+Q97T!S)psQ8 zaZr6GhvvM+*@jGyrArFLP|IQF*ek14Jg8&?voF&+`WC7^c3v5od~7mH#pC&DsXhI{ z&u!~EaQy8m_{8HBa+6IL40=yE^q&GR=1>gH=XtPb~d?=z5uKGzUiyjp6mHLJ98`G`jNm$BDh zzL|m)5&p_&sr7YkdP}nTRo!%IFp~3&3=A+k%oqGRl-vCJMbe-^tqMeA>FpPNo+r9G zDHLQl?;L&i&Vn>Iu@F(&o=?VxBjD`zD>Y876~X8uSPuKvg_O98of`c_QX zwfA=vy$mN8Lb9ilumaQW9aTuD0CsIMgZ9T^uM`%TG8i^&XGDYU$-Q2uR7tKjyx+2d zgx9<$mb^g`l^YS2^fW`{dV11pL{;@v9-D=^7&`Z>(f7;q@wT+oJQn1wnf>g#&rSq5 zU7+N;eW<%)6$~tl^z$NBU}^3)neDi;$HD20C8p_$L(FQxn*w8c&1ccwkIR9w}0_rMNGjGc+PAGKfO^(5teM^@GavEwBR_jp>CX?pU2WFAhG;aoUj5rtF~7`{qD$Kw>Cl z=EGt4`1_$YQe%%Y4yK+N%tF`-4W{TbNY5~K2|uSaU-2?2UV77UNU5i9Uh&X*+oOsK zDAL#Tp|R&NYeLUrL)cI_Ll{488x41yNLRt*7-V=;aJ0pJK&fONx+FOcC@zG;e z=B9$;{hJk0GPGk%N$yjPv7yOlKAE0+b#c_P?UcvSk(|aFG3d8oqT_s59UruN%KJUy zKlJKRR5}Tfg;b7g3$j}wy? zDH@8bf1xD}owQE@8L%+Ek|RgzsA#sRa@(nAElPfPhEr0Bh~PLu!&1iR&t&e$o0?`F z!ePb#CXXq*liuZFdJK`-TQ)H}cJfNrsE(FaBJr$*@H#88_HWqJ<(+cUpAB*k=?lh? zRRzS{k>*CN44=cqz3CQfGkd-E?j8Th;1ev)RXv&&BUl{&djPb9Hy<&jKt}b5A8hhO z_JmVM-CH>iK86(Q`tj$9Gc==!6u|)2LP{>5nHR@SJ}~a#-Z%}DOKj!wNM+9RDvVvJ zL9spg5_j=KRh469-a(tCX_2F*78MZU82KW zuIS%U7JGMy!-lb#r8;~~V;l;_; znDo*phj6PFQyNz|=ff{O|73AG=|SuSrE!W1rM^DVT3}zLb3dx{P-cMqIn{8B*T|(? zwQIiJ&y7e0a!$4l_8E|9aW~;GFPz6?J?WxvP^Ldxz8aWPAm=q}*^nM7$S3tBB72~d ziT-kCcOu)tTI3}f7V?SgBF*aYk>${ndivgJckNKz0S^*i^@D=z>RDRrS#U==9UU&DelTb$NLwij3He<;sDlmU!{e|0@1sA|1W4c+*otb zS@vS?PNGkdR6WAwKgHKeG&3(;k~turH9@6>8`Byn|y5)s+yS;{N6E%8>~ zdL)L9kPq;!H=9D~zvCt9v3u4-n;wf$J}G>I|85e~4Az+Rg?aZz1SUdA;-iXK^?*pE z?j?R+%jKTH&;5ggSxnX5%q1L8EOHA5>g)Wb2JP77E`!zk&X2!`f+28nLqoeVKBf}! zl+P)aPdUdV#RTyd%iVQq)M2f`g$iR=YqCeQ6 zBDxjwJB+&9Y5*# zdVcnhE~`03)>ZZQuSwGNm3d>#7n;$AMc^)fxms;*#MCInpybkNf0Yk*u1|HM)qQ<0 z-5d8`{G44%4Z@#(Eo)ZmMU2m5bNPx2xxww-E~Isw4rJ<@YT~u<-jA+iri7Kn^@KaL zSG8W_s&AuO#$_|}&`*ieNm2+7g==#hoZqOZb&Cc1hR$M1zF!voaB|iD4yPlE@GlYJ zBiEus3Lo9a#LUnW?5k&TMa*^TLv!G56<6-?;Gl2rqQ8TboMM#di7aOw8A)+Bd0GMl9aUP^pk>oz1Dyv~u%JW&6a!WPgX@ zQ*IwqeB`AA!2f!S`uYVcZ)|{j17WL|MFXh^XJ_YCgMFFD%kKSf&G?uTT^;Fa@0+@C ze4QX<{b+Uw6RgX1yX#<3Fd1XjY+sta{cAujKPCUND9`weawxCp$GQm02~>xtm9Ot% zq1m~4=s*#({hee}dtXzph0CIl=2qc4@eA+e;*Pa+KdA+I&tu zfjcFG#j&&uaW?i0GSfF(#)}gxZSq*W&uSjRD|72;u}<(0_9s+@GW8D{CR8r0B~i{c z2{UfwR=BuP)vP8T>1~<7QC5-VfuEAjjtMgk+@r@yJgVwo*6#E_6`V2eH7d;b4Xijn z(e-pI*RgrdXX0tshjPa!IuZ_Y31Q0zSK*OE9FObBmMPc!gbgZ7D#YTe)X1_AYnxnh zbEH!ATM+oHGJ#Y5M6x;}%t&9rc1b@TwK>K3qUOFImUmE|pQLwMqks`_NFSV&e%_T% zvypU|jK-SXT4He1l}a10!w`IEjwnlI@L~pzP0I2}OsSr2m4cmkeAP;?wh8F0QqEGo zb;0S>jvvlcQARpLwhAFyooaZ!M57@r_!7!hcl271)!2qGV{?uRFQvEVl9$a*T;Z}v z=J*(w0c9>G!B|&VgaPN~V)FGGeBO_JUaXPEuuGji=G&@uL2SK;ptjD{IquF3qqqw* zRl){RI*DV=Q;#%`T-D7vc}ye&btpmLW+N!m;6{k6E_2vqj zlVh#CE_c!UrGIJ@aks&F^SFLR>9d8zjcO!>k3h>WzTu>&tXJv^~h=52qcQ2eLH1^;`cBPItv7~gt_ zf3iyB!h_J(T7I6~V&cXS6rIaUpVHVlai~G0{l`N=VlyEfkLNvW=O*37S3jk!ye?zk z{M=L@%PI1L`#~1hSDFUdg(c|*>y|4%lv@uP7sc+D`_Xj7qgz*IpI_wp?guc+Aej?S8@4M%U< zvhL`?)|{L0{fw|?QHIC+>9D*vs_gv_wTr$*cb+hag^e3jay18W#|9U6q&Sd&O>r>S z^(%b&l}$xH=$KYPfU__>#;Kbx^E2$LZgn&4xwmds%gi|}b*5_SJKfx7n9uM^(zu&K zL@c9a&UFt_;UnMOT$X?@-&L@#4nJ(1mNvh(QwQg(NR+M3W(8*rl(_v^o^P0G_f3!} zb1W%!UHZB(^wo1yv~)hKEg&kvGE1Vw<@->V%GS)i>dAb=QjUz<0Tt&;BwUvI=E~M2 z27b)0x~nbj9Q8li8h&iJ5WnGmS@1m9Xi>$@!_!ZONLCHh&a&CLQ@N<| zwOBE%oLd>h#!wbm+bTQ98zV(GNgCXXyW>MXR1NWxt>0_ELsutloOkPVmi5H~{ka=L zEQ`?Zg$|EVoSBXBYhfJ#ud;l%HiBb9v~IZ2p_Z+K?S~m^Iw$(c2bz8aZ|)`3^=jAT z;f^l`o3}z*LhMl~}UBcxszC!GpqC8#DZI`wp)PJMMBe%@()GFKU zo6)6RB{{JS>(G9g1B?d=9Q<5 z^sz{bS5*lF;O|SyQJ$ScjVDkVU~l+90`}9t(DietkGsxK?hq>o~6WW(kaMR&L=<8 z^^f7w#kNQ%A-+_R*dG`32@x#tM?G+}GD4Qfcf#M?WQshCX25CtA~|`_;OJU8-O3S2 z(K7m?TXQ$Qw0H+a+*qi6}R*Yz3lCP=%i8~q zi!O8yuxB{yK_rw!Lt=fUp^CE*6sTuvZsmb|#IuRK^hrB|dH9Pj=-QN!oESDi-?qh8 z#&t}m!SlN6t25e&tJem(mlg%XNC0GCt5b32LxTAO^XpS9H8tfMKfY~t3}h*GFH~=R zPq0TkbQ@#2o7kPT)LL4};zXH={yx)}Z$CG;DZ4c}hm-J;lX+rVr$gS_>1Egz9rcw)iFHC(dZU(z5wQm(N(jHoTi99a(p7d#TxN*1&sqxC< zW^wW3s>5!n*gzeXnwQ81U&xCityyVn5_D1@!&KgT=9t%seDA!2@2#g9zDA!NnzPvT zs`fK(Bolf~4Zl_nlkheinZBU(OlvftZ-=fW#v@^f$+=ftEX7YiW=~f zQ-;c)7fX|!gcmq7nb+nzpO!Z$r8)72)CJ~#Z=Rzoyz1EEiPcchn3Y!uzw)s&D_3Sg z$vI2m$g{j$h=Bl@uSvskE&3DC@p(hI!q;GS@Kn z+^LztZ}ZVn>UGyA4ZGR$$Gq#j)yazSD_lG++e0`yKfjNeoWf@JpSA+MGl zT@*#o`-5`sIL09K%PZ_uE+%)$7RvB9unAfcnJL!t&doQlYTG-=Z(QhgIcTa+ldbCU ze9VMmJPdX*_8jds72Z7PEg#q6$+EQWx(Dnx9prB{$2D4&@pfg;opb`7y>T@{*ifDU zBA5NL-*2Mx`Q?oiy2%?PwUTGx&r7lrbW2pE`bNooUN1hq<7^?rE8O1zKFFr5_RhcP zn67cjBvy2RVKR8FLPy6BPiMIEa7%wZ4 z-27G%-yRyOSbcsRgXs?%3~}U%kxMM+*7|Yd*_T73JnTXF-<2dR96+l>5jCRao9w5J zGZRn+sUf}NSs5pNXa=|*Tuxebmer-v>cpB8pDm0geLcSz^f|y)A_&H%-SNz^A-s9g zmqO>{N}T=bn*m!@v7jRH)}AlVE3?J3i#o?!G-<(<*_I?y5?qxg7t*`cxeK2unE5VG zP^J)*RiUOi792!3zFdxDDE-`g^nK2Qn7c-5mvbEaw8Oi;^_C(wMaM={YEM6l3R8*0 zONrZQymlL-mcVgqdqrgNTAlZ({8sK@9iX!L+AN_8(pD+Ws~X|}?&Aqm@wM@_SBwgH ziRO!r?5}gS?3fsd``~D@KI1zFsw$6&^YD#PA5pc9t8;y0=jJ{X12!_|MjPYaU-Pt- zC^nxs8-Mz#$x-9e4xFr^=QmiA+L#@~)cQ-;zHm4uxH6qI{qZ_%ygYV&cC0+Jl-azE zb^e`Qb8i7ng2Rn4KB|Xm#xv0UvEtV9s)|a<*4=x^ZfT6Y?B<&G z+NXgCYVp7mbrO!{4Ng2jqwqc@EX8VY+79UDGt zY&7(JL}FuL&h@**<|L@bqwEVuye&!n#$D-_ht>4BKRyzw&t{%^AC+pgNMf&6*y5tM z(t&1d?xU?9ndT#!oq{%(Of|F%p}mSl12rP6r-usq373_NW&^A#5k1yq;nxiwReQWX zcRA+d)d;-9QV*S=CBu%n zI!VlXZKo5tS_X}V>Y}x4WBtVAG|Ke{w~&e#Sm1{9PevDq{TiiiB^~#Pk)`X{jE=lS zTOA`pmuh9#KWWr&z|r7j#o+5UZ}i5+AQeVqBUn&?DF_(0nGbP7Vjp3*CFP65*SvL+X@AHeD3}3yDuEUdTB-h3y{@FL5i` zSY8NQ_uGAJFye|2 zHjU{|;L}zde3obOReIIG$sKK5KfCI*Ky-YtCW_@&nbVKSg^{Kg=Cvz894_ z*S}8AG~8mwCNK|(m#t5J)ta^b!GV)|yXG+a3e+UywlzH4vOKVLq2wPSN z`&fj8fc;Gb2Eqk+d#}^(_Ll$K4QRk7vz?t!Ald)ud=La++c|ZzcQ4xZ9;shO{7>DB z1`z;|A$DuI`>q=$qzxPaw}Atw59NPMG=aZT^BiQmf@qyz za+cP-a3GhEyvA4y5LyQW=H&x%07bEGmnDs~HpZiIHfXFRE8GZcYXjI!#=4{B-zorb z3qS`y=ogy^K>1Ht#Q~L~0Go&=+6IFZS3(=(vDR1<8&+)qw1bt0)f$K9MPQ9ZLBDx^ zN=V_6a2qUM96)-35YSov@CE{IiNxXIXgqqmay!yL`G3kw+S;H1aci^%*3x>1Wta4m zV_O2Kzcc`HAr9f=gYxk4^MGNRU?CAOzX$}Z_lM%2Y(HfI=oo~pF%mBhn1(?cvGVf* zFcF-9t^5$K9kE{=KSh+Vh<}v?6eh&Y2NvPu+mZXp2E++ONQyrK_1_5I9XM_$0RU@u zQVgK{J9xiXw}S^c zZLEP3evKpqSpdz7Q0&jqe%t8(Ncnc%{#5=8lxE&nczQ1d;7{qhUo3ABj` z5)a@VAqj2=<{=;>Jkk!RpfMZ|3>F|`G~U?O!UTi7!wN!RZQ#bngyWqcl&z&H9B*rZ zf!hLCSX1ECr#UMKPeALU1lgc50E7^~Fe}K)77L7g02PB|1<<%arg%7@8w?Qwim}CD zfDsggfSZ~U(1&*ZB8)JA0we~5##y7SSwTn(1l$@0{I%TqYXTf8MFVD;;NiyGqZ!E5 z7LD010fPian%|fB?Zc^*APcmmEuaX8!~-LQtu@>dv1=Aklo5a;_WNo_!M4f3y&d_T z8f@R8-1+}c`gXZHN^J0O1kwVI2fSc{27WQX^uZdlZM%vLFtONiCnZQ1fd<^YT{pd5 z7Xxm^Ag!$dDa@_}7O={C_pam4U$6ilD@eu`k7cC<3qXOm*y0IMa{!Lt0)92ZW6hD4 zMsPfE3HbJR8OB(g!>+}^7;J(Byn(hv0y+dCKyg@805=U|i3R+|3w#2-IS@l&N@ES+ zK_LM@S-^o29Pl;*X^KYzHsLU~);s_!FU&4)TZ2)!)TUIde zu5E(v(O3i_ady-iBN1o}1`Y%oNSohMfPofpYhzms!9p11 z+0Ba^yDDz%s<`o|iW|Q~LApEl0R%E&QUt^gXkZpV;u~Rrh5+By0pHaD|5FEi*9`bC zU6dgBj<4WAK!L`;6FCr&5QLTtLhfoo{;36E`kCYC9X?7BdY2LXCnNedBa+}M%iZF! zyV|k4LfAiqfTa1!^;;T&wnGD54hXyJDBE2Hwz~>!|59N4ThL*bfiQan9Odxq&fh0R z?%v1O0r!VAK^F;;fK}o7md&$_tGFR;KausJ}BXp zt$Sz!1ZcQDG(jlPq3@*$0K?!Ony?Tsvi?m2VBmn2-yRzOepxWT(7rOjP{P|w_sGHs z2#b4Yf`l_xduT!e`)v^>07U-H2jSZ<3xVvHg+TV#4a!G&r|#eSpxa;&duWjTWkC7& z`xFWj+~-rMAYtXaN1qUEKcCQkS=jcf`)^qom;fmGH%&kYwl5C^gar5bN8R(N0A z2Mh7-Yg=F;@V+(!7J~dk7WxlaC@`A-Z8HSMF9;lM`>P%RZJ(bZFxWmnLty)T1@uh& zd_{2mUcUgeeSU@r@c%=Wf1jWEq5P1&ISJ5UdvgeAm4bWQ2R{@@{5|#MhYIbB8$VQ7 za6cabQS@(L@xvhdWnmCtPW3k*6e0lKD+|!}h9}zfq{2l4#8mi^92UmpD!?&@ID{Ffcox@IZOZo z-5X1QCa|~fgbBb1r+fdlSpYC_FHM+lUk(Ay4Va1VkrgJ)n)lM61X!BC%Mb=i-bWMM zH^u{*K{!*nM^<=W+kyejV=o^N@_pkaKm)!I{aYX4mVI*?0f^APu>$BK_W4u*XbXFN z5774IvjET`?B|0D?6pM@!oROw0zM@NWM7 zMcZwwf6@T4J+gvOU}V_iKS4s{-$#QIo(m9ue%T@jh5gy4fmJ6OgTzyciUQv_pdEo_ z6F&@CfmoVg0Z?QDvJ@pq9Sbb)fE3%lP>|La6#$OA3mO4U9`HIJzlpICpD+{(Meqv> nLxlw3CPw1_Imb=l8wTJUFt9S)o)`F z^UN4y(S1W)X9{jhhFOkl8F-zSmq)jkf@R<(7>EbBU3~n*OW@wuyBN1tW)N+zRTkui z1Cqr7!LMz`Fr}FAKH+_iIv;iB?n?fc8J1^mJY|@5U3jt^@{d!gQizTu1KyFBS6Xvd z*R|kbEO*hYBJZZN_?qq}R^y+E&#wF}?(aVJ^Z51rP4PJfw@%^ye4LXuFpRaFVFdrx zzLzm9+*3C(OfXV@Z3|!1$A8O*lm9$yl8iBj|M62~TOK;k_of`SU_P-27(>{}#%P*^?Ie&Y}@1}RehY*i&PbeRXr!8)dK)vpU^EsSb;VhJIm1#1@ z74G&pduwuy8#)dOhmQPbs^@eFXQ4gPc~lU?1SBwwT}#dj_h&xj?0_q=KYBp^=#Voq zJyOH_E&f|v0{=ftz%-=2Kif``oT5x(y%Lg?79oks2vw9SLXo0Mh_|q?@JvmKNl0qK zhN$8a;@Oa-gcOTpx=e1Bkf@B0z$?iP2}#lL8LUimU>zOYEy}W?{_T9&9<5m0b~xC` z`fLUcTh_XrA}JzHlq3rA@Qo6L5T~j&aucOe#zrQys(98bG`KBgE`vc3~! zUY7Oi+TJI)t$$z}wnr>$8y1=n;q2kz=;G|^YRk&3g<#Ffvh6)T_X+m#WyM}7beXkE zRjwSO)fti-h2$Tfl9Ujc8bMX1@X*}&(42}6%$*A?h$T@c7aov1ACPm=0X3q4oE@Cl z&i)~Ot%Cx6P_U>eQHlu2oD;T=;2Y@`yayk=GfQn)nU0XD`a~qe$D=l(40HtUp;zFI zDez!rG}@&yNk>SH`H)CH%1j)uC=t4`^%~7v#QMo8wXBOH*!6u>I zs?e5_)JbMz6Z$udQANje4^D5}FgV?>XW?-<=@l1hImP9|$CZ+#h)<3~b14{EC?X5h z8JRO5nQPGn=E6tjiUOk=9m^`>BiZB>MM`S2HpcGMKy~EFMXzYM*5~5j#(JyLRFP0% zn%s2v_V48H?Gvmccvn7nx1w{wHAxo-cQ!<<W104$c(#l4U=n>&>2yx=v2PfDKtoTb(?f@M407DI$4O7t%XHs40_T?p;t{zN}w8* z%qEMCiDa(ZOo>r)`pRBiEbUP`i7^mkEQ=s{5tgZ)u$#aBiWP$Rvka9M7|Q@V9Ug^;R%YQ$Of^>G^I!q$!xeXrN0vWcBCpQ zN|{6!K_o1a2ugY?EEPpEi`SD95DqoOSZKofthc8Z{(5?QA#OCx1mq~mf%S}qos=3K z!;(`;hDCe=lze=2EOdPeJR*Ls02FL;!Tf}$B1ryC%8x2OGBr6RDWd^pj8#NLC=*kV zwdhpJrz#%F3s+EHVK}AqPhjH`VD}^@B&NnHl2}z-Vyu#k8_tACZen3U-GjY?h1QBx z#wn8evI$Xay9Ah939$;)PUJ8F@kQW99gB99-Tta1WyAKdDDM2P1#*F+JoCL~(M}Pc z!TNi#af(`Qe0v}JQ)wjq#}ir8Qnu@;V7T}s+1V6Qc!tHQ{aHA z#a9@$kxEs9N;m)O;gAEX2v0~;HlWO-`$PF4{uBr=7LtM_c`KKTEIA<#^~II8U#HZ>suZX{yhX>?A_of# zUnSHwY~&^uzNxXXY-B2glU`U2h@?)8>sU|`EjlTnGpJ}_R7N9XkeKj{?Vo^}l$uBt zU2X0qTeJ&l&B7*ai&GS51xteqPUos>XgbvxuB4J+cEe7?J5h>QRHY9g1f46b`3dsbAnp#Wgx@WO>OSU$u^SiY)wi%?}m zOngFYLUe{W4z?4TH*T^*s2TB)L$YcBm4$^xNoNaxwOM9iQM6rUVbLDen@ML?WJ-*Q zH|jGdUg=<>e|T6#Y~L`IiIa(cd}3+}Y-4U5#3j_k1dlPE5Iq*_A&69&QlBaV869M2 za4#q#lkkF@I=r?C{n4$Wn!z`ktSTj$^90$zlZ&(37iYetB=m=ZpyolXB}u9Am_Qzb zQd1~>oX@DFggB`;3?C4lt2(&W87cWj6nw1xm7=V4PC^I5D&x62rs6VB`Atp<7kLVS z&I=bT)rMYF`PCy8?Hdsp5u!Ibq5VX%P-ZOdqxNe3DI)rYC7__yIccr&f(P*l{bTvs z$7hpLqD)FE+&>a44CY+{m3 z?S_9rBT{vL&bM-*(9tug@_SUbGI&v|6O1-Fb;Sp-k!_qRIe~k(Km)}Kyqcvj#ILIB zTalu6hN!8m3LP;)$GBi3Lp|!Tu+TAVL6Ot=*2I%<+G;i9iGDgcS*l}#(;ZD5(;XeD z6$kSTxWGuo(ZnP;-HE)p7Uj)}yg8FMkD|OelQ$Rg7EzQp7Za0EaoQSo=2KU2Y=h*5 zij$|?CsG(HPN8m}NMxuuk-B{%oix%xew_G1gjX-!<02Ci_1J}8;ER)9;5xI&eB&Gw zlaLVd#uq2NN$R}Nq><91G=~eSQ(KH=sq5tI1K~Z)lTiECI5|Dx;@p5Hjd-&Tih@kL zxwY?8DYgzpO3Ce*)J6aCPGznYGwxrz~=kirdL z>QkC@1_$FgUOn-M@q(@}xPX%rP%Nk%fkB}@P1sPBr&t=1`RZj7Chi(=HBcy_WOPp~ zZzdq^kVmq1ZY3G@6jhVVd{og4j6X1b%HT>lH2>5HjjaGUM)mA+o!u~A|V!#R0_?% zkd%2PR-Y9NI!(7hZB4zhKt`&t0zvK90qOzo#VIkXiI6Zp=dCNrvP6Bhe~b!KB3uNt zT)|iDkPwX~p+|~jnh;XS$3$H&V$v;3ik;>=kYbUR zZKzEKHvwYLS68l0#6vB0!5TmpZB$$}=Sq?+2z1nHBSGCRl<*l>XTHtNyh#vt`}9(e4lz$r91q5gHCPWNItKtbSGak z@Dc@|Z!r2^$=4(NqLR<+1`Dd`)FhqAxYD$G%(Y_Gj;o@Aicsq}zOK^j6L086rY6zr zRGkE$g1V8YN51is(&QD7*uS=^3aN3Fq$URQj+h5lVs<$q1trg0W0G+JEWY@N*wjcN zEfPBwnhUeaFqdf_2F7W)5=+mRFqLLq$?`?Q_W*^MyHo-+uOyn~7uugBI?dohsI1(0 zgi1&hfkvsaoDEGA(45jip>u{JzjUl=)WUd0W65)U02hIfWog1l!(d$p8I2P>NNkh( zyzUW3ONFP7lVmb207MENMOjeW`CMI*Q~(Ey&eTIm^)a*;CX%CR5)VC?y6BNtv4#}} zE!5!&(}*Og{1rg32VhS^C-4Il^(qNw^l|1MAwIS_jcT0OFVI}j5c1u3#(jR!Y4L^hQKKk&#kmoeBIzSXNA3}~ z;Q)i(qE`~dwwSw#lUo7PE1!WSjN*$Pd^aLvKHsRmFk2}KhlPbYOwN?&hn`%&q>%$I zs4_(*VaSH9Eg4u9;ul8M1JdLSOb^GYm9sF5N0nZ%tGL%;K+tlZdLE2#jOwmmq=dN! zNi*ayPed^y2?^mTP*J3AA~2u8s|CJYMPUUBYctSUG^V6Z6pK4$S)X>TeY{y8^)0MX zv(~b5B#)w&UPbbNDf}jBz}hWZ5FQW&KYJ3w?`091e__&~X#%WDafT~r?7@ib$?GoE zV!>n+8XBkG)olWc`uxTuzPV{6hxW|N4($}GLu>tVmI&8W1%nH19y8yhV}uACNwe~= zbKb)-B~4OQ#j9;J?iJ1+DUe#csZ|O3q?EIY)P}Vpk&=trLKF7t30U6bLhNYC(q2eC zr%ajQO-DW_v=xbJEqXJqN(hQb&=S1GCKzMdK%}|TEX_j6aZ_0oWQrG!D&rs${JV<_0ab@xvI+upyF7Q_=no z^`tDd3t`SI1oa+;Gy%=RGp!q=nP@848`houv7Zo4ifg6(T&Ozq{23)% z08JH=<0dRA0fI$tFHMQ29oC_7L_V{c-V+x#uPo61C>5N=ubz>GNkk(yDtAp`3nLkI zm_^1bBu-cZ!)Qm`O_J=OU?J34%v)0AoST&^Xg!M zfFT5IjKGpGtB$5@#&4q|&O!`w$ zh(tRI6_#o9ms&n6j-N`E+HFF~7AAE{uEZRs31bW~s5hfU0n&{^ofKz>5~nf2g>5es zn5IcUQ9@NhSDMm4I{3fbrO3hSQ}tcpBz;SGkwWB;l5Q5_ZA)6cD>jzJdI1VosbtZ_ z&=fHS>aUqF&{{#cZAq+f>p>CK(_S<)HD=M5nRqt&CEn z#-^wjl#l`^chqJSepoxtE@3nVdWURs+Ce?25}I{GK`*JNKc(X_&X_;}O4H)*s!mHt z$Q#OATh0Z0MSdexfxtZwwhZQ3Jk{}JGf{oxDt(A}10FW^d3%QXuq-w{wDSr6+{ZU4 zxSeMxYwgm|$*m#3Bj2K|c8|4g8^Ts*UBIv?XNJxE*#Gaswok$)HH_UANg3+Bge+~~ zrnW-cFT6tig92%*cZjtM?1uM_&`lD_2MEwz6QD<7iN+R4s1MDS2~1Xs98O|~b5DzQ zo*|(=!7OW`9s5~WXy@-Nw3{3(EZX^p1hH(ppb%_M2=Qv$hGiRKkYo|k*0Ylj>x?)H zcxZ@uXu*S1VIIUGV9X^w-YN_FWI(V@)hXq2LK&fKXmY`2qL4>Ozph6}xwxD}#V1LX zFov?Q;Me=r!}5eA3ky=25wW=8kcRT&O%H!zgup*ej!{LW&|~tOl8{K3o&AK3`y$WU zn<4lV1a>mPpV}Kg&2Ar>PBYjDNj<2;m1b^NB7I4=XolWCSp6zD(!?EZFi@Xg6A|We z_yGbfQFFdRyU@xL-NgDEcPChLhegqegA#n?3rbNSD93_9K|o?Dz!c+>+n93tW|45Y z6AFfV7Y^=S!O@5U5<=x1k`l47`2YC{t&f~*e9l{D$}nX>HBcS6f;J!+gn&@c5yXLb zFbE6=L&0P)1#AEo_!O%pCg~L=VA9K3LZU%R~0$3)_k1>n~ zsiydZu{b{Ub41Bl@bRecz(wImUG98nn$)ojQUYuV^ z!(_nJ6{p23z*_Sb5+tNK#m|h=U4uU7FUX#S3MNV@Ac=2FLB!V~9Tj2MX{M|iSrvVr!=TRBL^>f@KJ{3pWTRI8aHN` zHTd}Xt5;|Q#mE1H5->Ex2jmT??UL@^40eG-098SI&`0bwhrQ+s7ZQi?KvD8T!!;q<&?@*!rAOCd1h zW(MSq4nrtIW4bh6Z%p^-T6-_esNlUrR^odUhS@38R`^Z>`e&YE&1_(-PEqFa?%&O$ z$3^I~O7f}EdFGM`e{{BfYEa*{(kcFXR_JB*QJK3%QEI4C@K)7=w_HydGct37 zSVr*_rIDzA;u%Ad_wV2ja30(RPeDoa(a(g0(K$lwkUY`TA%6dZU(cXQ)fZ*^ewB*8 ztVfbIRH}ma(u@k;J7ksr9$%B*qk2$);^PBV4@nC(COMPcMm8JSYhP#gT)py3z6Q&| z3a|>S25SJ-lXYM{I0$|QzkoyFckl-|10I3L;0bsN?q580aohcio0e{xvUK#)`)TCv z!MWQk9gXi_G#zfxX9PrUY$_`sLo;%sxhu?DG0vP16W&L;n5sj+$6O!zYf)F$x~^R0 zJ28fACwj+*_kr2Brrfk%zPT{Qx{T>?eu7VULT~YDnTRe%S6Zs_^KIPQ1(V8$O83Q~ z^64+bhpK1#%kb$J1yfo4rei=EaTIoZs`C2~l?-xMm1OZZ$OY8ipMw{mJlcH)P!W6v zssme412hB8K?~3lv;v-hbcGl224SE#P=IhS3=9V&z&wz1{_y#%@3Q8f&zh1mWmrzC z+TERVYns2V3%>LoVVnw?h;vwk^ox>iIv-M@HLWQ5y?U$q_jdAoQ*G5tzD&_|lc`Fw zm=6|!gbUU>Vo}vcOKT3!DcRz(tS?o`L7!1$YTwf!81p&{tws230^+U;}Cc zd+^}W$xFMx-MwPricz~)WL(OK(YOQQ#s* zCr_*$kP>KOI$UVL>N$8uKS>r|e~XqcUmEdzt_rK&Hq>TG)+8@K$Ye1niIuhta0#@; zSBWkJw?NC%_;yZk8MtBgsu5@me8K17Y8iZ6F0jP-_jBL}mIB=qM32M`T(`G(d7@{~ z5^oa6J#pIZh#j}YjvM+p&WgQB9H+!jB%z5P(6x~_V_u6X;fqUiZx}-+vKX1{nB2JZ zsAKY8fU|yN_zqZ1gXXiAM0ONoR6%1?F%_vD)&Z@77w`r?z!!WDs80BU0H6d>AR5Gg zpeCXi6l@1OK+g5wat`KvxBR==lV+!WH~V_d^+}SfF9qs=x}YAY54wP^pc_aADIgW3f&L&JkX{)827((W z_HJFXb?%x;Yfg+fu{UFH80QXDyBW3R)eM@aXqoOpSnnfK-L9`t|s{#`+#5rGNg4szn9u6~>0-&ZZ*J$kK=>Y0A9As_}Z=K2&SO?ijuZob0H4uOfF4=a%;Od?|fV_T$UfoR8xJ%XboAcRz}JKZvzah2${_Oa@cHR4@&Y zoj(K21e?G&U^CbPc7k1CH#iHr;EUjW*Kd7ZyaaR0VeSCz0zZQpD#_!p~JRYvp`{~3x=Ik~5^)!3xFk@WUj=(q7 zrlM?ud&rfJQhmd$OW`%}y>+?T{_Dr5OTRi?iN@z)kewjQS-9R0Zh!`mV{4EOW`nhW zWV{jl2)2Sh0LlC!xDFnG7eKO$>~FDrB~ShmKlz9bNu)XANdFW&B;M}nd?Fd8>*){& z_K~iQxg`%_{~tC$sOJK(6vE9L7!_PADMzxR_G*M$V*(nB)8-&{)D$}kxyB@uft?I% zE_?zMcKBH&(bA0O!9Lom6Ag9n_RBMe4FgSkS$Nno#*K}vFv&q^_j(OCAh&e?mnG*< zdhd+2*dJa0@ArRY>fZlFMXTBCq1XN|=H2&y8Ia5^KqXKa*nwK0K4=4UPrXU@0Z6>j zuLNlh$rFh~WN>O#SL)E{b(4^kHpoJQz${ekKNV??qhi(vp53`T-E;NLF) zZJ!m(zmWYu`ML_mh-59;>dSGx4($97$bWvNV)^SMf0DH@MmvP-mX&7t3EC z`ID?~f(PIs_#2qRIRCfn{~j3e7x(`K_J0-7|0L@Yz?ueRpbBUP{_XN_R<&6E`m}$N zb#veg{6HXx1pjvVSFtIUzdrIOSt~(2NCf@Cbnq_n57iEOKKPY!HB#sN)RI|&nWlo{ zzn8`GFKqvR0sT+1rn&irU@=$$c7T7o{LfV@ZvXnUe?j&+koiMkj5#zDU<%B@Ua${b z1ICzBISXiR@*k5?>Nv1GxDIr;zbUQr6#Z;bdmq$yd;F?6QyS)gnBHVNUH z|LzIg$I3ljyS`v|`v@NnUR&U!j}>wKr1 z_=&{9KalkHGFs=teZ#u6{6woxGid&Ie)SI}f6W{))&hitY42(0f3^3t^S^YDc<;3U zM6BQZuaH9ST=4Us`TKu!lIt^I1RYNL-4Z+#2O@E}h@aSt9hz(1YkuQx-$f+8Ms{YF zrD)CXn?lz7E)=rnch8WDT)O6GU%cige4T})^%#=8t-xo%4Y&hO-~|FeFYr_&TwF*N z&m|5?OO-s)GXQZkMP73)yo}xZRwr6q)3jQO(D*_jt9|zj$)6-W#`gcp)xLuLCSywS z4Fi2ZEJy}(fkf9!7WO1!mOPO-Bn9?i9R>3tsncBT$b0YFL21rkI|}5(_#QrIobN#G z5a0RV>VHWu@n-#RM6#X-mV=dGJ@^g0i~Rpd_TNQpS9$ySUvXzcGW_q$pJaU!(AcdE zum(1u8fXNB@tmYSNNhieLt^{&7B_=lVu$3T;*uv4hxnEJ*Mi-r-s6!TMq2#Zr z1Lz%uL}>46+rRdnw*AvR;vZ}OnbBCi1@HypG5a$it8|uh=DMFqJ|ri8zxeupS^~zV zde4;_3G*}9?pefvt&n{q*VQiAqzqhTMSg8t5@R$y&%^L%)4-CQ%2t9ApUD~L)F!kW z_ofzNu#YOlus1cK_oRk>b}8{ax;8sy-ii_a@eR-Flru7?*Vnme{k_|_HI25dK;OYu zk^WRVB{2kq@~y17E$OVQaJMD5C^1zFy`66y7v(BTe3v{jGMbx<1S${<;(;(XHv!kr zgjCa6q6c(4B=58o2OxPu4>nT8imLNLDpo;rerh46`=~-pd{dKxCVqA)@ja?o@1w-? zRSOC_|M79E#T#s86O!>{Fb&W=tS|@r4Xz)FMW@?wU+k@!*dci$et>IE@`-heC7*}Q ze1e{kwEp*02;wmjJ`$R5Z+3Jf%`#(B1#J`iXlgi(S)>-}l7rai`lMd8cs!snZQk@}ue(Oa5a?zOdH5gdL03 zC9Pc;9Z7c>V_IKhDq;Q?n1Ygk*4rM7MR!*}$91u{;_Lr$35fN5!Ct@c&*Csf!rE$G z$h;wN2JRqGoO&;@qmh0Nu`kVaVKtNMhYDRa;5Efp4L*pbpfr#br#XzL7BY1=N;AaQ z`ZLAyW4^;U8y}O_9v|2`M8Fb5fZQL)MqrFc*221aD6YE#i4OPCW)m|)Vh8$eT}NSZ z=Jbt4VV?+7>msgS7?01K<)62Ehe0MKtXSIOZw1E?QL6vBc4tWP?g4rOVPA#B!jm{8 z{eI!SyRIssz0b*cbi-mff2>w5l{2+Fo$b+(_R$OhBf)4e9?-s;hgx~zgT4AW&WXJh zUw_mRcncX9rE`shJyfe8^Yvg8_!iKfDv1r?C(e#!Qb)H#@{ag@;kuxmBDP}q{(ppH zv8MP~nu0MF_RgJwyf1<);0B<*bpH(b4{<7%|HqTRuxC<+eUZk%3|Ii#L;2qFmzaxO zn}55Ve4M2)0CV@n7U4&-|BZ#cvOOU0o?s8i2G_uKAgKfXg5IX{=VFIslpuK`89Pdz zh~MW{bJ_em`8fHfxqMLhYeog4@t^jdcKoNkryc)k?`g+>bdUIes{<&Qw;TV7OOm_R zchQJ3q5aS#?+f5ExB^J-H^4pc5c~yl!Al^kg1I740+a;Rfi0*3>_BJG1#|@}&<7-e zbZ`^M@wqG$P#xHU)}RyU3@(DpK!(q5)CX?B9VkE|m;@$+4PYbK41NSzU@!Ou90R|B zGvF-90T01n;1Q@@4c|Qs>VU?e31|)czS8|UxcIDO;g(U>uN2b1-FR^CMnQkMFCh!;I4w_#Hv@u5Pspd$Lb}^eIf%&miX);FY*uNhSm(jwJoOiGBTV zuSd`#<1p8^S0j#$e0ts}16sGv!Fn`5r68<7GsZJ*=$^2SO-jJ*l)RN%6Ih)xM=sTC z&hNUGTR3oOiy={#!nQkpJ#qYg|Y6aB>pp z*9yjfWKR0L83+X(0qO2bYF$h^cMA9hkiM1Fk2G;LND(_EIzi%)c+>4j6o)2pNZt|q zD*T$WEFc(~`PFQfUup%4o6%bMKvF-_7||io3lfK(`d_z}j}}G4NF3q^ zxGv1fkwfCm-tW$x-764ESIDiL7e@3H$|efq7kwd_Ya$09t_n&lgpHc=TfK{@ndL z_UCT>4*zmD<>sjWthtJW{XK861$Ni?fbd;z4 zj#@mQ9)X1woVnkcfAe?`zx+-i(&vdau^>JCJ&XZ0*Vf#KkM84Jan-+AKUF@Oi%T-b zMBMzwFaDFt?$oC5gB;+AJ=X*F-HTMgU8?rcnLPwf(`*c0p01> zy|GAAPM5{`yeM{@(djrTej<72gw7}bFmIxCQV#|>Mn`gr109X}N(MigQ4KHY3~$^s zFKh&CR$vz>Q<3BoPr4SAfqoTqZU(MN?~=|XeOnfgzV!#BZ&g6hxoNl_2qg1G@8ZZ6 zR4zaKQ?RCK%&!E|zt-fz--I<>9Wele0RWNv6<~qw5k1S-y?k}P4%B}v7@WlAz2y{KlotR=K5(dalbcr*`D>5$B-bwZ+ zz+G?;JO(=f6&UVK>n-&5S) zyNex?&Oq}(_|}I4GrOGr_YL|W@~7AYoi5mabdRq8EBc@0dJT~MbrakIw*dp&i)=Bn z!~9`$1%NQn8#IRPb=?{J1YI#72ucG>P!?E&@}MHH0rh|@a088i2WSi=eaZ_eRpHRn z@l5bfXURK~pd}88H{Ah9y#3c45G!mbvYBKo%yqJvF9DLD6(ISQ10=%=faF*ekSyy0 zlBWwGdAb9Vr5^|YZ9rSl4g`V_5DGeh&Y&0A2lj&l;2`(~`~e=g!G?0j9xSi{>;`*4 zHrNZ!fOFtB2yBG!ECw+k31ox4;6C^h7-C`12$TY)K^gEe_r@FkUCupyIs1pxmvb*; zpV#HwuX7jVE||U`7X?Mfn=NfSndxwYKK7xA-%rLE#W+q0uf?15K>>zL2LO|vDR0mx zumg%$ZRcMiJD=w3@f(0Y-xAsSn8q(??lV^6v4#3g_4)z=(Pwlbj0bS05lv%~pFbe^ zk?crrBr}p%80ZZYARI)1NT38!AR5Ggz91cBfNZcAkeo@zKLe8Sb&w050ZE(u4>%+$ zLZVhaV&?RWl&`&#znZ=icdL**o`V7ocQg*cqT4C=V)ts=x+R11xxS_w1vSkA6Lv^<&n0 zK!1OIbax@{&82&jvVMefD4YX%C+2qeowke(H`_C>n`pMDbzM0WYa(o2%&wN>{W%6$ ziN9MJZ*w1J<==kVwTQR*c_qo)n%O3+e7{Lbu^{TweBaQzu5n@vl0a$YR%|uzVU~)I zxr5G_HDSp4j8qi&8{!-~QX8dmZ-jF60F8k!2m!r;3Z#HkFbqrp6Twoj46Ffb!8))9 zWP?NCFgOCPf$QKtkm!Oxku}XBc_MM#*7(7NZinu7bU%@VCh?}*aZQ{Yi9`20k|&aP zBo2u;-44k+qSP32bLI5?$J~`%VJFvWkS=UN4Z_)gm8kvLfttV>xBxfc4utw3$Xt-K zAlpCT=Oy`X0^0$!1EHW3=nVRSBrpL?1Yd&XU{%AQ=n+L&1130n7rk!4+^7G{Bm;19+bEJm-4O<@2X6 z^Z$N1eRnr(OY;nn&DQnwTuLI!w?Hh3hKGuO6#4j7F1KF|DXwk{lm^9PkkQ z1s;LN;0bsN{sy_=8F&s}0Fu2KHggPPA2Nmv7op>&)woLB7((y=~ zYTXV=<|N*9Khfb1I}iqJ+!HPN=wMYw*OHhL5O9|57Q8l!Dl zfwG_~$lrE#D>q50ytg;q0lfDUNkVlyB=P9>CV8U!op*U6uBY6!R`xUpP$j=E4TZe) z)u)r-SC>w0487U}v;e-~a}W$dz`Nu_cM(ZK`-l!n3M7tya-?sPKlOittn$mL7oLTI z7@z{FAPtNFBf%sv8O#R@z$&m7Jb#+=^f~u02Uq;R0_iBe@jod6jl}@lM6eVz_beG} zYLEHl9EW>?tOwv)koOo|3o@UMYeDWya80sb4b}jv1Mku%B#lbqkc1|AB5{0rZ;}*9 z9RCw(>k)}m|CjS%%pGN=9OY#kW#uQ!hSh3s##Cu9V;TmtOtp<89oUWgJ*#gtGO-0U zCNDO!12s2d>#w8CnbGI9L{sr=4Y8Nwt>R(gZE{+c#FF&l5(DO!cD3&otnm6R^Sd2+ zfvJDwk()1G&9uvK$h-Gv ztEt&jt%7U<1Da)cjsUht*|$&+A5$~KcBjN zhm3yxZPdI2Ay>v(Oj+LFS`mC@oMDe+i|4*DzFRgc?5DH-<7&Gu-nP6?`GYAjZA$j4 zS#x3fJd1(TRY#WomYIF=^HBpk{jyb+*Jppw^*RqLT$*>i{g!bPW;k8!_Unr5YGcA% zr~Yl@P&Oy%#Er2oHHQp6=eBOz^jQO+9-x;W?5jRvq5Hs+bslc^_nhZHuA0~6+^1Vh zRh`xO;ej=o*^T;rwK$<^^O2d^vn-=ae|N1(`G|SHg!OO zt!CR|N=@am<&}RKKGg9-!{Jr4yVv})-mbG|&&N!7^4EpnD@U5z{W)*ujs=TPdR9+< z8PN6S{%Iy2t8>yuymq?xw0%9VUw%D$`0k26E1p~~V-@6SvMXrOga_R!_q)*kN2Ik6+h2> z8P$Bx)9tAn_EhN?Zq0>KYyD)mz-yVv!AxpY{mKqfL*m#m+YncES<9k0w z*L5^Cve`2#d)J|z_ak48{OhMZ&P!Hyy;k2h_`str*7f`LYgBhu>%$L!y*p!2t0UVy zs@3*C+SAM}V9w-zU21t>m>+I?t?I^Gbq}4~Rk!q!UTs!w-5TbrJbk!L-+C?Y4M?58 zKG)4IrkAxv#T})iyC-+K65Q9u{I9m3jr4nVXlvzW*J5XuP;D)1bm`|Co?2k*Im1^0n^ZB4heJakFus$hp@yULNqR!at4PDnp z={Umcn9=e*VSyo4UNN^!TF$WU)6Me9x)!hEUm8@cIoCV&<&CMOH#PE`9&T{L^S6gt zLtmX7GsY>P^`**790sRWUX(Sj#tY+TV_M$7S!wRC6VA7&^>FdPtIG2|&aWxG=W@3( z1Ae)8!?pX~L)Q;aI@CR(lyCTIFT=r#yJpqQ|o;G4sfjmvy{CWZwln zv-cf)sGU>i#_j$HG~NC~g8c4ZU$mxNjHxQ zU0UXFw9k`;IfrJ2*GiuAa`c3@M;%pPp8K_Ibj+ypR*R1K@2R%JBCu!uip=yse&2X^ zd4-F=Ih@|Hvh;{)S${NmIAO>FpDT7o6FXHr>v(8W$z7c@m)L%mwQJsk=n3-z#>s;! z=UmzG`mEpm0G}1ES#@?V7;QefpHauiDc=q1Up{k5UaL0SGQ9lQmCG7Nua$+>-1*hR zNw-RL-d%0+rG+zA{g~DGo2~xsPHy`x^XH0NyWH;W_0`LrGjeK=8@OnB*kj%>B!jW_!JEg`JBJITA3a^ZJoj%)P!g820_8Jr_^k4329tOyM*^KJ?y> zak9$$E6%Xm7|_1-)*Y5zu2y-S9+KPLro|S;C5P~f%R)LfS~klj^Yzj-H%~U6HumY! zQL8S!UYusx_S>(HRXCG-r0u-A&PMV@JHu{&y)*V;N_m-=*XsI%`Yv~v@O`g-r&6ZX zX?bwvZ#_J3R*J71uWBW?snuZXxb}y$BSUr$vkn;aF!Km&-Xvl5CF2f0<1P;J{G(ij z=QC!G8+*m&v;Fs6x6S@4DX~)Sg+bFEk2!DDb!^P=q?1M!t1pdQGX{H7_pv zrdPcKapyvJS@-hk6glohhVd@}JNC#c?r7aIu)E)}jQ-n#ANcNadJ(t0dq3|Am9FI4 zs5}=|ia*t?)v=c6zK>pYwe>dVOJ6-WYv{W;r>TX@-pf95R&x(bEWP{Onuy*n#(#ft z(e4D1m<72bywtX}(qPe2W;tpA1b-&)cXTmQob?e-%)^@#D==XQO zHF6(e9W$oE=@pIqSKRpAC)j7egF8v8i?SXrDbc_zJBdhA+~pxd&jxo59w$(utvz58qV%@FEpA^!|b;6+=;86Odszy?`lT$H>>9! zzP{V1)0hXf7p@$4)5xmxp*}NbmTv2M(rbES<5&~Jqe~52I-IqcG1x1l^g<{5spm5$ z4wOBz>fQdA{t?gTDNJ_GX!l#GJ&tqAj=nQb)pPt--`S-~F6tIGvUi^BZEWw!8qHc*tJ>+93e~Fb+cYXOAb01;1jm^9CC+Be z%YN3U+O%owhwd3OY2R0u?FXSUH@oyrZ?CPLCLIgdR;t#-z5_1)^|<%``K~9YDF$?z z8!Eegyu18Gh@0`@&e5aus*eA=;>bPie(meEcxl-if42*I-qOuvn3>_PSAMAx;CQ<0 zytB2RjA$M;kgMvuDWiuXmkm$5{5Ia(o0@G9CQvQbT$NEBm`YZ&@X|;p!)8 zAwL|vXcqr?mH+ma4Mwb5-gD4y*Yzta%(?vI;ku*RUVG`Zwe62ae@8CO*wK0LiX}}d zUTKF8$>Lb#1ltBrzRX$BZ%{_(k&F9=-COc?dhJcPA%l;U0MbmYyM*OytE+yRpuSeZoIVApyl6_ zKieAn|4g6@t-qH#y#+B*XZ6%5Bupxn<~uSKXJ$8 z5}wnxY`N|tzkc4T(ebgp*6kjWQ_`g4w9q-rN8UMgW$eaZx9&T7EM?@=d8#oFdY!iR znwB(_3km-DIY649tmhH|za<_SM9;TfScW z;Lv8fulhO}y{a_d&SukfOU1I~A;%gi{yv>HKJlSVom;am$AxaQeqf(nA$D9~#Zoy} z&Yq}R=ho+C*?vQ8C;e_a>+GLamEvyS%!@8-#FqH8@{HgU5B8;&KYhKUt@)TbcdwPc z;HzBy*W&Y;t8R~CcTT9>X#1#MH8ZNYH|({g^2Xp}2kY)`@%zkjGq06Av^o7?yQ&9& zO+R$yxOYI^rd|E6xv!m`l*A0_)y!x?6|P2M^J%9lK-rnCAZt7JJ06*Ke@A+qdP^$1krS zhBqa=;i(cb0~$8w(Z@F6;?~5qF08ZTD9JxFFr?w6#-||$&scuP_CMEC_-7A`(l24m|xT1caZywXX&=^CR@QWpy%2m!EqyA*JncJr`3*DGcW*~jis6Uy3o$s6Uy3%CZti=28J}h#68nYDPH&w<+eC~`4>$7UA47X ze#P?R*G}KuseKAt}KO_`b zH|in61@#c&o*`db?YSYsH{1~60yjjsXGlW?`+v$1L3hIcjY9<8xuGFKQDXRDjY@YC zxB~rJX{S$Nh_FeeDKYvB5$bWwR-cCh+U)U^)k+4}WlT;#RQKr%vvnZoTRbsQA zsR_=8Mh4lXsMcmeJ<8-$@mCHXq$*8KYNQz&WtS4>R1EM-@u$p%-FvMxzh_75E_&_X z)B1b2oqVHhD?Y{42~v$Mh;PnSK|N}ydw-vykz}fc-|eTKYB4mD?DjLFK1#aVFUnPx zc0pH+jC#zs5^N*sb9kr$TF$DKMTJ~LvU7~G`G&=+#5<{bc6EY~i;*#LDjTV=L zuz|L?Bt#|gys#O||C*lAp&5$v{vYojisddH@Ivzy*ZKdSb^bqV1&PNqhDMSpAMV35 z1zJGq!YpICFr>**fBtBu4Gmp#FkF$zXWB>xDst@rg|qta-=!~2eAj`8=p#hhxC_oJ z(AQ}4Lj!syC!;=3Sm%{p`4Klf=MQ9eO(F;iAU)&(_6-aS| z;56F*+XivCFokHIzlS)kUKdD{^OIiO8IxYe$Zce7z^xk?oA757Qv(m0Br`SR-Dc(n zzF0vJdYI$1j$oK3r)jZc_-kZBD+?y39+)&UHKS`Yb6=y6)(g$F6HR{!3YX8Hp@B4C zMdjxWy_Qrn$<3(q#FiRUw4 z`oJ(|9gR*^EQznS7Gn%IVS4HkV?I4^ltCwxLuRc@T9wYRI#S-a@--X$j<9h~A-~jj zoV!O_n7SbP#%#toO-_9@T^D`tSRwu{@Zm|`E$p6$VZk^G^XF?M_D8=a@?m@JnRXRu z4wb7(mH5vnlacZ!Dsx_`Dv;MAk1G0yT2e*VsJ&p%%me0a;_ zAF2=3rkzwD=%WEzLIbRC@wOU(|AnR^wE(4vS`r=9@)_gf23$+0YaD_W(6s&{?;VpD z^ml;|Pl$ug#)aMUFx2l|Un8-c~A|peroU`wSd0km7=VI{Ow*d zvVq+pGb^~gBflyqEwH8aN6-RPX4H}hK?~45y5{b2tu{?<#i;%1ymzb+e-}7?LfS+d zUSanHc@x0+fq49v{pWa4F0dFc8KCBh@oUH%r0J$71+RZt;60 z%^>0WquK5qs*7fj_%u-5Z)J1dNYygw$F_uHF{va**5ttgIj77 zOmn-yMQO$`CjfW9F*MJidMu-85tJ8GBBvrQ4LzwHw8S4{CQDY5smA2t+LS5F*gm9O zXCa1RxMP{ar56ro#w3TDi-F1P&%v}?1cmF0r--e==Ox^EdjHoSx7 z8v8Rpwclvo_|}qjz2fRUF{oT`i&fHzp_lgl)Y7~Cw)CmThqAdQ+e|wx=@+$m)zWeS zjbfi}zjpu7JpY-VrmY4>r;qes>@>_JdvNw=A!GZUPOaH3>gt`YKP+=e_iX4rrp#dr zUxmDfl~>7U&6hTvKhA#Vmahg*-#2Vs_$&Jd^JmBwD*p^x|7}?2n0f&}KOBF(%9_x1 z!xq#s86D%DcHy4W{I+*GF$TM>rn2knJj|p#kV>I^Qo&JIM8#|M za47{)77Tubgee28Kv`f7%7OBr0wA${1}cHdpbDr8Y(O=@g6hB))Btv%Ca48!1A9;h z)CKsNV*06ZrU6j@JSF#;Hu?xWZOEaIN7Lt&=~KJ(K~nleCw<6}K5~cI2Bs;%*OD{M z0mdFoOVA2{fKn41Mz91IFfq0Mr54tNCj!2KS&1| zU;vJU_!`$M0i|^n&Z|K;8Ge5jti|;@upVpx z8^I><4IuwpaQ+s22ljv;z>i=n_z7$S+rbWy1$Kg6U^mzdvcX=k59|jAz(Mdc_yrsS zhrtnW6dVJ;g5%%>_zj!{r@(3OJNN^f0cXKEa2{L$7r`ZP8C(HZ!8LFl+yFPhEpQv$ z0e8VYa3A~$9)KM15c~xmfydwpcnbaox!@Ui4qkv)1j^|(&Ut_|U@&S@0%{J6njepI z5?5pBp?*hsM!V~)G+r?_lXEZbttSpGkjg>dqY~mT1P{%utR|7XwxeMhl_7;XO5pjg3bb|)!7U^mVFKfce`#)q!@Zh@^z%gs2qOr(dcfMU@cGx;Qv;rlfa> z<{oEW3LYF>@XK@G^wT#rRGZ)ZpgJjMD({}54&u^LYv$fMUOO1bWCk*GnbpWB#-Ox} zDS@kG#%g2)hy{Jg`Zbr2Zq68>MM%8$$K!BDJ|Zl?cdVt+cpjd;@yH)^QlH7~1A-a( z=z5HNEGo8qraeFlkdLllD<4bMyuk6k^7DZOnacISDrld0hJ|%YJ+cZ`q_RM41#hq@ zJ#phH=%e5r(mk8hx3&F`E84RY+HzyAT^D?-@H^;#H1U^!m;7~*-eMbc;QBw|EDq^Y z^gq;Be8~O>&5vtPBnNTcbX`+l5v6|kUunM&)cHBqxE!rU!3xqSfI9{0Sp`hKX|9f&jCLuE&6=gz}paZUiyYTY0oj`j(CU;uq2E1(caZ)5mSuu!T$IZ z`iJyH2`ElFoG@xvzy^O;8?+Sk9|c9dkiPl<9)9m{;}c+nnFAVi#32FB zVbaRF5A+Z+vfpSprEmPsh`L80ALfF)kE1T!uDQ@MeEYy=wN9U|)~{O0V7sbUs-0Ui zarB}^11xWLYd5^RdEv&NPaHQG>wIJVoIeiKZ8T_^f3>~T@lBiBER=7l<2$gAeVIG4rWr%F9ay-iqM_YSGira;uf??FK2N^!X}dDd zGSVn7)oa?(EGDGF!r8@Z1z1O*CMSHA{eN}>oEv@8)*5cfg&P&o!XI4H4NuYuaP=Zf z@mj%~i|T#X3eKYzdh@#H-TxN${oRwDt0uy} zjmNwT&HpGde@gd~Fz-r!RB*?jS0LT1#GPc$cLdJ#G+g5j!?hFMOvDTlSyX3G{d6sa zl(foyr-cwXwOe$V+Jz9R^ZAU_;;ou@)HIM z%Qh7cgin|M_^46Ho|a>OHe*ekWlLHmD&vEPl=Aw~;6VMT^{p-(+i*R+)gjYP(Tv-) zDYD2}Wo9*d6r`GbXhVQ$%jrhD^DM4!h#r+QwA1e2&YF$P-Eiqn^_Qm;pIE+bW1q)_ zY_T4@p~Uqph5Ib#;kTFms`HZF5m&Q(p5sR5RoSU?=5a zKQ}lRW-?p8>reMlXZzMSyHdGhQtRt?4QCHuJOAb5(AlLL$R?YAF{t9A3DtJr9R1fx zk5fztS^Ewa#tv*fkDV?T_PQK8vA5in(qH6Vdp5Gnozk_8$2J|@C3tVE zKMx%~^k~%BK~bUBCKK#BrMK>}dgr+YhEKcOZaqISa&+}Wf7Y{Qr(C%yBD3qsO<-?Qv}WZlfdZEJN6|5{?^3W(?f#E`aTlU~&xdoWY3?-E}UUK5u1 zxE={LI|565t#IGalPa0fE*g^?b5_l8Ss9o%S*Tx@>g7I~r456%mVlO;fU9KAPKw1H znuF3T1-4>Z;T>Tqa0Io02-H{u!+Q7o?S0{KrL|A+vi{xVDQohWmbgz9I5rf2)GeS9 z?&bGc>xhgQV$3j^Pq9jY^C6r!U6nBvb7TznQE{|@`{$kF%X(T5yWFp&skPmB*Xbz- zoBvSZisSSuH7tT}HI|)Yer?eA^XZ{OE)0Hf`0oj0?Y(1~KT_V0jCLRMFlyq}^BbH> zT|Ihq)6?+5O>KHjnsxW$_l?4a3>}a<;KBXFdwys+bl?8|)%KluJ|*Gm!^gL;?oVpD z@YK-(T`mr7HuUA=q1#*Lojv_vOuzn3k0j)Ev-6+I{r~Yrvwe@Mc`dQ@^QdEK6=*(c z)HHeZ%bBf;avE9%{%mGedvaoMpr4257t^+-O?`0B+dA+}hw0U8n%BOZ`E+T?<%w2- zyZt>b+sz*}EfE)HwS5jpCAIPB*sS`c%-?_9Gj8dqveDbi`gwGi-hTSt?d)a4;MRd@ z-wYd7w#}n1yI0v}mZ*Kiq4(s(aFu%-j~OFV4zB%iq9;3a zOZy$Hz2;cy&RxUJR`}PyoE@yfeLPfEE8$1ZBl~w-;m-6&n>J=!1=hblsDAw)M~^7m zWZ|znwp~arOJZta@zWQ@Ki?%7G1T znr7Z_{OymQy?DB8Ovr(l%mpPT*rt6pGb-!AzJPh#_tieT>Dz7AZU!rC_IHY3=hHH9 z=D0wIy7z00hz!J~)r`FHO!Y^J-?W{+a&p(rZLL>`|E|QH>0jgTo!MXC2}<_Pc=lyk zzh{#^n`bk5b+wG|ZZE77Jg=VTAAuEIhW0$0lKl0qIgiYCpPF~~%Y&mfxW+E{;X?bi zxl>Or+@L%=xZ`)Fhgm1h|IW?ryDit3oQXG^8h2^?{_>eazF%SA#F->=`fSD~!{8?} zlY?tp9CRZG$%K;XP({^XJc9zWuyq8@AjP*Ck&oudMyTV3pyD zRrQBYpNZPgF1^o)=y^Y`N$*%GbN>7R-Q~u$Cy!71KlaW8K#J=A_-t16%eo&KtRFJgtJD?so0{4Ju%TJmRMqqEw(6%F}5Vu#F7}3sDG1~1WjUM zG~WO7eQ(~*%{R3Z4?cJNjQ479>eTJ|U4Gm2O!sd; z@QtfFugr2zH=!s`u*lNY4W2RSax%#^` zlPf3nyQ=fWV@GeY`B_Wrx8;Jy+9#fwI?*(i906u?u5CKdReFAYKhU#{2f%~iA@DGG1pE*@3LXQiz~kTvuo~1d{PqDmf*D{5 z_%b*LTnfGe9tLlKcfr3v7v`uNgMnZu*a=Jk)4)vdJ@9vsVoK2!YzhX0VPID<2^e$@x#O7WVn|pa|?q#vLm&WE^9GiP# zZ0?P*(YIghwauz-wy4+7h|N7SHuufg+-b4Wei?hM{n~Z54rQ?%&X3J~#lHcGTJdsh z?n|+`r^Mz?j+HhgHg{@l?ty-;dPlX5-0i=m$81uEq^-)-LX~J_{yKdn7vjXcvV_|;8oR`eLu7BZ}tPs zexTV8GW)@1zopp^G5f8|ervPe#_YE>`|ZqrsM%|Mf>$-n?0HQ?I(Rqt6;5}+6&iE! z(H~T-F`~lTDU@EENz1=+FX=@eZ1R$yj5Yl=7qV6IW>`!Hfn~D?mT7j-+nYHtRlaCq$MWT% zX=2avsa~ov<&8~E4e{v6n#y_5&*cf*V(#GUczULFAt^YeD+i{!JjEy9j_Q{lJa^b8 zkPr4|4@`IPMpd0YZqh7GtJ82yb2RY+rADM|j=YBBX+he9UE|;kN1scbxRkYli`J9W z;E|A0jf0&ogwrIi+;EvxP#4ufFUIJob&Z4Z8oU~07ooO~S7CVVQ;?Tdwy@eRhgUhg zs>N&U)|KNX)v@ZO(r_DHkek-XR8gnKOBH3JXMIcuL%B;q${h@42TNJ$TT!dkHdSqq zJK9hqS+&!lOm$0*bTpKO>s2dxx>Da|15<{$YWBcXwT+Eh@35-V$9rQMKWe#F(V+%o zwqBggh`7srxl66|)>8NV5sD^p_H(uv; zPuWE|#tEiXon)SQFT-zYt6!p^(%Wt8)Yz@lL%pq_=$9HA(yo*7?9oV(hxA7CNMEAj zdpGo|Ple;V0-r8GEBoHHC(rI^BRy|evreSOuxID0(+SqubeODDt2NXO zvDE6vs#!t#~_i1TcNW;g+->ZA4igOR3(f zniYH9R=kit0+e%?w-MKFT!2QsQZ+05x~*7Mb_8%VT9=bgj{2TzRs?oiaaZ{fz|qj< z=cQ4vQ_V=xZNmXv;d9znrc=Yc3W{#L&gjZ|~*tC8?HqS&sOQZJ%5tWkKRH&ztsa9ixic)EnK?<8U)xWBIA%#Ne_%Yy^d z7Sj_#vEAMDPxjvY1Y&tEv7XyDJKMI|dFlLSubEzN2GaYd!#!#>(sh47hqfG+-^=Uo zb;YCdtfKUA-@{rjITbGT>F{{aDaM96Vnla#1H2w{-%h1WtZS9!eo&81?-sHpo>~!G z$?8u@uQE>>^mO46Z{NmLdRqFB5Ph#$=@aNlmYE*3&a9u9iD8?M?Y82o znj?Vb8GXEz_e7fc4gR-VXK1vF+pXRY$9I}_!{tO(EfKbl(RE-*S~+b+tyg12+N>_# z#XFR%ejADU8vZ(BJ2UfLfNpiP`~}P)78}arh&@ZKng3{XW#rD%PJdf57uaz)s@?X! zb_>0M(ynY_NIS`0Y*u2*BYI<9$6qYX<)3|Ly&Q@C=#{#yXzbK`#b+&>toU2YrYqL; z*=%t0Hsc@Zu>Fd!5vGlun8~`~^9Y7e4L*~+K}hRS7M{O$i;*@BnN9R@%g{p}8V)b{ zGR$u$`dE6KvYkRT(#7;zvNzu9df)1uyjkc`FIxE9tuyU&2BYpiG1jJ8>0PPWDkIut zZyvN`%O;0tyN76}8ZT*8y<1JCdY+4rH2t%)qmq%ll4qKgtPrS%Uz%euns>j<$O%v>Nq$&>v|8x3tY29Iftj3)PWE{~r8}K(`f3t1Ru(DoZ<& zcE((>j1eQnMP};~iH+!esA6n4croL1O@(QnTHi=-`$l?*vhd8HJaiAxgTGBwU)z1f zyPvD)p2bo9NcL*83DvrIH?INOY2~|xXuIU0wI1t8k7wvRS^6EIKeCPV z@>@rGIYVzcuid=S&@XEvy}Z}a+tbn;V|Vj*g#L^+(#wZ>`eEdEmHuPB@z7sV9)4;Q zwqIkU=0&@b-ky6GiZmU%R5QqSEqNSS~&j;qy`veB|G*j6#Z0%jcX5 z#piJN{Fe9(#;b6AM(=$)axZM^$2`v5&D#mukEq{(He5epS{I*FEIsoc#rMw8rz_e< zZ{u^SrSER(cY(e~8|iI)?q}(HSo&R|@83px8=uoGeNWLZWDfOVOML}1oJ=2DZSJn; zFhVb3d=2i3`ZLGs^3)by$G20f%2rm;g?DW1RL3)qw~Z^rj=RArjM{GW&VK%Qhqo8n zj;**O(<;I}d}s57$USqdV`hIK z`X6cjDlX06n(OYgH*v8!lt*UOOZYie_I*(`&$qg*c#^yPCuyrAy(!RZWD4TaO4fX- zjy@**%vK}Yff(HA9Rk%E6+AuR%x={@1>)0friTgFW}3f+&z)~Ic#Uwlq@p3jp=0da zx|%07!5zRPZxVFZRfOlRRnQ%WXVkm7r%K(phvaFYeWH}&abb+tXK;5Q+qr+s#AGdJ z?r#F>h|8n!!5FwV@w8BLNl92>;z+ZFL(wdIHn

    !s5ykuMF3k7_Pb}JQ~h+HZN|6 z!AyAe8T;!P6Zc_-X*Rb`aGQcwxwTWtHwJXC)hlN1p=g=N-{jMSRk)eVl~mnz z;0|ynxCcBAo(Hdi*MS!8{tavU^_y4EI1Kd0d51g zgS)_k;34ojcmw!2~c7Oa^no zJg^un1t);Zz~$g-uoBz^9tDqqr@^z}Meuv@2QZj%ZcFea_z`#t{0_VWeh>ZvJ^>YU z;+3EV^Z=WHQJ?{g0poxcm>dCSf@8o!upE3FTnTOjH-kICE8ta7!#LL+)Pg>sFW3Q$ z20Mb?zyz=lm=0!wSzs<$02YG9;B0UXI3HXDE(Mo^+rb^+Ztw%}5O@T<0$v5LgSWtM z!0*7P;4?tO_9}p0Vs-|Dz+kX7*dEk_1~3Io1v9|G;4m-?oCHn=r-3uU+2E_-dT;}{ z1>6C?5AFjmf|tM>;Md@{;63nf@Ht2^9#?{npbHoP27<%D;b1j*61)T61@D0mz=z-? z@Co=7d`5U>7h0><{6nGXq2VMX#gI7T3 zjnN4V0$YLmcw&A(_!W2yyaWCK{si=epud8T!9T&jz-K^zex3qVpc-@qJwPw8Dd+?G zgMnZO*a{2~ezI0oDV9s{eulVA^CH%|b2f%n1h!Joh=UOhK} zUBTbL-@#|#o<5#;FL)R%=CSb-(7hi=uoRpG-Uj~!-TSk`84Lw`fk|KiI0h^Q$Ad3} zQ^1+vEN~w9D!33_0ak)*!OP%}-~;eCuxbE(33wg60X_l$0{WBu3eXW$gYKXw*ckK% zTY$k}E5Mg{&BN7`IGzkn1^sz>J^%~`!@zLx4tN**A9x@99_Y{gQ{Z{9*AQ$2Gr=sd z<5pb1HF3Hv^#{6v8qgEef?l9E=mWL^Bf%)J0~if<1bcx=U>`6U%m4?1nP3)}4UPnJ zz+5m790iUB^TC(EiQpvgB=`|{3Oo&-1TBtzb2H64VUC=iqd326zd)40affZD2<*0Za$8zyi<&jswl$d~gA{ z4qOjz1-F6Q!D{d%cpm%&{1m(c-UWXGe+GX6Yru!#Bk))7H}H4xG581gC-?;X3w#Pb z10CzB7cc;94Mu?mFb3=c_5}xk1Hl4tELa9sfD6Faz%}3|a5K0E+zTE9tH5*MdGIRG z@3FlH{tx^W{0&r$pnn5BKu@p*=mYA(2(Sm30H%PcUl(iNwMfs%-@?zVAPuF%`AZVr?{ZoY5 zwnxfRQF(1Ii|XRjeZ~=av3-cq#r6f!HYOUoiLN%%{#=Qd#WUK6h^|+Lj+5pnU3{6< zrBK<%u{&EBhsWRH5w&q-U`RTYmFVDhdK^x1Jm!D@vUE9iJ2T%pDUEzr? zZBLr-&GNO%$_kW~7bxQ)l(tx&l?BRt6>{hx$@nv>XWL_GtIk%i-A7|7TGpFO(iwTt zvM60cglDu*R+%3=IemHiltue;pQovo>^V{U$SY)DYq|vWw?P*#R7V^9LSt*rjol4? z+2EIO|M^AqFMTs(K(x>LU)LpH+brZ4jhkC%{HfRmW^2y6N06_BtYkR z^A*>JxH(8SBSPgPmI@x6V@qW<)GhQ^LyuGl{EqT_p%XKT7defGurWrHq6 zZF4kU^0&V#)Bb|CDY{^5`~3QAH33z2JBpS?+h(*Z+NSkZGuZN%Rb{nJ(dCQ5_A9f0 z%!q!?QJ&GZ;+KWmZ4jTL&8KJLWvQs1zP$epJ>#FB;R4UAE4l2y#h<~r;>U#bOI908 zzN|HW{uk?6CQ3pUZ!W#T2bEQqCOS#Riqdjw9JfxkuPAvgDM z`8wt=%buf%E)=^W&gdB}i}r(lnXA77bkX^IaXdTb(WO+L@=GwsXZ2UGMg6I=d@&I%i^has z78?^$xX ztK0v&vf}3jHg=1rGw#mwuKd5KEZR2xcnP)lpl$xw#ZsyBUGqzO!I&ELS=;-bXq%4q zFKsW2_7lC_`3rATO$CwCsvT&NFkOXIM=gY{{1)t2v*% ztTJ7e(KA}so6~%Asi?2ttmD$m79CSnmTydLds(z^_36qbGJ9NUJI_LTwk9v=%afNU zU$<=zCS8K9P#r~Mw-DW_{Q{2JbMN*kYkQo1iB7Og*(<%_enmqqK{r;ClVw(~5c zXKV6;I7?n$+v7~S1Y0!DqGi!IiM0xn;pRq};NgZ!NAY=*!zqcOps$@4O4qHE)~W zzV<0=yDp3POT%D`##!6T+Ai;t0`dx#p<{EP=eHaAyUxs*Io>G;GzV1v=`uN4>7@7#eE;2o|Amo;-DGy=BRGF$XtP}aO2 z*|0&E_%>F^FB{6%ozJ}WsI4TSOQBdQB(GGu|7D(~`s_c2u29_;Dl65#LOctVl`5~D z=^E{XBL7^Yo#n07{3VYr>zxgG^la7kQt7+`<3p)D|5NBT*k1fRq>#@Fm6aM3|E)YX z(`W4Tw#57~ufC?jC!BbbR?Khk@I5oGzYg31?gaOM$HDX9HSjw475F3g7;N|k--d7S zZTJS?hHvm~_y*sGZ}4sS24Aw@;0u3qFYkBP7?btjR_{b|zRBj7m3DvC%$NVe-(53e z3`fZ;mFK#qn~282ch}l(Lv+1|=-j%Ll?B#GmR=TJL*mOT=jea8EBcP&i`6AM=l|c) z5%tvS=F%VnR(jer?;@#Zo?bg<~-M z-8B?z4)najD^%ur!FSiP={S${gJGV9%L=uz{5&h_{=3Wa`z(oA>W#QyZ6YVlz-)#4 zvkh~ijGORTD`UU8wDkF4Azv3g_HWQ7kvaQ@I?8GfZHTiCu^aERFTyXudPj5$-QWJN z>k^%71oyXv{GvHn{NMK!9#ad?-C$buJnP)7@2;tCf!PY{Qm8*mqO6cVB`-Qx4C>*F z&?QNWK{ebU;8?H>EC)?Mzr1-oI02jpP68)`Q^3E`<5Z5Pfv(=ToA6+4Nt??`+CJOPC zU-I2|7V=r4vO@7vh^|msZ}cpsFDT^e|K+km{X{{(H0Ey)NsOC`@Wg~t+GcA$%iA{B zwa-eluVB5G$g_~o)ZRXCp3% z%nRfbZOm;NIjk>bQYnuvy(wB>#?(S{ly%)NNnYN*Jjb8G+`EvTh3r#&meLOvitB>0 zn`47Aw3R+DFQm)=a-0>a_YHAoqb_?~sUkaxwTX3k`oTi6n?zZu?LoQ}vY}8}dyfH0 z_+%odNvUCOj^cmgzhU1PqHVDsYl`;sj@ng@F890$GmbkLhmkDpyXl8^3v46f|N zn`C}v^-=KUF1-2e>Ur;huk*`~E#UHAy#3{?@*nWwhOhFK&R>tc^A*S z4d|N=TLFr_ZGb*{I23FTH02r&>H(zY(|reWJOa!G$ACp(30MxK+Z{ORdC<8dZ;Qcq z!3SVV^c{=7*YRsfpVs0qehFr8uoQd?tOD9;-v+OM z9XH`^GnfU=2X}*4!DnF5ro1f&2ZNKr_25PDZ!iF#ybC@B<@}1lJ^Vtzv*2a$hdSPj z_w&4m`BL$hamsmMCHMsAY|Pg%w*td)(2gU?122vCyg%*8+v=To!@e_b%YDDX#_JFC z!Rmov5YWeuw**4~Y&^)lQJ?|r0AS_8%7c{$D-TxQu3$H?I~W7Tf^lFx*aJ)edxD8z zFE9!04fX+wx5;1%Q2g%)rh(~T2G}1Q01g5NgG0cf;4pAFm<*3qr-5&QE5TLZYVbqwH258O7rY1F2l~inU$7I{1?&oT2Sj*Wfome=AxGwg>fK1Q-R@bfx;}N2ZJG=x|NchJ6`08fJ3MzR8@?y{E4 zn|pStZRvT?Wi34i)wW!|>hkCR)6gv%Tjk8ke=H_NEoqq1Q=e#oI)RnVOc@^o=bMTYdcQ990 znSDo7T5Zyu%)Yb9>0;7d&AwY2240OxcQ^ap*_V0ECapdif2OH2@67a7Obb?+{Ik+) zKB@H1HtBQH#8u6?O4mJ>PT|j0CjW7>ej2UdX=B2mtIDHlfG-0mX_B{{_7_H4U^xJ?!_FayiA@SQ+D%KDKnBVCX^{)$CsV* zXv*8e#O_zvck@=5oU=^M*(QCCNl*9sf5`N~yU?6nWGdxilfJ~HA1fmm&uH}es1x-F zBkeuaqI?G9^qO8j!EavGnktGeM4tyc(nY3we|Q1sQct>d5QIzCTwC zAl=O?TT}PEj^(B~r@W49Btv|)-P>WYuWz-nMNL~_Bf16@6-0Ra(fpV zqD=KU(WKbA7_PcLo9pQ@T%}Dn!*w>~kHBpUoGINMS7x$oj%#@i*A+2b6)l$Qi*OUy zufZ|QHL7b_4%f3{xGHKa*D-x9SLbJ^D-L0Ex~2`+L&zDMvVQ#G)M9j!(&c zEwfB{kFl@uUNqfQORC1inw2I$&mgRBsk28XP0^}I_&JpF^*)@tND$3R!m)dQtEr2G zZ=iu`MZP83*E!y%w&6Jgo=*F(``V8~dqsM#_PKgH9dvZO8E0}lbD{6=;yfekoCMXf zlh7$f!o?*?*dMNvpkr$iE-6XEyL2d$pkthba1C6Fgfobrw${KibXtlW9mBkB5kGokZqEnWql+2mlW=?ej?cTesC1ll zjMF|WK{qARzBma#WN?uUI))`^>{3qVAh2uJ105^7*RL)?8p z$3iu5ZAscY&N_y*cXd1inXXs6t_1BjXHXi%4=n0fNc-zc(%x~_u{G^)C_(!d(E4&F z(mEE>{<|eOrir~PTjbn}cGeF<|IQ5wi^26Ze?)=2wRPo=BOjY3PRin-b8t9y`t zAP;Az^N?mN4`U{q2RB5dnL)VOPZz2=JTDPdFe=xX_-!J`MZnLI!vxQ})Yrn^z{HJi zeVEDFsl1((+bOS|&h}*5Dn?9C(=x!$v^2guA8Q7w))|)liv(p$o|8>e&XN6Eg0eMB zcCzhEH){9m$Zk%^=XP#qXSWLRY@ff8pxt%`Xl3iJAY1mE$Zkx?ZkgqLPPd&S`gf?=-M+P`2jl+?7fbjk@ms=hbpXAoc-e;l_V(ZPsk%dUGVFay*_sQWk&JyP$(HdBol#iEaAbtD6O3~qe#iZur_y~jb@L@Ycm=js=k~y zJH}{pXX4s8Ep!a~W^{};)o7cnZ=4p{al^!inkrlK4L>FqbKY7^*84Hpll~Dmdgq!4 zUh%EK#)+hcTac?6cjw*=7Z#ogN1^B}re_|9p2hXd_Gw7?-A~z?VtVHBNX%^yrS!~uz-e8_zO2_QI@~i)NU*N+ z#eSR~dnTwyzSxh`V`9R3#KpeVqqv@VFZ9ff#P;f$C&7Jvh|awetc_x#bDsqDC?-1h zO<0dY(OFE-JQ+QU>zOq>aWl74=FC%&nA;vo>6xd(X?=+O{SvI}Vq$+!rBpoQa;r z%^4JxlD>e4&8#YE>(=&?RT=g|rJqnPNNpRgY76`c#vv$*I~R7(0LELv$TI*&=v3;CkctygPi zgm-W9zJwm@Iy&R@SeT$c@tC9i_x>V=u}in`mszTT8qvl33?%4 zbh?#&;pkk79_ukKI6Y2DSdX~qbb35Q{an}C|2t6h z(2C`ISe3YxWHadRuiZW)rBnC!8Ty0je192n=IWu)Jyurd&GIVvJB})E3;p#sn~t+) z@V8t0d!9SGd>yl&(Al+RW@)6q%2^5qf7{VN^YqeLaE5PzdwSW-)dTq366M=9=xw(n zUK^ItvDx($`un0ln*m0fRpdBr&h)iejX#ekzZncf8|4?PO?!LQEH(D+uxshv-?0uf zb~K@@n~C4<+tI$Gnyp=}8h8HGUsLFLbXfKs3CiXVt}?Q<`aE0qoe9d`ycOAZB`8~e ztL}Vm?Jiq0f#FK_A4!Q@t?Bx7H@3JZ%Xgz&aqqhI#M?(C&rPx}KrA*lNZHu1(#C9_xAxjML-(Tz^#Xjie=fv*Qa8Gv+N?x^Q;WyrFX;&^6L+%-lr_7B5)dv}|_M zl4V}q4CPCgQQo2jN^9!1?}9nYmMmX#R8!r7OP0;88$N94Ft3q(?~#@Ixi6bO=A%>Y zs(vzM^8c{^rE|+x+w@)ctyI4Jt7~=ahf{UDeuzDi^!5Z7?4xg{w6v(K%Ih?(vS}_~ zY{BDY4TDu9KkTb3RYPU`(XDF8e&t4~&gqvM?ij4Hp{d@+`cL@)k!lY$We|fvy8rZ( z@aQ6sEAi0Zwy#V&?GdQLUl}h6`4Dvqz{BsJ0;#KJJpkF-)|LFQ z-BvRD`gJ2cWcL7MI@8yQ_>kQLoU@$*Nt++CJ8bJZ5g)SKx!juTq&sIM5CT!VU9?&8 z(X;j!9qD?NJFuEqy;OSZGm3AENb-?yt^m__Y&vL(ey zl>DeJDs7J~ona!oGbc9TL`tQwDp{A6dK%b`!?R_>)E93Y(%$0J8Kp%c%rP&V1mKSwKD*{8sX_pS{9$=Y37(M-k!HvddBXc zYeA|JKVXD8T2%(rkKi=d`|;v))y(J{N~B#nA{*5u%GSK~08WtXHd`Nap%dkfxpqsS zk!`%JT9hBKq#pHTs?k}yrH5qrwRZ#e(^zlTU$^?cETzodjJg^ZPeY!R2z5JZmu-_fh1*_W!Na+ zwMd%|E(`1qWc%@K1;OU>0PvHpp%+XyrU8Fso- zUS%kOR_u{uHS=Z5*;cku#P@No^pNvK@9dV(%H3n{i%DhwoBd35f|XBU!9 zo~pp-;28QxE8X{Mf8TDIW?dP%+T=+OE88_Ob#UYu**XTYb){uwvZ`IZ3Z^OOY}xdUm)kQ-g+=>k>MiQX`7qJZs$DTG$&hvWuFeJ4=Y;-{Z}s8 zx$gWt~x&o)jFLDzb z7n7;Yx82EhaW0ZpH*s)Xixps13EOR&pen@3mXhij#5zZ|Z?`e1RoTu2b0G|EKf{@9 zm0w(z(NT6Adx;CP`F_x)MjPMmHp&)z>poit7zsmy$v8P8+bU)*H!&#tnEM3_0*&Tdm*x-hdDR++Or30W?fO#&nvOKc2=Wrw7@3Qij;*=^}F zduvmOB2$u`(3PS#PBpSs^9raywhA*6Tm}1f8wW+08s)7YBl&SB5-w@RWE)skw#3`! zU~1i`b+Xgu+*XcXWDlky{niku9JwTXV#J$d zBZ0KFTO?6NQcfL`97bBj(!kM2FAkr{;fS|}T5UxO2S=Ncoi=nv$uI{~UC6ek8;2R5 z83vJyvrf#FigmwUL;lv48ACI6JFR>^x*#K4&a-;Up}teI1V&C}IoJ6En2Zi7ospdu zjhq@MTaCfin!8>az&PvTh}_JH%r_^hwaiJj-KyLycB(N11+tA$5n9$>&D4Y4)}PU>YTjJquM)AUmx~jW@$Il;_AcMykHC+s2&iHy#a>=1uEj zZGmiKx3SKa*u9gjzD4z+bGc3SI@zYcXJ%MB=3z!LY0+6V(kjiBjcqu?bm_iq7p!V_ zvfJpdo$-M#&(x?`=HCKg@phw_g4)W)P!ru^C#BgreUvnDXPSH4ga@+I;uxIjjLnEO zLTq3gPm}MYVq-=PlQQ=E_1{Xf4}>|pBLs*rmJ)Aa+19P{GA=f)%c$Oi?w+(5SM=3%fbpWIpm zjdic;!^)Ork|K+()y{b1f*9$#+L!IyZFP)@^-p|q-!Yc6b*kKJV$%0^AloWpNw6xy zHY7Vufw{=ah+gN2L~fMjN@I@`Bb75=cA07&rv82NqC7*gt=;A-U%F4>Y&E2) zY^#QqEuG|~{IXTw+9X<=(oVY5PkEsYW?W&!kWl^FIz*C7l55=(^|zQ1cVoz17T|rCpwXEW&!H9n28}p^ox9X(yYk{IXqr2P!z})?Rm(#lc=~rIYpr_{Q2% z&)BW{4OlB>>c(cJ?IE&v2KoMS;v?5YPgKEdsGx+k9-*e&HzmO?Bs zlGC)!YHgqKcN?5Z#&Gl2JM{47lfOk>Y|UzC_E=iI1{(^nRGQQ+o`{PDhK{aOSi0y1)@_ukR2KUtn9r2T^V4!%eKX`VPcL&GlW8Wn*x4kc!AI$!_t=$g|dGP6Ih{ zsfg@!+!bxi%gD2J>Q3V$&+?4OF4=C!%X#0NyOX@)GY>FydD_sd&CZ$Y*R~TMjc+F) zB6}_E_IWyi#@;MtoLF-VH*fb|FmgdjCv6iSk=;IaTW#aTN9sNzyR0N5^ATm-Z7y(U zfpjyo&Jee!-7x~4cwe5HSP+Eij27*e@O|%_>uN&%ID&)jJbGV}kob&r4e24-N!ab1 zU`1N%op=r484KIJzB8Pa@I~4@>RxUSE=UK-WKzC(XD!i|*^;%}h;gxQ#D`_4Gg>5= zaMI-i=K#d51G|k;8Sx?6=>*NqVr|8TGS`*eA(5G6I+I%WiT_!<^>_GN@?8!c)2WpI z_xx{vX8(HL{yjgR9q2J*!J>J~3;apF{d@kD`Bp$jeFY%hpIv?R=uM8R<^wW@a`oUB z8nVB(@JQ+psU1>Jdw)$|#IaLqPHKP7#-uOiI47lVJBY{c>)hY|i$`74T`8xpK2%U& zo!;WXZe7As!rx=qM>u$pfo*smglYs1=q zUcb_)t?qyKb-#$(MM9f(FY}~Qef3-Yf7ZK4$hTvTYns1g*-mxAH!-gF&h{pGXR)8} z9mG$v>ueSKv-o1mS>Dm++*(E+?`SW8?gz(w0w| zugx!qWNXuUbmwCDMyjwizK%6XAME>37;b61u0uv zn@;n^7fbQeh9LF0Pm%V5lr61Im*G!K@soxiwaTX`^MaHutxcEX6-)7aLy&sRrzrP= zlr61IS8%bM3sSbUHmy$t+UuTf2vQIG6jff3vZb}@j(ow!QvA3fNIm3Jbo7FhEv-#g^Hm{B z@l->QdeEn+_JWixtxb30dq9@rM-4&h0iU9i7o==yZMrjGDzX$$HUz03_!OPJAZ1Hy z(_M&XOR>5kNZs#Kbn$|eEv-%K!;6;UiH0C`pHI=%3sSbUHr#)eP4}XgwRsOU1gYEo>w0-X%9hrqH}*F6DIRPHQn&dO8+$>@ zme!_wd%b;%2O5IZtv*F>FG$(a+Vm#gCO*Xv8iLgKe2PuHAZ1Hy)0=vm`V{v!1gTqm zicP&BWlL+*n|Yi06!$d*shfR@&AcFGOKa1cGv~Cvy0;-n-Q-hj?gc4ZTASX&+rp=~ zry)q)=u>Rr1u0uvo9@FmrmT#+8-mn#eTqI_kg}z<>AqfHpW^!sLFxvdqOTXEY-w$} zj?v7@xT_&ZUGG!Wc|ppS)~5S;{d|f$8-mnzK1Dw-NZHa_=G6UtiaQ#D)U`fEe=kVc z(%SR@K6Gley1gMtt@J4dctOgR)}{yYF;Yu$TSJh##-|wQ1u0uvn;zs1@+od@2vS%3 z6ob4VHOR~kG}3O^{?j~=@B4q|X7AOXyn5Bp&1x?FCg1 zD3AM=U|gQQ1sM5WpwV);+1JxrJ+F?fe(3PJ1NWLfaqN`Id({LaL0~y{n8N!jMxwvWBl7)4%7tgI*-ZZ=ExaA@0aWkgv zQ$U+B)2ExNa=iE2BO+>_>0?dQx#Afo&YZl*o{_9+xmkNonK^d)!6qCX;kb!orp?@E zkI9)D8!>cL-S`E^FPO`RB%SN(4jsSO0eg+#W7^>+JRGf`86s_{o4#;1K3_g>A+;Bx zpFaKYh&foNpdQIEYyCGeHc%MpT`>VNZ`lGuBNIi2!zjac%u-s!+yy7rjqy4YtZIjS zfZE@T1x*X*nO33Js$6}MbK<<&a~CW=dbug6Bg4~=8>sDQs{^`vG?1E&K<7%U!e>t1 zYy8ZK`|LG&Z)=9y<{0v~g~w?e#b+>bbUepD=U~p&H|S{(H)q_(H}P+&x0AO$|4uOf zhVpgTrRK}DbIez0=XuLL(?91> zG`~^ajw18ud@9gtYLGk=Xh|JLKLMsrxG}cjD@%-vDbh|J@ zh@K&DE%*%&@w4qi&+JOqFK8dT`t4)DTJRegY9G6DHz&RHnfFrl8yRXJyK;{tKANMH z&M#;myVA9bkLEt5^9$O?uFPu0M{}pr`33D`SH>3cQF|zzU(h~!dwUx``p$D4KYb*= z`2Ie(-*$2|4y_A0l97}gSQoveyw6+olOOu-bESDjE82cKpC8b;Ie82gT{aYFKyZrfn14R|1-x_G<=)Q;l z111JMrAy?OlcY!7Z=hH`iu;A02hg*OSx!%W(?gS|b4|^+*RSe6n4lNjZ!5T3algk9 zR~rwZ#~BILMlpIkoS;9PAKY(E6!OO-3G2~b(fLF4EG{~W`BmLV6ZAqcQSlghtPjz- zDnWk~6P=GItVerA=M(5zTyz%mtGcTb^g=Pw`6PO*57GIf1pQG=bUu}^9_FbqGxf@SeW^Fxd$(@*67us$3hQ1p<6}D+40L5JGR6Q<*&URFX=bk z*mTry1AiU3qs!MZ`$?ny71=r!`M+kX=UIUrTN*o>$Z<~^kJaxEw)?X!tH)4@_Vv(` z1^F;6ds%|Aw`)cA@&sjX+luTaWH%?|bFC*R z?Mp!WE7-MYksaqJCg}5#t=N51g0k()J8L&D6>{u8IYHU>MPGiOe>p+fTIS(mO-miJ z$A(jU+2Jv6C}V^2*M4lM{@jiYI%-Tf-~c-|=%~$=$Bzy6g}U{d{T*sI#tp@evNf0R zepB`w2`Bf<*B4N^dY-roo%lAahH<|`eJb`8_siEqjD}Xa7Gy}`8N5G|#6w~vzQfz# zu*B1lm^*ic-u&{l)6-G8IkjudYqo19!|cFt@-1Og!Pd8?D(+t694AY)up3xv419d=0;+nH=p7VZbhy(;-Yf} z+}DTbJS)N4aGrJ1;rtQTO3qGD4=2AZ(Roh7dK8MzVtVFt(X+Uoc}sN<{#?D3p7}f^ z=C+4YdgiafX?=+O^AoJ=Vq*V-1obE;_P>^}9))868~z+Ye{h^VE6MJezmA@{k=R~6 z^M!CVJ&K9WOA^+jP;?g4Ghd3H#r4dC*Rp5642ikzp_HEaayYFI zvHy((>$;fO=dXSeo4Xei`&T5aN1@m+rf2>ZdgexAd-crUhWq*uomVDU8^uKDRSD`* zOmu!HVLb{(XE8nV)#zDV&pc=?d**A9nA;vo>6ur;X?=+OYZI*NVq*Wg1obE;_ODM^ zk3z9uOwW7+dgexAd-crUh5Pytoi`>}8^uKDO$q8zOmyCyuparNvwY1%^quZq>PzUM zcaZn+R|HE*HiPZj;Ys-x{##TX^`v~<{`N_^j@n##{FCy5<{JB~I{FrMJM+ByY|5Pl zFZ&i;j|IDs-wd|piNErTec~Ul%>X#M=QB}lwl&&>{rO6aKes7bkM@4M-aoGe+ZYR0 zQSSU%rl;maMEDKLR`^r-#rm_o?dX@+j;(TPqTIJ59N9zK!Gg70AD};Zbg`uO^1=Fm zAy`n{JD>_IEN<2`%t$Q#9Z)3_bH}PuW=$R7)atl&I!{AclH#2SR)Dj>+29;-E;tW- z6`T()0AB-N2N!~iz{TJaa4EP9Tn@egz6q`X-vZwTSAwg+cfi%)8n6;v3$6p#gB!qi z!HwW1a5K0Cd=K0TZUeW2JHVabF7SPDH@FAf3+@B=gCBqgz=Pl+@Gy7;{17|}^oMS% zz~kTvz}v-ZgDoE{w$)Fa=#BujRGE(z9#Yb7o^3bm513F_hG>)2Xr?Ut|} zg`)E=mTYLP1e4j!dl3EI&1StkZ#YxLGx=+L_l9~?^wh{4ACGp0p8fOo=vaKN|E^_L zNQPT^>;5{_$@m^KO|^gl%zHH}wW}r1Ewi&#y9&YSr@z3Eey)xgQ*wI3Tj7^fPv~rL zt7e@ZxGzVK+t4GawpWZEw zD@N}{&r)Jkv8fo?> zMKLkD3O&|`7=1iJe-sm=Pb92Id&TH#^eiPt6`P9D7x`LSYccv{g1#swMt_7J>qCq_ zm7qV0iP0Y?tVesr=+o#~N{lKt6{B1AZ9PVxNzfO?#OSl=u|CA;a|!yRm>7LNVLjR_ zMt_2yrNpRWQ!#paUF$LW(*%7{OpN{vJ=TX9eIY@A6ceK_Cagz$#b^!BTjOGs$5wfs z!P;j}icQ7n>-}1f(e4R8d(Ic5yuiwNKH394)^&`=>CrPmf8>kNI6Z0;)*~)Ptscca zAKeH&ONmj%ref5+V{p&m;-2C6O3)YiVpKnKCD$13W@t?ikN9@%Sqwr6dR^4ETCkNfNA(*8QyejePu57UREgOxGcoA_Eq zjiN7%-Est3kUTvJjagx zV(jRL9m@Zr?XY!V>mzDMzZ^TJ#n>?zJCy%L+o2C;ySR$lG1%ClcXY1*o$lLlDes0G z$hW$$?YFe;d+Xh$Mkv13_lITANKp1}t;pU#LD{>uBKv>@Woun)w$E3QLk7Tlc2y<)>>1jj=&`P6XmNTRmY_fK&CufX zI6PrJ;$~=8kK*nkjzG_R@z`FgEM_L?i(+DQ7J94?F*-Xze-sm=M<%RCd&TG+^eiPt zi&9_s z1pQG=j4n)AkM@euMd(>dj25%XVsV1LC?-aipvU?Uqe~O?M=>#aY{Gi9SBx%0&r)Kv zm{k_b6ZAzfG1`P4>qCqlm!Ln2iP7T|)}y^*^aS)QB}R)`WpQGHz9=R}PePCNAx2M5 z&>zLb=$8}LqrGDE6!a`5MvGZxacY9TC?-ZvLyz?#M!%AvKZ=Rb=7jZVuNXZYJxhtv z)9c#4%HoU!eNjw|o{1joLyWFS&>zLb=vfKt(OxlnHhPv4qs6SUI44106ceN8qR09W zqvs{)k78o`J|c^G;9kN82^-cbPq}$_sz-gvp4I(pzV6ri zUj?#$KRvZ-)ha%(QN!QvKbN{{I6qCD>gsjP`)ksVmDPE(^8C_EqZIJpgY!bqcu(&z zr}^Idy05_;G|=~qtOkYU#eaWjGSp6z-u&xb-cuai+usX)NiQSm6!M!vU+-Kwi>OoS z+DF|3|F(6eqrT^K^8vQrbkyd`<1LtCuCZ${qF+$zn`6zzG1m0SSfkS1x-9I~a7@|= zblR3?&AuUP^bRk0qunRRnoDD>*@9Y8ey$y>Oneq=%`JX=(ouEu1K^I*&Xvd4%|5!s zk7K)b$^9zD7RH(jD0Pu`nQx80sWg`SX0UmtZd6)qq{ZvBH+-E=6DnAKgB&lz6t9!obON#bw zxvP6#OsQvVN%isiYGiFuL1uH2U?p-Z^$$6Jy85*rEI{+K%xdJEHZmF?N)#(Os`vy!uzSChTB_+DBM; zKW~WVP2}&0-I}m#xwg19Vd@oKG`P7VLuO{~cpV(mwCn-2E6rA#K`%U~eDpGFzMf%u z^^<(Lhb=E8;g*sl?2kVsK}Y$vkc97*B;j3d2qi(sI0GLiwDjjDXvq`l*;V{6*qTY~ljd7$$(I&~cjX@6fy+B?oVwx<34C20Q| zTK{{n=jm8T`yZ5~z2mH7oc5<7(>-%~z?U$G5v76rX3#TpcdXJ?Dc!2Kr1TW*^wm=M zKyNQbiHY7=Z;ChBv-cc!hT-NrgXtk_LStEUhS4);7Vx02#emT4r3bSB<-4(LD|l)y zrQRby?;eKtSg4$ZDGBcyjBEDE-*aX{)xy5YD=(9n-FafCOgmFmD%H(PuhC6GjaOwJ zE*ctUSDFS4BVR)(GrP<*fMjHI(>)KG3cqAHmGUmA*%nct7W4wW!6sl+uo>7K^Z|WA z9q0%8g8^V57z74`Ex{1571#!B3$_D8!S-Mn7!K;eD6k{g3G57Z0lR|T!0w}EL?qfKfFuH z4CD8elzhO)h!3OmpG;8ShptH^UNaN)k2x*}r&oI3n^bXABPZU^Iolo?Dyian9WBin zK8s`TAKaP;CQ;iL&#4w@@_TAID_ zQb04A66J{7r&t`6jHX2VRU+D;^Oa;IM+8kOj1{dNZ> z(oNS&w}9q$zeLxnB%pbdl8?z%j$-Fa8Ljn$^MlH0W0XkF&Y2SRf}+`yD@5}PWZHPs z4+N;>8oE-IOaV=eWSAc;P{~ZVsH7WL*tVb_X;8`0KqWsj5zmRIAI?#oibnPHW3;iB z>c`GhmnzW}L5Y5#QnjrTT@jS%M}-w{D$x}|iGDs@ep8OF2uk#$=88b+rijoVpJuycqb^)kBmz< zmDJ!J9W6I~2-xK`BhS!KO5}2V3%6jpRvH8}`q^-CyPgu!>S(#?hqXnc9MJ?NdbKF6 z@1#UpZ%hqZtq<}wP>D1!C8QZ$D3LuXkv##8{*+O2lp{F-jsCz=C8AM@j#jrBlt^n` zE3E^X>3)f>RY^djmvrT_mJ+q?nbei7IX%?Zj}4qj$xoU5EH`a|6Z23|8k|Wx_-dW! zjey>^5=im%8a;Rw%^%4TjWn_}dSEUZeUwaB=xAy5+TgFXXp7&}H-1{?O7uEq z589`4M0+c~vzF@Rk#18uF@v0f&&r^;a`bxaO?ZoDb2L7)n=8@l$bVw8w3W{v&RD7! zgwN264}eBj7&*q$mKGR$oxGWh{Y$(#-f&vd4(vzsZ$wP~j^0RbMo7{IK0u;=|AOd3L~W7hdD=rEjL$`}bVHnl-mdW4>*dkf9%Y1ke?%FTr5 z5t>>_L9BV$%1Z=5GvIE4z63}o-9{n0k?x2WUGxYr+ymkVAb1V@mW#LG!Upg=F8n?^ z{t_MMqvJo(aeMS@0Y{+Y3F!9^Q042`(jsjty>I;Zn%$*s=QB3p?3@1CK405{vnyPg zIs2A>_IzKJecL~~;m*xDyV5^9?C*=q?}6{HKzFrCpx+Vs5Cop#1c~xa%J?T`Jd`p% zN_nfu{{!gHWeb2t7Ttmc^tqm;nEJFH-n#j?Ws7%OK4<>CMYET0w`jqfWlNSXIjU*9 zIZGDpwB)Fx7R;Hq)AB{Lmo+ubK6-h1^W51@^Sox9XE`+dFgn#dBGo)H)!dM3-l42{{Opq|n)h9@xM_ZQ^TG3GFRL_msZLeLa5wRw z`c*sxrLOkLG_@ZsRjz0iGr6>iB9*EhRjMenoZXp9mRm;6w0tuoV|<2lfV&!BWr!^xH1_J(u5rcR(d#tHB7w?f`0V!zSPoa3%N*;pM2c z85jWe0W-j{;3V*Ea6Nb&JPZC0{26q@w4PvBum_k27Jj2XU9b;E&ji=@WKjY5HTW&qpJC!4(3eN={lQmhVP6BcgS)^WMz0~@ z1X`VD?7K16PXv2`DWFF45^z6Q1%@z#*#=w!E(iYy{tOzJMeYLj2Q$I>;Bs&ucnrJ` zJ_3ISpMqMtgFavqm;su=Y2YTH*~{DgxE}lyRQJbcU=SDz8o`eA4r9O~Z~~Y_FEJgQ zwRL zVDxb0f`5aGdh`N4z{a32*cyxk4WJQ>0eb_r`wsLC9axaDb`Xy^K5=Uh?P1p5Hx@tfQzFsq{o5DU@ABi91bo3-vGCRAAp~N*FZV3R0+C+o}dq? z1N)C4w!uZH93 z^4+;Rx_liwFmeP?{f0}|Hm#VL2Bw1<;8gIZ6#Z2i8^QO$>);=te;Mr)oDQx5Z-LLi z5C)(Lpcz~ZJ^w~Y1)c`)fxaEc2TkA-@HqH2sAX`{z0exs zvST;y0*KAOfvtN||DaPX@d4hTKmIFti+)&mAN(Ht5qtpt4E_Q>1RsIFg1>{0!9T!1 z!6)Ef;B&xA4KD@ygMnZO*b2B~Fd0k*hl0bwJa9BP7Ayy+ zg0Fz{!1>@CU?}ZkG}sC30rmv@f$89IFcTaN7Jw#jJUAVk3BC?40#}0XfSZBZSQ#^( z|7>)?f+*CkUF-j%jzqrce-($>acBEBr?s?ochVEMyPD^n$Q{-)?x+`g3%ILZ4yR6D zdzMtdR|~F}d5hp(iG&5DBu}!UrG?JAcw@Y|P%bc`RjasD&xiZDZDs8)mAUYQ^p9-@5Q#WV6No0`F;@Ex_lvsf)^A8FPJEZ z)8Zn>fGJLd#mXS;S1RmRny{ZTsgiK0wBfMC#Q(;5SuCx}cyVbJES6Tui&G|5!J^8t zxXDITUKWj=GAtT9!J@H~Wsw_{d0EtS&9JEH3X7VqmPHYUyj_!F@pcU?-mWRykh^+j zSlrbU7I*b5+K}d685YgGVA0&GXhWuMl3_7*6Ie{$B#$AbHw`GcWyso02h*EQZK~}^ zt2!XOH=Wwl&$*W{9ciXBE#poix*6)H=}fCa)KAlyR(;Ohg-@Lhy5lmvAdhT@!>Y>e zD^C2k>BwK}i2PNRvpClSGRYq5i9=b0OUDQk&v1Ewpnm@|!{z4AaCv~Be*d%T94_Ti zPTZ1*HpA(z>h3EJvYbp{-c>z|b3MF^>LX4SS=_jz4>rT?=FZ($JpHNB%f#r-oo8{* zomC!gmDwD_1Ha+8l7=(dax@{kl7=(-)0%=DJLGWfo~5VS(yV_OJxvs=EzSB@k5;)> z1)KwpE@kwM?>E({DInwe+p+?5X29Dn?+D)tsip^8tsQYbrEL!%Z)~J*U2OW+xR%@| z<$Gd+W^f!bn`V2N6B3%mh0ir}3!iIN89vu6EPSpRTlieFyYRVYp5b%NV8iE{35U-$ zYYv}lh8;fFY&?9f*>d>&D_Q57^@q!KhY&v3-9-4D({|ic18v({TJm4pgD~t^UIr>a zzI0TMx)*iK>Kxzoi_|eq?zElvJ8t9uF@0z6Unk7=E=iy5U0Zjq_v!(+dA~XEUhfx& zJ>kvWd$srT{eS0OHs?d{pn?B3)Eb;G2HyZzg6qH?;7)K4cpN+rUIVX#Ux7b@k3nZz za2KGrP6NPDZ~!0WQ89spWN^#Rb+F1@9qe>ZEWw&bX%UwSXNCkE;b z#&zIz415Fp8oUes0zL)mkt=XW2has<3iNhkAQ%j`1$zU%>DV6}2o48Jf!=zY3{C}S zf^Px$2INN4TA;rQxVItClYR-j2lQs-FW^J)4^T~CuD2w$pcmL2>;m-0WCEB7CWE;^ zZ%-D3rQif`8E|h>R+7F6JPPzy~AUT0s+)63>JUu@YiyA*^hW2n#k6tXWt|>}))e`u)$H%bDAb zq>(g2PxHI?-1ENQnRD(r-*<1}8+-?E65|z;a34+S19%9J;4wUh7w{5Z!E1N}(x!fZ zkMIffRf)8x(ws_bDvjxPh%}}(XgzCTJfvYVOoJH^*QPcRwiH%^tO?StO0z1hsx+#* zAkwH78u-0HC-lHc=!Jeb3m4!bT!9;K3vR;~w5(sjpk+(r|@xn%EMTktovaU|q0R2`9QMnV?9 ztE^yo~tupXQ6k zzU1YxKoSGZUY}>}t+Kgp{Cb8NBD_{Ag*Bgfa?%-G?R6}C#>3RMNxhb zI?OSS^%x7K;NNeuD9m#v!*?Eed_5cs7y@JpP)>Dj+k$yxf4`lOkY%{UI~(GvnGH%27nCTndE6-hSqhU+|SZd79W)3n`F}Sz3PKPvRDcdi~>bgPwvYmTv=WcVQ z^HEYaFdrPLInPSumH#0TY9wd%7S~Or&yWwjPICn_w)g+s3&(R3UN; z)5d=N9A1qchbMtMsi)QRm;+9GY37bP)`2++VC81+&JP)0dF81!1BEVF)W1dj^zL00 zGSqp!4Xk-DgO0a~r7I7QXKYQ_bDvU_&Mw-f4h*oS#@=J3@3HYmNwb$xnBgV&z5FRWPl{G^z>ZV>e<+Q)b{p1-Ph&VtCv#)L#%tzk zBkr*2qz;AW(PHI@EAl#MD{a|8icQ4GP#KOrjm0kfp{pfTWC+dRSKEuc_V+s@XDO?5 z+JRr1Qg#ZsfN>KrI|t~+0!B}Ob}=A6V6q9A*#llF3>aqtCf>mQjpH~TCO{q3LmEci oAPIEk)^_YcNbGSsx^hiibRW*Q7n_!u&&%&08I*i9;2)E~4=btl_W%F@ literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/spi/rtl/CVS/Entries b/usrp2/fpga/opencores/spi/rtl/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/spi/rtl/CVS/Repository b/usrp2/fpga/opencores/spi/rtl/CVS/Repository new file mode 100644 index 00000000..5fd79b19 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/CVS/Repository @@ -0,0 +1 @@ +spi/rtl diff --git a/usrp2/fpga/opencores/spi/rtl/CVS/Root b/usrp2/fpga/opencores/spi/rtl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/rtl/CVS/Template b/usrp2/fpga/opencores/spi/rtl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries new file mode 100644 index 00000000..d125a165 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries @@ -0,0 +1,6 @@ +/spi_clgen.v/1.3/Thu Jul 3 17:32:15 2003// +/spi_defines.v/1.8/Mon Mar 15 17:46:08 2004// +/spi_shift.v/1.7/Tue Jul 8 15:36:37 2003// +/spi_top.v/1.8/Tue Jul 8 15:36:37 2003// +/timescale.v/1.1.1.1/Wed Jun 12 15:45:23 2002// +D diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository new file mode 100644 index 00000000..361b93bf --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository @@ -0,0 +1 @@ +spi/rtl/verilog diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Template b/usrp2/fpga/opencores/spi/rtl/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v b/usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v new file mode 100644 index 00000000..7bc4f6e5 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v @@ -0,0 +1,108 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spi_clgen.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "spi_defines.v" +`include "timescale.v" + +module spi_clgen (clk_in, rst, go, enable, last_clk, divider, clk_out, pos_edge, neg_edge); + + parameter Tp = 1; + + input clk_in; // input clock (system clock) + input rst; // reset + input enable; // clock enable + input go; // start transfer + input last_clk; // last clock + input [`SPI_DIVIDER_LEN-1:0] divider; // clock divider (output clock is divided by this value) + output clk_out; // output clock + output pos_edge; // pulse marking positive edge of clk_out + output neg_edge; // pulse marking negative edge of clk_out + + reg clk_out; + reg pos_edge; + reg neg_edge; + + reg [`SPI_DIVIDER_LEN-1:0] cnt; // clock counter + wire cnt_zero; // conter is equal to zero + wire cnt_one; // conter is equal to one + + + assign cnt_zero = cnt == {`SPI_DIVIDER_LEN{1'b0}}; + assign cnt_one = cnt == {{`SPI_DIVIDER_LEN-1{1'b0}}, 1'b1}; + + // Counter counts half period + always @(posedge clk_in or posedge rst) + begin + if(rst) + cnt <= #Tp {`SPI_DIVIDER_LEN{1'b1}}; + else + begin + if(!enable || cnt_zero) + cnt <= #Tp divider; + else + cnt <= #Tp cnt - {{`SPI_DIVIDER_LEN-1{1'b0}}, 1'b1}; + end + end + + // clk_out is asserted every other half period + always @(posedge clk_in or posedge rst) + begin + if(rst) + clk_out <= #Tp 1'b0; + else + clk_out <= #Tp (enable && cnt_zero && (!last_clk || clk_out)) ? ~clk_out : clk_out; + end + + // Pos and neg edge signals + always @(posedge clk_in or posedge rst) + begin + if(rst) + begin + pos_edge <= #Tp 1'b0; + neg_edge <= #Tp 1'b0; + end + else + begin + pos_edge <= #Tp (enable && !clk_out && cnt_one) || (!(|divider) && clk_out) || (!(|divider) && go && !enable); + neg_edge <= #Tp (enable && clk_out && cnt_one) || (!(|divider) && !clk_out && enable); + end + end +endmodule + diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v b/usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v new file mode 100644 index 00000000..a6925918 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v @@ -0,0 +1,159 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spi_define.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +// +// Number of bits used for devider register. If used in system with +// low frequency of system clock this can be reduced. +// Use SPI_DIVIDER_LEN for fine tuning theexact number. +// +//`define SPI_DIVIDER_LEN_8 +`define SPI_DIVIDER_LEN_16 +//`define SPI_DIVIDER_LEN_24 +//`define SPI_DIVIDER_LEN_32 + +`ifdef SPI_DIVIDER_LEN_8 + `define SPI_DIVIDER_LEN 8 // Can be set from 1 to 8 +`endif +`ifdef SPI_DIVIDER_LEN_16 + `define SPI_DIVIDER_LEN 16 // Can be set from 9 to 16 +`endif +`ifdef SPI_DIVIDER_LEN_24 + `define SPI_DIVIDER_LEN 24 // Can be set from 17 to 24 +`endif +`ifdef SPI_DIVIDER_LEN_32 + `define SPI_DIVIDER_LEN 32 // Can be set from 25 to 32 +`endif + +// +// Maximum nuber of bits that can be send/received at once. +// Use SPI_MAX_CHAR for fine tuning the exact number, when using +// SPI_MAX_CHAR_32, SPI_MAX_CHAR_24, SPI_MAX_CHAR_16, SPI_MAX_CHAR_8. +// +`define SPI_MAX_CHAR_128 +//`define SPI_MAX_CHAR_64 +//`define SPI_MAX_CHAR_32 +//`define SPI_MAX_CHAR_24 +//`define SPI_MAX_CHAR_16 +//`define SPI_MAX_CHAR_8 + +`ifdef SPI_MAX_CHAR_128 + `define SPI_MAX_CHAR 128 // Can only be set to 128 + `define SPI_CHAR_LEN_BITS 7 +`endif +`ifdef SPI_MAX_CHAR_64 + `define SPI_MAX_CHAR 64 // Can only be set to 64 + `define SPI_CHAR_LEN_BITS 6 +`endif +`ifdef SPI_MAX_CHAR_32 + `define SPI_MAX_CHAR 32 // Can be set from 25 to 32 + `define SPI_CHAR_LEN_BITS 5 +`endif +`ifdef SPI_MAX_CHAR_24 + `define SPI_MAX_CHAR 24 // Can be set from 17 to 24 + `define SPI_CHAR_LEN_BITS 5 +`endif +`ifdef SPI_MAX_CHAR_16 + `define SPI_MAX_CHAR 16 // Can be set from 9 to 16 + `define SPI_CHAR_LEN_BITS 4 +`endif +`ifdef SPI_MAX_CHAR_8 + `define SPI_MAX_CHAR 8 // Can be set from 1 to 8 + `define SPI_CHAR_LEN_BITS 3 +`endif + +// +// Number of device select signals. Use SPI_SS_NB for fine tuning the +// exact number. +// +`define SPI_SS_NB_8 +//`define SPI_SS_NB_16 +//`define SPI_SS_NB_24 +//`define SPI_SS_NB_32 + +`ifdef SPI_SS_NB_8 + `define SPI_SS_NB 8 // Can be set from 1 to 8 +`endif +`ifdef SPI_SS_NB_16 + `define SPI_SS_NB 16 // Can be set from 9 to 16 +`endif +`ifdef SPI_SS_NB_24 + `define SPI_SS_NB 24 // Can be set from 17 to 24 +`endif +`ifdef SPI_SS_NB_32 + `define SPI_SS_NB 32 // Can be set from 25 to 32 +`endif + +// +// Bits of WISHBONE address used for partial decoding of SPI registers. +// +`define SPI_OFS_BITS 4:2 + +// +// Register offset +// +`define SPI_RX_0 0 +`define SPI_RX_1 1 +`define SPI_RX_2 2 +`define SPI_RX_3 3 +`define SPI_TX_0 0 +`define SPI_TX_1 1 +`define SPI_TX_2 2 +`define SPI_TX_3 3 +`define SPI_CTRL 4 +`define SPI_DEVIDE 5 +`define SPI_SS 6 + +// +// Number of bits in ctrl register +// +`define SPI_CTRL_BIT_NB 14 + +// +// Control register bit position +// +`define SPI_CTRL_ASS 13 +`define SPI_CTRL_IE 12 +`define SPI_CTRL_LSB 11 +`define SPI_CTRL_TX_NEGEDGE 10 +`define SPI_CTRL_RX_NEGEDGE 9 +`define SPI_CTRL_GO 8 +`define SPI_CTRL_RES_1 7 +`define SPI_CTRL_CHAR_LEN 6:0 + diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v b/usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v new file mode 100644 index 00000000..b17ac8b1 --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v @@ -0,0 +1,238 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spi_shift.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +`include "spi_defines.v" +`include "timescale.v" + +module spi_shift (clk, rst, latch, byte_sel, len, lsb, go, + pos_edge, neg_edge, rx_negedge, tx_negedge, + tip, last, + p_in, p_out, s_clk, s_in, s_out); + + parameter Tp = 1; + + input clk; // system clock + input rst; // reset + input [3:0] latch; // latch signal for storing the data in shift register + input [3:0] byte_sel; // byte select signals for storing the data in shift register + input [`SPI_CHAR_LEN_BITS-1:0] len; // data len in bits (minus one) + input lsb; // lbs first on the line + input go; // start stansfer + input pos_edge; // recognize posedge of sclk + input neg_edge; // recognize negedge of sclk + input rx_negedge; // s_in is sampled on negative edge + input tx_negedge; // s_out is driven on negative edge + output tip; // transfer in progress + output last; // last bit + input [31:0] p_in; // parallel in + output [`SPI_MAX_CHAR-1:0] p_out; // parallel out + input s_clk; // serial clock + input s_in; // serial in + output s_out; // serial out + + reg s_out; + reg tip; + + reg [`SPI_CHAR_LEN_BITS:0] cnt; // data bit count + reg [`SPI_MAX_CHAR-1:0] data; // shift register + wire [`SPI_CHAR_LEN_BITS:0] tx_bit_pos; // next bit position + wire [`SPI_CHAR_LEN_BITS:0] rx_bit_pos; // next bit position + wire rx_clk; // rx clock enable + wire tx_clk; // tx clock enable + + assign p_out = data; + + assign tx_bit_pos = lsb ? {!(|len), len} - cnt : cnt - {{`SPI_CHAR_LEN_BITS{1'b0}},1'b1}; + assign rx_bit_pos = lsb ? {!(|len), len} - (rx_negedge ? cnt + {{`SPI_CHAR_LEN_BITS{1'b0}},1'b1} : cnt) : + (rx_negedge ? cnt : cnt - {{`SPI_CHAR_LEN_BITS{1'b0}},1'b1}); + + assign last = !(|cnt); + + assign rx_clk = (rx_negedge ? neg_edge : pos_edge) && (!last || s_clk); + assign tx_clk = (tx_negedge ? neg_edge : pos_edge) && !last; + + // Character bit counter + always @(posedge clk or posedge rst) + begin + if(rst) + cnt <= #Tp {`SPI_CHAR_LEN_BITS+1{1'b0}}; + else + begin + if(tip) + cnt <= #Tp pos_edge ? (cnt - {{`SPI_CHAR_LEN_BITS{1'b0}}, 1'b1}) : cnt; + else + cnt <= #Tp !(|len) ? {1'b1, {`SPI_CHAR_LEN_BITS{1'b0}}} : {1'b0, len}; + end + end + + // Transfer in progress + always @(posedge clk or posedge rst) + begin + if(rst) + tip <= #Tp 1'b0; + else if(go && ~tip) + tip <= #Tp 1'b1; + else if(tip && last && pos_edge) + tip <= #Tp 1'b0; + end + + // Sending bits to the line + always @(posedge clk or posedge rst) + begin + if (rst) + s_out <= #Tp 1'b0; + else + s_out <= #Tp (tx_clk || !tip) ? data[tx_bit_pos[`SPI_CHAR_LEN_BITS-1:0]] : s_out; + end + + // Receiving bits from the line + always @(posedge clk or posedge rst) + begin + if (rst) + data <= #Tp {`SPI_MAX_CHAR{1'b0}}; +`ifdef SPI_MAX_CHAR_128 + else if (latch[0] && !tip) + begin + if (byte_sel[3]) + data[31:24] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[23:16] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[15:8] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[7:0] <= #Tp p_in[7:0]; + end + else if (latch[1] && !tip) + begin + if (byte_sel[3]) + data[63:56] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[55:48] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[47:40] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[39:32] <= #Tp p_in[7:0]; + end + else if (latch[2] && !tip) + begin + if (byte_sel[3]) + data[95:88] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[87:80] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[79:72] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[71:64] <= #Tp p_in[7:0]; + end + else if (latch[3] && !tip) + begin + if (byte_sel[3]) + data[127:120] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[119:112] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[111:104] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[103:96] <= #Tp p_in[7:0]; + end +`else +`ifdef SPI_MAX_CHAR_64 + else if (latch[0] && !tip) + begin + if (byte_sel[3]) + data[31:24] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[23:16] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[15:8] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[7:0] <= #Tp p_in[7:0]; + end + else if (latch[1] && !tip) + begin + if (byte_sel[3]) + data[63:56] <= #Tp p_in[31:24]; + if (byte_sel[2]) + data[55:48] <= #Tp p_in[23:16]; + if (byte_sel[1]) + data[47:40] <= #Tp p_in[15:8]; + if (byte_sel[0]) + data[39:32] <= #Tp p_in[7:0]; + end +`else + else if (latch[0] && !tip) + begin + `ifdef SPI_MAX_CHAR_8 + if (byte_sel[0]) + data[`SPI_MAX_CHAR-1:0] <= #Tp p_in[`SPI_MAX_CHAR-1:0]; + `endif + `ifdef SPI_MAX_CHAR_16 + if (byte_sel[0]) + data[7:0] <= #Tp p_in[7:0]; + if (byte_sel[1]) + data[`SPI_MAX_CHAR-1:8] <= #Tp p_in[`SPI_MAX_CHAR-1:8]; + `endif + `ifdef SPI_MAX_CHAR_24 + if (byte_sel[0]) + data[7:0] <= #Tp p_in[7:0]; + if (byte_sel[1]) + data[15:8] <= #Tp p_in[15:8]; + if (byte_sel[2]) + data[`SPI_MAX_CHAR-1:16] <= #Tp p_in[`SPI_MAX_CHAR-1:16]; + `endif + `ifdef SPI_MAX_CHAR_32 + if (byte_sel[0]) + data[7:0] <= #Tp p_in[7:0]; + if (byte_sel[1]) + data[15:8] <= #Tp p_in[15:8]; + if (byte_sel[2]) + data[23:16] <= #Tp p_in[23:16]; + if (byte_sel[3]) + data[`SPI_MAX_CHAR-1:24] <= #Tp p_in[`SPI_MAX_CHAR-1:24]; + `endif + end +`endif +`endif + else + data[rx_bit_pos[`SPI_CHAR_LEN_BITS-1:0]] <= #Tp rx_clk ? s_in : data[rx_bit_pos[`SPI_CHAR_LEN_BITS-1:0]]; + end + +endmodule + diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v b/usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v new file mode 100644 index 00000000..09b2e50e --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v @@ -0,0 +1,287 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spi_top.v //// +//// //// +//// This file is part of the SPI IP core project //// +//// http://www.opencores.org/projects/spi/ //// +//// //// +//// Author(s): //// +//// - Simon Srot (simons@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2002 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + + +`include "spi_defines.v" +`include "timescale.v" + +module spi_top +( + // Wishbone signals + wb_clk_i, wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_sel_i, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_err_o, wb_int_o, + + // SPI signals + ss_pad_o, sclk_pad_o, mosi_pad_o, miso_pad_i +); + + parameter Tp = 1; + + // Wishbone signals + input wb_clk_i; // master clock input + input wb_rst_i; // synchronous active high reset + input [4:0] wb_adr_i; // lower address bits + input [32-1:0] wb_dat_i; // databus input + output [32-1:0] wb_dat_o; // databus output + input [3:0] wb_sel_i; // byte select inputs + input wb_we_i; // write enable input + input wb_stb_i; // stobe/core select signal + input wb_cyc_i; // valid bus cycle input + output wb_ack_o; // bus cycle acknowledge output + output wb_err_o; // termination w/ error + output wb_int_o; // interrupt request signal output + + // SPI signals + output [`SPI_SS_NB-1:0] ss_pad_o; // slave select + output sclk_pad_o; // serial clock + output mosi_pad_o; // master out slave in + input miso_pad_i; // master in slave out + + reg [32-1:0] wb_dat_o; + reg wb_ack_o; + reg wb_int_o; + + // Internal signals + reg [`SPI_DIVIDER_LEN-1:0] divider; // Divider register + reg [`SPI_CTRL_BIT_NB-1:0] ctrl; // Control and status register + reg [`SPI_SS_NB-1:0] ss; // Slave select register + reg [32-1:0] wb_dat; // wb data out + wire [`SPI_MAX_CHAR-1:0] rx; // Rx register + wire rx_negedge; // miso is sampled on negative edge + wire tx_negedge; // mosi is driven on negative edge + wire [`SPI_CHAR_LEN_BITS-1:0] char_len; // char len + wire go; // go + wire lsb; // lsb first on line + wire ie; // interrupt enable + wire ass; // automatic slave select + wire spi_divider_sel; // divider register select + wire spi_ctrl_sel; // ctrl register select + wire [3:0] spi_tx_sel; // tx_l register select + wire spi_ss_sel; // ss register select + wire tip; // transfer in progress + wire pos_edge; // recognize posedge of sclk + wire neg_edge; // recognize negedge of sclk + wire last_bit; // marks last character bit + + // Address decoder + assign spi_divider_sel = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_DEVIDE); + assign spi_ctrl_sel = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_CTRL); + assign spi_tx_sel[0] = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_TX_0); + assign spi_tx_sel[1] = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_TX_1); + assign spi_tx_sel[2] = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_TX_2); + assign spi_tx_sel[3] = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_TX_3); + assign spi_ss_sel = wb_cyc_i & wb_stb_i & (wb_adr_i[`SPI_OFS_BITS] == `SPI_SS); + + // Read from registers + always @(wb_adr_i or rx or ctrl or divider or ss) + begin + case (wb_adr_i[`SPI_OFS_BITS]) +`ifdef SPI_MAX_CHAR_128 + `SPI_RX_0: wb_dat = rx[31:0]; + `SPI_RX_1: wb_dat = rx[63:32]; + `SPI_RX_2: wb_dat = rx[95:64]; + `SPI_RX_3: wb_dat = {{128-`SPI_MAX_CHAR{1'b0}}, rx[`SPI_MAX_CHAR-1:96]}; +`else +`ifdef SPI_MAX_CHAR_64 + `SPI_RX_0: wb_dat = rx[31:0]; + `SPI_RX_1: wb_dat = {{64-`SPI_MAX_CHAR{1'b0}}, rx[`SPI_MAX_CHAR-1:32]}; + `SPI_RX_2: wb_dat = 32'b0; + `SPI_RX_3: wb_dat = 32'b0; +`else + `SPI_RX_0: wb_dat = {{32-`SPI_MAX_CHAR{1'b0}}, rx[`SPI_MAX_CHAR-1:0]}; + `SPI_RX_1: wb_dat = 32'b0; + `SPI_RX_2: wb_dat = 32'b0; + `SPI_RX_3: wb_dat = 32'b0; +`endif +`endif + `SPI_CTRL: wb_dat = {{32-`SPI_CTRL_BIT_NB{1'b0}}, ctrl}; + `SPI_DEVIDE: wb_dat = {{32-`SPI_DIVIDER_LEN{1'b0}}, divider}; + `SPI_SS: wb_dat = {{32-`SPI_SS_NB{1'b0}}, ss}; + default: wb_dat = 32'bx; + endcase + end + + // Wb data out + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + wb_dat_o <= #Tp 32'b0; + else + wb_dat_o <= #Tp wb_dat; + end + + // Wb acknowledge + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + wb_ack_o <= #Tp 1'b0; + else + wb_ack_o <= #Tp wb_cyc_i & wb_stb_i & ~wb_ack_o; + end + + // Wb error + assign wb_err_o = 1'b0; + + // Interrupt + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + wb_int_o <= #Tp 1'b0; + else if (ie && tip && last_bit && pos_edge) + wb_int_o <= #Tp 1'b1; + else if (wb_ack_o) + wb_int_o <= #Tp 1'b0; + end + + // Divider register + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + divider <= #Tp {`SPI_DIVIDER_LEN{1'b0}}; + else if (spi_divider_sel && wb_we_i && !tip) + begin + `ifdef SPI_DIVIDER_LEN_8 + if (wb_sel_i[0]) + divider <= #Tp wb_dat_i[`SPI_DIVIDER_LEN-1:0]; + `endif + `ifdef SPI_DIVIDER_LEN_16 + if (wb_sel_i[0]) + divider[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + divider[`SPI_DIVIDER_LEN-1:8] <= #Tp wb_dat_i[`SPI_DIVIDER_LEN-1:8]; + `endif + `ifdef SPI_DIVIDER_LEN_24 + if (wb_sel_i[0]) + divider[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + divider[15:8] <= #Tp wb_dat_i[15:8]; + if (wb_sel_i[2]) + divider[`SPI_DIVIDER_LEN-1:16] <= #Tp wb_dat_i[`SPI_DIVIDER_LEN-1:16]; + `endif + `ifdef SPI_DIVIDER_LEN_32 + if (wb_sel_i[0]) + divider[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + divider[15:8] <= #Tp wb_dat_i[15:8]; + if (wb_sel_i[2]) + divider[23:16] <= #Tp wb_dat_i[23:16]; + if (wb_sel_i[3]) + divider[`SPI_DIVIDER_LEN-1:24] <= #Tp wb_dat_i[`SPI_DIVIDER_LEN-1:24]; + `endif + end + end + + // Ctrl register + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + ctrl <= #Tp {`SPI_CTRL_BIT_NB{1'b0}}; + else if(spi_ctrl_sel && wb_we_i && !tip) + begin + if (wb_sel_i[0]) + ctrl[7:0] <= #Tp wb_dat_i[7:0] | {7'b0, ctrl[0]}; + if (wb_sel_i[1]) + ctrl[`SPI_CTRL_BIT_NB-1:8] <= #Tp wb_dat_i[`SPI_CTRL_BIT_NB-1:8]; + end + else if(tip && last_bit && pos_edge) + ctrl[`SPI_CTRL_GO] <= #Tp 1'b0; + end + + assign rx_negedge = ctrl[`SPI_CTRL_RX_NEGEDGE]; + assign tx_negedge = ctrl[`SPI_CTRL_TX_NEGEDGE]; + assign go = ctrl[`SPI_CTRL_GO]; + assign char_len = ctrl[`SPI_CTRL_CHAR_LEN]; + assign lsb = ctrl[`SPI_CTRL_LSB]; + assign ie = ctrl[`SPI_CTRL_IE]; + assign ass = ctrl[`SPI_CTRL_ASS]; + + // Slave select register + always @(posedge wb_clk_i or posedge wb_rst_i) + begin + if (wb_rst_i) + ss <= #Tp {`SPI_SS_NB{1'b0}}; + else if(spi_ss_sel && wb_we_i && !tip) + begin + `ifdef SPI_SS_NB_8 + if (wb_sel_i[0]) + ss <= #Tp wb_dat_i[`SPI_SS_NB-1:0]; + `endif + `ifdef SPI_SS_NB_16 + if (wb_sel_i[0]) + ss[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + ss[`SPI_SS_NB-1:8] <= #Tp wb_dat_i[`SPI_SS_NB-1:8]; + `endif + `ifdef SPI_SS_NB_24 + if (wb_sel_i[0]) + ss[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + ss[15:8] <= #Tp wb_dat_i[15:8]; + if (wb_sel_i[2]) + ss[`SPI_SS_NB-1:16] <= #Tp wb_dat_i[`SPI_SS_NB-1:16]; + `endif + `ifdef SPI_SS_NB_32 + if (wb_sel_i[0]) + ss[7:0] <= #Tp wb_dat_i[7:0]; + if (wb_sel_i[1]) + ss[15:8] <= #Tp wb_dat_i[15:8]; + if (wb_sel_i[2]) + ss[23:16] <= #Tp wb_dat_i[23:16]; + if (wb_sel_i[3]) + ss[`SPI_SS_NB-1:24] <= #Tp wb_dat_i[`SPI_SS_NB-1:24]; + `endif + end + end + + assign ss_pad_o = ~((ss & {`SPI_SS_NB{tip & ass}}) | (ss & {`SPI_SS_NB{!ass}})); + + spi_clgen clgen (.clk_in(wb_clk_i), .rst(wb_rst_i), .go(go), .enable(tip), .last_clk(last_bit), + .divider(divider), .clk_out(sclk_pad_o), .pos_edge(pos_edge), + .neg_edge(neg_edge)); + + spi_shift shift (.clk(wb_clk_i), .rst(wb_rst_i), .len(char_len[`SPI_CHAR_LEN_BITS-1:0]), + .latch(spi_tx_sel[3:0] & {4{wb_we_i}}), .byte_sel(wb_sel_i), .lsb(lsb), + .go(go), .pos_edge(pos_edge), .neg_edge(neg_edge), + .rx_negedge(rx_negedge), .tx_negedge(tx_negedge), + .tip(tip), .last(last_bit), + .p_in(wb_dat_i), .p_out(rx), + .s_clk(sclk_pad_o), .s_in(miso_pad_i), .s_out(mosi_pad_o)); +endmodule + diff --git a/usrp2/fpga/opencores/spi/rtl/verilog/timescale.v b/usrp2/fpga/opencores/spi/rtl/verilog/timescale.v new file mode 100644 index 00000000..60d4ecbd --- /dev/null +++ b/usrp2/fpga/opencores/spi/rtl/verilog/timescale.v @@ -0,0 +1,2 @@ +`timescale 1ns / 10ps + diff --git a/usrp2/fpga/opencores/spi/sim/CVS/Entries b/usrp2/fpga/opencores/spi/sim/CVS/Entries new file mode 100644 index 00000000..54553333 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/CVS/Entries @@ -0,0 +1,2 @@ +D/rtl_sim//// +D/run//// diff --git a/usrp2/fpga/opencores/spi/sim/CVS/Repository b/usrp2/fpga/opencores/spi/sim/CVS/Repository new file mode 100644 index 00000000..9ec76930 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/CVS/Repository @@ -0,0 +1 @@ +spi/sim diff --git a/usrp2/fpga/opencores/spi/sim/CVS/Root b/usrp2/fpga/opencores/spi/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/sim/CVS/Template b/usrp2/fpga/opencores/spi/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries new file mode 100644 index 00000000..8ab9f73a --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries @@ -0,0 +1 @@ +D/run//// diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository new file mode 100644 index 00000000..c8c6a94c --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository @@ -0,0 +1 @@ +spi/sim/rtl_sim diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Template b/usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries new file mode 100644 index 00000000..8947f64a --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries @@ -0,0 +1,4 @@ +/rtl.fl/1.1/Mon Mar 15 17:46:08 2004// +/run_sim/1.1/Mon Mar 15 17:46:08 2004// +/sim.fl/1.1/Mon Mar 15 17:46:08 2004// +D diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository new file mode 100644 index 00000000..5200bb19 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository @@ -0,0 +1 @@ +spi/sim/rtl_sim/run diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Template b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl new file mode 100644 index 00000000..d84a0840 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl @@ -0,0 +1,3 @@ +spi_top.v +spi_clgen.v +spi_shift.v diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim new file mode 100755 index 00000000..1b13a35b --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim @@ -0,0 +1,108 @@ +#!/bin/csh -f + +set current_par = 0 +set output_waveform = 0 +while ( $current_par < $# ) + @ current_par = $current_par + 1 + case wave: + @ output_waveform = 1 + breaksw + default: + echo 'Unknown option "'$argv[$current_par]'"!' + exit + breaksw + endsw +end + +echo "TEST: spi" + +#echo "-CDSLIB ./cds.lib" > ncvlog.args +#echo "-HDLVAR ./hdl.var" >> ncvlog.args +echo "-MESSAGES" > ncvlog.args +echo "-INCDIR ../../../bench/verilog" >> ncvlog.args +echo "-INCDIR ../../../rtl/verilog" >> ncvlog.args +echo "-NOCOPYRIGHT" >> ncvlog.args +echo "-UPDATE" >> ncvlog.args +echo "-LOGFILE ncvlog.log" >> ncvlog.args + +foreach filename ( `cat ./rtl.fl` ) + echo "../../../rtl/verilog/"$filename >> ncvlog.args +end + +foreach filename ( `cat ./sim.fl` ) + echo "../../../bench/verilog/"$filename >> ncvlog.args +end + +ncvlog -f ncvlog.args +if ($status != 0) then + echo "STATUS: failed" + exit +endif + + +echo "-MESSAGES" > ncelab.args +echo "-NOCOPYRIGHT" >> ncelab.args +#echo "-CDSLIB ./cds.lib" >> ncelab.args +#echo "-HDLVAR ./hdl.var" >> ncelab.args +echo "-LOGFILE ncelab.log" >> ncelab.args +echo "-SNAPSHOT worklib.tb_spi_top:v" >> ncelab.args +echo "-NOTIMINGCHECKS" >> ncelab.args +echo "-ACCESS +RWC" >> ncelab.args +echo "tb_spi_top" >> ncelab.args + +ncelab -f ncelab.args +if ($status != 0) then + echo "STATUS: failed" + exit +endif + + +echo "-MESSAGES" > ncsim.args +echo "-NOCOPYRIGHT" >> ncsim.args +#echo "-CDSLIB ./cds.lib" >> ncsim.args +#echo "-HDLVAR ./hdl.var" >> ncsim.args +echo "-INPUT ncsim.tcl" >> ncsim.args +echo "-LOGFILE ncsim.log" >> ncsim.args +echo "worklib.tb_spi_top:v" >> ncsim.args + +if ( $output_waveform ) then + echo "database -open waves -shm -into ../out/wav" > ./ncsim.tcl + echo "probe -create -database waves -shm tb_spi_top -all -depth all" >> ./ncsim.tcl + echo "stop -create -time 25000000 -relative" >> ./ncsim.tcl + echo "run" >> ./ncsim.tcl +else + echo "stop -create -time 25000000 -relative" >> ./ncsim.tcl + echo "run" > ./ncsim.tcl +endif + +echo "exit" >> ncsim.tcl + +ncsim -LICQUEUE -f ./ncsim.args + +set exit_line_nb = `sed -n '/exit/=' < ./ncsim.log` + +set dead_line_nb = 0 + +if ( $exit_line_nb ) then + + @ dead_line_nb = $exit_line_nb - 1 + set exit_line=`sed -n $exit_line_nb's/exit/&/gp' < ./ncsim.log` + set dead_line=`sed -n $dead_line_nb's/report/&/gp' < ./ncsim.log` + + if ( "$dead_line" == "report (deaddead)" ) then + if ( "$exit_line" == "exit (00000000)" ) then + echo "STATUS: passed" #|tee -a ./run_sim.log 2>&1 + else + echo "STATUS: failed" #|tee -a ./run_sim.log 2>&1 + endif + else + echo "STATUS: failed" + endif + +endif + +exit + + + + diff --git a/usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl new file mode 100644 index 00000000..283aad1f --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl @@ -0,0 +1,3 @@ +tb_spi_top.v +wb_master_model.v +spi_slave_model.v diff --git a/usrp2/fpga/opencores/spi/sim/run/CVS/Entries b/usrp2/fpga/opencores/spi/sim/run/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/run/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/spi/sim/run/CVS/Repository b/usrp2/fpga/opencores/spi/sim/run/CVS/Repository new file mode 100644 index 00000000..e8646e70 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/run/CVS/Repository @@ -0,0 +1 @@ +spi/sim/run diff --git a/usrp2/fpga/opencores/spi/sim/run/CVS/Root b/usrp2/fpga/opencores/spi/sim/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi/sim/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi/sim/run/CVS/Template b/usrp2/fpga/opencores/spi/sim/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/COMPILE_LIST b/usrp2/fpga/opencores/spi_boot/COMPILE_LIST new file mode 100644 index 00000000..fc8f7d41 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/COMPILE_LIST @@ -0,0 +1,33 @@ + +Compile list for the spi_boot core +================================== +Version: $Date: 2005/04/14 21:26:22 $ + +bench/vhdl/tb.vhd +rtl/vhdl/spi_boot_pack-p.vhd +bench/vhdl/tb_pack-p.vhd +bench/vhdl/tb_elem.vhd +rtl/vhdl/chip-e.vhd +rtl/vhdl/chip-full-a.vhd +rtl/vhdl/spi_boot.vhd +rtl/vhdl/spi_counter.vhd +rtl/vhdl/spi_counter-c.vhd +rtl/vhdl/spi_boot-c.vhd +rtl/vhdl/chip-full-c.vhd +bench/vhdl/card.vhd +bench/vhdl/card-c.vhd +bench/vhdl/tb_elem-full-c.vhd +rtl/vhdl/chip-mmc-a.vhd +rtl/vhdl/chip-mmc-c.vhd +bench/vhdl/tb_elem-mmc-c.vhd +rtl/vhdl/chip-sd-a.vhd +rtl/vhdl/chip-sd-c.vhd +bench/vhdl/tb_elem-sd-c.vhd +rtl/vhdl/chip-minimal-a.vhd +rtl/vhdl/chip-minimal-c.vhd +bench/vhdl/tb_elem-minimal-c.vhd +bench/vhdl/tb-c.vhd +bench/vhdl/tb_rl.vhd +rtl/vhdl/sample/ram_loader.vhd +rtl/vhdl/sample/ram_loader-c.vhd +bench/vhdl/tb_rl-c.vhd diff --git a/usrp2/fpga/opencores/spi_boot/COPYING b/usrp2/fpga/opencores/spi_boot/COPYING new file mode 100644 index 00000000..60549be5 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/COPYING @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) 19yy + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) 19yy name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. diff --git a/usrp2/fpga/opencores/spi_boot/CVS/Entries b/usrp2/fpga/opencores/spi_boot/CVS/Entries new file mode 100644 index 00000000..d339433f --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/CVS/Entries @@ -0,0 +1,9 @@ +/COMPILE_LIST/1.2/Thu Apr 14 21:26:22 2005// +/COPYING/1.1/Tue Feb 8 20:14:49 2005// +/KNOWN_BUGS/1.1/Sun Feb 13 18:28:35 2005// +/README/1.12/Thu Apr 14 21:32:58 2005// +D/bench//// +D/doc//// +D/rtl//// +D/sim//// +D/sw//// diff --git a/usrp2/fpga/opencores/spi_boot/CVS/Repository b/usrp2/fpga/opencores/spi_boot/CVS/Repository new file mode 100644 index 00000000..6aa579d4 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/CVS/Repository @@ -0,0 +1 @@ +spi_boot diff --git a/usrp2/fpga/opencores/spi_boot/CVS/Root b/usrp2/fpga/opencores/spi_boot/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/CVS/Template b/usrp2/fpga/opencores/spi_boot/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/KNOWN_BUGS b/usrp2/fpga/opencores/spi_boot/KNOWN_BUGS new file mode 100644 index 00000000..298e4ba2 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/KNOWN_BUGS @@ -0,0 +1,4 @@ + +Known bugs of the spi_boot core +=============================== +Version: $Date: 2005/02/13 18:28:35 $ diff --git a/usrp2/fpga/opencores/spi_boot/README b/usrp2/fpga/opencores/spi_boot/README new file mode 100644 index 00000000..926b35bf --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/README @@ -0,0 +1,170 @@ + +README for the spi_boot core +============================ +Version: $Date: 2005/04/14 21:32:58 $ + + +Description +----------- + +The SD/MMC Bootloader is a CPLD design that manages configuration and +bootstrapping of FPGAs. It is able to retrieve the required data from +SecureDigital (SD) cards or MultiMediaCards (MMC) and manages the FPGA +configuration process. SD cards as well as MMCs are operated in SPI mode which +is part of both standards thus eliminating the need for dedicated +implementations. The SD/MMC Bootloader fits both. Beyond configuration, this +core supports a bootstrapping strategy where multiple images are stored on one +single memory card. +For example consider a system completely based on SRAM. The bootloader +provides the initial configuration data from the first image to the FPGA. This +image contains a design which pulls the next image from the memory card and +transfers this data to SRAM. In the third step the final FPGA design is loaded +from the third image. +These images are clustered in sets which can be selected by external switches +for example. Several configuration sets can be stored on one memory card +allowing you to provide a number of applications which are downloaded quickly +to the FPGA. +The schematic (rev. B) shows how the core can be used with an FPGA board. I +use it to configure/boot the Xilinx Spartan IIe on BurchED's B5-X300 +board. SV2 fits the "SERIAL MODE" connector on this board but you will have to +add a separate wire from R6 to attach INIT. Please check the proper use of the +pull-up resistors for your specific board. + + +Features +-------- + +* Configuration mode: configures SRAM based FPGAs via slave serial mode + (Xilinx and Altera) +* Data mode: provides stored data over a simple synchronous serial interface +* Broad compatability using SPI mode + + SecureDigital cards using dedicated initialization command + + MultiMediaCards (see below) +* Operation triggerd by power-up or card insertion +* Multiple configuration sets stored on on single memory card + + +Compatability +------------- + +These cards have been tested with the SD/MMC Bootloader: + + * Hama 64 MB SD + * SanDisk 128 MB SD + * SanDisk 64 MB MMC + * Panasonic 32 MB SD + +Some MMC might fail with this core as not all cards support CMD18 +(READ_MULTIPLE_BLOCK). Please consult the data sheet of your specific +model. In case your MMC does not implement CMD18 you might want to have a look +at the FPGA MMC-Card Config project. + + +Tools +----- + +Downloading the configuration data to the card is a straight forward +process. The images have to be written starting at dedicated locations. For +the provided toplevel designs, these locations are multiples of 256 K. I.e. 0, +0x40000, 0x80000 and so forth. + +dd (part of the GNU coreutils) serves this purpose: +$ dd if=ram_loader.bin of=/dev/sdX bs=512 +$ dd if=pongrom_6.bin of=/dev/sdX bs=512 seek=512 +$ dd if=pacman.bin of=/dev/sdX bs=512 seek=1024 + +The name of the device node depends on how the card reader is attached to the +kernel. For Linux systems this is most often something like /dev/sdX with X +ranging from a-z. Please note that it is essential to use the device without +any trailing numbers as they refer to partitions leading to wrong offsets for +data written to the card. +All this works perfectly for my Spartan IIe device as this FPGA expects the +configuration data as it is delivered from the card: Consecutive bytes each +with its most significant bit first. Altera devices like the FLEX family are +different here. They expect the bytes with least significant bit +first. Therefore, the configuration data has to be swapped bitwise before it +is written to the card. + + +Verification +------------ + +The spi_boot core comes with a simple testbench that simulates an SD/MMC +card. All four implementations of the core are verified there in parallel +while transferring the data for several sets. +You should normally not need to run the testbench. But in case you modified +the VHDL code the testbench gives some hints if the design has been broken. + + +Directory Structure +------------------- + +The core's directory structure follows the proposal of OpenCores.org. + +spi_boot + | + \--+-- doc : Documentation + | | + | \-- src : Source files of documentation + | + +-- rtl + | | + | \-- vhdl : VHDL code containing the RTL description + | of the core. + | + +-- bench + | | + | \-- vhdl : VHDL testbench code. + | + \-- sim + | + \-- rtl_sim : Directory for running simulations. + + +RAM Loader +---------- + +Directory rtl/vhdl/ram_loader contains the sample design which loads the next +image from the card and stores its contents to external asynchronous +RAM. After reading 64 KB it triggers a new configuration process for the final +FPGA design. +Refer to the code for the mechanisms involved. + + +Compiling the VHDL Code +----------------------- + +VHDL compilation and simulation tasks take place inside in sim/rtl_sim +directory. The project setup supports only the GHDL simulator (see +http://ghdl.free.fr). + +To compile the code simply type at the shell + +$ make + +This should result in a file called tb_behav_c0 which can be executed as any +other executable. + +The basic simple sequence list can be found in COMPILE_LIST. This can be +useful to quickly set up the analyze stage of any compiler or +synthesizer. Especially when synthesizing the code, you want to skip the VHDL +configurations in *-c.vhd and everything below the bench/ directory. + + +References +---------- + + * SanDisk SD Card Product Manual + http://www.sandisk.com/pdf/oem/ProdManualSDCardv1.9.pdf + + * SanDisk MMC Product Manual + http://www.sandisk.com/pdf/oem/manual-rs-mmcv1.0.pdf + + * Toshiba SD Card Specification + http://i.cmpnet.com/chipcenter/memory/images/prod055.pdf + + * BurchED + http://burched.biz/ + + * FPGA MMC-Card Config project + http://www.opencores.org/projects.cgi/web/mmcfpgaconfig/overview diff --git a/usrp2/fpga/opencores/spi_boot/bench/CVS/Entries b/usrp2/fpga/opencores/spi_boot/bench/CVS/Entries new file mode 100644 index 00000000..a4756ee6 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/CVS/Entries @@ -0,0 +1 @@ +D/vhdl//// diff --git a/usrp2/fpga/opencores/spi_boot/bench/CVS/Repository b/usrp2/fpga/opencores/spi_boot/bench/CVS/Repository new file mode 100644 index 00000000..ac45542a --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/CVS/Repository @@ -0,0 +1 @@ +spi_boot/bench diff --git a/usrp2/fpga/opencores/spi_boot/bench/CVS/Root b/usrp2/fpga/opencores/spi_boot/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/bench/CVS/Template b/usrp2/fpga/opencores/spi_boot/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries new file mode 100644 index 00000000..8649c9f9 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries @@ -0,0 +1,13 @@ +/card-c.vhd/1.1/Tue Feb 8 21:09:18 2005// +/card.vhd/1.2/Sun Feb 13 17:06:22 2005// +/tb-c.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb_elem-full-c.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb_elem-minimal-c.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb_elem-mmc-c.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb_elem-sd-c.vhd/1.1/Tue Feb 8 21:09:20 2005// +/tb_elem.vhd/1.7/Thu Apr 7 20:43:36 2005// +/tb_pack-p.vhd/1.2/Tue Mar 8 22:06:39 2005// +/tb_rl-c.vhd/1.1/Sun Apr 10 18:07:26 2005// +/tb_rl.vhd/1.1/Sun Apr 10 18:07:25 2005// +D diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository new file mode 100644 index 00000000..ce62c2b8 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository @@ -0,0 +1 @@ +spi_boot/bench/vhdl diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Template b/usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd new file mode 100644 index 00000000..797eb1c9 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd @@ -0,0 +1,14 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: card-c.vhd,v 1.1 2005/02/08 21:09:18 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration card_behav_c0 of card is + + for behav + end for; + +end card_behav_c0; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd new file mode 100644 index 00000000..dcd67609 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd @@ -0,0 +1,446 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Simple SD and MMC model +-- +-- $Id: card.vhd,v 1.2 2005/02/13 17:06:22 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity card is + + generic ( + card_type_g : string := "none"; + is_sd_card_g : integer := 1 + ); + + port ( + spi_clk_i : in std_logic; + spi_cs_n_i : in std_logic; + spi_data_i : in std_logic; + spi_data_o : out std_logic + ); + +end card; + + +library ieee; +use ieee.numeric_std.all; +library std; +use std.textio.all; + +use work.tb_pack.all; + +architecture behav of card is + + signal power_on_n_s : std_logic; + signal soft_res_n_s : std_logic; + signal res_n_s : std_logic; + + signal rx_s : std_logic_vector(47 downto 0); + + signal set_spi_mode_s, + spi_mode_q : boolean; + signal set_idle_mode_s, + poll_idle_mode_s : boolean; + signal idle_mode_q : natural; + + signal block_len_q, + block_len_s : unsigned(31 downto 0); + signal set_block_len_s : boolean; + + signal new_read_addr_s, + read_addr_q : unsigned(31 downto 0); + signal set_read_addr_s, + inc_read_addr_s : boolean; + + signal cmd_spi_data_s, + read_spi_data_s : std_logic; + signal start_read_s : boolean; + signal reading_s : boolean; + + procedure rise_clk is + begin + wait until spi_clk_i'event and to_X01(spi_clk_i) = '1'; + end rise_clk; + +-- procedure rise_clk(num : natural) is +-- begin +-- for i in 1 to num loop +-- rise_clk; +-- end loop; +-- end rise_clk; + + procedure fall_clk is + begin + wait until spi_clk_i'event and to_X01(spi_clk_i) = '0'; + end fall_clk; + + procedure fall_clk(num : natural) is + begin + for i in 1 to num loop + fall_clk; + end loop; + end fall_clk; + +begin + + res_n_s <= power_on_n_s and soft_res_n_s; + + ----------------------------------------------------------------------------- + -- Power on reset + ----------------------------------------------------------------------------- + por: process + begin + power_on_n_s <= '0'; + wait for 200 ns; + power_on_n_s <= '1'; + wait; + end process por; + + + ----------------------------------------------------------------------------- + -- + ctrl: process + + function check_crc(payload : in std_logic_vector(47 downto 0)) + return boolean is + + begin + + return calc_crc(payload(47 downto 8)) = payload(7 downto 1); + end check_crc; + + variable rx_v : std_logic_vector(47 downto 0); + variable cmd_v : std_logic_vector( 5 downto 0); + variable arg_v : std_logic_vector(31 downto 0); + variable crc_v : std_logic_vector( 6 downto 0); + variable wrong_v : std_logic; + variable read_data_v : boolean; + + begin + rx_s <= (others => '0'); + set_spi_mode_s <= false; + set_idle_mode_s <= false; + poll_idle_mode_s <= false; + cmd_spi_data_s <= '1'; + soft_res_n_s <= '1'; + set_block_len_s <= false; + block_len_s <= (others => '0'); + new_read_addr_s <= (others => '0'); + set_read_addr_s <= false; + start_read_s <= false; + read_data_v := false; + + loop + + rise_clk; + -- wait for startbit of command + while to_X01(spi_data_i) = '1' loop + rise_clk; + end loop; + rx_v(47) := '0'; + + -- read remaining 47 bits of command + for i in 46 downto 0 loop + rise_clk; + rx_v(i) := to_X01(spi_data_i); + end loop; + rx_s <= rx_v; + + -- dissect received data + cmd_v := rx_v(45 downto 40); + arg_v := rx_v(39 downto 8); + crc_v := rx_v( 7 downto 1); + + assert spi_mode_q or check_crc(payload => rx_v) + report "CRC mismatch" + severity error; + + wrong_v := '0'; + case cmd_v is + -- CMD0: GO_IDLE_STATE ------------------------------------------------ + when "000000" => + set_spi_mode_s <= true; + set_idle_mode_s <= true; + -- CMD1: SEND_OP_COND ------------------------------------------------- + when "000001" => + poll_idle_mode_s <= true; + -- CMD12: STOP_TRANSMISSION ------------------------------------------- + when "001100" => + start_read_s <= false; + read_data_v := false; + -- CMD16: SET_BLOCKLEN ------------------------------------------------ + when "010000" => + block_len_s <= unsigned(arg_v); + set_block_len_s <= true; + -- CMD18: READ_MULTIPLE_BLOCK ----------------------------------------- + when "010010" => + new_read_addr_s <= unsigned(arg_v); + set_read_addr_s <= true; + read_data_v := true; + -- CMD55: APPL_CMD ---------------------------------------------------- + when "110111" => + -- command only available for SD card + if is_sd_card_g /= 1 then + wrong_v := '1'; + end if; + -- ACMD41: SEND_OP_COND ----------------------------------------------- + when "101001" => + -- command only available for SD card + if is_sd_card_g /= 1 then + wrong_v := '1'; + else + poll_idle_mode_s <= true; + end if; + + when others => + wrong_v := '1'; + null; + end case; + + + -- spend some time before removing control signals + fall_clk(2); + poll_idle_mode_s <= false; + set_idle_mode_s <= false; + fall_clk(6); + set_spi_mode_s <= false; + set_block_len_s <= false; + set_read_addr_s <= false; + + if reading_s then + wait until not reading_s; + end if; + + + -- wait for a total two "bytes" before sending out response + for i in 1 to 8 loop + fall_clk; + end loop; + + for i in 7 downto 0 loop + fall_clk; + case i is + when 2 => + cmd_spi_data_s <= wrong_v; + when 0 => + if idle_mode_q = 0 then + cmd_spi_data_s <= '0'; + else + cmd_spi_data_s <= '1'; + end if; + when others => + cmd_spi_data_s <= '0'; + end case; + end loop; + fall_clk; + cmd_spi_data_s <= '1'; + + -- transmit data if requested + start_read_s <= read_data_v; + + end loop; + end process ctrl; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- + seq: process (res_n_s, + spi_clk_i, + set_spi_mode_s, + set_idle_mode_s, + poll_idle_mode_s, + set_block_len_s, + block_len_s) + + begin + if res_n_s = '0' then + spi_mode_q <= false; + idle_mode_q <= 5; + block_len_q <= (others => '0'); + read_addr_q <= (others => '0'); + + elsif spi_clk_i'event and spi_clk_i = '1' then + if set_spi_mode_s then + spi_mode_q <= true; + end if; + + if set_idle_mode_s then + idle_mode_q <= 5; + elsif poll_idle_mode_s then + if idle_mode_q > 0 then + idle_mode_q <= idle_mode_q - 1; + end if; + end if; + + if set_block_len_s then + block_len_q <= block_len_s; + end if; + + if set_read_addr_s then + read_addr_q <= new_read_addr_s; + elsif inc_read_addr_s then + read_addr_q <= read_addr_q + 1; + end if; + + end if; + end process seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- + read_block: process + + variable t_v : unsigned(7 downto 0); + + begin + -- default assignments + inc_read_addr_s <= false; + reading_s <= false; + read_spi_data_s <= '1'; + + loop + if not start_read_s then + wait until start_read_s; + end if; + + reading_s <= true; + + fall_clk(8); -- delay for one "byte" + + -- send data token + fall_clk(7); -- 7 ones in a data token + read_spi_data_s <= '0'; + + -- send payload + payload: for i in 0 to to_integer(block_len_q)-1 loop + t_v := read_addr_q(0) & calc_crc(read_addr_q); + for bit in 7 downto 0 loop + fall_clk; + read_spi_data_s <= t_v(bit); + + exit payload when not start_read_s; + end loop; + inc_read_addr_s <= true; + rise_clk; + inc_read_addr_s <= false; + wait for 10 ns; + end loop; + + if start_read_s then + -- send crc + for i in 0 to 15 loop + fall_clk; + t_v := to_unsigned(i, 8); + read_spi_data_s <= t_v(0); + end loop; + fall_clk; + end if; + + read_spi_data_s <= '1'; + reading_s <= false; + -- loop for one "byte" + fall_clk(8); + + end loop; + end process read_block; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- + clk_check: process (spi_clk_i) + + variable last_rising_v : time := 0 ns; + variable dump_line : line; + + begin + if spi_clk_i'event and spi_clk_i = '1' then + if is_sd_card_g = 0 and card_type_g /= "Minimal Chip" and + idle_mode_q > 0 then + if now - last_rising_v < 2.5 us and last_rising_v > 0 ns then + write(dump_line, card_type_g); + write(dump_line, string'(" @ ")); + write(dump_line, now); + write(dump_line, string'(": Last rising edge of SPI clock ")); + write(dump_line, now - last_rising_v); + write(dump_line, string'(" ago.")); + writeline(output, dump_line); + end if; + + last_rising_v := now; + end if; + end if; + end process clk_check; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + spi_data_o <= cmd_spi_data_s and read_spi_data_s + when spi_cs_n_i = '0' else + 'Z'; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: card.vhd,v $ +-- Revision 1.2 2005/02/13 17:06:22 arniml +-- handle termination properly +-- +-- Revision 1.1 2005/02/08 21:09:20 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd new file mode 100644 index 00000000..caa17136 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd @@ -0,0 +1,31 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_behav_c0 of tb is + + for behav + + for tb_elem_full_b : tb_elem + use configuration work.tb_elem_behav_full; + end for; + + for tb_elem_mmc_b : tb_elem + use configuration work.tb_elem_behav_mmc; + end for; + + for tb_elem_sd_b : tb_elem + use configuration work.tb_elem_behav_sd; + end for; + + for tb_elem_minimal_b : tb_elem + use configuration work.tb_elem_behav_minimal; + end for; + + end for; + +end tb_behav_c0; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd new file mode 100644 index 00000000..b359fa7c --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd @@ -0,0 +1,201 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Testbench +-- +-- $Id: tb.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +entity tb is + +end tb; + + +library ieee; +use ieee.std_logic_1164.all; + +architecture behav of tb is + + component tb_elem + generic ( + chip_type_g : string := "none"; + has_sd_card_g : integer := 1 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + eos_o : out boolean + ); + end component; + + constant period_c : time := 100 ns; + constant reset_level_c : integer := 0; + + signal clk_s : std_logic; + signal reset_s : std_logic; + + signal eos_full_s, + eos_mmc_s, + eos_sd_s, + eos_minimal_s : boolean; + +begin + + + ----------------------------------------------------------------------------- + -- Testbench element including full featured chip + ----------------------------------------------------------------------------- + tb_elem_full_b : tb_elem + generic map ( + chip_type_g => "Full Chip", + has_sd_card_g => 1 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + eos_o => eos_full_s + ); + + + ----------------------------------------------------------------------------- + -- Testbench element including MMC chip + ----------------------------------------------------------------------------- + tb_elem_mmc_b : tb_elem + generic map ( + chip_type_g => "MMC Chip", + has_sd_card_g => 0 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + eos_o => eos_mmc_s + ); + + + ----------------------------------------------------------------------------- + -- Testbench element including SD chip + ----------------------------------------------------------------------------- + tb_elem_sd_b : tb_elem + generic map ( + chip_type_g => "SD Chip", + has_sd_card_g => 1 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + eos_o => eos_sd_s + ); + + + ----------------------------------------------------------------------------- + -- Testbench element including cip with minimal features + ----------------------------------------------------------------------------- + tb_elem_minimal_b : tb_elem + generic map ( + chip_type_g => "Minimal Chip", + has_sd_card_g => 0 + ) + port map ( + clk_i => clk_s, + reset_i => reset_s, + eos_o => eos_minimal_s + ); + + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + + + ----------------------------------------------------------------------------- + -- End Of Simulation Detection + ----------------------------------------------------------------------------- + eos: process (eos_full_s, + eos_mmc_s, + eos_sd_s, + eos_minimal_s) + begin + + if eos_full_s and eos_mmc_s and eos_sd_s and eos_minimal_s then + assert false + report "End of Simulation." + severity failure; + end if; + + end process eos; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: tb.vhd,v $ +-- Revision 1.1 2005/02/08 21:09:20 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd new file mode 100644 index 00000000..3c0fb902 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd @@ -0,0 +1,23 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_elem-full-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_elem_behav_full of tb_elem is + + for behav + + for dut_b : chip + use configuration work.chip_full_c0; + end for; + + for card_b : card + use configuration work.card_behav_c0; + end for; + + end for; + +end tb_elem_behav_full; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd new file mode 100644 index 00000000..1c33ac3d --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd @@ -0,0 +1,23 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_elem-minimal-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_elem_behav_minimal of tb_elem is + + for behav + + for dut_b : chip + use configuration work.chip_minimal_c0; + end for; + + for card_b : card + use configuration work.card_behav_c0; + end for; + + end for; + +end tb_elem_behav_minimal; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd new file mode 100644 index 00000000..b5baf604 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd @@ -0,0 +1,23 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_elem-mmc-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_elem_behav_mmc of tb_elem is + + for behav + + for dut_b : chip + use configuration work.chip_mmc_c0; + end for; + + for card_b : card + use configuration work.card_behav_c0; + end for; + + end for; + +end tb_elem_behav_mmc; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd new file mode 100644 index 00000000..9cdf3eaa --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd @@ -0,0 +1,23 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_elem-sd-c.vhd,v 1.1 2005/02/08 21:09:20 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_elem_behav_sd of tb_elem is + + for behav + + for dut_b : chip + use configuration work.chip_sd_c0; + end for; + + for card_b : card + use configuration work.card_behav_c0; + end for; + + end for; + +end tb_elem_behav_sd; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd new file mode 100644 index 00000000..689cec03 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd @@ -0,0 +1,376 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Generic testbench element for a specific feature set +-- +-- $Id: tb_elem.vhd,v 1.7 2005/04/07 20:43:36 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity tb_elem is + + generic ( + chip_type_g : string := "none"; + has_sd_card_g : integer := 1 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + eos_o : out boolean + ); + +end tb_elem; + + +library ieee; +use ieee.numeric_std.all; +library std; +use std.textio.all; + +use work.spi_boot_pack.all; +use work.tb_pack.all; + +architecture behav of tb_elem is + + component chip + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_n_i : in std_logic_vector(3 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + component card + generic ( + card_type_g : string := "none"; + is_sd_card_g : integer := 1 + ); + port ( + spi_clk_i : in std_logic; + spi_cs_n_i : in std_logic; + spi_data_i : in std_logic; + spi_data_o : out std_logic + ); + end component; + + signal reset_s : std_logic; + + -- SPI interface signals + signal spi_clk_s : std_logic; + signal spi_data_to_card_s : std_logic; + signal spi_data_from_card_s : std_logic; + signal spi_cs_n_s : std_logic; + + -- config related signals + signal start_s : std_logic; + signal mode_s : std_logic; + signal config_n_s : std_logic; + signal cfg_init_n_s : std_logic; + signal cfg_done_s : std_logic; + signal dat_done_s : std_logic; + signal cfg_clk_s : std_logic; + signal cfg_dat_s : std_logic; + signal data_s : unsigned(7 downto 0); + + signal set_sel_n_s : std_logic_vector(3 downto 0); + + constant verbose_c : boolean := false; + +begin + + -- weak pull-ups + spi_clk_s <= 'H'; + spi_cs_n_s <= 'H'; + spi_data_to_card_s <= 'H'; + + ----------------------------------------------------------------------------- + -- DUT + ----------------------------------------------------------------------------- + dut_b : chip + port map ( + clk_i => clk_i, + reset_i => reset_s, + set_sel_n_i => set_sel_n_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_from_card_s, + spi_data_out_o => spi_data_to_card_s, + start_i => start_s, + mode_i => mode_s, + config_n_o => config_n_s, + detached_o => open, + cfg_init_n_i => cfg_init_n_s, + cfg_done_i => cfg_done_s, + dat_done_i => dat_done_s, + cfg_clk_o => cfg_clk_s, + cfg_dat_o => cfg_dat_s + ); + + card_b : card + generic map ( + card_type_g => chip_type_g, + is_sd_card_g => has_sd_card_g + ) + port map ( + spi_clk_i => spi_clk_s, + spi_cs_n_i => spi_cs_n_s, + spi_data_i => spi_data_to_card_s, + spi_data_o => spi_data_from_card_s + ); + + + ----------------------------------------------------------------------------- + -- DUT Stimuli + -- + stim: process + + procedure rise_cfg_clk(num : integer) is + begin + for i in 1 to num loop + wait until cfg_clk_s'event and cfg_clk_s = '1'; + end loop; + end rise_cfg_clk; + +-- procedure fall_cfg_clk(num : integer) is +-- begin +-- for i in 1 to num loop +-- wait until cfg_clk_s'event and cfg_clk_s = '0'; +-- end loop; +-- end fall_cfg_clk; + + procedure rise_clk(num : integer) is + begin + for i in 1 to num loop + wait until clk_i'event and clk_i = '1'; + end loop; + end rise_clk; + + procedure read_check_byte(ref : unsigned(7 downto 0)) is + variable byte_v : unsigned(7 downto 0); + variable dump_line : line; + begin + for bit in 7 downto 0 loop + rise_cfg_clk(1); + byte_v(bit) := cfg_dat_s; + end loop; + data_s <= byte_v; + + if byte_v /= ref then + write(dump_line, chip_type_g); + write(dump_line, string'(" at ")); + write(dump_line, now); + write(dump_line, string'(": read_check_byte failed ")); + write(dump_line, to_integer(byte_v)); + write(dump_line, string'(" ")); + write(dump_line, to_integer(ref)); + writeline(output, dump_line); + end if; + end read_check_byte; + + variable dump_line : line; + variable addr_v : unsigned(31 downto 0); + variable temp_v : unsigned( 7 downto 0); + variable set_sel_v : unsigned(3 downto 0); + + begin + -- default assignments + -- these defaults show the required pull resistors + -- except start_i as this must be pulled high for automatic start + start_s <= '0'; + mode_s <= '1'; + cfg_init_n_s <= '1'; + cfg_done_s <= '0'; + dat_done_s <= '1'; + data_s <= (others => '1'); + addr_v := (others => '0'); + eos_o <= false; + set_sel_n_s <= (others => '1'); + reset_s <= '0'; + + -- loop through some sets + for set in 0 to 3 loop + set_sel_v := to_unsigned(set, 4); + addr_v(23 downto 20) := set_sel_v; -- must match num_bits_per_img_g + -- plus width_img_cnt_g + set_sel_n_s <= not std_logic_vector(set_sel_v); + + assert not verbose_c + report chip_type_g & ": Processing set " & to_string(set) + severity note; + + wait for 100 us; + reset_s <= '1'; + + assert not verbose_c + report chip_type_g & ": Requesting image 0" + severity note; + + -- signal start + start_s <= '1'; + mode_s <= '1'; + cfg_done_s <= '0'; + addr_v(19 downto 0) := (others => '0'); + wait until config_n_s = '0'; + -- run through configuration sequence + rise_clk(1); + cfg_init_n_s <= '0'; + rise_clk(3); + cfg_init_n_s <= '1'; + + -- and receive 32 bytes from image 0 + for i in 1 to 32 loop + temp_v := addr_v(0) & calc_crc(addr_v); + read_check_byte(temp_v); + addr_v := addr_v + 1; + end loop; + start_s <= '0'; + cfg_done_s <= '1'; + + rise_clk(10); + + assert not verbose_c + report chip_type_g & ": Requesting image 1" + severity note; + + -- request next image + mode_s <= '0'; + start_s <= '1'; + addr_v(17 downto 0) := (others => '0'); + addr_v(19 downto 18) := "01"; -- must match num_bits_per_img_g in chip-*-a.vhd + dat_done_s <= '0'; + + -- receive another 32 bytes from image 1 + for i in 1 to 32 loop + temp_v := addr_v(0) & calc_crc(addr_v); + read_check_byte(temp_v); + addr_v := addr_v + 1; + end loop; + start_s <= '0'; + dat_done_s <= '1'; + + + rise_clk(10); + + assert not verbose_c + report chip_type_g & ": Requesting image 2" + severity note; + + -- request next image + mode_s <= '1'; + start_s <= '1'; + addr_v(17 downto 0) := (others => '0'); + addr_v(19 downto 18) := "10"; -- must match num_bits_per_img_g in chip-*-a.vhd + + wait until config_n_s = '0'; + -- run through configuration sequence + rise_clk(1); + cfg_done_s <= '0'; + cfg_init_n_s <= '0'; + rise_clk(3); + cfg_init_n_s <= '1'; + + -- receive another 32 bytes from image 2 + for i in 1 to 32 loop + temp_v := addr_v(0) & calc_crc(addr_v); + read_check_byte(temp_v); + addr_v := addr_v + 1; + end loop; + start_s <= '0'; + cfg_done_s <= '1'; + + -- give dut a chance to stop current transfer + wait until spi_cs_n_s = '1'; + rise_clk(10); + + reset_s <= '0'; + end loop; + + eos_o <= true; + wait; + end process stim; + -- + ----------------------------------------------------------------------------- + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: tb_elem.vhd,v $ +-- Revision 1.7 2005/04/07 20:43:36 arniml +-- add new port detached_o +-- +-- Revision 1.6 2005/03/09 19:48:04 arniml +-- make verbosity level switchable +-- +-- Revision 1.5 2005/03/08 22:06:21 arniml +-- added set selection +-- +-- Revision 1.4 2005/02/17 18:59:23 arniml +-- clarify wording for images +-- +-- Revision 1.3 2005/02/16 19:34:56 arniml +-- add weak pull-ups for SPI lines +-- +-- Revision 1.2 2005/02/13 17:14:03 arniml +-- change dat_done handling +-- +-- Revision 1.1 2005/02/08 21:09:20 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd new file mode 100644 index 00000000..7534aafd --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd @@ -0,0 +1,93 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_pack-p.vhd,v 1.2 2005/03/08 22:06:39 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package tb_pack is + + function calc_crc(payload : in std_logic_vector) return std_logic_vector; + function calc_crc(payload : in unsigned) return unsigned; + + function to_string(value : in integer) return string; + +end tb_pack; + + +package body tb_pack is + + function calc_crc(payload : in std_logic_vector) return std_logic_vector is + + variable crc_v : std_logic_vector(6 downto 0); + variable temp_v : std_logic; + + begin + + crc_v := (others => '0'); + + for i in payload'high downto payload'low loop + temp_v := payload(i) xor crc_v(6); + + crc_v(6 downto 4) := crc_v(5 downto 3); + crc_v(3) := crc_v(2) xor temp_v; + crc_v(2 downto 1) := crc_v(1 downto 0); + crc_v(0) := temp_v; + end loop; + + return crc_v; + end calc_crc; + + function calc_crc(payload : in unsigned) return unsigned is + begin + return unsigned(calc_crc(std_logic_vector(payload))); + end calc_crc; + + function to_string(value : in integer) return string is + variable str: string (11 downto 1); + variable val: integer := value; + variable digit: natural; + variable index: natural := 0; + begin + -- Taken from: + -- textio package body. This file is part of GHDL. + -- Copyright (C) 2002 Tristan Gingold. + -- Note: the absolute value of VAL cannot be directly taken, since + -- it may be greather that the maximum value of an INTEGER. + loop + -- LRM93 7.2.6 + -- (A rem B) has the sign of A and an absolute value less then + -- the absoulte value of B. + digit := abs (val rem 10); + val := val / 10; + index := index + 1; + str (index) := character'val(48 + digit); + exit when val = 0; + end loop; + if value < 0 then + index := index + 1; + str(index) := '-'; + end if; + + return str; + end to_string; + +end tb_pack; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: tb_pack-p.vhd,v $ +-- Revision 1.2 2005/03/08 22:06:39 arniml +-- added integer->string conversion function +-- +-- Revision 1.1 2005/02/08 21:09:20 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd new file mode 100644 index 00000000..84273abc --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: tb_rl-c.vhd,v 1.1 2005/04/10 18:07:26 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration tb_rl_behav_c0 of tb_rl is + + for behav + + for dut_b : chip + use configuration work.chip_full_c0; + end for; + + for card_b : card + use configuration work.card_behav_c0; + end for; + + for rl_b : ram_loader + use configuration work.ram_loader_rtl_c0; + end for; + + end for; + +end tb_rl_behav_c0; diff --git a/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd new file mode 100644 index 00000000..9f28e62b --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd @@ -0,0 +1,259 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Testbench for ram_loader +-- +-- $Id: tb_rl.vhd,v 1.1 2005/04/10 18:07:25 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +entity tb_rl is + +end tb_rl; + + +library ieee; +use ieee.std_logic_1164.all; + +architecture behav of tb_rl is + + component chip + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_n_i : in std_logic_vector(3 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + component card + generic ( + card_type_g : string := "none"; + is_sd_card_g : integer := 1 + ); + port ( + spi_clk_i : in std_logic; + spi_cs_n_i : in std_logic; + spi_data_i : in std_logic; + spi_data_o : out std_logic + ); + end component; + + component ram_loader + port ( + clk_i : in std_logic; + reset_i : in std_logic; + lamp_o : out std_logic; + cfg_clk_i : in std_logic; + cfg_data_i : in std_logic; + start_o : out std_logic; + mode_o : out std_logic; + done_o : out std_logic; + detached_i : in std_logic; + ram_addr_o : out std_logic_vector(15 downto 0); + ram_data_b : out std_logic_vector( 7 downto 0); + ram_ce_no : out std_logic_vector( 3 downto 0); + ram_oe_no : out std_logic; + ram_we_no : out std_logic + ); + end component; + + constant period_c : time := 100 ns; + constant rl_period_c : time := 20 ns; + constant reset_level_c : integer := 0; + + signal clk_s : std_logic; + signal rl_clk_s: std_logic; + signal reset_s : std_logic; + + -- SPI interface signals + signal spi_clk_s : std_logic; + signal spi_data_to_card_s : std_logic; + signal spi_data_from_card_s : std_logic; + signal spi_cs_n_s : std_logic; + + -- config related signals + signal start_s : std_logic; + signal mode_s : std_logic; + signal config_n_s : std_logic; + signal cfg_init_n_s : std_logic; + signal cfg_done_s : std_logic; + signal dat_done_s : std_logic; + signal cfg_clk_s : std_logic; + signal cfg_dat_s : std_logic; + signal detached_s : std_logic; + + signal set_sel_n_s : std_logic_vector(3 downto 0); + +begin + + set_sel_n_s <= (others => '1'); + cfg_init_n_s <= '1'; + cfg_done_s <= '1'; + + ----------------------------------------------------------------------------- + -- DUT + ----------------------------------------------------------------------------- + dut_b : chip + port map ( + clk_i => clk_s, + reset_i => reset_s, + set_sel_n_i => set_sel_n_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_from_card_s, + spi_data_out_o => spi_data_to_card_s, + start_i => start_s, + mode_i => mode_s, + config_n_o => config_n_s, + detached_o => detached_s, + cfg_init_n_i => cfg_init_n_s, + cfg_done_i => cfg_done_s, + dat_done_i => dat_done_s, + cfg_clk_o => cfg_clk_s, + cfg_dat_o => cfg_dat_s + ); + + card_b : card + generic map ( + card_type_g => "Full Chip", + is_sd_card_g => 1 + ) + port map ( + spi_clk_i => spi_clk_s, + spi_cs_n_i => spi_cs_n_s, + spi_data_i => spi_data_to_card_s, + spi_data_o => spi_data_from_card_s + ); + + rl_b : ram_loader + port map ( + clk_i => rl_clk_s, + reset_i => reset_s, + lamp_o => open, + cfg_clk_i => cfg_clk_s, + cfg_data_i => cfg_dat_s, + start_o => start_s, + mode_o => mode_s, + done_o => dat_done_s, + detached_i => detached_s, + ram_addr_o => open, + ram_data_b => open, + ram_ce_no => open, + ram_oe_no => open, + ram_we_no => open + ); + + ----------------------------------------------------------------------------- + -- Clock Generator + ----------------------------------------------------------------------------- + clk: process + begin + clk_s <= '0'; + wait for period_c / 2; + clk_s <= '1'; + wait for period_c / 2; + end process clk; + + rl_clk: process + begin + rl_clk_s <= '0'; + wait for rl_period_c / 2; + rl_clk_s <= '1'; + wait for rl_period_c / 2; + end process rl_clk; + + + ----------------------------------------------------------------------------- + -- Reset Generator + ----------------------------------------------------------------------------- + reset: process + begin + if reset_level_c = 0 then + reset_s <= '0'; + else + reset_s <= '1'; + end if; + + wait for period_c * 4 + 10 ns; + + reset_s <= not reset_s; + + wait; + end process reset; + + + ----------------------------------------------------------------------------- + -- End of Simulation + ----------------------------------------------------------------------------- + eos: process + begin + wait for 4 ms; + assert false + report "No checks have been performed. Investigate waveforms." + severity note; + assert false + report "End of simulation." + severity failure; + end process eos; + +end behav; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: tb_rl.vhd,v $ +-- Revision 1.1 2005/04/10 18:07:25 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/doc/CVS/Entries b/usrp2/fpga/opencores/spi_boot/doc/CVS/Entries new file mode 100644 index 00000000..630615f4 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/CVS/Entries @@ -0,0 +1,3 @@ +/spi_boot.pdf/1.6/Thu Mar 16 17:09:56 2006/-kb/ +/spi_boot_schematic.pdf/1.3/Thu Apr 14 21:20:35 2005/-kb/ +D/src//// diff --git a/usrp2/fpga/opencores/spi_boot/doc/CVS/Repository b/usrp2/fpga/opencores/spi_boot/doc/CVS/Repository new file mode 100644 index 00000000..07fb7884 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/CVS/Repository @@ -0,0 +1 @@ +spi_boot/doc diff --git a/usrp2/fpga/opencores/spi_boot/doc/CVS/Root b/usrp2/fpga/opencores/spi_boot/doc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/doc/CVS/Template b/usrp2/fpga/opencores/spi_boot/doc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf b/usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a889c3f22569aa1bb2a50c2cf864308a8422e9b5 GIT binary patch literal 113923 zcma%hWl$wSvn7MO%iun^ySu|MxVyW%JA=E+;O_1Y7r3~)yE_cF%-emj5&Qkv_v3VC zRb_Sbtv;ERm3_&7iHg%P(KEx6kDSNm!?F`G64@DA!t(Mm$eP%iIhzx4eDx?Yh+9}Y zn>Z3Nh+7*tn~0hi*%_Pg^TRqhJDM2Sz`AEetBl*OF(P-~(LAPsVoa2XKF$}PRB

    d4Vqwlvaz>Zd2#Q}uP> zTW@YX>EkrdUA7k%-fLynmm9!nuNNwt?5Qp5$W6or#y;Ia65asA`9&p4Mb+4FRNS0n=V&1t`L=HKwk-20TS%KhnSjOWO(lgPUODNFAwoG~Cu zp6x6f8U4ARUFIx3da-=|>FipVH5G3p4wh{(G}X9}{~MyH!+^lo@%Z%IOlfU4h#_g{ zBo32W54uOjb_uNocf6?I+T07zvCu~PA|mE<+8B;pt}^q^iW;bGW zHYYP3a&`^L4dC&dBI{aMd2#P^btH0%2d~Pm_Yat55%^DhJNd?tfDRU|kt0IVq_|WQ zTjT%3lrQZcyZ&+NpJZcYh5bKWOkDrLJZ8rK3H6dyNB>c;>x||wjbO|^zD;`$oex7y z`x{?JOp9u>(TMqU4+B*KGgsj{W+-vb4y|1dD`~DjD&)LRze}6l&xyo$%YtxlwnX$t z6zBtbpMlci?_49@E9_g_(^>u}#ARJL%q)=bF5f%>ega4E1hLH#6-GWmGzj3^c@6x- zIM55zRk%}8-;AOdFK-1~>@K@I&wN=^0-M7&oSdF^Ysoqh+z+m!fbP9e&L4dvBYULW z{5-VbInqz3wHIRAzp157neqG{PF4YzOf{}oL==kOD`Gf&Tz|-|;xS86Rj@$jij1Hl zbr+6tOMf@=7P{#gu@J+nzg8!wp8`Ofp*y2XLH~$p@+>Qnt)R~?BWE%^ViCVsEbXm6 zw?1)l%ywg^LM-4iqR^Daa4sk)$_+bq~5O zXBKmvOq5#Bht6V3kQQdFxoXN!NZY?8A_%)3Rx6GEtKEfII$z{mmfVi7Q0646fYBd0 zJO3&gD8Ies*M<8oD$tg3HhUH*Ew@>47Nl3!MA?N{bYiv%i46rn3dAS++#3cdvP<9; z3=RB#Z#;0{d7!eH4^JgdmVgoJwm6tE;ieflFjlCu_1R))l4&Sor_+@$4J5N3r0j}Y+Enhtmh)1;d?KNP|! zEXB*RZQ^(F+px4?a|?cq`t`~1zOnA?hJO)_2WW1PMWsWaF#Hu<7O>t!j)lKBEc&2- zUwG(@tYCul>#>X7b=_ z1s2W_?n#S;@>8jK9*S*R8Q`-hJgip8GRVYRNNcQVDH?SOR$Mkrp#caKZq*C4C6cq! z9YXi-JW+sNyNV!*p-*WITET$|916uCyXDUBBoV_&199_^01f=WEtEUIUgXSNwYT_JBgNw$wu2z; zOSYt)q0n4=v66qT$A;Nbe!?y#3KU%+5^id&J=RH zenB`Qr*v@eS*i;p<|@zFJ1F!j-dhFhlFkvPV?9mLy(^LnmG&r{<`PDo>BM!Rl_L@l z+7IZDzcb54%{kTwVpbF9v_*2n#MeW@$eSx-&rMUhO-5+ptIHnk72F==g!{JPo_x^& zA#`!|>W(TE_t^7G`)V6@&H0i(N$NUoZpH|>hA{>k1D(9GcmA6N^5)L^V%KSWo1U$T zMa|1Z3BkFeey+>0iny_Wx`oe?AvN3laE*Rp{cOHPnVr)r9^r?#>Wm!M>lD_8yo=Pj zFu;jYUlEr)Y>BiqoZ<9u`7511vQl6K3Ij(Aj6YN>{!+Bkl=|)vY<~Y3jgSewJ2r0B zb7=&_YJDX&f%fE`5QWy{cIKN|v-;nK`(-tkw!M7%K*}+Jg&a^69eSJCEu`tXiubL4 zs#ZrcVtxm^B4@!)QrBk%x7cL;onh~Ne*MT-!OtF~kGzghYxX_{C5n;c$!b{Rp`y>e zZ}bR}MFRp|&bLK*;KD*sOFT~6OE!JT^>tQ;Q{yx;$Ar&6%Q`lj3JPA`FFsXtWtz)-s5?0EUM#$(vV<*lL*&5k@%yz)^}*@d zRu@AJxU?80JluPC+<`XUcuW}>1b!?ChWvngZu zJEPJhFlgn^=le;ZlzS2-ir9=H`3SN*l-FtShMq+rWB$LBz9q73^zH+}Wxp|XDT0{v zijMh9=@iYU%^$DI*HpfVO2?K}Hliqj%9<#hPfz_B^fffes*bjwYYWx;7W6eCEhZ`N zJ0%^jv_+~fOOnT2^$w&=3W;KtnU?B7nuLEptGPxpH<}y-D}tt~i73afpcI4r1~6nc zOB73@q4bza(W1RtME2GC(M7-YH(X_L4bcA#-v6TVzu?Wp!ol(1(VKi`>ly{~<{Q*ZCXUNm(n3g-sq|Fq2YsBKAo78dF`5 zE1C?(c7=1KNs5$*_wg8rWo~DoT6gw+OukLMiV!FG~bvQ5th((Ac>3 z*|yFL4GUS4LJGyoVpB4?DG8uNb<$xn&>P~u!`D}tA)6bzMRi;KTP4Y9z#GX{2wb|&CCPu^A1AU;AFRk&j%pM4ln-36O#iAV-Hp@V%agb!^aq!kBBBf{LOUQ5$+ZD zsKt^Iu^=X|UpOd5qeVsSkm?46h=gomv0OjDW- z%P7ad($&*3eA>uolKW{d@`DaIqsB^3;csLSu>rI{gf;2yr>w_oQ0*27$C9>H;wrk%O>kIyBquDl!UJ?zUL} z_oZc9U=#P)VE`#`@N8hf7iI&eZ`4adw|mOXgghYt?GW5VnVJy&6nGm4ZZT@<{hlqo~-y|=|tR08>a{= z(jLj`%SiB423Bji-;2k6>?=~BIWM2W-Tvo_58BFFP?@W` zQ5*sb6=srhchiMKGMo_27x1Y#Rqt=i%$@DgFv>##e@35Bd5zkGudWp-YdJ@|U2)&dw&Q&FA* z_A|n3p{megR_NlBEnFqgP9#>ovV6RrPt0@_P+V;JG{~G8w^TLkPdF=Fw);)S+*;@E zs4QKdA1}b(2djM+7Ztj&fwy z0|Hw@qw9cdLpRr@rQF$b??KQZVFT`X+l8~<3~a*iDpnR9@!mi1B-yGb7kjMaNQ0fN zY(T+UcqPt)LYP9ByfGr~H|?n^-?3|=a+j`M#N_pANhY^^g(bHBQeoxmkC$$&Mbi$M z4j!AxFrCOgc0BB+Les=klPs@@1htE49qf(^FBO`IkX;GunJLT7LOG!h_h?!jqM?G)Lv~19&up^0X$^egS32O!fUVtsa8X!JBCj)4JBnwV>+#Fy z`f<1KEKafb{rl~Rftiq*Jo|!JQjs&5EMXG;k85JpXw~=KCQ2AO&h?|?qfnhLTp3~j z@lRAw=6AuDh3c?~B*_AFB^L1H&h5%XkFBQX zo>+mAyjjp(d`H~!@33Nz2|_JQCk*;OpmTGo9{BU4DW!kC^V&Uj++c>%asCqZkasP` znHxvkmNobl{?6|WXdsRpzc>O?WCZ8G97JAk^8SU8fEnoV<`RnF=9?0(hAsdv;j>BK zCSx_43D5xz#CqQ#>b)ll_jmlB?HGitD-QQvWKG*M#uEb;nl~J*=Z;kk^e8~v z)E4vRC(EVFE@NS+CMi2>Dc$5Nl(-#BmIu4Y9{Uh-J_yGkdus4@!O_8LEp2#P8454A7|CsdYUF(C=EtcmzrG-SGgn3Ecu ztOxAj&|l#MLiMqqV(7&BBr|1ty*Fi9Yjy@8K_~qp`h;5)zs^TPlc5>ObACr>upj*( zsO}COp7LL=2ofD!&$|odXgU^`1bGQK`G|;eL>~yNC z3uY%Enx@Kt*$h;&QsZ}Wdq(0%fj}dv?gMIypf!o3Nr@rUj_h7gC5D%JqNNjIg<-2e5 z-67G>V~(WVQjRi<=d9@-7Fm;@Nm~{VQv1TL6oo9PcnyPnE^opLt_iLo`K}5}eO&{J z1OctI{#qaR(lmtD?ZKARtNU--6KElx=#YJXLOTU-RXcxmko~}J*e+V+s3IEc4{i+~ zRYcMtQmFIqWOn5{7uzQ){BbR1W;2Fo#0RY+DD)ccbb!IFLM!?R9Jd;BH^E8fP6jir z#KG7<_@H?N#r@sKcDR>B$*QA!IMUk#XUwClW4MA0Z8{ zQV*T%RoJS-masGs5MKwj!Nyc#_9Cv6>TGQzP1%vE$e({nDoZ+|#K?6Mm;iZx+VA1A z7;=GyyNEa69H~WZ^vaK3E4?E32cK^DW!F)Ki-lI3cRd@J>VDzFEizV$esc(3nnM!o znWB4-=hI$?1i^Q6Vi?iwxZDcAjaj!xY5%tTTi^7Z(qTm+4WcS7PFV+^{3Q7YvV0B) zkzkafA=a;VEepx-9t+J4;x#`+G1FQMIL(Y8=;x++KY6l z!q&u=K~zce4i-vPRYcSE$(Y^tg|_&8R(b6ddAT;+ljD}R`(kIy6RVnBTZ~qvHT8q` z(B>>d>_`&2L zhJC-*#|SLQ8SL9zTBo#g5k|L#nb>Y{+(){`TIVW}i zfo{#T%OiR165M_Xaj7EuP5T?96HX<%X8yl`JMatx~vdwO_+}@xIa)s9U;>5zkB48mp(q=c_U4;>pAsnF6>!_`kv~O z-Qc@ZHLkKZgC&w7t{2XdKe4vg!U{f7-O5wKbS)b zU#cowF%&LnXj}U{Gv}zYQ{a1%!%h8JSp6R1&+q}4NND?zdTDaI)r4o|6R#C1@4ppl_*h=o8E^Afa6;l{0FlL?(!Z8a(YCF)6uTfU)@N&{GK?!{ zMYA3>jfzBKiWv^!N*o74CY(%Bc&d33h_#%m=1Z54PUuOjDP48SY(L<$6S3=p5(0va z=1QO6A5=6&xhs=)UZkuTxs+{;8q0C@KA3s(!}k z7u;YbmLUpN-K~gGhj8X!hzemH|BLTOeW&B6KZ^(o;rD(gx97L91^WlizSDP`d-TZe zU4a*23F!NdZ;GQy-lhlsd*2^ttcDyUq2OUKAgmrDMso9V`v$zl(4FB<&%)52$ja-I=hs*k#?~yas(pQ}O#MiBVz%|4K)p9;k1fkr+jWANaBig3Y!}5`K*M z_yR_-qER$nUr75wtlCh>gV~*U*FL+8H1<2VsTv$pSw|19q%uFq@Chn|18L6;%Msyn z0wFv>^_n_1YDw9kOZ2|~-WV2*#T>`igTbRUgx<9DEIAxv{eI0h#cr%prleiGWV&xj1Z39_1SXN`O?%xAQF76dJC$}Hj7ks-F;VQRvuLr3!wiD>FA(EyY@YMG1cD;TEFL4X@m5HzLxyJxw zrliCSPCsJS-lqg@5Ai1_sFbL}$6sf_EE*Hd@oeKs=7ZviW;%pDx_7m&zyS{N zwf?&=($)z)0_;`~(z1e}KV_2nuJaeFr6r7zWD&CNDKWQR zu+a*-pbmbS`E$CaOITeDQco%r z((GY+3QG$xwL)AYgWx$#M)!4?F^s|2yd0X{CiLdD!uIAN43pizz&c85Hx$J8v7w?s zfA?;+P6u2IZxHe6Q~d@fK-*JdK^cEpZxVV&0a^t6k@XWt8A%ZA74pF*0wdDg`-FIn z3Hu2~cO+wG`e6FepSs{;kkb<4mi5et(nLX;rkb6Q`a`ybMx^>)xalOp<$w6wzl(&Q z4r=fsYGubDYv0QtI~_;Pl=;UShK5oTp4dlwv!{}X6Q84;M3(li=&WS@2tg##^V6kN zP)vm8!@vLgTM%Nru1ptIkNubEBN{#YT@+O>(|vY>qS(C2WgiWvhNbv%8O)@%Hl)9B zb2*m78{KiQhIY%04kS6QhuuOr@k23 z9~NS9bo3buDOmHG_27(_5yDuSc=>KCg_01~P_iYtRJeVtcHGX_z4O%2e>ym%O&l;_ z%Gx7O4^K$gn-zb0(;wf`GgFAj^vCbAX29Lt!9#S=^{>-v0$X45 zH|I$;4L&;U&p9HPDK4$z@fB5+dY5TjZyTgrv^lv4njnnUDw&KM4Dlc>4PXbrgYCq-0M8r_l9eZyy zAc98nW=EM^tyN7%q!uwD#=p69gQ!cmVU+hZp!15>q)KHnVqhVc;VurRSgo+R3}r(x zBVa0UR^@~?p5Mad6};Mk4$Usc$t7QP9528a&Ky=<16RL=;#${r>BH>q1~-9S^dj^) zeX6Zq({Mu4noV6~L;O?#A>dMnX>PTuTs61DWvkO~+b=v01jrP*_4*6AqPcVK_WxM~V zX8l*0>R&aBm4li2zsp%{|Gt?2>-@jp9oha>xc#ppz7_yf5(5qLf(@9;C?A>%= zm)7`We=kmR(Kl}@Nhigc4l^>j*v1a|9(c$^8Z-6SuUeik_`mJAs4Dn=7R2r<&Hc+oRa)qui60?Agr& z{+TO=9`!ag}J7%u9H;*Qhs@btXwZjP(}By(MaCWjqAHl z-s6;HIF?a8xp{A-p9ELoV%>XN4uD(8dVQV+*G3VPO3vnm*tJx=tG^iu>y1h7+cfkx zPl&eHwJGOpdUIq{E4F*R__YRO+tOt!k_>)omZPYPit9CNrUh#pI6egm9MvX^$-m6V zAqdv)`jQ=d?38W8-gxX@gSt#3@|p{WZPg{lOWR#VlA(z0N9frSHt1Y#s2o4KSe^p0Qr+{rttIt9kF*ocrBsWTVgF_vv;XYG*&))7~<3!+KP)Y`)Qc+@XT?CiOAnm^OIOKzThIwPsOXFC#{T17@&#f7Uu<+IXN!taz39Xti>)k@?%}q0EH|;>i3cpsL*sxvq>9hkd8+A*DV*3G=$Giw zWS!(GJsCE}9J4WkLGOy?t0U$@B*!Z`8x|Yic~1Il%%iwHfO=T8oW__6bD^+}J=NX! z$i5#aZ^kXx+jLL=6seB(%ATFcb>n#kkAvPHEUmITsff)wKK&qL)}op+ zJhRrcCDhNLi%h%@nu+X`j;x+QElXq6LKI}TJl@hu^84a&3`)jiw$`f>bphgR|@11U2feR*7?LrS*K%>e-yvFi*cQ{ zX@5Dk{4K-v_}19HIZXGV$yP8_C(zckD^TM!nRpRa^?_&GW;fzfN3-0PSosp+ga6uC z-6vl3wBESOI#l#+TwJ;N&;qR@?as7;LjlQmGx=E--zlgo)JgWn#>h#c!mB~4#HpEg zfAdD)$QP#K$E8iB>{HD)D5JhT%t~V?z6M%-_dumZu>>trT^gY#010J3aQ0Bew2$>Js+#Uss7qu%6E9KXIzbvN|t|cnEYY=;ub!0fg zhC`{>om5;Qr@KA;cJpx|ILJ0y7a1tP-L;FSE`7%|-~?66hTQR8C2JeH3E_5PMyl_4 zs?#KRw@j*>wb<4j5@V0In?ScVDTfq#T*!H?rEQPKGRH5|tuEt>J@WMY6w$2DwIX~* zS%9lnHnR6092^;w4#3!n+qn*u4kP0N7<=x0<73U>jz#FqCq$%u6(>kea~O0 zO`z2|?GF!2uiPK2CYCE%wyPf^2EGpo`8sl2+mRSKSx@4hkG^Ye12%at@qVs1oA5eJ z5`!a;Iosu1XR$tHUM`PR5q@;$WZQ?NW{#*KPWk3W7dnZbL@i3!^uaY-#Oj(kky@C5 z{tmITi&W0AIl_*|U9vRtXqh}BFiD=Nzk185pZIxU+i3C2@9Juz=GC>8E)=cu2%)@U z6Ll-Mb&xGN?l739_EXcSq?&qcn&7-NV)o%3RGXHF^O^(ldo1%Z~^q%eNsOtu2 z`>MwLOxOBm27#lGgCog$t4#+q?y36#Kc>vK-EkQF@~bkN6&YNO)nY?Gt6nu#-EtjW z1-jkt9s!z!>)PD)dX&All7 zaY8jUUeq3ER^1bz)B1*7dqKpT-%nus`0oCsgZET;iq=I8b|wJlxWni>PA3=QwY3HE z(edT#k;!UggmBy>%F(e|^;a0U%Q<>s3t*OGbpY0~d_0X2G>*BwaM0 z84Z!v<@Mkt+TEGs9Gx9Hb}*sJi^AY77)nqqRo824oGyVIbW(O+bo#U>7kL|FeMFh( zUR1ART_qJYdF^&$aC|Tz{%%`1+#20Z^(iox)f6Mn!b)f$WcaMNT{%9FUR+)S=f#F= zP_Q4E+PDstshLCE*&c+wa6bK(rvy2yYX5Ob?M!|Aq=%iwzy7G_nN&yf)?}6=K}y~- z|5SH3z=G&5k7uQek?p4fB#-GwxRTWNBfU0VI^Wcg+pK&&g@5Ro1FTimzDNR6ih4*7 z#KGUvD+W(z@H~>06iygeQ(`Y13E~ejRCZ%)5dC`gO1gVKreFnnwtUpRy`i<7LI)}l zn|UQF#^-iTBwf6o)T&2m#kD_KhWoeox_RU&3yOP{z?+_)?Y(l`jy!Y!cvtE9pN-y; z-ABt56sHrcM295-iSc}Wz1&kXQ!}1Ts;m_AhjF!@tyY35`x#Wgv*vDz-8*uc=eX58 zp4BDRYPxw(d3kYLnaH#no^GCOv#km=abumVW(sH8?d=y^gAT-t2DgoNMfbwy^#f%W z+HOu5ewcbZGfb8hIX&`-+qp#Lw=k!vKf07^eX#zV3u`jJT6(|r*nm0K>k8SDd!jNK zfjq64>ItH*A{PaoF={t&rB46Y*IWEs{(~;xwujcBZDX=Z{;gy_GnSq zbaMz@LR{J)xiWQ!Me^F7Ro!&g@T8_EnJG`-G3Vx| zd*4H0ZLrQ|BHSi?nYq0Pb4^+n4?9js18Z834Ykva*R)|LWxrr%EBYCk@S!#{2lSNFilf}Ov zWQwgKXo}tRr8h~KVn0LynQ#0Mi9W4PHV{8sp z173!oyXaVS2^$=j`GAeXBVBnc9*^vkJYvLj=Qey4HJFN0l{eSd`}uLgZE8!WNYgrh zhy94_aXt+PP;5OdMK3~nGx0ugEEahPd77G<5V{u&x(`V zF^|(2{{DqD|A+NE=%4Qyff~cszF=XxW_>EdUu)qR24pt9_y%N7@Qed8b4P2xvVv5+ zD5@C9QhsaaH%r&X_KbW~PpDSIV8@n+G_5vg_jAGCs>7T4^>oeWnd7~r5s6O!z^oGQ zQ?_en!gNbF##i8w2wR{p^5dwuzEr<0vowP1taF_|DB2N>G?;Cuzca2a7zmf%(A!RL z%)-oIES3p<7y_g=)&)dK9EPl!@3-4ad;!;3msMH#>qUy?WbP0zm*z;=vni9*pSU0c znNR(-O_Tu(j80$3jMosi`T}meRj%ds5HbRw9s7GA!y?h}k;`-xY~cz{ixSaqs}hKo5q-sbs72SaY}e&SU6L( zEhs1;eL#temLqm{&Z5d_JQqo-*GpkMUxS1zki{`Q+Se5j%0-+y2|Gqaa|i-#poya) z;d0Cg2HHq!iZhQhPXVfzH0+bbh%+~T1iILX^sT!wfi$G-^P^^Y=EBCLT1FfOk$D!N zBk`#c$c!PdhCHp*{&6VcvkB%`;3zALYV?jBMCjOeSTTVn36bggrNpThGt%&Vb!MKT zuO5}!Rw~gNAlA~v{2NZUg!&fWLJpcA7eD4b;pi$yz^`3e$vAb1zInJ`S>Ylwgx1}Z zy?3a4I)`pQo~=QIJ!B)wI-RfHNEOh{s5MRsWg3kKml^)$CqY2w6c=CP0#Aazc0Hxq z|5|g+SRnH%cDji(V!8?K;~)K$8C>I(8L0i$5}f7ws6Nt$C=+0mcU@Ip17vpRwQA#C z`Z--R!o>*)DZ()1!`}$=-n6`V>KQH9zCie=CSsLOQdI@`RX8Y6CR4XmqlGXL< z#wj89zrQZtLZh^BFM$afn70rR6#vyevCRIHl$nplo%drykODmCs4d@Mw~2B3exE+w zQhuJ-WBaEofs$oe>M6Ly49*I7ni zrNLVMQJ&fn(T zYlM{M5WAIAcoI7jxWtOWh1m?r#Rz4rw@hU`avn!_7iA6W^|cH9{bKno(`K*dr3ZM4 zuUsE(feavTNrQ155Qg%_| z74Bfa_vfh!UX`5l^D=t8OvmqBbU}k46>SIvqh$!}quz3R@g9nCWfW~cVZ*d3#DuG* zdx`D}iIk)qRX}mtsJ}6!cPXhN{-d*T$`Fn5)+y0S6SqutA|FWu?IOw2Xil64=AQrD zdQ#`U4BtXAaz?=_M*jrf0((r~fx+b^gKwR;yO_rI z=%z_y>z|e}vUEpFK$z05ti~E!a}q(n5@&VutO{Y5Pcz(5k2COeF|cq-f<&kHc#Y*M zCkCw>8Xb2Ww}q5MloOM5 z70LN}d>OLLJVes7yh+juQ+DWTQzr|wzOt{GMl85wYRT1c?@gA(p4sCtO}`RtOEJx3 z#a6mOHPX9D3O>AX~0Cb_0SCTyBSHw(v zvKpJiN$`OC>0wM@i~d2Dwy}gtN!7N=MaQCXqp|V&#USsZ{rdPML3`aVc(_t@%T#17le9z#n?BErF|au~9A|0U zMz91?qZplGSm#ti?m3m)y`hAJhi2Gmb0aqF1TWj{?au`n>Uv6k_Z!3mHGvCqSZkjE zj5OUyACMRa3`5?Rk~|zxy(I&OiV4WGksM_^q#$aD`sy{sj{eFFMwODj(iSO_wqZ`} zFE@qU_dL#zJKL#;Ivl7+Eg=62YFO5ugfIkum21z81R2NGhk<}i-BSL)3bO3eLsXeg zEzm!$nS|TgmGHnK9Y24v&0CHNw_w5)0YePLjDIVj$Phdw?TFi~toa2p`oKyF4WKQBLY^4Aysha!cS|rTl$85D~T@2t$ z{3?LrrzZWikx>05=kV=F!ol;ug?!;FDq|A_gVs3tU+TQH7jnaq2EpKCQCuw5GC{#6@|X!=9knZ zZK);OrY?xbvm7LA`r1jEtW>sfLfJ^e79|qAa;06DEMYx+lL(^lScejvJCJj=6+Gib zW3Tfz%N|D($YYNz;IGR}=%cRkj>1kh%G*N`bZGi804 zcaOA6VOHI}Eh{rDk+lnEr3w0Y2c5(MTyQNDRFn_`t(0-fAV*!oq4t-RgZ8JDgN(Pn zBup|2gR47!z4XO2Tqsni>^9qi-PE7rufcu6;%j-CS8sXv zN}SdHty+zXX!Tzpk(v)?+?ohcFI)cVx1}&aM;Q>ccbj;lxw8ZjEhw@j=v)8w35C(- zDwza1tr4=b=1VD6n{0G#(!*?#YG&#$NAui&yhg3P@*!S+=tk4Nh!3`|)-A}7zTfG0 zh%cAo&@S>;R`RK>tX6FEDxX`s1?K2z)4H5ci`k+67OGT~t0zuXQ}U_CfYmjd7#{tR z&?kT2OrU5WPq@{|$AQz~7CNeC@6tmw`ywXeiI!gxBa!@FfFcGK`FEiqy`IwXv zi2kA?Zr!t&;5Ooigl>49M7}*2bV-fmjjj&}%Gi5}^IxJo8*Ml2B zt!6JC(aL5MT?c$gTt1cMty6f%WiV>zLtcNk^$n0sQni0MY8pNYL!xwB=JIFC6Gr6w z=i^Jql0c`=oU%;Hl3a1m-lc`)=z+O!`u1p!Q{#o;Nbm3()#~G`3;hm!x-Xx^3fu)& zslW?jQqPb5lp+C!A}27DtqQ$dKc$Z%NST{2TZ!z{;eas$Ov}=Rw6#9J;zV7Q`AMM` zpnbIMfA;;)lBnb%_uNhOcH3haWOFLy-rD(mf+}{5sV8b#y*nIDvZX+BD8O>|m0AAO zdSV0kXqZyiiD)`{*Gm1+?~am9ryxcltIOlrk{d@mZ|*HqLUDhPN4~VzzRgBZCf8Ya z`D~Kn%Ed{=P>YUt7KuR>YwTM`LTDWYWKi7~iHU#7IM5fg$7Zsmv~Io z1bIW0HzSMVmC|S`LB6^P?L;AaKv<#g>U0pfoojV{XIXuA1#L~s`4KhUxMMY_3H{d} z(*)Ek>{{^1F4|I@l2!UD4qK_MQt3Ra9I?a7D|JYne9%LXpy@9oivEair>}r?XfBdK znL=p;on`t`d$l=m<4B#r5u2=q{~bC{oDR_K_q*2WU13aDBCE*K&bDbCC-&mb*!b*A zO~9C!R>X``9BPy2^+n1IXC)1Jz zWvRFgpQ`<+fz>Ubhp!r2&{1z)4_0;99y||tdAKnq2k|-#2Og2!7gP3ir)0;E(-`L~ z^qRmLF9K3lh$Kwm@T@e#fc3bs+T)P>nl0hL`d`jz+r2jCrpDcB3PXWx4Umu8Vfhgd z4d-GQvD#}{3%6f<`f596+kjLX{V6rH!={ZSFJfqU$H3=c zp_R-k;}ndn{}aF@CO{cUn~^R%^^Z@&CPGJS1;yt19AYT-DrlX*(DSEN#35D?R7(uS zRp{XwCtUON2ZmW}nn1lw!_L#tnDr8)6h}k9PW>UjoB#S_G^AFpxHlUs%g~2@ljGe6 zWPLH@Ux|g6Hb6t%5%cSmwNER_KF}q;D6;WYd9tumw$JD|4^*oW$T#}P+xwpXU5hcGE zMb$Jf^8{u3_||~Va&>i^Vu;%RsD1wtqw&L`kLC%AQnAk3k<+zYLKX6GnABpkf09GbY;3PqsO{YK<9n?25!aiWHpPB?Q52j zNvrF33F&Lzb)=D>Uprsq+Y=j_vORNUmw?cgQd+9gclPwi`ud)%lUWnE5_ZTNc*d_M zT74%^y5!UCzs_F_sy$LBQoYAYe8-7^*?Nk*I+dt>lq+8S>P^7a_(I(_IUcV4^g`?S zs*Y&Cz=7B{#`!gqA4&T`&O)TGtfg(cTo2)Px+IX{acM29`UZM0%a$0HBXf>hPt$t; zU^5`PlQ*ZNR7{%6`6P;+I6h2Z^7zM698D&KnE#hEYCCy`WEHvn;-%Am5|9SY_67*h zvm}bA0$(AFiDarxf{gXx*MI~o%sktMaOvqrz2b;K$Q4GbZS}LS9m01c3h2@dleXdc z_x6vm>zP^1435W&yim0XoqhQeGoYzb+*CulaszOlFz~>}<*vzKj_^ZiU@Q|H@ zAWeW;d3YAEu8M_yGD-={gcE&FUIrDXd*Lv(((Eb*B0tw*os+zfRnAX`mWQ{tL>pTn z%;4Ob7?D_zj%Tz1Bm^Jt9pKPV(!H{%Jma=l_ebcMQ@bTDnGOrhD49ZQHhO+qQe!wr$(CZQJJ4=I!&|cyHW@ z^W*#eROHTG8BtXm5qs6jl>^CgzW=$30s*Vys^GigsyICABht|Z4y`2rKmq>LZEwdY zYp^R=YmXdY)%BC3#S>($*TQ5!ht9uxEd3F()NN1NLfxx)wteSYc(&6IS5Wsu)Yl-d z`%R4P+m6fuSdcJrlBV85C8D+(*xcgmLbfpCQ-NyT4=V+% zp4BK_>_}Py%psgQxLxYmZ&aWKNUuySF^io;U>~lqxHvrWyGyp$*G&v-(~==~d*NTk z8CJQ%>&o3TKoV<8IbAPyeeXvbC6mc3NY6EdG&kfn%9j5#pn1Rp2mNu8*I!hjQ<~>(GA z<IsXDc3%MHV5++FR~?>nd&M%}*E?9{;#i zSi5v8D61q@t0a{bRyAOmsnhfrf1y`Y6f0SN!VavrcW*Hdq)MxIrb8-Qez)<+8>RH@ms9G@>tS zT#r|?VHThH&?YKy7Ex#JUoMTA#_;mK-;B`(*}U9cejGM%YTCH0OC3RKR=YN3kF(ys zr&ND`0H{AchLbC_N&PxnjzDFdnq(TOU7Z;}KNkHM0hitR1>Cj5U6$oOz0nnK(<;uK zf)VB9l0!4Cc69CTp&>S-$(5rS^vOE{- z_&EZUK$2ld_*=UGo9($0-iD`)oBP6`jt1HvpScJJ5=Jv@Ri+rb~F%d!Fg49)duiIDe;<JvG~m|uFiFen4h@uB z>2+Y6WbjK?}eY$_eX>Yn#evFkh$7s zgWecLZ7h%gVpj5_Mg5nSRK3Azz?h7}@E;K%1UO(Gia=dGw#0_gC0nGf$x99qoVvh77i0=u(R1(f0=qS&N?9pDf$&i zD|Hcj7{epYht!T(?J4-Od|@ResfDrVF#$J%1fvNj3BB~$g>QI&Sn0T*8if^3?$yJW zyjfMVWaj5Ryt*81ql3tCKpdo`=1kj`20v^w33E_SsCKqX}=}66q#kivV8V zV%Y*81c_pd#HnVYcD;=IS@zCFRuaQJU9c5AtLpa&+&pwFUK0|&2N~o3|4!7SsgS%a zg<{|a^8^3Xi?w02^a^|eO+Q0LPi) zkNU;Okj~h>8*iwd$1zl)TVp$S7_(K4#fEbJp*pviLbClibTG4Lmt2l7!q9)^Z2$IfY1RV-L70F$A%mvV?igUtPk^7JgIp)w#c?wSoc@3zf>fIZ1A z{oz^F>3OGZ9SUCwA0yXHCf%0^QZ84ZDW?SqdLFPy> zl$_InJrZr<_}LHF4WVVVol`P3f7U65#_^4+L`gevE*(wRCI&sNEk-3a z4oB_}E>H3Dl1O`@fS|(ws{%O(#%bLTs;$gqhO3&j8h9)Svt?RK+ ziD4UHv%2;-x;Da6Mt==K8UcPu)v@cIv6CG|i@Jj)(5Ay@oaa+8_$x~~@3N9AcVrlN zyRB!>9R2L!iGG5(->s?PHS+I1O&)s(YxXyz$cNhqJ~qjxTV}qE>V_)8*C;=Iz{9hl z&;zYa%3pXra?`?0m}%0i97eVHpCjwPR7BZ8l>L!r)9CUf*NzJ%SkIkxGV3nOVG&cl zWkfSxq-U(ra|{*NJrrHPK?Y+x3qanNa&G>k&%7cUE8tCC)^OiR^7X=Wa7QrglO^*N zn$+*EJS7Acq}+(rTn2JzxEofzbM%i>T7*xIe$TUbQXI{%J(|GY$-}6BI6IQ+Nd3)4N%BOFz4Rm$#SFZz#lW$ZqJ6=*vj>f>#PF|( z;8(*qYGAkDBiVLTU@ht)5mO9Y-kFg4&jKW}UwdB+1!XO5o2p!`i9PO+vvSPc}n;vPqV!kzpe{H+z5EqMr5+tucXQcO-khw zo}EZB=$(DW!%vK5v}BzAs`1DZ+}#j=aMwnIJ*NSlj3m~^GPy@m@fxII5~UTUYeTm> zWSNYFjORJc%n51!v(_k$%7lSl7Reu5GK)rxx%oLu#dz4U^#w#>f6*nzFmGEI7s>*6 z)9>K8?No&Z6^T9hXUSAWBn&Hgw6$1vxr-c{00Ci#cjLx=qxFJ2;%-k~8p*yd1%8bmXH`Z%wRSX{)9t2gGT*C|1oaIb|Fa6Br6Uxx! zLT*j+gwy_c**NOby8Mx!j6I*$xZH^`9ema|WjN9S(oEfL3j%iOT;?EcT9Hx0Qtip^`6*G@{<*D5|I z|Cb?$j+?0nLqT9MI`#+A+x=Z#<^ANBP2EOVKT)_~J#jqf71sr}T}ZQ9>eF?T-nB)1 z8Kd^9WXARl>gcUV*&MQ-p)Xbs(9E9zQ{agq-5nABDDRL4SK42m5)pb;Uhtofx=>`Y z82@U2jop($V4!=AFF50m@psT71O(5lWyIeOZ|XnEF->rNifIJ%7;PU%s42R$PW}B& z>dD*z$G|{aZAZFE_vF0cd@%stbC(=?4Y;r{T^>6us-~+AHVFh(= zHx4m>i>qo5rl#bw(3Z@ZM|YldGluf9{JjgIhOy%Dmx%V5yGlX${XvD;IDcD9dQmQ) zPP;?)%pTWlW>NI%lyqcT+@nPznmp+6A%dLc-qBiQHPw6NI8}O$y%$2_d|9+{j=0Q1 zB`GGC1$ew8rRfA#m>z>dB>^yEOZ4u_-5K=4CGhV_bV(nA+B8_U?`I-J?};$+@q>FW zCDtwhv?+l46!x$pXVEKgbqL+Uh%Ezqzz5{!nH26d`i0vzWI}g8(X3X`zwIE%Ay*2Cf2)d&3;h^VPb>H+k|(x+K%A^n5-YL&MPFW%3W(YBN- z#*Vs3Ueqy6QJ+bO;kQ+RVWJf53?N!g55%#31@cFOgQ*dvX&EB#Kh&I52lm&|Yv^Nu zo}Q5-HdL>eWIREui%8%^Al!d4ZZpjVN%eL_X+&Tk))M7w7m4_sge*N|!=O9B;V=k^ zTrLsaoddAR9t>3XKKgF!ciLd0-b<}Epo%780AO2j zX?Nj|2Ed=Kqap-@FB)8QWaCIaYD{60V!paaj7$Z^k2Jw$a`J>EuNkeuD5G|tKs6)g zsq*B5KPU8+hdMD)ImE4~uZDtH9!We0pNjaEH2jgpoTGkHQNz!h#86I`R^wkJPNK>Qn)nlwY zFsDWPF5OC8ubVpZ!~S4kZc8+=SI2tZyrpH+b=ciP2+}JO*7;cQ(MFTtvhsswJda=D zhp%_V8X%aDyZU)l$;UH`S?k}Y?e)GXCNCR4Y*(`X6jq$f=2q!a&M_&+tOtPpj+SIh zQSKu0!U%bYgpoPRiXUAmEiJ2aDmy13gU3~k18PUj{m|0_!7t&^<;-2%+Uj9UgC`DF z5@4fq-^iTcf8{ry+!A`;?cc5zJQ%6ki0re}q-B~B#l8n~3+u(HLA$=})3O_Pj>g@c z>%pNfdc4(dAT*sYNmkQ?+2^Oo%blPzr7$47uLR?TwsgZ~;mWetbK1OPW_WVNq0*PI zyoU>iea+F1iKFCQav^$$wKhj8zVf>sF&KO>U_LVkTJ6E1ni|Ss-*vC_wzOl<#01(Z z-ar-^K>5Q`b*e$!a=$zFgao!W)Z;!m!D?b1*aMNAqqA9^B7!P|abmv&qPgiD#+g6D z=SK|hcnwnxL&Dv?P&a^p0G=;UWHT2VZ(;au)tQKncPpyrV)q`(e$8#Wb8TP>`0gv< z;gCD2=J5>Jh6@Q6nj4FCYpApXop?y6M2!^hr{KZlr~K$aPFi(`r&-h8)(ng?!dI^+ zD|lWvo%02VV>+GE(*gj>;4fNGD3yL>qfo5K0)L@7u^9rmb$;V~6eW#*{H1MqvCsh- z^-9FLw+A5^&zQcP_G~9-B<6ae=l&v;o};xQCg;9u0{-HRn8Q~T6_iyL+<_$s$lL^~8QFY{275 zR0PHtrZXw+&5w73$Vz_G;dPV^@3_Is7Jmn#!Eu|dMRcGRg=2`XG{!d>nKHaxiQf%z z&mDM*$pJNd$VuUriX5{-j&sP3UdSw%BIK_e;k z7o4T6=3D5`cbF;sx!YINLu54VC~3t$4ppxb7%Y(ws_7?yb7f z$0DYN)f(&RTCMLUBl4Of3jyS0h8WyWqAnHo-0P$I-9T7`1kbC> z+e}79!b(v6H|>e9PA3IUb{3agK6lIk*3{)hKE}e8_AG!q>F{PtE2V{S*VK#u2!#l$ z{eG2Iu;0+i9N5j4&rHH3GY??-3&&d(fw4)X&}Cp-Nm|n>iza=4O4yz~cO~Bq)5V#U zr*qE`O-Hhq_=7@?WU+_M6mlOwqHR8Z-NM+U7}rtPjsIODIz^w-M1$h86ii}coGGZR zk(?rAQ70|G+JaH3JXnRLQQ@(@rKwp!S+U556Im&GtBEGtE{A2 zAC_oPiKfF`Vx+^Y0^<=)l{?d0mk^B8O7m+ING)armL!xab)vH_%1f=GP(%!5OI->) zTn_XcWBjVi{y3>>+yCjZLN z@0X1w$A+7zHbB6w@J3hy*%8iW=U=EdveCUumqxM6%hKjTG#J_|DwSgtG1k1*c#PE; zM~mQ(YgG-ctCompgE11J(|_4Xk7!s~qRs9g zrW(PsE^EI`8)|RH`G*_)lOkrZ>Sg}lkmCPJsxs5l)BP``$nxLPlq~;O(v(A5;*K~i z@Kb3$`<(0IZ2jGZ6d`P$MfIx+$~5g_%~XuS7Oq$)D<{m&zv)t=jN%>2M$giIw_|SA z=mH7$^wfswqJ5_L_;|ZMew|BxIBv@v8#L*sba>%Yf3|k*Pu6{f<8*etU7z2iu&UnB zZU*t+Ey%9FN0>hNLmu$Hj=xOUZX2MagU%F-sw?c?4>58b;HRc`aJ@fKSPau<0>U6M zPVO(S&l`GtzAkZ9<@)xH8*Hn2JVjSb5Gb^J@-4Z|P*VnRVi&7&WZe@@@(}Zjku83J9@9KtA*n%e%z2Hk4 zqlA-&KOal3KR4X8XI6Xe33N8j<80eSJ$Q+E$Ip{=?zUBLh6R@!e547Ok63N?L)Tn) zTo5;@sNXrF$+axk${pL$Jw^g|9jeI&0p7#+geK-gkMpbn#<*-tx{JX6D zkH>nMlQpcr->;>z(Ed#{4)#naIQ@!2GcGE1d z3))_)QOWGnrjRKse*o`_(cxQVziFfObZ=R9xLipm|}ev@?(523htXHw9O?x4mcu zf5p6NZgHudL@#A+2YADFkK7MW4fRq{IJZLe(5)b)Bdo! zY`A0c`7R8}+?DTpcJ36w6Hxwm|4-jxYUn+~rQ@Qm4VGQcwyWzR!*D3;Ms?0DcgXK9 zdDI1|93+7E$p&C(8-*iMoAo&n^<-H)PWh|_>PqpsYLS-KTKWVf*A9b z^kV#A-eak74e+3A2`wYlh0;r+6m7*&Uq-36u&BJlEBISL3TrTrF|oNheMxc!e4Ti2#H?WKKi+TrXG#4ynVVxJ?P>sYrDw24;2%`(n)3o)dW)M zE0M2DVusd5qJ~GsO&PE5!3cM{fJ3p2shSa>?yGwcy_mKH$TrR@;|Ng(-mGpWbva*2 z+xsVpQ(*;Nd?Wn2)|CmAfdiCOoD~}HG9O|fF&-xDcCP&$VPozw^ewZJIb-o;nbsXy zTg+;BxC|;RFAL`SHp9csf?vCbN659gK6B$ptU^6~08@%Okjkr>A#`#Q6&^T@+lN1C z)EppKCKuOQqAnr44s^a7iZy?aLL6okI<&tC4&g?5LJ-@DAGF<=@Qz!Ee6j&>e)b$o2+Z#Q+%u5!-3ZC%DV z*mVAdu%dFSDfQK#wY^a$k0mxS09yVmYU>Qvb+aL4?QoiOd#+k3oVvr);pL8ueYSL|#v@c5<*GdGA9z9ip2?5b{ zBw2ta)^>SmZ+IPDi|trMF=m7jZdWtMEd;vts3erKIV<;%L?Iq|0IEKWcTUmM)DmLke+DYuj|wM!U`tI z;$G2sSEqCr23}z|HZ(|RZh*BMpu&C`eEp5aQv&aIvbOK-X2%zlvotA`U9@r9%$S<`rwi(s_>*r^5;#5>|HvC3C!7R zqC{jU=BRMvDMLJTj0P_c)5Y+JRI0;JE8h#P5tV0u!6}Ah3!G{whLCzsmxU7z*6vpp z3Whs{1&ITWoMPqpo6!lN@xocl{`zDB@FRd{N6ty4&vvC6$EXquww5>!!eApnP=E;% zn|ASc=a5Fw4StE!N1NgaKn=2G|NQ2kW%PF*W`c2(ZcF+nD=(NGEO84KH+qIbk3@F^ z*abJkXJB_k#1bSj-!qvE7CPJ&>&2l6j*%dK$%z={p1|*-E$o)-bi*DST~N)Tpy-ED zDo_J~1{{93Ly^cUgET$hjdmyYy}tDYN?`Wm*!v2UX7!3$Uge`!n)wSKT4+8VzNg3I2pyK6tD_tZ zb=AnQ6FTaGe?D(A3Ra~Nrxe$d$Y37oJW-sAF)U=VRkv>e+fJaZoWvh!q=KJh1Bb7R z6aH7nGf`n~_SaPr1wgp^S2t300HsJCH<|_n2myBbmoC`YP*{rDNk5L{kPcrtLyrs+ zsNE1Fs-zGYlcdRg$|=Gx>5*8PLWoJqxP5^xJcT*xpj>`n8-)h8e~A(F8D%&`9PtGL zpr%9>IQvfB3z30Ab=6tXrK%N(up~_B1?qCeO|VkU`T^@6X$5Q@;T%9qZwm(dNJDEE zDCo$ZTdi~|d%@Pr)BUpKvj7OqLizDZEKrp6jbiUv6sGwwl47VoGxr>~P)-J&FfswH z4Z;HOmC*NcOi?i;yNy9he*vY?bkhE2SI}4As%b^cS9q-|iR+7rc^KAO*WwYQ$TnH^ z+6CMcW2p4}lmsHs4rX*Gn}i~6zrEV$2}R)iLy`AU<0JyqNK$3&Kqzb-G>%A8%^WZ& zTE-!y7>zZ~OOO%WZ#SJJZye{3m$mv8!|Y4GvcOy+qBai`Lf_LNr;iT0wctQB8}oaU z#qG6QcSqDf20Cg5?_24&IwS7jy!7ZWaGLB5kJ!IFU8iz`5*!K9xKd0>L4nb_ZeM4vt7X%p zA-LRK)^GmQrt>&?eWkH=t#Wy>`Z_2a`Kjxah`)MQDlZOEIJy`Zk^gE-e>udUOmubn zGA$7X(4Ot6iQ04A{CX>kL8y$#>I~{Ho)&ttiamX6GK$V`gzrm zXy-!~R28rL6SZTbFRg!~p`iU^I(W97C$@KmQqqhSY9TDp=)lbrPst9LEPH1@bDub~ zacZQeD{Xq+vu^(r&lP8gU_$x~{e_t?83g^HVNP$HtwKa|6CBRp4{XEF>s5_l~$Jpt1Q ztcC+O{5PmdHb%}WZJy0-XI^YN#o4J7-(nT2zgUW4`f9GYD8{08rc?Zh4B4Yc{M#}T z1Oe9{*WxA#?1DlS#vze&%Az2deDlSkrZczvhthZrX`2fXmHECzi*;@DqypoLbc4{t z#fP)_=$iXA39B0X`d2IBr``BB70U||Z;^&`{AHK`VRP6py!p9y3Bov-8j7wqaZ!OG zw(0R_n)4k%A+PVR{3K~avc=cJb zW(uXVA`To}qrZ{cO|(U`KvdYYMM<#5&j`TxD&nTu5fvgW)nx)5NX$xSvk%0iO9-VE z$Y%*BG~rc`W|>Gzp|Yy1gp`cuT?8at#IZ=MHHgJx)B zrC3cn{w0uyu(S3N7eX-yWS1Iofa4 z8QSv!#fdslYUj*xZJn@3IL3SA*7v!Yx0HaSXACjOofTZ8H-poi*Lt6J8{3SW0YTz&9-u=Ue801M(UQ|Z@cyWp zqYv5Q6^TX_*KC|FNH9ZI+)x8Xl67@HK`rFVXZ{N-`X3DFf8>Oinc4prD`I8(FF7IB z|7hd?AL(aJ+M2f5E$}`oHLESf{L|^r#P14&DZnC#8+FRS-VDH!^-DPF&4+{5KHed& z(^Su!0eE*UTH7Y0wkB*72VOXp)ZXTcoFZQ`M<>T|f!6PSfBm8}% zmv%nhD5k@p)32jJH>PYo-0#Onx-;M2Ad0oO_aFC{8M0q%kpe|0l;4%2)bjw*`!8{; z9NnF`mFF+@XqU1M(C?4arda2EM9e1fnw`OGrR*(5u64q1G7&;-Gg6e#%ZSU;Tf!fx z=qoa0HDpSVD_T%SEZ=1K;T6)nCwk^8v~f(>D?6Pcr4V9CalXJReKt%{+xy&gE&6R5 zwT!-{HH_P2OFQAW&2fBJgf~P4v8ECXx*r9J6^-RYpqtN(TD8HkLgjJ$8I`B#8FrD& z8v;PQHY;3ebHheXU$+JrNVs<+X|F&bFMLwD5L~%6UI&wsJF5GT;Z9L)Cdx$pFKMEG zcboapzJrrlPZM*0z1WZH(w4zQ-%Ofk#i$$*$oY&D8Sd~H zH-vRgMmDgk<<20h`Y=k7p!H5hQWjuyUcQ=2%O3B&DH%amQlB<0DDCs!rsXaw$A&3% zj_xEUr^#mFG{;TbQxPybl!}HaVN$vYO=gq2B@4m~*5@9WKmj%?62J#7e-g4b`>h*C z9l{hJxZ_h1u6+kPVFp930eeh=9af;2;-*5scMv7Lq>q!423do-%QZYWo~=nDQ~$iR zH}LG}{|@GM=?D25g{EKdx+A(D%4)Udq)cctkml`Ba5rIVo`pvLx0Sk3ChWSEeio(o|$=^{RL$8vO?CJ(}+G4}Qw-*bTQ2`P9NuH|*}jJDxhH9qjg!yD))^Pi>g*Ow2}`*QN5IzQ{>>E^CyG6L zVs;wxrX6d|)|pRRO*Q!1u{_U}qWespBZ@=XZb!)WE`P>shRK``JmEW5$2W2OGZu8y z)W`HOb0z^ln+rlhQ9`9%Rvu*NK_R6o1g4I)6($_6=yQWf68ZQ0nHid2xm2 z1UmhIDhhR8!Q;tiPpvIB?OZL5;gJ6X!l5)5H2=QyF-aD6oYmU zWYPETQ){|kX4Coe8I15w$!sJ`arzPEcZ)Ar8oWdI8S7iw{h5b}pPONO^Ob$$Z_c(G z;thT#{F9smmP1Sqz$H|dtcqua=NVKF@kK*w$Q?+piM(ku=g^TlWjI7a_Rh)D#hUB* zWRyO~RhW;dE|?4I#W&ne%5GXVnU9nveMAX^Wd5#+P-4`E-!eDO ze$@vdTem-L&>sy`v^!j*GKK1+i`Mq9cDUX%j9Ply9GKyOcJL)miWS^CZDNhn1ObG6 zfH39r{)z|$lO)AF0V?d=O3r7I(O#>M?1;;uLhW-oKnI*q+QG&FmUqsok&7{iE5ZdEyvk zkP#5{>!~|C&Id{sHr;|mn6j2`98V+bL$%g8u^xxylS9b0dFMU{U)#JM(0QJznbe5H#IHC##3`67?vgEvhvw;@PL0rRUMb?1V}Rw%s65k#xb0 zeIxo?#LG%_VwlqOkdxx%2E$i z=HA6aGNFoKt;KSFS(`=-^L!-i0w`97V`v;Jg^~aUJ{9cASBWSC20hh;Mtbl2&k9xB zyzwsw3h;Lq0f*vz6&agXHhUsdata3JQx}I0o@)iPS1CH7On3yJBlv@MNpVi{GX-Ta#j2G74)?b^ZHjk z?oaz?8z~`Gze@X}<+dq^keMm*3YQ85DCHuaG=JkG0U3sX94bUgwNUnE1x>N${g$jU zY;MkBWOD~mb~=1bh8o2$*&G3DU+QME z!#Gi__|#BR(#E_l@dmHfXX;$?x#~J?S}b3$0m|lkM`(}DeKfwR(fgwz5%t`&(h~-W z=WS+LSLO}4{J1*E(cyU=k)6@G?D}p~hQn@i;XKYa`F6A(m})WMT+ZKm@-;6>ybmtK zrbBK5ayaqi14_;}eByO8r@P?DhVkiYePz(v*@A`DrMd1w+7gEw92wAUfiNaLdbX&G zE(Lp{S7TsH3>^Ink$89JqK{4E=1PJEnDbE_>1%dWT#%#=CG3LaV58!?J48P;^4|$# z!|*H>vD5nV7Zl20c`(xeg`RLVnCDYA4GaZd)4$*~s5N;1wB&;v))1wRgiQ=qO+NE2 z5m=O#GAox&!*qn)1)kBr3Tl-jyx?*f0n3^AO2|HO4kP>^b6~xRZ4~VHX$zd+vUR5< zum%AzYL~t{js40V=Jd#b4-B375#aZHzYpw`KC_6;z@TW_Q%a9qtVN}H!sFUwi|4JP ze^X8;RKk-yJ_@9jx0d*oFM>KnmFZOd5PPsSp1yuYvT17uyq_sX;zYJ2az83njK~3RL zknvBDqP|*0LF7msb(ZRSzQZpz{5>R8p1%upFeJP(_4-=n_1VlEv5pq$!{Cs`tsv5|TS zV}bS#_`QGqA=AKUj4bTa8k~_X1V|#a@e%twEUbDyz?6V2e?KkO*Q@rNxsAC#EI+=X1#PQC~UjB{wH&Qa>>e^T2Dp1k$&p z$pTkQlKQLfA@)xq@#s@QeY?e%$$EufE9xrH;vWlgVT_*^(P@`;7}Cz(1+`9q4cjIX6TlKOwj=SjDi1o zjEa87NavrvBvUX)dAHy?axOu&+8-a~3|;k)dH?@*_e)_voz*0~$C zHU~(}!98uwHsxmD?!ZZdBJT`vLJRy%Wg?hS5iC6X0fp2}t>}{VP|RG>fqtOmt5R&h zsdf@bXqa(yC8@%bU@*hDjR$1^S$cwexKG%9_Ed&s(T3S z`c${^yNk*4SSOX(ec~e4vCAeD;Nia{u1f8HoQNITyagOf%e6$6JHZ@ zQH-Akk;UMQpVgQF{fr3@T8L&W`A2++T$$KO<_F{I1B!Gs!RhcLw+!`_ zLjvRd43U%*a41Q}XsXHhlav#5)l>tm^-%gI5EFDNLI2~;d_Vjd(*N(iiyu>4AH84v zM88YJ%T7$4RSTTlG)G!iq6R_1#3L!RezpQQws9`+fr8$cQX`z4vP%Mt-O`PzORubo zK2GO%NYV1wVvzl2`B!70eP$W&ksek{Y7>&^mAd$CV(A%KbTG;0Jf9j;xFHqf5WS3y zngUh}3l(FZyvPK3G=qk;ef*p}ma$X39;Wd_i9)3NB6~@z0;0a7nTdnT&ad!He>?Gf zO0c5Rg9C{+ht67uz>|rQ1?|L# z^r$2#f_LM?@mmWG=#Ky6lNb^US8P{;qmmd8+KqR>XETPz`WZt@a+#f75`Sg!Hn>a$ z)^t4|r#IpqB#Vgg$Fzka-CWrd+U1pph@6j9Ug_5d$?E@XM^vAGm>Y3_Nt%p2crzF4 zIut!tu7S_f&L&Nqq4v@z?OEz$DWdlyWZLBX+rwikW&kc=D`H?8B2Vfgm*L7LquBv~ zvm}jxrQCb~mn=s8%>7aHVH%&2Cvrmnf=HhUy-WpV|NX>rhM#3XT%d;9-ON@!ByVqa zq@oBM&EQQ(4K*oTGSTEFKJ3O#aisB)UKHcj79F%!1Rbb}oocnW{W!uo!@f2ju4w_j z+chX$w+G(MU`K3ZZyK(O8eCgV19foT52xbXVMrAYDX6x--%qD96r?}-(_rgBleSmL z$!~3Ei3C8vWiothH|bYKSPuL+0uwHO8dl+!f@%%>>vYxC(0h0X_BJ%yPgW7*MN^3F z?4fm^$TP!JeTSk;UdE%!%MBu+?d--;C1~+d4Nl*j9c@bH+?Fnj#!9}Ue{=A?iYErY zbq9N@_jioI_sCn(dCb1wIS^?zN?c!&$%5Bjj)$~6+o6a1gL;^AjC}+pb zoWA@tsGwH#4!-q)Sja$8-f@RQA2ztIdOoKlyp@RuKTu7lZY=I&EPUACdBF;zi2e&6 z`XAWm|AmK`SpF9tV*BsnX>9*j#nXngEn~J=;y<^0_I)-cFB=KM6NRX2!Q$B%4W+VO zZa^TR^w_R!HaJ(de5C8wbbAWLlGTRx1)rPi(2Tr(AYiKal5#bw@*V6q87z-?-tU{o zj0jg$-n8!r2G<3BoRY6w60Om-FUL-}uIsZTYPU`|=R3gO_5K$B>0{pUP7!9xQSC#s zzfR8gDtz&3zrv_4P4^*AhJK z%_{SCQgUri(RdB-vvKm|a7xmax^3Um-O%Dxa$A)8rgKZp(`tHp8(yur(6Y70PXX*ePptTF3h2!GG|c+4<$n@%MTk#T)NP9I}3i)#TX2rebc^ zU30JH?xqyr0{~FY$2i66L%D$Z4~w(Bp_32 zFsS%bzt&To1IA0~1oG<0A0|4fM$=PYv7+$IK|M~botcESw2Dx(!ndbGowKYBRTPTH zdFyW|l-|1T<%+Rc&C8sh(3GvX|4HIceQ$L1&>?~ylPXV~kd?NlPp%Z9e2Fp$;&v2| zPDWBsKanURKK4<=XE?m2FV=((n@QK0zJDyvbT$;KrapgQxC)9eADMv6lW4zL&F@lr zwzXxOT>`lIsHKIogEbIBlfOG7O}AQ=qgI z3&IEb%tVJ<1NEbA+Q7G<-RHOHsl#x`HwCC(W52owJTQj3DB`3Y1zjDl3MgVM*fr9s zb)%E+zc=W%G&bhXRj+5a7bh;5Rnr^*Vi1bHSyY&(9hzO7?QmleU(YjQ5l3_xG^6{!L!Qi=$gIP?dBDB-e}cQ9FWBsn|5M5Gd z5CCS9UmVUYYa z+(>^j_B`>$!{fnh!)nBm@`dyDvRf@r5-= z@?pd?o9W6p`}KH})F1UO=`=W=00xa}VtnP^!Qz{@tgAqLC+KmZzR7~I@Ch zQN9jylKi5e{x*J#r+gw-jBXtJ3X#><67XZ*k`{7L(4oUVtK+d@0p6bIu(S58UOO8^ z4_Lj$D*)vgc;aMCx+iD2yNFREJ`C7Y?6#NkM7!wUY##&j_k5qvK*mBJ8%&DOQJ~rH zB?dWKu5%LLhNj`Kd;)N=OnL+b_Y{IaHzDsNrvJ^?NTfhLUoyt(Ye@Lz(%N&yzpnt+ zYJ8U<4JkAn6xU)6K!3+OL$R-Z(e7$;8vYdHIJK8(MC|7muBpU9HEr~qd;h6m=}?_> zs$~u1Oy^@!08c{{)p%C##bV5@f001cTnn?+N<~)WxztE7jk$Jn9v3RY^l6U6Dm__{ zfZhhD+c8d{1sLW^6P~-s@`Y=gwT>AK_Kw^Lq{(dPg|ae|)mWLAPYV9{mu?`Pz*8!5 z^pkoDxoYLjaz~CkT{7IPH~-T0&udvc+rNt5uaw^Uq98xqIJ4dhk@MT<+JU zrua=)fL%{{qMc_tZ}fJp0ctW&xmqMSr}Y0Y_KwlD1l_uDY}>YN+qP}n$&Q^J+u5;J zY}?tfZQI#5?>YD0Kj$0cem{Cu_pG_Pt7`P9*|X-X=RvDtVx{hNpmzRezKMRN8)$F) z;_t=?Ar2!y_9snJ{gkbSn-kv~+~2(T;JJd7z?l)_$wPF8>;U@c%ZDSr;jA>vdJ5bM zWmz3#&Qmz|2l`4J=J)$7o^G)vU!_V&dJ0ZtQZZRqk;8NsDb=;?0e?nVnOM82VG%ZLnW^n#W(`JobpcxF$ds9C#7FHE1M9e0-1%0ZRF!KJkq(i zi-P8()cQVG8@O~~gT@Km`N#&v-WTaG9`-~WF*?1)~SY&Wu)ME6;IXl zuNPV8eq?Jdz+z@z^WE>MY?P~if6?7n;Rj6zqW4TjBXSQ#+^W|_{+AQY8tJo-{E1(4 zFS4|s`zrlMxL%-Qp69)XQ$M#D0RoTb@g}~9xBg#TcxQvcJ^ByNPd5rR09IdcOff2QZ`mueqZnqM8nDzhpXq zXKJD>&$PETm6i`1Nyvd5i6(g zG-$zCt3|+#UU6vX4M_C7!+RF`9Ltc6mvCt$gzK2ZU`{=2i!1ojN6!FyhZ79sCfW*C z7M6!7#IB1@StUDhVqFiH

    silZKw6p+*gj7a~3UAfws}4KapUoqmaT z>VB`@tD)OeFKdVe?{p%z8rjiKAk(NDWTCX`x^vDJ_-&FK*=;`Km6udVPstf+)4RVMUZG>L7V@^ zO2vVVJub(c92izzH7_L>Q6`rBg*~ynUMX?}(}f3nglT{&Qws;J-I;x2ZpN7nVd{qM zx@09`8W|^uj+YxOGemX?lV-)VG73HD{R@ahE@ZbKZ~3yyNVO=fCa1K-xwPEqNX=gC zia??At!@*d6Q{PKz?YVG5jwYHA&LpphSGBWgCk`Lej*>p-xR{xs5pr4rS0p|2J9vc zY7z~Sl3`TNN$%@vNSBZXl72-S@4U3L8Cr2-Peyr^G*qz%+~w`r)qPq;`|Os9 zDjeW%T^6G#f{8C{w<+?w@!b`A4;{UKXf~6#1JD;7gnC)qwxJcjrBZY`vI=^+nXmtP zyb!Vr`DM}F)n>)zV|*kPWSZ5^9sHY2g3dksuH^+t#WjEe%%e(Bx-W(s&4Mr&1pZtp zPskVM4$B%Gd!bZNVcLtU9QPPCMXb>}1K4#I+DgT#fW2sYU7iW47aA!)`Xzei?g zhTyoH&pcu4;Po#i$N9MV3w(Bn0Np}429C)A2#uBec}omL|88|(h#^N&YH8F%5E z5~5r)!bS5XoUh+j3x5N`&D(t;DngtSn}-dLONfmkNvxW(qv3>1pa2NdtTsVMz!NaD z!ZS;c)oQcV?-ediObQ-+^O+t58c{Nvsu-5k@6kky-e8T^?LM@ zhkmo1nS!A}SiMpm5r>_PT{BW2d)Z(f@6^xDIvP1j#NC-SA^r*C0kECNzxx`)b`5_@ z(nDe1jSDsEvsW(UyH}3bqLf{OS43e6#_(LKa(LupG<_rOKi9-0_d~{qxqSaNGn)9r z6!x)(WO`raq0)>=;zhSxS49?tN%dG|9}?dhi;1pKh4#_;b*K-SyGCa4N!4q+2r@}Y z$~_GqZht;Fkk9M$-;Bs_Tn!oga@=P?fs>#~`xi-*U)Z|F0&S;Npk$v#-*z;f;SEN8K{_z`ispZPS$UF%V9g`p+AWzKZnft$TZx_fxN4ic`Mpkwoes(W2Q^n8P1~;U=4eS3GzRdcmsm~ zRhvvm)R22krC{kzgH_IIjrI5KBHo9UI*qG`_EX43N9u%l zERdXt_U;YEb;3xWOT^!huMDp+>>VnLG=543`u*F{Q9`rggueI?GCQ$wst3&uw3HFS zE2!|oQ4B@ddrWy=0>)FJ4R7e>`S zbR47&z=ETKU8GP;I<%yYASIZSO{`xi>7wl$;E?_Fynn=`+BQ~AH)BIf(S@`_gmj;B zYG;uWci(Q%CX>X81b|X(K2`!lOo(M1AUkhUo>COjkkAL0Hy2ozNZE=AYx`Ns+-LK_ zJv*Em#wg>|OI%J2JY1W(?5-F%9^b02flT;0vN^XLtE;QO=TGZpx!bv{=XEc~^wx99(5;VG=(b;&nU}Q=?7bsIwJo zMqHK2Dfq1opVX9gVA=z`Yhy@s8m$Zl&Zl2R-V92+t-ef0`EK#j+=0PjEfLDn^EJ-` z){pqSm9KQmA=Ka)S8qy0A8XfWeGtN$iQpp9(WY6r5S#9GmYZu{RDZzO7SiCR_~hfQ zau7xs0D73He&-IMiuJl$o@tZR*Os;}AZH;ypqYlm;T0*Yqos5XX98rg= zlIb&`Za=nM0mP}$OYxoD;ya^F>AV#Kt_xe+XYliC;c(XDPE)U3?`~;icE-Y+^nqh&l@DeR;p4>%}5_?(Z_&p-ECP=WtN#Ub+lSPS&S7k@#W^}mun_cyMQy;X_xUCxykSX_*xIAWw!ey%;HNOF9U>S=1(-9)CbAlpFP zVx@?q#o`9w-h8-}^sfVqhlX7X>_Dr=B`(7IDZwbDE{^^5c)DxnTAV~Ekr+&mE3h@3 ziLRb%dmqnV_|KXUV@4T z_wE!lUBxo59|-x>TPbvQUr#;li&JFTY}lo-5~G#H&+}3eJ17s7$)&N%qm|>*sqiA` zvhyGvW<-{LM6xA&z>U-6cWcS5Tae|0_2D$ZY-6)MdM>Lu{8*)gM}3mtwdgC6dE+xl zz?XTzfGdNYL2Qk=Zg zJ^T6HEK?BfkGbNhnphfQtV_%Y3&vm^fW|(W#;^L;?g99sUyB||kEpm`_}aA6Rrqr< zD-In(Fz{43l z$_85hysygKzh?*%RIYGVDRLW(nYdxSB#?B%xuN7U|a* zAX90Id)Iv+_vGt(g0XApbz-cWlJtG#i6}P6oxSAcC^q2@z?ntzbr(!3+)i3>8q1U< zE;@z(aV_A-jB<&PzeLPzF3pZjz_gSF1{i?Wj!r-ja>Bs-tuZ_3c z7;?)3Jv-+I< z&M`%mX|Xk_;oN)N|7@GjX=bFss;mq*5b}B=>?u2lUY(doKK7YQQn-_6^s7vgJeMBU zBd&9TnG$)z%>(}~Hp7qkv%mwH@9$zS@{KLqsC29HIh;82qk1nk&S@D`>52n(9G#;A zvdq8JR&B+_1F%2UfxSF-gs3hC)A~~B*2SY&x)wl|JJ+kZx5;}C-x67N9Oz1k zp^IN1vNH+n{Al*+Pr+)!z=~zFxt!m@2c0;Wzz#r{(@tHq(5!arU$2nLVoS>SpCH(@ zp62HU@uPh`Ee9!cD)D^+m-xdMqINwz7EgWSoCQj%fzx`rXnCsq6qd(<1ThKYbl2zH zt3u~{BJ7#I&YkkOt!WJ92!$ErZg*7xOC>MgpTSY;AUiFI)HEASCBpHS|Eg+Bk6Sa$ zQN)0FQGqj?j@6rS?jc>_Ks+tX_<#z-hT*?LVVM)nSFHdK-67=UaciZCbs%YA);f?U!GvEoJo;xa_5!utf+A;&J`*eeX+2*4vV& zz?ID5Dn+|wu`%RR2QD)C;V6OQ7-wG54EyQH6CC|k&K)r_r%$%uVS~OrF(r?x!>XNa zFz7B>t=0ae&J74fFFu4g=CP*Ap~!SjTz8FJk^VQOQ2Xc1&_{lF+0H4MJw%({Xp!X} zRkAv$VR%LuWq)y8#F1aK53CMRzODw0KCHa}xnerDi(UjlG(HscI0j7!5hw~5jX>SJ z)!NI}ZxMx~kmd|(`3Xy6&6O~xiqkCsBJMTU7ZU<6)-WwG`bsm%i^YK5-(jt7%Rr%< zqy}xIW~8a6hiM=a8f`RlyRa*7pg>E0mZbjz^#5ga>hCkJe=4m1OsQf2Q(*l!g8u&^ z^!~pC`v0Qx{t5b-I6t#s{|4x<`Xdc~6zS70j5Ps+J7DdcMmwH|rLzxgm3Bud$1Nxz z)gm)64OMbn+>hilrKYMxGE!|A0t#-074gigqN9@kg5&YE8(sQ5mt8s<*KbE*Wegx{ zSiKSXZlC!&(~S}CC+a*$kTLbH-$Ql1VejB%X%)bKRUd5L(yu)@^B6P_U{nyuyWzU+SO@T-M&9}Dg5JI^-5h=6~ zHhFAdx6i!xX3sUBwOSo^A$0x@-X1*NsX}+Im-~+odhM$VAUmmSF+|gKKK;r3IAJXs zH$5+cYR~?37(H%pTX>YlY!>9A1{L8!k$duAAYG$^dlm}1CMih>_>cPNJ!ZRhzb`}e zOpBCHXqpU%?dMUT0<vR|&>+5=B-pWfwFjv(Pd7OT7U8AQdS~^shf1 z%3dV18pciaD?VlyOC=9wvlBxpS-%AAv|KM+Jm0Kg(_DZ!z#vb9FT-X&JoU{n{hLwdh%DG;1EdEdecQRct4gH32v?T(&PV&N z@Cp?BgR}M|4ytjwx1Pw=&mNyCQ<%0u1}A!fouv#?_dsQHwXmIMffSX64nAeQFp;fL zATM(y<&vU15D7b62~F0WAx2dz%Yi?flV zo<~7&xy6V&*;q=61*itzxq`?NBoj^IZoEB*Lc5x6faz;&V6u`Hn^-E-brEEP-&D++ zFegXX+XjB+_AdkC@sHW!^Xh1&q;tZ-AHj?xhy}DlF%CvhN~y$aN2v1S#2fQo(8s!6 zrUpF-UXJfm^|A|`miD`%Qmwc@4=JJQL-NxDv2YOj*H7YaScoXyePvWa^91XM65qU1 z!q`Si*hVIJBV!dPPu#A>r7mjFX=3qRd-L-L(@wA7Xzz;RH8XYUn6g6!6skH=c!@@kT)snBvWeEl$}A;(w`KUG|`C&0z+N#ODF zl>qU`aLhGKg9Yo5ZWlqIR9gdo1 zQVo!wwUGz!W0#BpjLJpQ3lWr3xy3n^!YO6&E?B|}kEC2TO(GiPhI^CMl-a~`>32%F@oL?($|lt>?8dM=PmMF8s`clJE)E*Hi=l~9$wRm@0H&5kAt-VY z4Xktg#+>BS4C(SX3iH-!60A)10TiVc9u3kJ|_?3qg15jSDq!z*e# zT2C>TGk$Kc)tM7{)(IZmgf;UH&ZnEe6Q#0+a4i8$HH|}1tRot#{`lo+ta+)%fXV3? zmWm`H28dZ1*20~NYk*vXT|1X9aXvVbT+ncDK9;&Q#s!^`)Qy)`i8R;LqY=o^ zcSN89B9rNVfkyr^pY-?9$e&yJ|3o97{?Pw+9r(W})c*@K@_#Q)^dDFK-!x&SKjQ8G z1~TdTL?-LvfKOy{PNMe3dP@pT44B6a8$$?m1@6WGY&c3s(+0Dqx44MYf6m8o<~)g* ztle=AJrpRC*xh|P>l+J8*ChFo<{?YG=|toa3kJbkk#kN7Ewl)~`RdWwGYwv&PG%|n zyeA*m?}KUMc&}ZjW!HMH+{u@T&HXLU*3^5NMaij>MouZ+qxT`(!y%>p2He(fdJ|nb zsqGdrYV!qykGd3mk6q5&uDF?a_)8v-pp#47`8B7fU*8zR<=?&uYsmT-PU``1) zq~xQAHG!wd+{?Rb7j8Ph+6Z4Z+@XjxruMe7_(*;ljvjt;kqzhq#*;F}_@UuTv`meG zi7DQO3Q(P2wb|ty2l^t1b6U6eJbC*~-Prn1>ntB$k98P!a+a$b*g+awI{P6Q46Mew zR%|w$F+6w9xefsjvtf7~V=#XGT#OXAT+zu;F(^+n#+7C=}JHLAEDL1ib7AUnp;u7jfjtGN`< zDbpmoxR}g!e?N8RK53^E+E>YO)@-Gv>5z(4hbwrC_Qj9Zl?F$PImjqsgD3WUdS!e! z26}2d)pFH_=v}M+zC@FTu6;B8-63hm<;>F_E;npk=dMZ0h%uYapb$`P5FLx(o(I<# zXrDvC%Wd4L2CX3t(u^Y+dhcDDAX8ti)yQ46$WV9>+2j|m{*dY@KXdG)5_o|)-|ox; zZeH&EczCmEzw8i=UR_FW1xPYfk_sawJY~loMCzHBdvss6C$Qs3*m-KZ$>82QzNtq? zD_-g#8aL=pY^QBq5+qrdV_}q}_k>nB^1UYt|M8w^n6^r&b>Vs8+4^ZvC0t-F&0@sp zCM}G|9bdU5ob5`E0Pcc)^%Y3E7XpXfA)~(?O|Bdua}}r^mY8Q=)O5D=4x!nqE2257 z6Ch14E`-%&*wCI>K&TdT;v>E^A+8DR-lMFiBs|VwVTgz4&`p^pvkDaO=>_I~l&TU= zm!ma^TKugad-|ARy&S@dic~8RSm+=i2^sj?>J+36UbrWNloG0iA=EG@PjFvkFSnzT zr7Jt~NT3IAC7{9I-_ccuDr_NEwtu0*6nFqV)=6~SfWgXml%Rw3jDzhbEfq6_D38Sf zkfeU>Dq#c+ut64bo#`}yfb}dyL z=1e$a@EElzbY>?n=VyRfp_KSn|yJJx!1w$!gJR&tavF7@32FM(a zWouE~6k7U5Z1_4+;ZcRAp`=Y{J)2UNAU3j@CX1loMO^2@6lU|Wy%mxujYaFmIAM6<~W+l)A zPax(n8N;C+2Xi_N58D&f`S9kT3un%do7*maXL&w|(pUWpu&aK;-4RUY`9h@g(3)qz zSZ66(TM7J^BY;f`|IM=Vf%O<@!nbv~u-M-wx@1M4v1hMVM^Hy@1oIDTkx^MbVZX5Cp z731nBW{q~X7SYPEaKvKUj&?62=N%=G-rIB+l>TELf&!kg-j+|Eu`6qdwjLs!Jc_^a zO@4FKZ@GTmf9`d+1?U!&5-Gxq!K{cu)zlTR;|;q@a%9Z~F8H9Vy@fwsP~Oe41ef8F z;#Oho^P_mi<(G2!5yG!d342JqI|IQVxqkhh&7R|rX{~>%dVf=IDW|HsY#|592w$6wY0|H(Wseg-c5js9Mw_Q^ba4sS1OOcv^pvRdkbHi5IR z!YUViAzb1o^UWh$Gt-d4B1NkeD16^VkkV|(rd4cWBve7=I=agG_R|(^s?rJ=uEKQ? zUGO^G{{Ws!hVnF^ojwJ=;_yf5qVrkCyKFUcsiP$~my{c3ij|bc1;?esDQ7g7(qS!fRlDxfm8=#QT z5{83o%sD*uJMi#$mdOd17U0lJxrmgO4+bQD7u<|Yeh_nRuig>Sm~_DK{v*U1uJLpx zxs8@62EGzRe0LdvWTRL4c&XRvyW;}AaoMW&c>cvu@kI=AGB|1zsSD?F;>=7+L43qL zjktgmmNF@*>j-H!GtqrfYJbosj0b~$(FrTBgSLHWd(d`TYhCKv3XMl^KJqplu!1kK z+eP7?b3G@<(RLyg1+%F`U%jRjY^ciV&tFJ3kp6SuH}y&2^_xLFbYYD*Cc#ZXE;p1& z{gSuM$i|F+DjasZ;NmH{K+WYERkkx5er1Jl#tm7M42`sJZ-IEo{-9ojXtT=unJO}c zR&~{=xTIjE1p7>kjl7#{AuPpik@OC!RHAHZ{^8U0`w6QmH8Xa0HVR`7`H3`Tw#yb# zqwZ@aogb$*5JT5ReZPB$^^4XH>FFUH?vP~TTy*AGbmmT|s=CGIW!3ze5+kH>=zLW~ zZLdpNXtO-YiH3n&Edu(_Ss>I>Sl9uTNk%8+N^w@g<+Q$_AeRR7ik!3Ln?CirGgV`Q zsaUbj4!=gSH0bBwgZ{&i2_zeS9OIzKHazB`m=tu`78}GXcGof2r1cpj1S9XISoA%J7C%p?V zSa(|u|Ih>Zh(k|y|F{_#YLl5;I6p_avrYj;hkMK>je&OnoT#+Ib=2b*97?djVpZ2N zLDdmA*X53dox{A->R+?`d$3~^pomEBIc8_97s44}TukAidhRdhCeyJ<&#^!Hh}~C& z!-c_H+hYzUu>c(KYfOf4bcHcPUuDd}T)-E@tbv%K&q<{kkSL@;W`W-xND*f6U!l}P zpzXipt#mC}=U;1~7&|S<`#7J=1MhW#+>EXB?!blAL$i$>qP`?}votvRz6QXH5P8I- zI~jXP5Z{j<)^kkQboSWlu)yX%5tatLt7p-?bl_WUE%{FPu6^%d4+|3+hU;#lbv!i+ zXx$M2fdi5>)Ft^BW%n;r3xE3^i{sB-;{PnWe{#V8#ne zIQ~LJ|5GxMW3DkW;i)SIMi&mx@=!a-IPJi zIO_*vkzb3c4URIl@KyEG`6jAfYU1dXL5L|p0y+}NaTkvJCtg?OF8l!{2^B|5^`dfd z7@lj_up=oBDk01v=- z!qM3sWAW&bL(E~xppo6Zs7gekYu~SFVj=a7X#){em(L-<9+e2aZSYymGMH8mIZi7N zE6sSZ5a6wx64RDBpb99e8wviJ&Kb@sN#sbM%H15%4Onq@r>S&fLW*eFqL*&yl>?d+ zx=*>UQ+)Y$`=&b6KvfY z!hKiM(0u}B-`Vp>ACc@RtXIDmOc$%e2ex;~zu^{nZdM@0xp6e{X{t2D4fWyesQgPK>uEnrW#9R$b{oco<_Udek(DAe* zI*N|OOd(vH(WAl^hR^h^C*Yd25=E6VWQt3g&cNLcHy3+EZf_7Df-aK;SB!+)WEnxX za`xTL+aC2x22|e+pM=i8v9$abV(EWTT0ZHU zPp#)O+vop6o%svJ^kx~4Sp}jHK z?^7qOj!7I&*nfI_MAbBDEFHlZ8kRTcEu1Hl0SPQp|S zZtpl&e#7#<^`Jz^o%0I`K3YE>BF?=>7FXI8>tYt3WCb5obkeV+Gg2>KsEcD!@&;XC zf?h%B72axaHV&X`iIaPv#H(jsmk_ z7-sx5CBb-;KS`+X)cYSF(b)mWjWR`$FFhnfOzkne&`_5wf^J zzRvry{rZ&$CKPU51Itc*K*dY?c03uvaUT*G{v>DsmKjU2Shl7FHf)AK6z0Gj;V^kd zUklj~G*Eh}Jb zxx!6ta=C8E&QMFI)^?t)Qo}|%bIjUC^PXCJ%RsslJDJ>oYYa&>5?A3gaqk%Qf$;E) zxUC19(A4m5*Ey-XbUA!+cnZ~?gIwD>wAixvvd2xt8bnDbs;7=YT1$I$v4AdxlEo8| zY1?iU!w*|R1m6R>->=a`f-2v!W($RX-vY|81h9MtXDa(d9Di$nJn^=UGkG0!Hsf}y zH-jplkvU%SBRJl@>#jxd5ql&*P@A5bZ4lY|IXe6E=M9W}xP6gX)Q7WnaoqeqMZkoK z+9Bkh6B?>y!Kor9nIMHZ=2Z3MK1s*?JVa>w{n_*CJz3*BTC}Mkbsr2_{FnOnjxNL4 z&Q4}u^uW5=%5pTxa>`h&OX}1zKvX?ykXH#?`GCTieC!r$TZ&eqe?+v;coa%z9o3Xp z#e!sWNe~&`lH6*WtdKG4B4k&5fwrufr71#h?W@q(+?_vh$S8YJ$a$>_VN1Lj)0PfA zGTOX8f;5R1M=)!|(|TWC{at5QDoI2%4;G>befM;b-Y-b0F(*&44HCk6biC z><6fl%P>)`%XDuG7Y1Za1g6XTv!$!nScl3>D$l`qj9eULCxK}B2L1lNivq4Yj>dm0 zSi4iFuOo3=4=?up`*(t!yN^9x$3Ueu+UdNp5|&z`tzuST=U9h8R4T`%yzWQP`^+Yv z{f}ZeVrR_IsD6SNcNF$ff&Opol!oO9ryW6h1X{GWK8DZXZR5EX3uCe?sF9=0UQmEU zlm&9kOKNeN){?{ks*_Api4eP>cB_hRSe>E)@KUxs?p{xXK=Ir`M#HX5Mqxp~DH03@ zZS#aB7c*>GI-lMkfsp`NUnJeig1BOXV%QySnWi$6d4ADqRSE6>eMTr6My%!sksa*M z1#+9H!Hn>zW1VCw@HAdpWKP5h<|D&EvdgGDkqy*-OZc)X!9dG`RzDt^gmiprWI7&P z=!KH6wC4$7pR(Jt;LMMY2RFjFROrL-yWf2AP)BKRzwF_>dqz1B*|U+u@RN5}Y*6xx z+lgXR*nUtg=yyc_5=gPO2zAndv+EglCNBSSwhf;*&I8B$Y2sim@Atcl#!m?TISj1X zK4IoXta6b3`!dZaT2l_VyMo4oH2SENW7RqrdD_PEtQ>7|5q8*fO751u*|!vtrlI1n-xIe6`HXq0 znx*aY*ldb8N*>2JZ#BpH9%&sx*_Se%@M2 z77oH6Y6f<~+*2mWJT2wNJays1YEChV%A=+FMnqplie!oMVTLH8zJ!C%5xQ#9lFt!G z43A-p#+MR;BgIfW*dy@|Zj%I9cQFV-&a&g0^>Tw_d>=Nx%1Tot4v`QZ+w-{Hu4D~j zNJ1S@1=9wxTg@pDnOjsc83T$T{t<#IT?nNDh3s)Liu*%3UM_;w!#k_%3t@O!TT@6x znoI;{YC_#H=*s@cn9H)d%?{1uMNtegWw&$cqOW4u(_zSbv_Uy;RjD^j#4q~@4luCv zb3qRqX)4RtcLPd;PGnD{jiAsEAoPAUV;8Sc)zq0bH>qIu{mlin*u!vX&DH~=ft8eS zz=Xh{*vA5?yjgz-qRoAcNKb8}oX?El9By&h^!HoM_31X#!=_#$+E5>=OHX zIpj=&@q*TwEDqlBhNg%3J4!}vn1VTm4V=`#LArX&1dkC{oX|Ol^uJVb9Hbx1@_0G><`Z!QNz0{~M(X&u|#vyro5rlo*oZ4U+_TZ+;c4xnk!x+rD#Pg~C zMu5DMehJqJq<~yzZxfhHD{%)bH6gf{hk#!DG-#URo(!XuK&6`rI9Lpdu?%C0U)s2l zyt|OVRZTaI8>L^$t2V)~NG`P~*#5xyHqFza6RGSCG~vNn63D-vT`?R${k#TUOC!Dm zCSd29mm{uk(+TQIoGe>iE_L(r%fP>q6l3@vY=ZQF#5E}uo>xj!1TXvc02XH!M9{+BH%#M}5(^IT`DqY-F;o=sta$N_=$z zdd^TbDD3GSj4iR(thInhtN7q8SID^JLWf@rTVoka<@(cH&{5rT+>&}L$~* zs*669p9iPm@GUFzLSVW#OD3%v^o>S=g%iQ`l(=IqF%8SP!ra32+CSvemkWmpuIrJ; z=MF!qrfAVPUM>c`y(wT1q#H{-1LL$;5dZknoiYmffb*DaEMy@GnQR(Rjam4`P)(Sj zeN}FPxUHzOy*^j1tn(Y?rmci^X#UsWwj<{sN-6~zmrsvp z`3B#d%t^f`9hg+Z8dcw315d*}+4Mt{sl9aX*njr97(7d2UV?kKU0W9{W7}xwIiR!M zWaO*bo?eqaY|xlt+dVZ?tZaS(TEpyZ&sL?GO&{NtOikSah{SIey|Wxp;yM>g*NuLg zak2dWC_CrqN}j#l$F^;Ac5K_WZQB#uo?v24G_h^lww+8gxtZU=J?A~|d$IP~YgJcw zp{whU?)ud8eYDKWGGJ1(f!ExaM$cKJ$tD(-NL|$iZ63LpzuB9twG6t@bysn-X(HML zy|m-i1!HDO$X&Q*_#o0&Yqy@~$Z^z1DL~>_?eazVZnYgDdPt1bqM&sm`R2%m)KuO1 zqtwP1Y+rxBm76G7B}LTj;5Q$U#?!0HJA-^FfX9>(JmznPV*qarkWW;5D0Sn}f(PAF zM>ig?(~knAYSs*3p}JOM2=kP0xB`}ShiWV;6)y@5(E9?j5+fCP%d-~*uc}~;63_|R zF$)b;k)n7u=3$h0%XytZFWw)-;6%>RR>fe{Z~@1?eDyIZaaK*bUn9FVBP7Gu*m@N% z`p8bpYzcQ2CVuw7DZs&%YN6eOUzPC{m&b z^YqJ+U>FhaIlGQfC?G}mW{IM=jSXMQkm+s}jPih` zTn$;%UbLLYQ2dDCiwF@7ot%lz6)b}@sRKl)4Nu6}3xCPHD_0_QTA zWM~V`WM{M9ZjxckDG#7U>|X64opRkt=d9v_=)^6PW`ax#eiHg1%ONqfGXZ@Re`xOlZH=Y^D?2`Z@A<&r&_P|4gRYf zjIg$Wv%ZVnqqGMzN9TY7vM2cfV4$C{C&B;uwg2gI{U7{B%-+uB(=(`7b3uyFRY)H z3L82|m|B`!xP0F1KPH?D5j_)t^G}O5hUU&hEProXNXXvfFP~_7rcdGq0DQ(w`m2&Z zH}t0!IYZme>HeRSThhhQ#?n~O&fLcIuSS$zOl{TvO8qG%W@%&kIdp$lO#bW5{L3Q! zf4k27j|Cz&)=!N3_k&M|_b*JJ?$LkhadvSsHME6?k%(AVhgMNG$MDnqT4*Dyu$K1= zR1`e%7YKxDT`1BuC(;*atV&mz-XNX{u}#pz()dy+Eqd3Ul*rXcm}qIojGVF{@u3iy zfy(?nHYT|Sh6N0Dfg^tfFxzZS^T`ZO=fjS>+^5SA|EJBXkE@OrMkr80Bm$+t8l?Er zo;Au6P8^DUA7 zaPc`Il@GyBe2AkiP1j*OSLjU~NB<*`DrX0CbBdp6Ntb>MiEWSu)Of~elsz9pwJFx0^A9Tj~Eik<8EH|5Rh6uDaJ7ukGx@w;!#kfAr!?IKvB9xgc9% z;vNOx$yMU7d>L6M*qUH255;*`(5X|~LG0Q)(N-(?kYRlYQN^CdCMTiCT3{$Wzruic za}s*&DuW?&s;(3W?m6(QO1~T1`_xR*d}hTK-!()xt19KDrDv^IT<2LLAdMfD-o%H; zv@&m`skqR13wWn9DZ|>V6*pO&&DT#*Z5+Dw9H~y4leLZ)f*zCRk{2iWrE+c7mCtO0 zImbp-`8kx<=Jln9^Q8#V{@QuTjyKa*B3!?Pgv!WO;W@uV^YV(1;{ol^o*7S3hJT-S zs{s?u9fUi{RqM+5hJ6V+oj;uYr6UX<4y ztR0B^xYP~;tz6z@xqjij5mrcui`3HT70WzhnM76d@-}x&^Dr%&ibK%^{nQwrBv;tL zk7m!%S3TADVohZ`{u3sexyQT8W5jRyRs#g;musO*UUFHJ2}S5l7IRup5h$y_Dy1Lg zy*rx<8#;dGaX?9519J5`k`h{;r`Z$X0r&&juG*8}J4^iK*$uzrj*?JiuA+`yt_+zp zx)P^TPqMB3p0IBQPDs3)i8+XnW!90;-II;@di?NyKhC$#>STET>WgF4-%$26cAT(Z z8!_JFEqBw?@aeJFL_KR2m!Np!UN9fY&CN=7F_SqA>OK_iGa4X9ra!BCx74|PvQ6E8 zJBv9syGl&mZCq|ykXH+p?0e54K;3MqzcUM6&mqH@5Y;8n-oCCf17OP(%Nx2Cxo%D_ zc0{vRs>Z;3TnY@zq))%-b3QDIQ=z0hLhzi6ic#5Mz+Qeq@nW7{{#gdn0SbBI3BfFw z=>Q3hcAJxo7ZjT)mUkSLTsROep;=Go1#@cTb4^G|EGvZSow5rH14H(#kPsY+QZT`U z;%0y=sqnc=TSBxo^wH3HnY>#8YTlz!MC7P}O!S+?kyEk@9nO-qc&fxc5}HdmIY@}6 zd?FD_xFC(8%d&(-UaolYri{r6b*~xtGqMujso#& zP4Ygqq;R^pdEYwR*T&E3R5U|~bLbcg*|kwrRbC-g#)DZhJJ1$+={3ysl#YX%{LQH7 z8~2);n;9Ou?S>B(OJ$MqGwQYk{%;DhI8xkN|azf_SLCBPkUm_ zDUM)xvqKU0o}SCH&pJNu#bJi$Fn6=tUOL11dJ!d(Dp`iqE z5$+xo(!Mg#65Psh>FlC?aaXHl}jy7Jw+gx*t#I{O0c5*PQWoW z7T1bHVigW#P7Jq01u`aZ2P-TR8uYCM6e+MIClskE!+wHiD0XuKNU|)M6D;!cQe ztKKZW7pW+_$Z{stdZ0G-7Z4`s1Hnu(_;@LWV={gD^alv$iG5S+cU&MZn$V}#n8Dc} zDMqj?ppHOZNZi-!JXwXqj*NRY2n4~8!1}ReP$I+mq=r}zHNvBJjvNVAV|7Yi!!$|V zup(cAiM-IbXcE)%5`?olrUm!Ysahqa3JMDJ0FVLeHV{@gg1v+!D32yQ(jaJ34QjDv z9!dLF4LU^w$vE-hmT1&YbgCIp`{n(>z8v7v0|vOM*B13K%H%^p7oV=P(^P_5C_V!$ zyoWVpGQ>+Xz-X*mti{vCG7y>3!O&767#4FD=z7IUF+Kcp<-i_vvf#4|P9Ye|RCxp} z@#QL(#I~#8#WN-X{sK9lv2lw-bv%5@Sbe#HG_1T}9+}OH7<4P=--4d4;wk_WdtFDK zn@`DmiDWL=2zkBrN6q^vF$^V9N+(n(jdQ9ldCIb7GAP=4QQFMt5hh7_W)wzZBR`Bx zH8U2;LKPYZaP}~qC)>J`q#HNheIBdd&tK0fH;;V%rtV(-{L_w}XvjKBb*PH*LuJSxAN}qQ#o1~?x)MScRt)u7`f|bdWJS8wRaEpE)L4#Oq7 zIx*bA^m_^!35N4dZkzOROJN^hsS8C`l}hjeg8$1s@2Z?_$!n)b?&5OMx1nt6B%avP zXRZ-yOZl7CBx@0dP+_6WRLxEugQS+?)01gz=t@rUmlCZb2ImoeSmyr7)|R;tI?y`_ zhn$z*feo5NN#Q%L2IKqmEPi|1&bmFr5}>0go=CuZZMZ0Y1)@}D*0x2Rx$)xJz3d$Q z53VsYnI_)nGe%3ZpDcE8i`xlMx;Rh#9Q?m!nK=XsUqjJG?`quN4a;V{Q(pipVUVz4 zykb|%{+hYQ;#}qG2}$rf5O-b5vUZvJn$CG=&pV}qUxy0y2xSO~>e41RxJg{GsECkB zh>`M%LCBCI{R@a1O>9oFY*{+si-+B1W#w502Kz($DXI{jWph(QrAccFXTCd{9$m^D zR3?!U9;!uFM9J6?CNIOg!@ye(^EK^wtTMf3{eW!2JX+6ex~XUo6ZdD}8rOSXz!2Sx z=vd!8y>_k<)fH+w8olU?n(rW)q48VSz!*L|U}d}0TXDvu)asUKtVoh>IWW@+m1dsXUrFHKwz z04u|+TU3taO-VDeZ8Wu%-O#nquBeAiHG)~Xy;N@u+v1_ zQp~2p%gA=}J((CkpHhqp; zb2I*6K0$g-bT#Igdu@61nr)pvY!jX!z}Kcx(U|*XO0>6Oh_+d51DOs4)wH$g&g$1I z?1!FisRmhGjuEx z99py_lSDAdGRU4G=y^y+R;>d3rGvgYVrY*>x@f*2SSS*Nw*+o;Aa0c*=wv)J;FmXW zY!?ypH9RnYu5ZI#fOx()cboD{FM8#7aNT>MUl@2_?t+M*<+^1zbGG0h;vpgP@J@FK zYDtO;qj*uuLnwCaOHHAfY9Rc7pnR=?mvYz?Y!;YU_UqA*K=EVD0#&Z>1W{b-(_BB} zOhD2{5gF6SKTJU)S0O?Koi?i{7(kfu5TL{B&Y-`}{M3{dpu9lC&mP0}2||h)l4piL zJ{y>7L1NdSE20Si@IqeqQGW*Nki{JfWJ;ZRFybTP$N9OQ5`vlUa9#p{#0nas4A9BG zAF?eYv?plbNNm{0C?FVo@;?p$5%C*7^)xV z_pKRV^@Kp2B;%a{UbDcC48cV;I4alFWWqFBX)%dW=c?aTNOE1Nw))_kgJyxBc7XGO z#iNawfJGyXgbx!v`@`N+gOSlkD2F8A%gD_oF+HV9l&rauyp-&5#MI|BGNoxP(JKqw zPZ%u@=qSu-9X9C-#zcI53AUwrN@jn}^nw<@!MFLyZP#pF2){aoA(J zzUb8;gM?HHF^u}|dywU0BOZni!FJ#Tr|`omR)(@tMGS9fo7dW4zGnIMJk}Vg^~u#i#5&b+ zFfxR$bssprHW%oSE{!+bC~byL36Y2%)P8 z=SG>t_Y}f(l4VC5m(_pzqP$zeO71ZrJKuNPfcUWGM9NS1#tox$Wz!i6nn2&Ci3vsU zpPLoi5PpM{^+8ko!iWL~!$9bF&;?1JE85i?w?tP=u~84ACcvg!#DMuWOr#L6q!o&s z1X9QxAv`~&~)i0v?yoxU$~ zT5#2cXNHTFJJMOHJ2lzrJ}>L30JuLn6~+Al;(=9-3JsNX72*4$`kEqSGzZ}hQe{Y& zaA)ziHt>dfu3rWVP^TkVl0uUQeD{?6osiK*&S}n8^#?7C*=jz^9aT#m!J*6y` z(dr5aa3dVllI<=;xXyXn4K5U(3Vv8;=8(Azw30r^4{uWp8)pgSKYZw?tdBv z_!@lwu-@t1%(<+}=5BO%v$5X7v6|D;&ap>;Xe|Bq9(mPLEW0@fA>ZL`yaAzJSy$Xz zK5JMVPd-DjeS)$I=So#MZIB5g=<31IZ^9ZBIgTTmNmGiWwG~EVS@G>>XGtt?oKI0n z=en}~g=Cf#R&O5LfCBo9ql{|g{n#^e( z1al>DX65?~ngFa=qj3u!wz~E_X?C}(EKbk0D$=H~b1Xw5TGll~I{7oTtRLzYSsdZ+ z4?u)Z){#Q}U@NfXX|Q6xu_`Bz8%cz0K(|#Kyna)GFP0=!wFu!Vl{<(ncC^EBMtjV? zpJHb_g)RCvZ9&yin|xXVs<$xS&xU?V)bz2R0-$ zvUYbFO$%fItw6`q(nF? zz|Wvv9gN}~9njMRoD6R!dhi4H@?e#Ye^Aj~|>{+jd7%aOd0`dvA|G#x;&sYnkeJzsvo? za#wZph4!cy)(PcqtcXVj0_g>dj!ZLbS_bWVJDZMb1c*S{+859Vymx6A+dK0Xp`1Pu zbqdrICqjWIh^B{kgcA~pwGjMb-Nkze&EPM1^OOK=K0=n(;Rj4e==SPbpxFu69fuh1 zK$Mok8ogaKN=XnA)?|lrl|?)!{|>y>0|fZ~4&`hI5!~T&DX`DA#aK^&wX1hs(=t%t ziN3K5!X0`}`Gw6H=@LgD%0=bN0Q6yw=M8tq5rMUP74)@}5en!0@M-&fBLL~iIh=%b zx)XVZ_zmq!GUzD5{Mnt@o+Nva5Bh##x)b-)!$e2?S63f#;RVMf@o#yDpf@lE65Zb4 z+`(ZrUwteG;8C;a?^i+^Koo<)70K4(e}mpA@Bz`!>W1(AVysl~==)?DG)tO5= z_6OS&`L)$O;}nD4SfjzzUD69*B_2BK+3qgd9^mYUBc$=oUdnwWu0{1 zT>=YH!Wef!CwK$&r<-<1!Y*ezDTe$h$NQ@zu50dNmZvdOfn@iT%^lr!=`l2weSZtZ zdvZ)eWfRioX0tc&7NT(^c0PPY?Te*Kw{EL7&9R25rdJ}HcNy4!Ah zbZu6q9TIjQj{~idt&vqe32%B=3Acoj&$LRvwUzvYM*W3H5hb5>mHaA_mkNCZM(q<$ zP14SjD-NVq7{-25!7@<>>8R6f%(FgTFGa|SiF|Dc9N^|${0WJ_1%{Wv`PN#1`-=lp z9%0swUN2ed)iMpEWOg`D*J&Px_wd7GdkmQSx4=9q{n2P635@{2xU#Q&>Bq|2UNMxt7({HDl zHASh9mqsCj4Y;`Z1}w}H^^_@%Rn(28h@DQc50%I96pg_-OrwinO72INeMI80tSHPF z1OiQ9E1l1J-#y6JLFyCFyW%v+G}%mOqtes8#riqT2~p=(x*|?M2xvOC3Z>6 z0^x!v?D#M`dO6QIR4uEw@Lag#9<=WkkDl5O-xYwIpz)e9)puK}V0vWoB&d32nhny+ zGVz~BDWa1N$>|hd}u>GRE5an7adc-@?x^}b?oOfYRVxrojFFz3JXKR55FHb9=D zVYBAra1i1?9^R;1(7-V}n(Qvh^+oe%ZwC{?9~^uzk>nTx52>0dnepI{5X^eM5BF|{*yvG@bFm|4F3 z2iSsDK{dz7;rS|}M{&Gb^a-{+gTDX^0xJqbabTdx2+7!1P#6k{-H|W{prMCj2v!tT z?j}X9mQyW?-_+(T77Y<7(xB<1YgSavS6*2~*-yViMt-l{aDL3%s53X8^f$YF@ACfm zi)*0nJP4gID~>gBoU6Vs>8hZa$W!{ivtFYF333vhaLppUIc|AFx6$&s8m6QqX|3_Br^D7sJW-3C&63_k2Jh=_nAt66x3!-*>ptd^MIMHGvd{apkM zaYs4)UMG;dRN5iw3|Wt@_Pmp_FGh0@B`d7ljUtHnMhMbz!`nO^4tO>Oov$q!`vzRj;9DomN{VB1KP-5ZZM+^aIs zMopl?&Fsnv$?h))3}%Qc34B!scRQjRQJ9Z|xCYnr1w!t&5vvsm4t0p;J$I90f{D2l6{kuj!cU?wK1n}>CrTOF&%p7FgbK##+Z7KwS`q*a z^%{&5GbW!owm{QU&ckAih)sB-`F&;o-DRVj+1vq1?F7Z+5`BDDX}=I_ooxzPG*bEx z*Rnsnx_ii)t+E?CEEv2Slju6!eqaRzg8&Kz`ynezEH=OivW1UWyKF?SP274=Yt^3Mgz8+CQ9v3CIu8_ z7OdwXAE3^IOmEW8@7j%UalrES3ZWR+_x%p_~+VLM54?0qmsoRN{v#On*w>taLa~wI^5zFS-tDvp6VPwF(1F?e)tzK$ijdT?!b2(>O6#K)5x>avnSiz^5=LYxFF zY?O_khqL;Wg}T;t#RvL!0_lPsicchfmzqW|H`23HhF-W5%d> zo2+ycK_mCnEUGJqS2i1CST+@Qr9;k;OlG~oiyxC^T|7d7(n9v_olnmkvnDOal7wmP zfK|JEn0q-qlS6DeFw4PgvUo{vB_}v8DU`7MDWyb=0%M~+EvwWLSB@x6KhlgbID{l{G@nUfOk$g~=XsOmsY6 z*5j|G7C{i~0k1_PsymiM=?{>I7sSeTLs2?D%3IJ5x8W6Cwk6{Kj5^M1;awGwQIra$ zps(eCd0l)g$xO#PYM1ouyIzO*PH}HtrJHsm8PG!sD_x#`mpAslh5KXk#kZp_69R%S z9<`A=aPnZM8yO4`pk>uO03+!~{;F4eFDv>)8c)QFofi6C{xWvaAzdER-`+OtY|WMB zupeslV2~@~TP@!6hPrNh%SYUw;VEZYIlZmTL-v1IHLEzpI6s%fmcOn&_p$l1xqaQ6 z1%1^XZE>?t@k!Xie$ye@b|I^5`XO<>8wOkLh~v4vJ%LzsW2}SXkOqnfA&gLRW6kw$V+#biuBp!<0RE zz=c-Opq@B*=rBK?#~tL>8QDL5sXML9W0COq=xlWT1btm>Z{Em)6( zK}RU?%@%2d@_dcYkOs<(aEdQV1vRDd z8JOq2tWlAnSQrLITfjl5m@Di8p4S{n+Gm2Frm{rMQLF0RCZrqy;we@MkV#P~?lEz) zfW$W$M#qu(rU#;2QMc_SkXe*bsv^kH&X;)Fi4R0a%8dV-Qm2zvmiUqMTpkyB+Puqr z*O>|qQWX#`Mrb3TqJas1gPM0Ngxm^Fw%mSHLw`xEmB%B zXr2Wf)`&&CtS4N*Y>}W6{nPTH631&x8=P!{^Sy%db*;(%a59_wX|u)suu5$VQ4?Ga z3KlL#4O%I*RB75ffs5%2RBLsm4&I1$U_o#2362Hi^$zfNV*?ip0Wv}YeC$q*ibo{O z2xtWOgFXy#N&jMuY_xI=N-ze5xpLBc!RPG&1;lg|3_SGq{e`ThQ3i+#5>M6c{D2Uv z%D|=a1*IOjolYc^()n)ma&UP_9HOT>gE2U2QeyxsFJx@UJ{jLwJbAFHl$X;dZh!)A ziN}6fh!>dMXZ@lNciA31zA)k=rv=h4m`a?sM0pnhfhC0!LbiVm9T8Cxa|kB`z*3Lo zB%NT^XYU41ps_&0`yTJdQ#btTFL}3gFC$zon2?#1Psc}+MaHB17V%R;vtR3UG|*v1 znf34sL?AcqSLG1HZ7^4?kAmACNvU@tN<{|6RqkjG#1w@e1|`qc5lK!WQ^klI{Xyn1 zxfwa%)vq&J;$iL8(j?xf@I$j*_ADY2L*@`VNlyv#l-4j>DfwTlF1=H#Y+rx*n>Dgv zTEMt1RNLwfK&*@K1i2?#oPP#wTtByKKbk3RfB5d?9JX(VYD%>kmA!R&jRs)wpS|A79dS zbl;-W?^?v%N*V5uI)-+D;Qo3ty6_S9y4Qskr1qo#9If|DX+Y(e9A2h`_lU*Xei=fDKBGQzy#4AdFD>;YDbZ_jAd+%zTR-gTB^3z?xbuQgk`zO0= zr9FRs=VMNo-)lg@mRz~t^XmoY!Z|+*VS6&5lHuj&`eFOpqTs^D%jJCRToc7x?ajcd zH(PA>9b~bG+R={fRQoHN&06~a`=0vTx(jCN(vQ!@iLADy+AotWwLU}a#+kZ|*}VJS zllB8YG1CzA78ka%(qBse&qpv%B`NiO9~ezt2X$NM0<6x)=aKwrliKz7JsGk)tV5l; zR4aDb=xe+VK@9#&m5-6tHgdV*k1H9qi>e1DCn=4n*`V%pKhqcYen0UTr~AM_-}oe~#l4F! zZEn@8!qs%d>iwpDy8gp$h2L;m{(A1AfK)F)1W!70M9JbE=VYe|A&1Pv?YowWbmjz) z1q2U;MacIy8OqGqXcAwD7a%{?V`@7QQxa2x*}i6i=Cz#*NaKj>!Te~gc152Lyp+K6 zu)>V`1U5$(;9+=Vj&Y(18OmvJ&$H>0V~XFP*J$4>zqo<8Le~j6(Q`$=)N+4E`9Ob4 zbog#$=o_B|yx#Er>Pivu1!2vQU+1elNL(~pRBO6AEl7@=KpK^3H%V3m{Bk&Zz&Lj> z2_Mmdz^EiBAc2i0_R*M26Z>q;G!QKsDu0@)Mj;*}w7%viK_yPI)u5=116XXYr3bei z5dNwo<2LdQ8Ep3%NTVXxYGmh-$ro>+Y#WxRgkbO$IHLsA-2~cOh>H0dF|gyT;7xIB zQ8XYvApO+uUh@HN1@EQM4f)Ewna4P-5idR5Y(PB>pW3b=pj{v_op9+M!jwLR5cgfP zb(ojzz6}!+4O=k^4f{nW{4!GU5l*KZAL+~Z*L~s;Dp)J;^h3@D+60aek6%#iV)>-8Zr?)F5b#N!ltA7V?tJE@-E$)g-_0;?oTp=90Fv|hYdrn zkkanQ>JTkrn?NGoK4l-A@fx?LIc4_F8J{MPTqdpSY$ddD+@Vso^ZI4YX$%1n9^DE| z3v6sRe>VP1){@{z&C5d(C9rA{8~V2HLa{1KfVNM4e*i5Yfh9Jb;X!1%xevJEN{I1K znj_>;7IS#{#`zA(Sxe84IB|Esz2LNYpCUEcv|WT;J6)ypDSuP0Ue+UPv}CU}hQ~KI zPw%O~pHpdvKJ#dhOiEMBTPzdMODbYfAV{ZsxEGLBlD5zi8Y@gNXE%%?#K09P$e9y~ z@5iZU3K>?lQm)Y5^*1+jKRjRUKkIi> z(_9^AS}yHCz0{=<5n z0NKPnGE)UfNLP@x_ZY=YA%>N>O;}ki&vP@EVbFpsshps0C={_-i15(_zkzzj+&!w( zySiUHGwS^0y(-)V9s9!l$f72h9?qn#jaPH+g~6Q(YAgIY=1EWT*iYW4H&Jsdx4u7v z_fpDUiH{dCq>-iMsa3Lm^)jQ;g#EEq5K)0DswqEVmZGhUi^uLsLi(_VoW!lfcbGq` z$Hev){DL<%YlY=_JcX~QhhS{$YE`n9*3i3eV+r$gc+1?-_WkYZLQxv>Mw&1rtG&9LJCA>1S zjGd94Th`hgeuIPzsXs{@(d*uYxGo4!MpRl==qBXJgPAYzjj&W|bz?%8rw>k{CzPq_ z^StTF)(jiz;gMBkQByW!{ZQ&{4jweaYr^)JfYMy14fb(eXt;n6EvIerl@sogmvReW z-|!A5aH$B(sGSuQS)q%mrx+FZUSJOTx|D{Or+K&We>nsK{3V0l;6~r5XC)61<4^dO z1uarfuA2y3v_G#BA8@O zu|fBC$1wMC7An;xiksrba;y)J`G{xMEpzth4fYfF_CY*IP~0W^p5#+BoE7`n_tYmUyHqC%PTvyorQpbk$y-ql*MZ+be?h$wv?J<)Gk)C)z!V_J0yXbp z-e&QDfcFDv!2Gh+tpS7LeMDn6<%^`@joR;%f)=9Ha3vDkCnmg8)#J~^F zT5B(L(MOr#ON171xoo{9;G#M$RkZgJvP3;|;d2S`=@#vzn^#oEu<-06n)8kYj&WVc z!U|LOF}suASaRL7ea`Ch$Sr?srW+=1ZMOk~ssV*vfAUARPoVCFpIx7gbB6+}Yb1{p zB8A3q;(RVIQYj5v3%>eb&a-B>s`u@Ot;`}t9T&YBt5*K?Kv#pgFy?_?=8)YBV08C> z1AFdE#nuKRS=z(B|< zzAd|KXj8Etp0}-&wazF+s!3@U`Q0z!M%Nps(!HoH%Wt1w9{$r9jEwlY?J`BPPTViY z^n9W$^0BL9-+udl#BGM1KJ~o)P*RYx}rGFQR{mJ^fNbLV8_yMr8e5$Sf2}YPWzI@t{{k!5vM+MqP z)*Ry__p0rwLqd;)#bmB^DkYgtGeZJdL*8uUf($fA&ZE(W9psU7ub<@EPQ~|FL z^Yi4c2N;19^bCnL^|QPs0chhR3~Is4iUwmwzWRu}D(D-AQ`ruBt7Gw01W8SA^s zHuKchu1$Ss>>B5{Zthcn$SrQsrC1>fY}H76@0IG-mbRhZL*?u5UE0kJpDXZ31AbKl z{@=murP=Nsp#>Jb-caIPjrj<;iiofjKM&Q1jg>UJ5=bT}?t2g>%^|gx-9RvQv09aa zpUE){TiycP>CFqh4LF=W-#3yiCws_rR8ozIm;j)5S~gr04TlhSrsuti&pDoug5g2{ z<*4V%mxyO-6we_Fn?cdl=^Z2`&QYVr_0WuE5=vT=)C_(H2)FS)JT#NM5V=T?N4-c| zG(lIUn2vK6_&fT>)6fyBAq>0%U>2q21xd5imB1>zg47PXK|wq-t3l{2%5ST7oi>f6 zD9|2}XO_K|T+|)zsUBefBbtJF+#t^)9RL0{4q>hihH}+!nT5sWQ|W{xaPMDY>oz91 zKP1@)go;IP@yYw}z9J1CcoMF9;HFVUzD#4`FnUE<)#^@)0EwRusRY6dzJfpcece5& zsfkc)QC=xoZ{#_(WfuM=Vst~&xZ?_QD8-s7IyEDHpCN&&+#j|3bG`Ijby7=L=V7k2 z7w5cB_EaW_D$P&wKt=rFp2xNrgG*R_zyY8pgSuqe@*{yV8Cm9el+LuQRY=#g<-P78_nZuqI#JrIA+&iH^jgc$0*e98;;3dB8gNCZBr!J}@hK+yLe_ijRblV4h=Q!V zv3qh~arWazpd?Wd*tX-I!l(?RoMo!UNVQ_-UECW&YzFUP4^9D!F%ZoO&4sZCnXr9w zaw$tynL$oTTLpckr_$GX_IbCci5DFpkj)RrqwHpG%=YW5do~Y5uW#eh$GzrQ15_^J zOy*Zc<@%sttuiWjdb)s`uK-~)=Xvzy_TZvO^$7xM+E+ry9lgD&7 zF#lQZe6@WpB?{{9p4XEX4_k4`W?z-7|M`sFjA8=vj&55p?`(^*#ZbI~wt_(zP=%;! zArTqJeYDU-I6X_UhVOi-NbZj|jU6umMGK1`qaMqH(=hL5t9_|uHk=P1bjnv+#hXoq z3iQ|`5zv{!OVOz=y4J-JEpmrTg=gTIjuTVn&(9BjeCeKT+3Xgv;kPam--RX&O~&b; zIAG>hbd41ZGIP{$($fP5yNS$#(wTc*-AO}A;&YLAVu@owfP1o%k&8;Wqa}DZ(!LTK z<5i)MQZAiH#5JzLqM*V_(Jn^89g{}K-uR&wKKEgzvh=c^2I#Wf$ z?AelgMOY`!#aDsoN6X#dv2Q^6AKrz3M4Y!fXV(4hS+F>96j8C@o7pQ{ir(7iBGhSk z?F0uu4|8Y{TT2k8|ycrPp@G$bOw)c?hLCUuo9(C6c z&Kfh7>@0IL28x1u$OfzH9%l7s{42h1xfLe#-tgCj+ZJ%Om7FLt?BK4>k*=n&;=O-M z_2PDU6;1mj=WCXC9?@5iy!bq_U^J@WU=cm!{adN8P%Odic4fg~DJV#Ejrnt_W5MFh zWLk>pXjHN!5;6|W0#=~PRQs7V+NfuGsgV+P6n?`H`MG_qCdCC>aJhRzLvX7MI;#DXYS?vBmA(d1o=N*Y}fEq2T9i&UX zkBDS>qr;xB(DLyUDVnz!X_*QB*)sVtyGc#$zFaoY+1o+3ab7$geHeYh;NS9XeWINY z85CjLb#L@by7Jvyo8*bdZaF2B|Ir3R6lBiD?>384Zhd3t{HfDN|Cx& zyN^tjVSrHF{AF2(tW|=Hot2Ya2}5(!C``SOg0fId?&Rp+IRV~zbiLcMR{lyV@=z_M zSG}Sk%Vkq}OT86i_6Jz2+Yef9V)09-_1u*uC7R9>^!%5zd)C?nkZztWg_l@O5%N_8 zn3I@$us$p)LC0hQ(KWGQ=I)Xf##KxZfAC@u(Qc$7Z6G>G7!9#C<#kMBn{7uj`@(pC ztV;H%bKG?M>J>3WP)NlpOnc=Z){sR(zFvxZS`ZG3 zj?>CUJA4*?EdqmL+!p{Q85%Yd1~oH3(XqV|eG!&3Uk$!956~p|?KA~459)GWgJRL5 z*-`<440^ght~`8ryyXFkhtjrIha(XQQ^^esnEsvQLXu zcuv%^_V}63RXW&=M?oIGk;j+Ie+oo8c5UwRdxJd1*g|>^1W@9uyQI$g)g^B(HOS-!*I;0x}B$W`PL>iy(8!8U9~U-iz%?14F6BR!x&K{|YWnf({?L+f+^0zPXhs)0UF|el{-@o36=ETg znOl#rmOW+9xNp{839K8iqfST zUXBdv==(_~UP(?lKU1*t17zA1y9o)-D^MIH^cW50Y;tpE#V$(qZkVIMaC! z9L;@x5l=VUrfXg#N5D$fv_1RzDdB2TAH`xH#TkFabX8gUv=@a}nifUOCd)TUUncvE zr;%z4jH6m!LGk+NIxt@%h?kOqlZ{$sf{=^usW7WZ{hVu&in6?7_2&}wG6s!lRcBC@ z__IXkZVcxbs!VQPyTLVF>)1{+8jj;p>WoeU>dfrO)^k5}6x<}{jJ}d}e3EA~i&FQ1y|IbZRNlcAAr2Yb==IJGT0ZTma7pkwp`{s;Hy zgUHF5UWaos53>2>gWb3HlvX@y+Pub25_!(vw~y@@PF6W?k*QTo>m!#wD5Q(Ogh)O@ zFZ5L2l%p@JAMxgyXp|=4pI(}IZOT!ke7YIa$4NBwY>8j%;ns!;!R!8I=S^P6SC!Rj zX+kHME=dtACtgIV1_S|71YFMsp{2(u9T`Knmp%P-prMz;Zyo{yE_7mO~m9{2B)aR7SPSgOwD@?pYI>M!EoSfZ-(<3 z6JM)gWb2sWZ*hZD@_C%u<2ORiRhWZ|@GX19Y}m@56;a~+=7lGunP<|9}ZDL?>u>Z-%k3AUN)RYNi%G1u&^P`cl9C8PL>IC ze2LBTbdQLTve8vDA0h`9sB^)N8pMgodo1DhRaLKlgn7qLe&BwwWf+_+y;iP=pIyYj z$FbqQJL$Day}9Jb>1klqCpX$PIYv49VIhmC8vV?ICf57Dq*D#8UP&&+E453^eirOO z*LScFlcD3^IzzzS^O18RCxY8|=$0Q$hv*7J_y$!9vsCs~53(EozFjrKH`r1XqYRjg z#T}?%f#(z~BCcrpkUk4vIs;bf(gX-iF`R+4E=(fzmb3b9lkFcdnP)|rQ7-PFMaZ)j z_TSs*k3N5ZaL$iAOlFi$x5rns_8~p}l}@(Inh0F$347fcB%vEz4Sx5BzIEG^XPaky zEf==1gmD+=lrSpD-a_@F?X6;8Nf($WI($=6Yex?AT(HWz%wG{nd0SuN^BV^3M!#JC z>}j&obo6k8a?PG^iYv6U-FBh}ZTJ)6ZqLO*Wr9ItU|o`JLT4-?TGm;l_Yg%K^KxvF zSPd(r_Z@2j(lZri!+o(-6W__63-32CNIo*W;v6Q6)HuJhXh%Fyf;nE2to)_^Y+*aX z^{Kv|!V?JL8d?SgiW&&M_9YFkw_cy?|UQKjxiNM8M z0%6nAd%01|)oULu`IXP%{!YxAn?%)u_dc;I+VmraQ#R-m>it2C-HpMyqisBtZ(_BA zpjik(Y0x=YJW){7v|mxg!vfWvp4P#0;lsnXdx)tQqfcc)DCo{x$-|c(WTmi6cYP@p zFIZ3LYGAi!MVgDgI?0_!nZyvm=>|?AxYH~6?+<^4aHETXM}BnKi0t!hYMvvBRyAj{m@n70=ha+(_}b-G?`}tQJw5aK!WrE= zCVvt>G4+VK?W(x z(Zc??c}IyS-(&8{V<+)65YNtyAEcUc%T?^@IgTut^6)tpwnGnQK&!#P$5Oa|03*bLT%LtIA!j{G>XB)tP4^%*W zsqX|eky~a2W04>KO4W$$Ii89Q7M^e;7ghb8?ChQ|#TJ|e2`q0a<6UAoF5a`$g`;8t zCzh=B&1ELio;I)4uADX!9Zz`@!FDZHzmI0d_;lZtWC$X#>>erN0^XbWb`i`-1cP8E z+$~W<+qhQEm#p|15{|~Gwfgser1dSc8oOkV-6ssUBhAPhg~Wsi_JTdjTU2&inem+* zN5zLmhNOTpFs)anGEY+hN6*^=tfbD>ogb?vXXulHX!V{tIJ!17G z+c7b~O?xuXgJL)(dm%X^oTD%z7&H6eNM}FSV3wqrb#qc5rgV6*zmPb~4Sf;4(!tl+ zwJi6ia6%MGu}bNw4Cvq(Jsi2yTglCiv%SjAcaK@~>K z8UJlk>4g7h^|FbG&mtQ9k)L@AZ96UD-}0%!6w+ukhFZP4N2A8T=Yp%sr;*mR?p|nG zN@K+C`}uLet%yAF*G~8 z!7cWYO{XMNqM&XSM+0M1tc*}Qbq%ueDyA<2!{9x-*VL=Vh&-jx-2jK5`*J@*)rWM3 zk@0HL=e1`ih8=@FA<;+GXU=7XBR1DF2rqen`IbSZE{rgidW=^87(HX&c{e)7h>W$x zsNVNwVCo~%_b9U)@0S)+d8(p(EY3!gIE%6Qk<=2a- zW~vL#Az3b3ABb3|*Yj8x$tN!F280&l3XPZ+;z$Sspj@?qIbV;7 z=ZA-AcYQ+6CUsSsjp1mifeZD9k=U2s#0v7%2q*i_DKA^XZU^jv4s=upa*yp`41XYy zxuJuC$gIRJWydZ-AWO{NlXiTemoZhoQn4e6u&F~7o(h&B+0!%gqk9czJZu%skXFS& zkO&TQ_RRQ!Wvxm{s+_X^S#zSU6H&Y@ywkF1MXUN~CpJ*!6Q?3OA`x8PXH6<{TXC-$ zbB5n92%sHwJUJX#4er3quW!kec)7wn`!?;djm4Y7Tc|Djl;X{YLNlqqpf7Jbkr8Cx$x!Amq|3lx!4Ji zyjl5Z#^w>FC*<@*1e}W}n{&uRl;dm*;UU~wPl=DVmet3W7EkSjH})vA=OzXyvw1D* zzGUEvr+xTxG$)tC8C#@k`TSxl?3<*~LBV??BLYvZF9pk#pf57K+G5?7k$fZsnQP)a zYJt&MnMUk1QC%AEH5U4}+VL$VOLqDu(UsWlez9_`Wt{%%vRT53ZCCWsDc@^K^pXub zXlTOgX@Dv8;E3{G-aH%3@jY2AkuhCKcht|{d%E|=g?k_k@|q!ozJF&ufB)P|AokKk zKR`iGklrm_B<1p*5W^elNz4?1KqW1E>BkZL!=D8D%F(uR^RkP)(P(KNuh)L?vcG?4 zbcnc}@7|CGL6l|@%-mDG%L{)U`5mZ)C-|-5zGn&){pP|22`#T*y@MWjSMk~#Um$69 zgXDQIX5Uw|0lwAtWR9M*(DWVXZ-+Py!UW$DIc5=G7!$@X%)#1xRfM@qL(ICl>tdkT z4&!e|S12b(ixe}?*8a-9&;s_gSZXJqNV2psO!@q<+x`7O{OXPE&))reV$;i>&Q!2X z3k-wdO9FWx??d=^lh)BPKX=7q{f;P=E5!r#LxN?R?HL(mgRB2)>5w&x&2IIn>nZCO zGPT|Vlk?^CFEXEymT|)ABBs^4D!8a>8r3$SlF*RVR7qxN(PTu0>`4d6w6&o3f0XCw z3bkRxzok`~l*;>T(zkK6JkDqu<*rzh_1QVv)A^I7*~nVBp}GaA({U=OPL0RgpxVi%IwY{te(Le#!u`O_qtJE3iWWytDdWMmZfhmibUlY)W6Ank*3BO;8Xssi)%!OQ zxGY^*3h4U~S-zs3<~M$pBuQnxA#|pgp)MxTK>6v_DgFWNB(78IQQ{Uq+roL?sOIKA zPopk{x#m3be%u&vkksA9U@~iZxp8KvUKfPIk{1DYT5*!JSa=XH50if0S~20f_s|)vMwd0I4Aq;$ zE6OzU6w4Lz-)>CiBRz#n(vrCdf1Drv*3o24rAIiHhHi28i8_Myz0vzV-F*X_0|RBp zjp=)Y6u1G5){HSMh+h-izfvrf8U$df;JtIOT!`tCyd%+>JgBCRaX+i(2{+SYI%3#S zE*nLikykN^RI-rNVZzG9nF&}US%HRp+kzDjM9L1A&ktr!8ZR3!&n_-2K5iVT$E!0_ ziW9P3xH*s1ob)L2*)3x7*&MBf$KpKuVI+I-&ZfjiPI6q`=xK|*w;L9tpwH=(4}Q}j zktKDr%*8t=^dld=eY?e5LXnRvROR2oxDjR`HAsi`hQdi~jS(`0QlHjz?{pEQy9Vg0 z@s#M4WTB(3b0T4-mIe9G5tkvL=81q5UVhv;^!zb`oe7CjPwsZ-G(Y$pH3=3!ph7Mv zW2Fox&R6iO>siAc;<%xnw~n~fQ2Lwgm);e7wZVCliMHz(PI(UaW_5au+6vVxA9BvS z&NfRKs}>y=ex$SqJ1my%Ulf$XjLy~^^^eqq`HQp!G0vqzX%(GWTc@sYqbhds<+l^! zpnXhXj-c6oybTA6T~`pBhmVNle`>k1`MLH{%AHDK=Yz+4=e~@zFe~0#O$3HRapSh|-#Z+|}EOV&uE2qWCqwzwTVZnZsmj`-r` zESKlyfcLjyUiA-Udmc`sn&%4}20^A@Td2Q2Lev?;5p6&xvY=^uwoka3`{Cix6l9!m zDQ^|bJjUmF4&JV{EET1kdtTI*r193PRBd8qmFq3h3Lewzc8c10#*m24U!j=1gM)Mq zSPIpjpAAmkN5VTu5q*dk9h^0?EJI7JS4Z5Rmkc7sx@Uy9-%E%haO9zaI)gYKAN(3h zzhlE+Vyf7JN@~u)#Hb~lMIwbYMO$_RLfSO<$z?ajQ{R_zz7!k-u%ZUORG%5@0@-%h zfMb3i#-18Nj6Q83T9{sYJ+TlVxP^b3qBa~Pb?n61B(mHT)XivT&KpG32!gCmJNYyy zokLIHJUd%weRjdxRTSM_P}Uo{Xw{M?jHE1|Dx4+d{;VV})1iLBcL5sCp)?SlFGgsI zOS?*>gB0Bnl09=dzz$NqAXK$X*BV1x)YL_!lxwoUO9%KDp0juNHCx7}xKlQfBMfS4 z+F<;G6Ma{#$QF;@zpsfRAbc9YHd9Ovz2IDWVqw4Kz8jvEB=^FNl+Kz{;Xps}Oz`>j$3c{iI#t{$ zm`MUd1~!d1km1{t1h0}RP>LQbN18Q9VWyfCBa9%9uA#e}xX_)L3s?u=o( zeXIjE0~KppfBh1?LrPNThaSn7C31R%3XiI-M@b1nql;$gx<>isKYj0Xds!YY*&G zLg67l`JM`KeK+UIj`Sx;_o|H(6MBEgDc;kky=T=NhqtlD>L8_fO{jvF7H0gRuXT8U z^>+eCxkwV9c_>m$97{_}ysxw5lzp;(+?ZX}(bA|+BXM%`9TEXr;xBSdI!Kt_vMRa1 zGfAiO`xGa`P>%9It0JG>irjAVu8OJ=3R*bk*gG7`y>+Fh<`lXScv~yJOAu z&FYtkjZXE6+?*WGYx#0tSg*ryU}?DTWpq#bhc%`=LQjX-<^s0D_~xjVwvb6&qRsLx z0R^qvQlP-dhCnYI(t^nMP$uGu+)1z3a-UH7n4!u>ztrPe)|gb56b4g|ZU0hgEcsmz zo8UI6fOq$G89$~h-7jW}XQn;Vhws}@wTPymQ^vu#FSjpzki5eQ$FJYzXo@V8VKKwA z-8-9*(nKd_p@aVj_a&&Sc*d3bzRz(q|x0C%{UqT|2fS8D^+JIYpffSMzOEf~+0Sa?*Pk#)SL*FtL^#AYguL7JniGM z->0+v(YP1oK&U?d9s*mJt=OMM9FF9IcufHfYo5Gr6Z_$2aX1Hd;mS)S+~dKH73ghy z@^755h!He8%btb#N*|6Ln?g>dq02zFgiY%Ejoc;Gez9@bVmNP}!CPhZe?mDj%W

    1x~3~){}`Moe^+UOQWY*5858XwPm^y`&J6S)q)Es zr`y}B9I@&x_>gEU5l4X(a9WsP7ryhQqiZlA51 z43pe)pP{j-@^tHlqqv%B?No)#$XB(9R19B6{GJ+!bX)T{!hWhCU2~X0ioGxP&o*HV zmZS#P?o_I@!L%oqJ~oH@5Y4({=dn}btenW0Qo~*T<4pqj#C-EYKpMaFaf4-id3*0b z)v<19PMkC+UQvzp2BXy-TQ9PreA~-y%t@K;;*=BrQ=HWZCF9k`ja8o9D1m;aH(4oc zm}wF?j?#umh|82#5rmm2Q!mDPs2Sa|sSSCg;wq_B$<-;A^RWBORn#9Q=B3~Bp}P>( z+Br8U)fsze8^=le;JM8k8&Jrue~rjR!cfW&cgyNAvg4EaH`xyn3t=tU+nhLpF&@Z~ zBN-rzs%9IT;f6?@=Zm(|6nD$k!0fbf@C80wdlTn2B|q*K#=kFE&Q9V zJzHPx>00kfHwD?<>W2sqFG2hyD>NS-8^uSbQ$_eugumh>Xd?fOIh!i4^5jFgf;SvM zrnBZoyve~pDMMvAN()(MOTz~W$|j&JsqR$*@1sW&e%={oF0QsU$LE3{cP{TVDvuMR z3zO`ee0vq{zg}oeeougj3tFV-^}v%b3AnFyTfQOztWFx<54pdheUi(^EMh~!i6T0D z>$j<2n(fvGgonCN{J8D7Zu?k1Js8E3s^)88&FvurI zluOW_cbm`|L{?S`-J8y~H;5=~8^Z;Zd3-*7TMU=_T$J)Xt6^xGzW1WMX3Nehr(gTa zKnWv_R@}i@nJVp>I^QvtR|pjGhEzTUuObn;MJnth^p_!VWozUTt~gOTVM=SCzym2! zVISlu4NSKl{HM-=Rf(X4lSkjm$;Z*VQ}DT^=`zCJiPF5Ijuno8L-lg)Rq_iV-h5k# zD9$KB5fu80EE_h8iMbVBjS}~rXw+-9BIac@*=TOd=a~&{4WveKP}vikU*ouR(KHm} zOj_T(Dd;VF5KPPQ#37IZxfu)Qvq9&3J{*ucR3TQS2c>YJ5Ub3KUi-Qy!8E1TFQkK1 zoZ-ti)$!%T9P$fWa2d2*sG$5Tk{&$|Cheu`Wx}NyQ&5HLtJc*yrjai-rqoHFj z29MXzw_Od(@r*JUI3HSMT9U_rdMDbG-@!=Sxi`D_uH|_aElI zc+Ou^%KukdT3KTkuTc1p#Tbi}@Pd$7WHaAmV`#Lh|>i{~5u*6q# zb8?+eAeC(L%qQ7z&?-qGoR}s}C047$GQ3X2TC}@J&YLqv%w8BVHfOB5QSLcZ>9|tJ z4O8x@o|~S%gE)1cdRgORb)dL!)1vgvc)QkF@J_O9PFjLE{B{kwa z<s}~Bd5tYwCK*(p&GJ7A= zo3(^cN!gOG+_dd#6y`ir!=M^76WsC!37E>@I@ckQdE5(LedGdIU&4oGF<2>2&SkM&~@EGUG7d~%O=;i6>7hfs}!oQgAEAB zF^!^u^0a6Zk@ae$S%+L=iPeKs3km$P{Q8Ju;!9Bl--nU8xA9W^a*Jp=RP5zJSmRHg zt@fjY)z&gG*F0A4ak6rHZOB%wW8G`RF!saQ;!gNhye+$=d!^9kBE%7Tu;y)PA!kw04Mt9%|DjTcytscFFKG-E2j zsd4X2t`2U6pL~54)aX}`c>+O2Pn7N=EMxeQxrg64<&rMKOll&5;;D3a1^vu;1yQ%u zk6ImuJnfIjaUAu5BruX~(#Dp<<1)9t`#vg*zR8$)Gf{5}6*?0z;DjoC!+Sc#q z$(*k>nILstmTryD!YJ#d@}CwRvVIw`f1Je`)9D;plsahvvQ5X&!ry0z! zocvf$=OTXj^f2M=+@1QlJ4J7VNtD8zV$Vad7a??n)rUa|JFo7ZdEGaSJCW$%3A>l$HnQovgGRVTu`J zcQwMNeqaEhO^e3gRn7DeC6(8bU4ysgsi#?wKe6d`0#Oja|KKh>m*3{&qu?24HSmXc z9viHK7@HC+>)-9W^)6K>7$xew{Zp}s{4q!zL+^5J1mg+cS<>61OP{5J^KTzcIJGyb zFPz2Z#pkCsR!4URX1tacDu`13nr4{167Zoudxo*b;;B6naLVFPv>U-YG%eDc^>d9W z3GJvM~K42IP6^&MDoNV zHq72In+}F~3>b@Ww1lY* zwx$EsVb>%M#^uKM^&IHS{X;k1-m=BOPMrw8a(d9i0G6e)tRSbez{Ax?KvP`fyJ1sJx0X!vR`+0cI~M-4u8PJ ztAk*le%54_n8}si1FiCx%kS9Ci9(>~xm>v!(DUXj9m*@dSkI?pXm<)tVjW+O7azUK zFXr_c@ZN58B|6@o>2A_@)nTBL#x%fD3GQJ>H1%pW^e#;}kyL#MLlobkUnbYVMv)^| z#32i%W+XGLc4tCyLxn}^NBbZorE~5lyuSEK4pfBUGMTpw-&|cXS%&*s`0IO7kJER& zW{=OB&Q8*myYRN+jd7Ty=dtdLWRct9lPNo-luwoDYnH`Z=4cwkPj9F8dJze>JljKV zwWXFnXxi*3cQB+UgnFFtA;!EH7QxtL@fiJGXg*n|=*X*eWc^31g#uaF{7$`av&~Ir zXBns}m*4h^RZi4B@n(1`s`oltIqwQ`jR&t0F=U8v@2D$n&%E8ysr_^Ysb7UQl8n+f z1W_Sh)K7A6BtLKU;mdpJaaDuto326hakAnpiEsFhDL1{HJ3ivnNqYyia&LEDaJMdP z)69l{9p2Wu9BvgaR(y~t-oP?c)@y*<`^~aZF7NQwTUFa6YE4rpp;(DPHz0vG}gT`@lKVy zB2JRl%4IyuWw`CeXtD&5wdj(FW)$x&!&()xu(q9tHtGWzv*7VsN&S;qpbqcVK?b6m zONdA*A@{t(i@z&0M4u{f%q^XOCHIy4LF7G6d$^dkS}5Eu&x?z5F4&HV`|4Spd-EO0 zLs5@HM1!@SM9`$!*brX&1&gWrrHIikA0B=xAt)}9Qt|0M57y9Z+}mw8^A(YgWXNlV zKs9;o#b&K4jqw&+GPh2$K!Ka2H%XOvsQ~7arczklqXHH61FP(!A@4oC7YAkLLNlAI zn+;;_MKD`1UQ*e{TJAzQD)-+cSJ9MPPH^}r8xL2m`!D-HaCaru6L0QOMot?ZP+qCa zgXQ;{XkBEwM?0_ApAUCP)>cemh57)wt|OdUs6OAyftBHfu3fXANjpnHlxYC7OMPir zZlEJW{r4x(#mqREjtTXN{VSr^?Ow5l^;+m$K7U$;y#`I*B1#md5 z$kXrp2Ke-G5)^Y4@zsaCSw>l<(m$pqgfDN~@+>;f2S>kb|ETM^G<1+xJ!O5_jw8rB z{jDuUPjJ@FH0o)sW~KXdWOS0lc+mnbk-*Fk`?KaVyxE+ClEWw4-j_XR_TTB@Ke@K7 zsDQSihWxNm`z4cQn018oKum05Tin5n24nZ?vxexzi-~*R#+h@%(CWtFV%k6R4I<|; zh>xX`0eowj_>Nl|A&LP(4O>Us z+xKXwYzXA!C^rLgJc4i;^ED5>^WmHdHkLCzC9}0)1Z~sjok}J_jG@4Vhqmp?`25z{0%Cv^iG&vIu)AaisK|5WU z?4~{CX3T277rcC>xZ@)427jkRRIm>I`u4Wz_R%5#VDc0kXDBqjZ*Sx`R>CGob7TuiC$YQBmphr81(fRVXdyRU%rOH=o8(;b60$=`YK=7#s!Bfm;86s5c(#`f zHodjGD5uq8uivJew&Gx%(Cb+w)G0SucoAXtG^ywH%vf3Gx79Zz%mo!?4&zpWa^5V( zmCcY^JnQfH==$CBS@+#fOTNwWw|@%_3DDxO#)9(w_~E2xYNv6F;DE*8<+_S9rzEOV zod}3)YXG0Rh!>&#S!Ksd0m&@hozkR&gDOwn27cWxX=MjlqNMb*%WN~?fR(OhE|TwV z%TCx)PY5Bk{K`F{%CH7WC&%J8Zs;-cx^Bo`AfPBMEP1p(hb|Nabi{Lr2-by8Fd?Vdb=N^N*4}l4{pLtxE{SwFf=yd9N)#oX# zN!J=14sBru=cM({8ASI?&rIF9BEz?VSdK$!BkFEe<5~t4Pd17_b9y$1u=^PiY^{!*oAh0(mFG zc=*VDxe%U!)TUG)^xiCTwTOOFvhA*PE-crV@ZL6=2tt{ydZXq;3F0a2wS#UHqPbQy zVqIG4!t(wFODb!zMIqm-ckYkXZ%aI*&U=72>+N}5?DTqa?6O?%uuN7*{m0aXS+i@& z)(JOi)vS{MLAT2$8$#G~Hj+-pm>39)@<0rM7lF?bOO5ZtBnfHx->a<5B(Smgq>{{& z06Su#^?9FKAM?QrDvV0yPi%EnFS;I{7!|y*crhj@66RWLqoful`~1Y{xmU*1f<8e_N@PgxLt84Ma`N<&u?rJ*#|y`MTu4MJq4SI`=s1VGM9FU)CmBDQ(G+rR zKU7f6n`)y=AWalkD3M#*Y@|z-iShGfi~AzLvK@?*i*vU-1OG{b@rSI|24U)xf#~Sm zjKGP72bdMjnASwymJ1IrE-m+K%Ov(!PG628e!*Kku-ki9p+0W>RcG`gjgL(^>$B}c zm6F1f5u>9|pW_SI13k|Ye7<}|?8ISXZJ6F&)c?%*&K#N@+t4D|O?c-0_KnqE>N zK;XsGPysU2C$$f4Ht{6;VcL23?X!c7iRhr{5P3L3r}eVG|C9wa!+U zxOe4`aOSC+B_ph+Ct$arw=nzX2g57PDqp@($!^S5+`<8|7x#9m>Iv^BnGZG4 zIbQcpX=1frgiUhUoV1P~WPccd=U37QH)LH^aZ*jPEI--m6wo&qm*{(@ubv!|N6wui zu$wet^O*ZM);`ohT4i4-R*Tr2xqvh*mzOlo$%(uC}qj!1q;<)26 z(!)z}vSl(2O_?VU(N4;Ek<92z*lZMRD%>2rz$=%xbn9OwVJY5<90p{JIrUmUq^7kg zw0Yg2lOA)o2ZK0*FhTx((&9$B*abHMB;y-F?)VwH_mHf@ea_It|U$9VcnhvV!+1tnhi ziCR2M*8%Q=MvKzJRX#ey{!}t&TEv_VQYTX=!{T!EmwL>|(9XOjD`7i@er-uFKCx&p zvxm-pU$X0r?_ZtRY}xePPOIf74%N1IZP2tH=VJIs?PLP7+*x|_xCA$*|FvS@w@+ty z$nh~+IP2}O>hvT#AEPezT{L4kzkd#@wNbKnaok{)-^@A-@|UmvbjcrcXaAef6M7>E zjUwMlq?RAdG^hO#jsxDfcl2ut+}M3pFY&76Asw5dPTEHm_S{DgE@5}_%Jg}C&$xV7 z@O)iSAMHTw(nG!%gi+XKcr+J&wu{r(atc9ya^}-e0-}p*c@5EO;XBCT%hbec*h?Bg zSN{GVe=g-AV4$*={G>EQB{aU0RF>;gJs}KJgHTarEQHK|YX@Pj6@TG~P+3K9wWHBw zXhQkuxZ1-*y>-2b-D;FSlE{Zk)<0@&#XsC3vlPE>4nYIyfj(|kd$BP_;N7%AB&*#C z3fv%3mXwCW5($d(S?k=(lSxEmlXP~!WU{zZ2@bxYDj52bWah9rEXF%596|Wz17j2o zO{7NB=5_;P*p(S}+I7~NR_c}H%ncUbgg7c&l{A7SXhA~vw21v?kn}takgS7tGT$MT z@UIqM9`SgXs+C2wE9;BPtK_%g1{k-SM{qx)d@uAvJa!Pn>{uK(s}?u=^r+vytIW@D zXCfzb)TNp`~2G(Z7y)qM&KkgBhZAhyAzNc zQOefTj+l>+m=Q?7X#r$0bSI_~0_h+gqF1fO9%t zhlgbpRR>OK1DPg)RGC1&Mpod%!VbO&DH4AV8 z{P&aADlz|y?xu9srBdvW<9T!o>r|0b+nYlX-&}(qyp$`V zw0wu>4wUJBPvgWKefoNY(w~+O(KBDn2MYK>2W6baWSe6dAT5rzaU4!IslJ4TZOJdTXjvz$IaCQJIlJJ zICFv~IJNsM*D%z?g?kg6^_4)%hVGy8aFycp|3M!9EuQe-1p^%W`2UZB!NSA=hyybd zkofc;^6*=}Rs}~pV`n2EN->p?k)xfV0f<->5K9YdYv2|;0~7HRDjWXCN3b0C^tJBI~Oez z3ndd1B>)2C&9yW!0ufWGnAq5ZgCY}~0};I?Xb~XA zC;0kLt+I0b)vAgG2*^H4^?QBd+b(V!0;7F9LfATN@#`f1L#*t-<8$3K_jOR}zgh+q!*3(|B|`PTj0{jH zV9eRCmDg3ST%dG4T#oC(0bwJ+ARO0&19G&2OPn`U_@4*&OK^x=ltc`G%(8Z7S407m z{gx(-vL+w{W8fhm+(6uHpjQ+|d1sKdg)IOCXvQlG_LOK->wCBrzvI zATV*j)B{8o$ZD(VWb(6x>-#bWh9=fdS87_$*#^vPrmKQ7F{2|`MKb?ZV)?Da_FGBk zN~8YD$UoQ{Fe<-r|C)*@fQ4|fb9OWWj|+$u4kj>ISZqOnhyb@(Z-**tVr*e>o4%I` zNR$jDP3C5~8l#A#o&BGmDA|F))9-iDxF+9E<##QZ;3UWZ<$$Ek02;UE44kzYp!T&a z{3>17Ou4l-*D>9Ha_q0X*&4Dkw=q75nK^)*%fH$kK0!Bo10yRF5V0X(BW?L9DTytN z`6<=eWtn8{MNG^sBt0BWls)8Bj6AH2xQ!`^4c$Q|PW+VMhA0IE5E1ztjZJx!M8%2S zY^-gacz_Z=r8x*>&%?;*>gvki%F1BpXvWCQ&CSio#KOqJLJvUDJGt9}4BY5#oyaH= zZz@`7{H$l|#9#n6(+oy-HjEY;jLZy7jFdoE#8-XYMr8qun}MO7GYG&Lk@&imKR01- zYznl&%J>tE2e|WJKm~v%`2aAUUlpSOSl7}sanUm~t1xr(u(R=8sYV_qCO*c0z}_}+ zqpJT|_exp+ta}S~TkY0X2;Az?-%Z7>rJ%m<`6u*k^=soH@DJN@4Sw_V7Wit6c8)*0 z`lHJJWrzUiZPhD#An-5d;JV5+$Zdrivm|h9GJaYm3IlsPC*HrCrt2ndD*+xPFcHAF0A^d5y8pTI zUt;k$$lJ>Q&RTGVUs!up|3-uVpZfY0_O`d5+`FEALe?NK34n2c`Cx4UCLE8ofvp)o zr5nAmiK&6JHHh-x<>9*0RSVagylIb*5sd85zOIP$8g~oI`(JvybvEQo+w+0`GEOza8 z+!*}Z;*HPxn`6n$1a9l^SY9u4O&q}v{S8fST;cx(%Uk#72FqJF} zN`HFRK=`xYi21uKeZ6>KXJ96lvb6wN7+70){70&?0RH1YdH5%${qAUA_akC$XJqvY zEr2CAn85!7Er8%|*DSfA#SH_08zGn;e`f?6Fv6eAAhre;dH>20z>EIp2!9t8<{Og# z*6r_Z{Plo=ZkdTiO$?pQh*|#X@MigNJ8WjQ|Ip#hw76b={LabWed(VaGTn5@{7Z+| zuIf#P%v>!0wL|7x|N4f`H)Q{Hz*ivuq%$|Lgm$rT0+y9B1|TDIlV8| z{X3zr#|=>LH+KJl3|AokM1zYMyu7g?Rt7wMXQ!XM2Uhg5%1T$O`J4IpJ5n4R%>Ny! z8^@pVnl`tj`i(YVkbfe@Nh|}b)c$~z%bVyNirx{j={| zwRoeRZuHTOCIGO#)>gM02Di}HmYL;NnO*x2tbb$gpVT?P+N&K(u;2HWI=|Us`-$j( zcdLGGUEC1q59%CHGXI>2EP&Zmmr|A#mX{MFwgAhSsezFRv6F?Ft%3Ewl8zlnSN9Ln z{mpXUjNvy4zar4zH53aV;bLw;&p?lWyx5tV(gUuh{S#_pBRgALz~cl+^RJk&0D0y9 z9g}M&{t=Tu>2DSW7GimjIk0hhMF}uI|Ai2&T>ps>H{IU~$u(pb5 z;JvWF#Nv8}{>Q2Fdtc0dFsE00Z9n@0b}@{A!z+L*&j@Tm5HqTP{bca|A$UXoYO7C0 zg$39dyOt*)>Hr(CHFvG$fdU6mxSr48(J%sBlmMG!ldFmn%HYI=*E8nov51|uoue|a z_jUbT#0jX*ay=&S^WO+^t7)`>W~D?#!0)7tf!1!6k`8#)0v^LPVn0XoSCU1b8nA-_ z0$ynWV{&vhxq5Q5(I*1z?Gs;x3IgjgSSJ$@0`Ft>{lDE*VnA9 zti<3Ca2E*K3x)ufz~3yu1|Jym*AL3Cg?3-CTp8fdPSq7f=;^#Q{{kt;Nj-L@mB8TqAq?7mN=RF&h8?zWVhW z+|@0%U`$c2M+Ls*{KF`3G5eJQS6JOp1Kc#w#6N$o-tqnOHu%m}n^$xOm%zxNfaOul z7RWCNetigV$yUhL$>QgY-``k@DTs*Es#w^VILVo~D%sf>*aDUR_I8SyoPiA>u3{p89K(MIO|5Z*23Ur{C|`%EW(I;?Us+EMN+V|N+5-1fj|MdQA&Dc=RnmSadN ztrausS`Q<=1k*<`{Q+d!lrat`AwJ-s|Mg3t!>hT#UJW)_N9s?O_Ik!_7Hs!qf5>K1 zR~fTuGv(t6w_%Ay=S1A>K8=uXD_c1f057kBo)RT zKhV_NeFH`8F}0P=`+>RG2J(`HBo#@vFlo(bI={RX6{$YVJF6t%gHA5WDmRY83lT{pHO`CM-c`Y*~X76Q(t-Ts}?pL z*E?#T)L9RXs&2l^Z<~xr1!tn1TXlRT#!vT1Rb~#bfc~4X{=s9pGBke}E6~dS zq}%~L`3L3xm-_it<@~DHe^=?Zdhtpn{aOHDgPZuPL8fb6eWTF98u3cOU#(=pdXyE= z;8%JPd>gE&uk)WS51K^5aY_2NZJo;nwwf7HKM ziIu^<-|AmB;Ler)Wd{FG2_V?4f6%|;GJg~7Yl#Lr`Bk*(fn_8hiXamkHGpfs>)t>#raoBx%Fgzy?1^6m&9I4A~!&cYw5cM{mXX%i^1*P>+jzo zuNwFr;C6moSH1pwd+%B(uiF3+xT6R!1rLcKEI9@vBnop+Of(P(%lE|wBrY$O#!zfjg!;vMeF*xH zX?>Ne5_>c{4Tno2P0lQLc!y}`nETjuXJ>l0@i^@O;uU31!Mo2)NDxt9UVVHV*@=yH z&oCPS0veGR3LS#Qy@-LHmjv!>T$gmI))c~zh;&`J7>ha)cyW>#BR%V&rxZ<_UJ<)*}rRG;bZI&kdnrY7> zRekh+0vFjEF4xa*5)QfaI>&Q*@)Z|;Ox=5{*kcL#XpN#?h?=o`{H|G@0Hw750Tjf0 z&}ZH!-%%gLqreX5Jp5cI-l(N&+cLF8m`uf{5=lNqP6oVBa^0ypaX|)6O^1hv0+u;b-715e-!o8~AMG@J z>;_G}+}C&LVM!_L@l9E$C@C)BSTEB`lPT^96eO6}BRDy5cQd2zjrYjGe)hgSPo_w9 zZtDxp2(0rvY-OuUWz450WAbc*qct@~C6Fa!aHS0U5c}0g5KBW4N|h+Hely?b6XM`l zcG+j=W`0b4MEHKJJSQ*=9Eg7r@!WVx-|=;mtZpi}l{IIM@gkz<6J90OmRQIqomGQxY#uOa!e)b`cVJr+zly<=Ng_nR z(+KltMleZ^Nu7k+2ir$6$+|s$h;b?k$sCw0N=K}Q_A;!oqo@PAW1&O3qrO8EBg|NA zpHwVnNQx!SZ$xZDctYZPBq)VV)vt-R#rBuc7 zOpkfQge-DVvVFKSs7DaT}Jq;jc} zWMaoWV>s8GaTULgQb(9E>%rQ_DiCLQxH(JEfC<+&(p;RRy+xyYte>m%xGCwvl!P2> z&)l)=N;M)hPdA&<7cjgCJAFtrSHG9@gT{yGlK7J6GAAfaEHY@wFsjohq8IxkoekY< z`h>*kL^!$<&8Et>!ucYaA`Xox?ddY{BK{)QqK%?z&5SZ1exxpBz|SB1=6I) zgy}fPq>sL5tIL;x8HP`CX4ReB@zZ3UP2QE>LFc~bc}I8gYfxiREkhtfKH^`5rx-D7 zU~vSdb(!MZ5Io0^isr?q#Mk3SNOer(Nk!$FcF5(4Nb607OO@yOSi@D5U0YcLgil#} z?0{9DSa0jXzwy0K_G{u!RgcZoR#S@-F%}!b5u$B9>(uKht0{@;5)U-~4#EUNZT_Zj zf&2k{z5Eh512s4>8T08$ATjvlg2+(HPdbaD?wr$(CZQHhO+qP}n zw(Y5ZI%Xz%q9gaY%0+%BZ=NfEiz(1SC!$8WMVa-m|5^0m^cMBW_2PU_{j|O3x$@eq z8>gGRo3=}hAeuyV0gsL3eR_LN`-*$ti_r%z25tt$hInV4r<%uLr@5CK*v4zqtfPVBhl9;=$0>%R>Qoi#@1ityDoP$D z0kU!0b;XB3Ds2{u%zsC)N0oyxb&Pcqm!bd}C<1H(+_?8aM_owWcime#$fEFq-(Bfl z@13w&h`Z{ig`nKPbGUKhX#$SYw`!u6BM;wFf=RR)%ewf*soC3E@R>L}wMLRv9Z99J z+(aa|U{@V#nWmiEU~ivS5jE;&BzdH~W2_^-AM8o zI)ydY%HGP{HeCay(MptZNn^vKVY1E=D*ZIx)$v z+f|jMW^2=UrTDg^p2BPSW%a|Q+!)@D~~+iHu8J?TUC zPZ5CTPg+|#QF>hZSB6=}a;89LZ5CEmc-CvSQT9R(UrtRfc5YWOW{e8O3`RBTXA^_MoDDJcd1S3UYSDKP&w;A{|Z(`Y$af&bLDxJR@Gd!P<2}k zbxnRPN^NA_pE~Edi+a8Kl?KU%fkw8*nkM3=tY)Ov(~*f^|twTiS~gG z&W`3zn$FTL{I1MytA5k|!vWoa%|W%nr6KvDnPJJ{u@RAx z!BPIv-ZAd6u5pg>_6fF$)=8GhmMP|`=4s~X<{6fmmRZ)>wmJ5>j(M*6o(0~8{zak1 zktOk^$z_@4`4#1rwNa5!^6uX$D^;~pc9yrlvCW(@-v3Bu5*#|xeLvU<4e2C&#RDYgzKyuvYVz` zzT2rgwY%echx^}$s7Lh2(kG^;foHkrofqqu&)0}I^tZBiw)fEw)sNFp*DtWIv~Tk7 zjvvXNtzYZkUqI+TuR)KW|I=*$AC~w34G8~_ss5W1`0-5sQThLbDHWyh=xF{06k%wU z6#n&z3H?_L=)XhM|IL5?&n5PM;yeEr05Q@t{o6#!*v8b!>>qrjWBW(d|2zEAQigJp zR>k=F>7H#*Ez03nh}t@u2qYrFsFhO~kA{zRBQ2@k!V4E|10Ob%e^xtCK5R#sP)nD? zrlA&g#y}~F@Zc5YE?F`R883608rXg$UQAz}d0%0_i{EzT zGsyg^$};Yo@36XN>{`35eBI*%+M6Tw%R=KR9(wk^t!!L(g|Wk-xkE;2&DF{QUs?lS zON1Pip=@h!_A^XG0bsO2jed%GV>qLA;>{S9?Id6cYARC&T{_7!(^1l5c+RCvbh+yV zn!_``dfV4wetLZDbHV*xjOBph}g4l2oY}X_G49NsAMcvc-z615dGs$Q=cpus2%8Vceec;7VpYZGnl%8sG{VFV3uRMe2vtCY1&I!hjA>~PQfo+XvqxeO zAAG5h`{=eONa)1$`aV4X*chwBFE;3Mm0Hj6C%lPKxoe32K|#@9ZYU%1gyDDPFoubh zGhY0Xb4d`|C<6)8*NfP zlURvj3dRxDw+Wb#eX&!GZ=_Q$U*nv~lTa&cr1A`i*3&nT5|KchV|gge#)MjP@?LGY zyl_Jt$NtP;UoA>{YD9Qz1P+nP+PK?_aAu6~lcC*MpP{6&W`)u7_znD%NIXHQ4AQto zU>-1Vt@W>Q)Gxh^WXh35FxHZ>%O#)cVjmNeYc#*)QQSTl+ZdW`5wkZMzvu;GWxBFK zt2=!rC6>Q4TQuX5eYX2AfNU|by<~TxNd~@2WV;{@Gkgr}S!h05EV&z0?_mwjfc}?Y zPI<-?moavI>1MJCyCY__&CyQk4iK9wxvM$q3nFU!gvY@F1&0{!Ic;!*lFGPFJArDF zpl}()h5yUt;YY^uOp7$6sYQ@U)a3~LUwg0}{gzb6ZcRJj(V~1INkCPn_(NZ%Y$c9* z^=yUJXRYof51U!2E$A=iyD6%mMCFxi_p~Uu^x$4eLI*SaLgNH4$&<+?(vFsz%I%J7 zA8ELyb`O7@{N5#9O{8k;73I#*>*iMWZhw1!Mv}>{Q7v$+Bji9gqC)P=Qom)UkT0(x zp{YJAsv*RcNe8PTmYPo}tU(a3z+QVWJcJ{zi1|o$XGdd*dKI38KnJ0iaq9PaNQ#6o zCyb^@#kI*T9X)5ggi#XH~sQieZ>|vvBKfg z5pYPXmH0WGE6N>`a0RVTgrEq5Mr8ZRUgQKO6IAZ1Cu5!sYAPy*Fsmvgn#OSV7VR9nrt{YD$@l2-jK`-h(!g(xF z#fn|VmDS5c&*@DXXXIp`-O#Ig;>56RO3whP0^+SNQalQ7G+>PLI%w-xJ|D_4Y8R6V zDZ;#GEX=0sZvie46_z#?@?*}}J!0Om$X`I)^=;)$_Zn;};J5b5b81x_=T8@ zt#}8?PP{9*lizO&P{bs?cQ*VuH>!J5CDetN*~q!8PcEdFHtKmp)1Tfs`Yxbz3CWO*5UiJ_?z4nlbfj`Q!Nd!OL1h@Hk6>SEH!G%qzl3)K!WU(}x zqGYTamzS4ocXn;GD@u&QUT-!_`xHwnTn?!~+}l%Y4vh$jGP{b3v<+l_SC}V`(1r%H zSimdv>TGi~TXz4&QfdrY)YsI2IN-jC;!5S^n6(w|WMo9D_}SKBar0e?J9VumKTPHE zQPpYAyiCn0f1-Nhon88MEBTpKyy)O4bQI{CJal=NE$P(uG&bwS+K61-Zm0PjwCHqg z?ylOX5#}Ta0Mg2-D{xBHebB=39Z4z(&gpWJX=P+1o6croAj8Mu3=A*_U3>`b@a80L zid4d+SW0YA%om|fcwluY_57mkT&zTUb50uN9LU|K9ZlRJA|PPJ-=Q@h+wLc) zQtsT_tx|=t`a6p3)7j{G3XhpP-D^FToyyoSJMy&@!U#fBe``Poa}Rei$||zh%<~gHOczrAL3l3& zjC9Kfj+xP&CV3q#EM1I>iWqSrjlpy!X$Ftk*e|+CtvR0=d%j?6=;qO`$@IMLo}HU!y`mV9HO>6KaO^915y z6}-KY6VW0R^gJC!%_Pjb+D}4}HcFk?LQvdk`eu3gvXQF<4YDJ-JzGx;qZ*+`cdF^z zgs)pHJ5&F@2H|@VDcP=_pXlg^_nSqtzK^KtqBiRktwePsH1%B80-k~Ht02EYjqr`R zg%q(UjQq&G1_>g95Y~h+QV!6n9ORHyL!y|-vM`dBki(f5I5pl~9WxP^p}MeT32BgC zgz;-k*hL38?;fAFaYaH_%4^wKdyr%TnsV4X4rJ%0%KcA>w~R~p<<5XHJKa)|GY!9U zv)$ruKA$Jwe@e13ZHqYI4OF09fMY(!JrI>Y@_G<=SaV@LBzM+0gZ^vc*Eh9tp*|U0 z9+b#Hk@74}v1L-4qC82L?J@5rk>g4s4)jjNH{)lX8y!O)Pn0R&8v3m<9(lTcAAx*5 zo}|bECxC`?I)3)B4YP4D2Sl~Y9T5*AZ}s5Ev-pH}&9Dv-8>t0cxMTj)sLOfHh{s$> zr-Ur-WTrs+YuiScPqY59*>kbN+Q&UxBsGVfA^B15xO z>kMU<^uMAx!fB;N_)IVKB$k+^mi;+~CyYFsEoZ}LS^ESX(-``eKvB;%h|&NZVTa8M z`UalT9jD*(R@DgooO@+(ngzV~1?S?yw#{y3C?4tr3|F*x& z14925L_GBWPxH&EH_RKz9oilDO`!c~;qTwKdoIV2_VF)08?m22*@VWf*h_fKlQeG= zpE4eCS^z_T2d=YL68Vsxhps!GhFLEZH>(pA$C3EC)U__vng@<_Me~KZ$>XgDXol7W zBXY;wV(@Y1q>4bL>8xhMYq4{|v{}Mj2vLroyZ0nhU5E$PQq-pq9dON!B!#P zRGej#1qXY`FI&-f`!V*gSsr)LT8rJ%*kv7s?LeiN?xV&}kC3ftJ2-Mnx*a?N^@8mD z)nY7#$Yy-u)z7L)kKB(gn9?j7#(d}vj+7{;ZQsqGUOw*H*ZD-UUuoP1D(f(vVU6)` zS7XpUXQGYRKiqukDtznN%hk|rsqtW4?XX;h!FSiF1?(Gm)Jr4dj;ErZ3{l$U&Wl`Y z5MIY!R4MZSs<__#(E7OasUA5xdUtPemWo^xM_bui2@Cs|+C;hjSfUe*$W1?Zv&wTH zgiriZcn&EYsqzb_=yihFnAf=GDc?I>mH3B7^GuN(x<4|XV`OCU*BvpChX%{FymC|QaJhEGbH?taC@8FA#Xv@;kNwE>%~q^ zK)6aO#T{5wH>O%_jE@!L@_WUX({^c3D@rq}@RHA}SCHRp#!5$r%ro#W&he9=h;Cc) zMUx41R|t6l4+!Rn1+=l7SS^Rw6iFNGSN=a z?=Da#Y}He|aKCaM+-#ae6K;`wNXs6&zEE6lNMUM;HY2Rc-I?!C-j=*8ej*^gZ@Q)tdPcwf^JE)&s41G z8ps8x*Tw04ul;5v2evy-WM#JmC&XdRhjLT#)3h~^l8DXJw8?aZ@%359`I&5|!`#j- z?qIO;i9k>@?1#^U`x4tDexCzmyPWfKBlgChrAz)rELY5ds_;fbLY981{H~dA<4UjS z;`FW>z)sgZSCA9^;R^7A{=%T>Nx3OI9%a=chVmrI!_+s+dwdJ`4Rv7#(AsS_rx-nM zIu4GLVfgmQn?B$%nq^nfxkr-5_^I8Sc|^oMYd<~vaR+7Eu7?HWzQce<5TA?!-&>xi3T&i-dX4R8##vg@J z8HqR|f>PN+8J~{&_oGl|L7|wrZFVaAT`oF9>^yhp+&w4#)%!GieSLj9opTm6C;9XE z%NH;w`_u z_nn{)ho@>_obiv!?a{11*@o2VJHSO}Uax?+AjK-t1Zyq8E{H*2eUgk19J~+d$6NPW z?35ksx7M@IX{>DJxub}g#_u8Yy}HwN>4N7B*5d1L@A;Fu0ci4L98Ryts@sk0NV{mg zPgXfEKA~dal}14qml;vMFr0YvS1|v19SRNPzjhr(&@qJp{Wgo~$@m;f6?OHFyJ6*W z0fY62z2z|~m*6jCxiw^$~)@BrfeoX9Jn zw_VfQ`09pr&lDEYu%O!pR&f-UuG6*krc)~dN0~Sw7ehocbdXqp!#-d=!s@HiBBV3G zkUv)aeOP3m6~V#JjXqJ0aM#;=26@P5fL2CGoT@}*F?D1x<;a7T4zvKbxW)H zQ2Dj}J!l^k7XqFO&xvyTyzwo)Q6F4q_f0r~++ZGmo%4AmR$mVa@iwl-EonEcsZVmo z4Boo=Ao}Du0u~UYwh``|K^0%DTLfR2pkV}DOa3k{>mQ##;5ZZEXu)3%C%NcdaMC$q zr?tZx1Xg-;lyDy!R>*dop`gJXs=1%k(~jt{TzDKlD^&*l>7lKdKvE%yG9hWf z|E#4nhHwOrKAk*Jy#*Fny=A{rZ(?&_pgf7+ps4g0^nz*zb0!*W>LL^TYwDuy;3e>2 zLViNrq~v7e)x(}P-W^lRWlitupA{a>W}WNG7uDDG0gpA*m(WkEVUPOSHQg=KLylK_ z-rGiJWf*1cstzUNNY!Q)XO((|t&SjNCB&qRTO^MajXFBDix{qeMtov>J2*RJy&;$r zK6-di4S#53F%2+%>>%ejB_Mk|plZ-zO3MMP8Cc<*CcLQ8yMxJp;2-4}4>c~>R1n>0Y0EC>f zpG2~sY_gwpvYy1Uo5`iz38Wj;1B_MV>j~Nc=knvp38`o_HQj^F)LN9s8bXDoWFDl( z38<1Y2vWQphcO-Dk6hnjLh<1m`2smgVShP{g9+j^Rm^~nN{~4~-b;}=rfBD6%f6cC zF;)7VandD!MJTNbe(W`Pi;#x_~>GsL$Y?l8tvFY2DY#|m=pwNHP+vr$oHeq^>+$bU|u+IptTe!^AMzQ0-t4LYcN z$m5E79KTpU+FWk&DeR% zS07Wo%QU@w_X5yB*TX4ypk1~14CM1C=JVIC`ps>PljkwL`i zlMs*2ct=z7M~OSICQ>DL6>vygKAZ{lb`5PCY+HD3bS-twb!}cZ9Iqp<)?=tbN8``a zuG5a~*px!2^Vz}(IoX+Q^fYC~TP_d^Hh?#c)(gQw!&`d=upx7>9|2Gl4KOFm(vb=4 zrHxI@<*CebJqEOt|1x4T>y~4eJbgNsrBCz|5ocP|^97&t#iTdaxCF^*cr=GSnAohHeOp|IHAtFM$HtIZ3tU);o;gm`Jdg%u^PpCDVv9T^vjpkO z?xa9U#(5!#JeUiFD38-oEq*EEW>iuQ0yV@kEHz_wx0C{QV!SF2$axkN1G zTphkSznr`7S#h3;t5PJ=B>mvP&g{oVx>+o!^=;JtFYBaF7aT8V%WmWMSI(i=8=;HP zme;6%5sfdTSc?mP724+WfaJ71(InwtZAwT4XyyTdG zvr$|;=j+wm(A(LP)vG+hA>wj;)8(I!qm`k~Lv-aRE)S6a$C3ssbhF}KT z$<@i#RZtCy&g^{GTIUP7ZLDXxgS53y<9R9r)?^`1G1}Lbs0fWriLL4FMLbOE#VF*L*}IiIwzt%m&3 zG@YC@TuVrFT1`-nTCm;MS<_OnGane{HA(}yw*Teyodp15=CSTv{eHloT=QoA-84im z9dKt)3SID`7wDl+2;Ii$QeuqlpfS?=v&BaZ!VsYB?*4RP4C=;s1}&-s%lQfN^+~c@ zXM9sn9--d$^MwBaP+=rQaLy)p<8sH2P>$DPi*~a~>H4vZs3NfJ3W=X3xI@j$#fwnI zhqxWljK@i|B~C%iJQ@~SRRC<})9it&Y9aa8n+Hy(_A$Tx$NR9RzmSuxuN&YumTGp9 z_r7yoAKsR^Ma^x#_%S2Wk)4U(KB7U8vi>qa0dJzCd+7r8ZzkDibypDZFaf^&%er$x zN4cn@8#9=EWVvW7D&n#&^@CXgP=eH82)&^`4vRZ`Mh)I#q)<( za4;HD$x_+qH4P07#R1ztD~wi6Lc)SV4yu}NF&Sqz2nb8&FaacN=e9+1T*;*mZ^J~y z!F?HZjQw?xH&^lPayXCVRfq}ptK^}N%2fnl77aLwCg69kfnGETqJw~rR$A(*E%9k8 z3hFu`P)zvmN&D`CZ${*=Ea!~sFit9MwCcGO+wW;bb-(yDcFbyL;C)_a4nu|NARj-5 z3s^bl8Ei@7=!`2B;T6usKXLNrM~LqC^oQ}INrUMa7kwAlt2JFL@;+tvTfU9gu$94* zb4EC2(Mh&b68Ox;TjCRNwSVhPzMNAlsk*9 zF&PnR3SzrCZ4=efN*|) zXU;H~Z!G5hLr%m??9?gk@BUGLst+iOl0OXGiIo|{go-_K3Jh0pKQi3_OtQZb2+)J6 z5=B(GyQcgAY*gjf_SOUD7sv2xMH7$H*P$?|5bv@?iz_UC&jC5P+R*w@PE z2`9-8()ktOmVF_x_QA`Z(EsyHlW| z5HvXlEkZB9VO4%#ob~x(qN80eMCC>_$&&zTSK4XPM>)~I;9$i%5EcBvW+gzrThXY~ z0j2k(-EX-ijjK(K4_2}6&@t?`_%P4t$3RAWokzt9DG%C@@(_gahgm479G47`R$7gU z)XJku6*C8r|Blw6%)!%n;va@8sPUTOBS1Z_TZ@4RNlOE z502UkgqJIjY7Ynp*8#oE`TF_0`Z(FgMc%iBjo?Q-iMZIeT{AV%uFNJI7WY{iPL8*QT-Sd4Q%}KWA7wg z!(7t5-`9`bxdLbcYz;&Z7=SUEi3-_i(5dhBA!Nl#i3z-G_by{k65MsEMov^Tk;c_? zb0I{QMJ$z-EiZ?$YAaaQT+bi4)S(b0*8+;Jk=h zE9j7YM8F>--;^(xYs2=$ntMRutAqw?G3*6NlT>LX%tcnKu`#S75Jg6o?ZDKk#OGkD zJ;3*~b9ZX4a=*O9P-Ufn=X-}I!1PT+my*8m-T%C`?tMFArEzA8W-*bdu$ahDVQw8| zWr{t(-$1{>etaD32|L+m3hX7JUFATE@ z+C1>XMp9(Fjl`PQ^-GD}hV#ZP(^u0|@|W+EuNUWEL{LgmS!?Rut@q;bqsc%!iD|Pp zo#u6qq=qtT*YUMD*`>O9MNCH@z1mp3B?uR9yniT=Hs}r1j4+uNqRErXFg?41}wEhJS%4Nho6*qxWv>LsQ~?Y zM}6ANWcIyh_g$uD5S8@=)02DKhkP|}>5%!apb~o^q(KeXH^F&VMu-W?B$a5n%miL( zJDMU>|E4ux?R^e^GyqLVFy|VW(zxs(e+lBfP4!Nm?Hs4Gj%?tNQwZ%bvCoO@N4tpc zgzWbSa$78qqJMoBXotVcf{jP?PLb;bPMot-Pi}tU`-PbCe z@0sFy-`Tkm^>}A;4>xodOO7Qil9$lHTgqgIkNwdqiSjIJUhCXbHKo4Yr2IBF5&B5h z5!(^4L)~>^#L#sI)4c8{tNr5v{{>lzTi_euU#$=0emgmJGfJ63|DFPZ=%RDE-P?(L zuIXV~`+yTrR}2r@ceN&}8_7XM?+gtsCy)#X67mn$6SV;367F^vu-sH4 zNi$jTilCIeM@bk3(jL!@pX_yYEQR~nL#7y|%xCS zaT{bg(q7H|dhQDi_4O2{A>zdsCV->idU5W*vsGpXes8?oO8&kZEuX7t4sJk32 z)xMj-*rlf--ip=&&1Y;aJudUmy7O0FpRXMjs;)T=;MbzGIwLn5;Sx6W1g(%V4^0zU zSdIch{^E!Sf7#UG{Z+9j_K}lOk&(F*N3{~DMH8%8Vp4^MMM}!@bo_JmTwM8R6+z&Q zGs!l9b>!bD>)^Nb0+pxmCuLwg+F#iwiuWl~pq9}e+42$0na+-~2om`i8hVc7QYxfE zE9P+AzN8uze4LHdNql3>3agdZlh@HhuV|tl*rKZpNjwu&rZA|h>B!@-`-TAMy8v9< ze~->)&q`EfV~_{BSx0=JD-*V6z`)Kn3t>>QGvscq1z_m;jbyX;`kT3YrF}~0$Xz~Q z@4&nj0!XG#+fTopPdd7$1vGbTI%Yd*Z`oWmWI#ZHWDx(9rd%(OwsW^&WmRS=S6KCi z10}y^?u@%mo zw_6s<{Ei8b(#t^H10rc0s}5P)bY^zRtezmAC+R7~ONKNhkcVxFiy`6*LBqPSaBdroxH(QOalWf641KYazz*c8kJ6+x1C^X~A>;MYK;MskF zKoyLHdU=8K@iSSbDJ)Q}x)oQ}A!u8TJP?NNKy1uw5Ou&}qH>ETI_y%Y_KI9%H< zw+}BNJ$rh8M=q{eUuxgZr0srOx6fc!zufDCojqTjf-Zti@-#kYXkTlvy6j&1)>A+F zR^gyVbkMRpJBYlv2Nq{L+5wQ7{NgbE<86tiGJUTFi9+J(L17_yJ-xTPckPdbF0m=-< zekj7)p+(T~_2OZDXORc3x{c88D|Yqi7Uv=u7c8uxFeL%pb6Y+LH^-m3)of)i-NKYT1ikL?!a5pig6@JEM#VNF{VLZM`n+6pL?^em3r-c(>W1)ZToE7OFByq!4^n# zJFHcEbn!E%HG{+UU0&2wF%n&hGa#YF3)j_^0rX({khi2%1v5K2n_0K9x4^W7vdV7b zT%L3W7bP`aPwkvv%$&R6?!#^FOz>QBT<{=s;PT)~K7P08kETx8t=PG_dDIt8?57tY znnOsUfO1{-&a1L(kQKbogc`q(pmc-|l2uDUMOtT(i?>}avPymuu=bH=o+QkQ8(2D!s3 z`(iNf}xS*QQE!p z=ZFpbsUm9c;{m7be}D3As03{Zzl`a9y}4>kTys5rdz|TcTz9RH7PNA35zvaoA{P#3 zA-c|B(jNzx)1xq(7M&C|j|}AiT(7{JL&FUKp;uZX;P(dx(`Zpa!f?`=>Glv=ApYWTM2qd2w0|ue|lJxM)UXa8aM=Csg0q< zDv|{RI|Szd7sa6&R6|-cXh+K*?l2Inzeht4lW2rt;B`zC6{SKi>C!0tRK0 z<%44kmo(06%?FS6kUlhq(p2du`-!j%HcL$L`%|rn36%pP336qth9#hKEdMnRWsKKD zs;zifMt$IM4I~~w_m{t$Zx|Att;yo>EqHAQCa_nt=jUqEvgP~z>W5LxnW@UsYIljp zQ+^rK2c#SDm~fJZ*ZoQ~6wJr{msau8=Z*klvrX?F)iU(OyP>2RQFWiprA0Hm5Y3Y- zZy$RgH8cXHuDWdf-v|sU4Wxt~VyWS%KbX03f#HC^+9}sxbq59>Ax3Y0W;poLKf~rV z^pL{K5Sn1)abG4iWi!WUztlEVD7Pb*#eCLP*hgsuHXlkK2w2-~Sn?obw6r8dLxA8O z;)b!y2af=qzIU1Rq6R8?g~d0`2JIi)&-PMm(l{Oj541lDPm&KBiU)uP6u1`TqDG!T z1U{#4{#o;Lq%K&!bw1=Ulos$YljGJBH{4JXmmNQ}XVQh-@bbg%4evcG!xM??2hiuV z)P(rv4<5?AV^C_2sYswUY%+dTFo_;>?6z|YlSW)M9!qY{jV;?!9EDZO@KCR2&#oq~ zbrN*YFsjCL!jSNySi)soD0!c|I{$dyKE;(UZc&d0!RTGq56doMQR zM+*rK4BT(qoO-9yx;xlO9d8B8U(dF}2bV;H|DBnA%z z25=U_9(JdWIX^)KE#0gf4a{-EpfP;7w8f_U3hd)0s!gmB-seY?AiF;l3mw} zt;Md_6dz0JB;&@ejeG7QNedp6s78<6@cmLib#dFI>VEjWW;5LFm+m)J`^)>nSo=#N zX?#CACwm`C8x~wDguZu@u)y5R+$r-*AdwL_yQ9-1)kE$l*dx62Z~I?rd`9=D zV|x!_X%SmUU$SD;>slIFiRB^*EH8ly4Jc9jJ)m;-2P|W#SM)EXo+=$hGKG+c>I{4> zlN?7#n{{kw;>wELxYN>{Q0t!HcNk;H7~YFr;k!i8741{+ zL&rm^58UgkHu=Ik6v1fmu9Eu7pup-73-DvdO2d8OjY{uefn8M zV&3&bBPD+z0*QtO&IZhk!}4F3jdy}k{eV#fX+vt>0>-Tlg#dEjXL3e2NBW=*KBRZV zkgnGVOrB3Jv*|oaTfyJl>N?a$-nKx9IFM>QWF~5J7T?HXsxS2I?4Mg)7a0@8NR* z-4Dv=_iPlGWc#=}I0i;y8REu~8bv{^c^mOHbHvQ(OOauK!1b9Ky@G-XG-nA?7VR9> z8~JIAx(iUsz;?l0y`!g#yGMs zi7Sa|!w#x0KR;=EdprzIGf!8;CrfQ|b2u1S=pZk5H+k5m`#IYc7AMG`3+Jg7QEN|1 zf9ji0;~bCXx)pza;CWm>#9i5QhphgNST(^C#$d9(h;Fz_yf5^ToRCi8qAyyQG&kn1 ztM^Pg$MB-Ijj4524Wh$?Pk?;3PXk71OcB7O>3#mW`&6veTA%X(Qjz`sc^Tj-R=FTlu?AP608%vNN$+quIY8vig5sV7 zI|Y97$qu>y0N&w7{?fLbU!eT5{PIi)?H(Lo9KUZWUS74nDPd7#QFA=OVm3o>(lCyP z6T=riI#gIASidevZk0n6C`d2;f{xWgoAm#M*I}-c&N6-Lx&3nF`J^!&t)FctdQEN2 ze!E5F1VtomhZj&=$EbxVu6o8JXmXNPCIx|vreH9qrZl8nkYFZZ7PL%nqTVK}TB?IB zsJ>vTUQX-ir%)zHoC7VeN-8l%T3h!tUEnLWaLBst1fxM1@4en_Rel(yr0#8^94y#M1we_KTrsa&#Ev~|t?y&z*tCEUhFt}wZ$RyaVR z;`DqX3gswj`sj@{vq0l`tMI)8gHHgINQjTXKWw$29MOUy#k^2Gby^r#Jf1f!JE|Mo zGuMmOW!xpaN57N5GvcMdkX4V-IM;y-ZcR>RV>u$bVN_8-KQJHIw2%zgco<+W%~j(n zAh6j)A(1S|m>DH@A!!uU1fd)!1cC&dSxTs#EG8q&JYEb9EI^3}B^zG@e!f6ZiA+FX z?ba?#hUrMCw0i8mgCD7CVTmofD;nT2rSPkX&W0G|&yjBM>|I?!K=R+bb!wrBNXk9H z1%O9zcWJCY=|n2Zv~J#V|MHU7620J%T%xqPx~xNH7!lA_9W!$ZTDFwAOQC~Yf{}hq z?+Aq}a8~c2c=qnshsiPdolO(oaFh7BwdU3fibrbBy6m0yf=fq(7<0F|{ywI7Akd2~ z%i>rOOQQ6nj`&o@(N`r`G8JQ}f}EPKkDr2~Z-n@+1kHopqtXxSM&3M{Q#Xy>7MYV9 zTt|&J>s0L_0Tz$AlsrgK%!cG2^pf-Q^mme$(Q2D;hx|FJSo3V-BFLx_;c-sg?z=v? zHTN*@b>;k>-cUT5v#JBX^lTidaD^FlXe0Zy&QUkoOAimFE@!-@mkAo zX~KApWSJ6hW@07vRTAB+SWVat*kOrnf>Po3M!yN`JyUyWOEG~l#l^c0X@V<&4PbBjFEj5tZh&{Iy$#) zFi4TYLqPo>mMPZc=G;NVBWnI83r?UL%h;0`gsg z#KXO20QZ>`+iHJwF!3^`?=u_5{ElV)wrCN6ywj_022urERck?E=L3-^;!;>+_jTp)tfR(*8g3ID}a z&=R(Y$S52Gfjn2r*$|4yELXpP7FHlskk3gtHBv}@d>~2BN}e5Cqq^Ldlg#UXYg5*e zbgBS}8g8O38#92ppP9wRn9xTS>=eYG`kqFu>Sks|{gLt5x1z9LI>Wfjk8Z~Nc4Jb5 z<~e+KpRO`_y%$@BhFMiyK4j4VgSmsz!V5xJ`3}K7{T|aLFc*XpMprcqJa9Nq;^&tA z4*MWB=9SJaMcEsB-f*Y$aGchFXvI!E^|AVRfdWy~>NiUh?RF@p+sSO~dNU;ntVA`F z?JmuSYB%r4z98T;a71w??cMRaPAzEe-p|JvJdeQAi#PE$Ye(jd9oqv1b zM&X&kGcFg4FlLG=CLm~Z&(Q@UyMG$>{g!7R^^WYx;N9?BJJs$oaw9dq&&+U0#^i-F4dWXE&>*gnufXwXQTx?Le3_2 zosyhHCS**!x=MX?#geI4Ti>MhH#n@y#h4?QMhG5q@~xli1wjbnw;yeV(MNk0K5YDH z9n7hK*6`8@{-w=4b#WYJeUZv!P$qK`9X!b7O-ogk3FG|c%E}yKR_IM{Zof{nE_=dz zcjUrjg2h-=n6n}E&SB7y&K*>i{tP_ue*sZIuD_TP{_h*98bxfHUN!J0%2~lKv>5El zIcSjyNOzX5a4-~lx$3r@uUBWXI{;|21`}wNf}k4ov^-L{WxfE=`FYa|o3Z0U!Bhluj7;)C3dQ;-R)o0f?9O#R_*M^WVoQ5tO`!qi8?;Qs zpibX1eQa6KPkhDS$hB(lo_@n2f*n}|yVs^Tl8!o)#`3Mus&@z;ui0KHi|~X=d@SQKJ5rPtR2dp$bKNaFHU4X5-y9A*(u?sc+-0=`ziWd_)Lo} zgmz)Rx(d34|!ouGz^efU-Q$-b2^4|rY*DGMF#e$HgB@~PHHR6F@H4_enrv(I^l69ssO&SL)fAF zi}ZMMc9V?ljJHUF6+K?k9ek0m;ft8_kf*okBJZ87Dw3a>EN}lj?Ji>7c~6R|78?&r z57f;Nb~HW^q9XrImpD~q3Hq2;3O!t+q=-&GE5$nqLntF!T3Z4w=Ec)2W50*_oe|TQ zrhj^$0mr{w@3NA?G1}N>(#EQhgx=H}_VHuvk;)^SX%lQ=hhaBsnrE>oi18>DR&h>{ zQ5lRFjtMauqcdivJwQS9wdF$rJ%9twIh-|Q#SUxGimmPbudlmTou-y>8whOVU^FKq zL1jU5#H!-HxGQe2cBYX8VjA2mIn|iSiL11w!HdblTRdqbm^?;Nx_`G)JIE*!#dmT@ zrt3omf!r-8M4Hs(YepgJT>y-lc&!8Yvd_XcX~^|EnO`2 zz>6>k=6&#H`|w!l-O^7^?S?vd7*-$MP45lSTvN(O*Y)toS(G7{`rYQdn%O80NCWe8;4(_Q7%L`P6fLtV@mQiSGnA>ifWYTZ`WN|s=e;VK z#}xQw^s;azdO2}PxfQMO>ydzBu~RV&Lr`Mn2$4#U96^CleO*9li*!VAB*J>?l$sh3 zwy+i+`8c2E(|vtDv#&if3jxZ2o;i`h2Qw3y$qbXJhp@wbAe|Y9O{e6w>+Xk^do#3T z9^rVLN&iVQwy7>7({ocKsi@tg+!pz=vk|EL(WKxPV@f?k7rf_Yjg#Z&oVDws)+F`_veo|?>Y32G~1*DA6k}Gu6yqu^pk}@s2 zVHRHZ$CotTeTVp_TOz&*e?9Y?y;pzH(Eo7$tAU<<3wL$qmf~%tjYC1=n@zz1<_UUQ zbaLzAN&AAz${!A`+_%U@@T9%9hv2E8MmVRB4~Kz&E`C08KFzd8f1Ji*P{^#0GFGt0 z;;{v2CG_In=r-7fpAJ498VqlajlytffBGmKjlC6rH$4;eRD^cHx1+lfuSVa1*YV-# z@$|X$CGC^+Oxnhy8t`FWAviTOXPUM3(Z|%vIt%vu!4s4mau~%F36bVvC!HlI`Q?a? z>toSq7=xRXwCFJ$!fajg4VGh+|<3)3VhKg&c@W#FlXNW^9D_%bV)bVMuM?)M9o1%Em<<* z`W%WxwNN$(C`IT124SF|P@>;((z7T=!fG`QtG7?OiwX_1ZbLrF@%Cx1sBsP=m{8V9 z;-az)22cJUk60RQAv?E%*B7qZoI{`lg&!6+k%!Cx-@YR`|3g81lY?{urn zZ~fW%gFBno;jI{;H25H|+Kz18J{~V^dwyj#{vM1y`^-TX$!9||e=wPejyB;Hx|e^c z9vtAnRSa^N6qGclI-mnvoz3GgU!N>A6?}|jS|hIUuJNsrD$F*s9o0=Vn+B=|Yy?JA95TT7;GbX$QiTA&#aerT{&)r!WnbyKCPe~ch8+NncDT873T$=*AM z7H!&D_rC8vpKa;+`}sBQX>+PdBZZV$y-5}&=|VNyDJDk3wYgl2&mZ>r{5fB(pD_-NJ(_yIU~S#VB`O$(;M)v=9OHh_pwfCFvArMKSK zh;hEUT!x3V81QFn^$?^+#-dQe=UfVOhl+Z^)`yMXixS-$Zhh4={F)p}bO7=)Hh{U# z(#OREWx@`W8|{GW6uLoB%i~&_ilBrh;Vw@i-z^)(7w6NndzS&XrFj<`5uaU2c$H*fhje}|_ic(jaMJ%Y%aSfAkKESBs z_!UQBK@M|b{?M3=xt2mrB%{liI6VfCKko7j45kj zKI89B_97mWARBTe^!VFcpa{<{cav{{vCTbk%;8G#ezetAD{cM$4TtXW>**^W7&YI@A_a`5^EyCv) zHa-pJqtoJMCdkw9wDKT+9&2{m0Si$K)`S&XY1j89NUaNVq8YqQ8z zhggNmRFgukXb_Jqg*J%;@@;<$uHATj3XSVaO(8ZYlBO+ocR#f zcPVw~-w8oC{1)nE@TL>=1}GU1@hXTiTzNDKT#=2tB0JVtD!R4Ka;x*Iq80xUx-Z{R zJr`o<;}?^s)O$2JnmCcXM4qM2(=cZprAF!Fk)u)ahxFdS-q1*NuS#x+tc`6UwtIK0 zWKVQ?tV3N#ZJ@~&bT_lYyVBnsAsw{?>+~!qzebUYS|pkxi?ApBq)ugNlQAP^l!P8j zwbj)y^pY3}L@W^D#ekow68&;a66NukVJ8@+DTSeFDE?unizG#%b|^(-a21mS&_iH2 zO|kc5Aoj5xb)tKtccMh}6FcHWIwGeccOs+`SsmFN*&QJwn_pl?fEB_%g!? zWg5n)vqITx3TXGk(}D8CBmmt2h)^_?dkrAeW?-XGh$aE3Fk+@ezO`5{Wv4UxG?C#G zPt3<_S2pEAH*l=xg`|&c*Q?aRy8AnceLZ1WBXyn4*EBr);IE$Gmip=v2T=rjL!7xm z55e9j>)PuT7QdkUuVzeef1hQ!s21*4?P4V0C8TWDZ-hmayGK7kiYY z3@XReai-4{%w$%qH8X`dR5@9+AE&-Tw{eTbPO6LUV!FLuzOH1K-C5W`t)(||eaSwt zzi}(EmD);g^={*K_;w_>i9@Mv$~UYRiC37HQ+upE_DhBR^datma3Fpt`I>mp{JwS2 zKE@npk8{V9$HdXp@#>@27(K?E^NuHfY5&%~$K2x{RNqsU^jYidzQRi^(Ijq^HpySF zC)UyHm_F9i#!Srw*g2ueiH>9FgZ7M4l=rYH$sT9Mq-V}5K zlEh#X>_;IP7M+M-T3OKy`GR67BN<|*Txb%@<1?GbM9!;>&untSHbW~uj!TR1c`=zv zNvzk4+B71j;6}=-W|*{Xg>Bm^P&AF+N!bOsEC`{DX~M9A;hfjYFf=>w$J84Ic>9e=m?_RR!;GtN&3@Ve@#@fd`XQPf_zf6=q%!Hvme(IYr~cgDV;gp(?~sa4XxmYf8pH z{gxdw8NZIWHvrSwNrt2VZ+s|=s_e%i5}T;KTHPJDfx>+8g^ee+=_ z*KzBcC2i8BAE7N>+s$pzvX*u#%?Fz(W8iG*qOJ@@Vw7px)M}%sXpuW5Xkd7%? zNp(xATq2N`OM)bZg^+@%*3(IZeyI>PdEml)P!5EH2~`Ux`m=rE{1;`s zDa1D`S&yP*Gch;eY7?kte?J}^6AA_efwz6J1f>)KG#d&S@EA4h*3WbV z5Y}?-%EEjf>JXG6It!F??yvO$ zJU~Rv&Gm29SEz(!harCIse|i3E=0UxyJ-BSdMhpGw^yS*+UBWIu&Q@Xyz)3RJ3e6C z__(9l*_0WDKbvj&gS(lJsynY7Mv4N5U2FFH-6QZ=_2q)@RXSKr3_z5 zDsf6b>p!ClK|Uxj#PEvVq+*O4JkR4(B)tq5BMP*MNxdzpWej60SjOjIzj&~9e{$TI z6=ucR3QGtpuFU}_`9Tnqz$D8mbbHVs!%kNrl8p>&5L0m|(ATnX78-r*<2}emX z6DDCn7x3(n$em~kB4}xE`*=TXJ>q)#y1`_^kQZ#HyQBMT;s04&Wqpj3Z_pm;nmqtAwZh3xNzt+MnqZpb&IYSwjN_komgQj(MwjHXD!5fD%kPeL^5XuEISa< z&T@Q$Wh7BZAZqM>e~6_dYvtHF*RoXb#QSm*tFTjSh2<*jBFkK0fnDH*C4g~ef?=4T z&AtL%@5Vkjh+Sys+H}oNAfwLUv+vyU#4u&m9qTn;RyzZ_r4Vz+yf~PN>L{#KKLoXU zT!dZ!d=2v)s~%(6TAuS(n`OUXXC~}`nF?Y8h{SUVhLuZppy>iIO9Ed{mIkX8ljRkD zim&iogU;TXd_ zM={S2`6vHT-6c#CxcgCcD<@Z9B`i^{5{A_+7inTio@d}FH!_MA_D)e+qt*&7c^)tr+!vDw;9XVPIh>E?wBISGXlL)FrRlT~Wr zX(#6_BEElbwL8pRfy0550X7@>Mt})?9qUulT1BI16`5iuHQ;7oW+t^`b!{~zt<#glbE^wC>60?<``Y@g zva~u~TAjg9Q*N`4$?Phkunt5txFflNNStr(>(BQyg5B0&V}zKhsEm+vm8HfkqXlf>4amV#nXbg2xH}Qym>0b8~apGq88S^bDcA zS(0mvlOog@UFpI{%TSV&YGJw2>{A*kf6*SIP?6+7-cuZ=A4i08U&w)$$zk>-X>7pQ zfYFZ8Zj#Rs+yPS7u&u|{M6Q=o81|L8Dhgh|r@of&>Gu2lKCc^nBke_fUb?QkDWM_t z^>i~MFSX@29e$>@{WpJqWKT&;FxiBbT{{2OodXfM!QUh~y~U{`T?P1V=dR&>{oChH zxP#9fAL<(Z%D%QUM^v@5km>GC?^|q-K9o9LegF9ZkLW7)pBw%*l#9X633K!?R>9p* z@7`js^4}spM8<9Fl$3z)3CqHJ;$NfKnTA~Dypni|eVTiLUEsdYzGV|fL@3xix@)kx z)iWIMI|&!|5h(_-1B@8f?2gv1D6RSltp9g-mj9d6ho#=;bV{yq*OY6~#Z_=xa& zhnP^!Y9 zQYf(Ry*3(>;#2F%^*?s^{Q750KMi}Zn{LG&ei-{q44%7U<3NtnN}ugW_x^|Ks)23d zy5sNN`9Ay+`+T;~c6_$)aLzHYFE6>YIa)bP|cg_MW zcLYVxc(JLor*Tj___Q}c8s&R_6U>{cCc+fsKpd!`2u8s;m;y6k9_aA^0WN>5@kArh z-v}ogVPg%NRDOwufaYk4j?*el(b+ZGo5T-*27S5Iw>Vrbt(1lrN*eItaAt9_q>0S} zk3^%RqXTxJ#DF0LWvz6Z2AnJHK@`1VOH&+|hBzg0n8Y)0a&>M(?+Qklr&oazb21>DZL$6`C;WgbGE$3s*Ol&DkND`3bTL?TO zQl%|jQeJvWdfM=;bWEBAlhS48Ci8xaG057QO`CY1t=r*k7B-1KZ?_*kX4FL-KtbsF zBQO&2lSV%<$|eabJntw972%3N`h{_U5FV`Ur_oxkg`!Cuf7P3!v(fDFIw6tFuat+8 zz~diE(}<0Wn2(%BNWgu?%f?_>$2KcLcC7@$I&=v!iUeDzh{i-%=iqP$h7o~Sg5}b1 zIIPfhUF4B2roN(LT^*fNAZ3jb|HX;8lda^=&j0J(7xIXbjo}7pZMDdPr`7o7Qk3pG z5F6T3n0mHwsAt2Y_uhlOeLw%P#>_{bUFa39fzn-gzk4*D|HcO&{sE)59Wiu(oC0>> zC9?@x$dxUSoNPkdtelv^hLN!oanb6Jkz*dkM8 zM^Ty`)4#)fU|C{JI>>BF`^_XUb7sj*E}5YjuSAbF)138XS0x{L ziN2K**89>h3X8}xaG3vPECJ!NH66n_{ICP%aLs67LD0-B=;#2YVVE77rU4{~(q7GG zXk}(uD;OB`GGicZQd`pvsIgcbLbzJM0U!0No_fzyzqi^m)O&_{Pp|bubKE)WRI8q&IffW%83_|2Yo7M8udIR7KBh*p zsz2bH^xgImK5LWWgyDW1e0IwB`NiBAE)?+49k4*_Js)8sd$ITGKK4Km<&zNV;wAmfGMbacw7yIElQhkfq zsN)RXg~AuZ3uUcz?CM%6cV$+Pag2q-nzrytvt0-(j-VohM9^$+65*<%U>O0Z{cnla zhDy9ZY8`T0n%&YAzb^R5WI5J3;3ZMnII!~B+R$eA)vH6-OV12-CVkFzIln&`RmH!P z+gC1C)jXL&1U zF*lfu2EE>9v+G%8k`QXNpA|~gHfd=xLX+%p}5{Bf^Dfj32cTL32dM; zBIs}J6T!~#pai>JJtExIyhnt)_jo%!Xu4-T*q+Eq@ZXGAZEWLa9rxoTcH%g(otN54 z6WfWMq)r+qZQ~?vb64k@Yj17S%e}N+PxLO_iiS4e(n^f!HdqImZsJ1~F%6_UL{CEO z1AOUjC+G)|%IUOE!1@It#1{}~aJrpVi7^nn%Xl8A_c942632i0vD**N|NncNn>ET7 zJ|#n@IO?p$z(V0v3_GKplCk6bRLr)({bcm`WCQ2XCkrw3s-2it5t**r)M-&V3unM! z11KULydAK;)Cx!+CK$+UyzQU~ML9H7c6{OO@1eKO*bxYR?Y{y^_yXTI*qJmSp1gzi z#@TbfzW%NDt(@OMxExIGYt`F7YRn8viDIntnEUhU!q;)O(bZLZhC_ zV+mE4@~wP3-^n{S^W8kYlLwG&@_YIHyffdfyagQ_`|&DDP!DW1MHTWXYCH67HO}mZ zDAaX(E<@3n%T1&P(=k^h21s|5j3Zh-j7wmB13GPpjvU|+kM>h5?Cv4QRv^jRhK(R? zy9QUGk$$)cT^wj$`euEhGZ^$!MXPr-Y>iS5sZktxZZ>RA_r{MXA%T&i;esCoTw4z= zUOv9`IqS#0pD)9(rK*`Uy8s&BJzprD?8VOOlBx!&>XPF~-_b#TQG*tagq`tXN$d|+ zq^p9q+EKgeb@f1X$!bf*Ep$>5AB>Kc>{=b~*L<;Gm6TmAJrXU!js<6vK1~e3K4l_x zSxhwO2p#MafG{yENt3|<)%5_6iKykql!1!HOd5{oY1$X@se+X=1+*3|kC(avXbGS# zbcC*OT{sY2!VTrd5AFUSlX4F=1$ygyeZ7N>1NnkI><+XxHZtMfqp*6ISP+JSk2c!g z=gh;6*0K{5*=%j%8(^pIjSHsl8(*A{wE%VsBfi3jqM33oPHTLhnfb6Acr zY6ng@hha_{?qda?us&r>$;1N$3*hmF=S`~X?b6qntK7A~RcYINhoa1g8NI+>;4VmC zVlQ!*q-(h6u6R$vH$AWV-*vpp+`;cK_qY!O?n!P^n3SsWq&dxOP+w)-1w6~jDLGv* zVTxnPFuMp&vu9U27Jv|yOkhHkVrrp)>8!q^@9F!xtEGcYy{qGTyK3%& z7vD*|^%W>Y3m>h+Sk**|v%qeAj4nS~h2VXJ*cy5?S&P8QC?GN6O^D3e6~8Xz{9k8p zUvVE^VDJUuaCX>xfZgk#ub^kQNfJ)e#>P7INUIxQ)&5}X*t%_x*-!swg&@sKLr}2b zFK)s94>2Z=2Hb0UQQ=IcUp)On!}2y~+7sHhzX0k2bYF<+VSvjRi7-KsWYAUuG^=Gq z@c>OO-=~PmlN@pK&MfUtq`}tdFW2why_hVjk)yq-^x#nMuMxf3)2EfNm+{NdaFzqC zYwLdJw~YWzhvK*_+*a20q@{#=Q>?N)fzV^N`SxNTPwGCVDT-!&3E`Ne;vL^(wa!lCV?gr z86}kQ)q`TF5ymi*pfI5N=)-?Q+t4>AN?jVXXwarRbeFzPAJ8uP#=sNM?{o5G?J?M) z=-Or$V*RuDez-?3MM^Wh$w@uxmxSmL2RPT(C-tRj+{UuQx;A4|Vjs&y5qi>P(6C}9 za)0H+0mtSF;8u`k<$R#K0;NgTL}3@de=}Lp#1VL5d6V;+I5V5%G?9nubW_P`VsY2u zyQ$PQaT;D&N0r4)vwl`wY`C>b(=xRoH%6vrmX^uduq!o8Q(lsAy2zR7k)ps;D?A@% zIWkx;l@AJE4nXpSqiPG^f04h#F}5=WN%D^y_h^}XLKi`Jrr2;0im>#hy(M;CfaNn5tT!3x`L?ARaeKO7@heC>9ucO1jnBiT@H zf6RB!qsNjW#ro>^`+h%9?);`!v!L^5uXS#hyiT%VajDs@X9;}Kirp`ePD4J=(gv7# zD(V$K?p(8Qe`)Vp(QoD*x(n<+FnFj`-RrG}`YhW5o;kf-Fx5ekwz*r_d8iJJRD&M9 zjV*BCSKjx%zXO-;7prgDE$lxCu-x9}UCSK?$LvSxn*pn+XBu&_6>2ykg5PtO1ymP7 zyN!t=R$tUcvbgaCQ?UJaL0xfh-I%-aetZBQ#n0dt{I0{mK;1C`4X!oR+R3L@GWUj3 zD~d+KOm&`#ukJk4y=vWAN2vX*h_`=xUC%V)n43XxIK;V`rlzKRYtL^H0m~pb;=wYr z%xvI^udqP#5Vcy0rXo2|%|@LuG#ZQ%QA^B4dnEWv1qpcVaK&5U%1tp62w-sHNS}oG ztKd}jpT{3qxxnbLP(sk!qq}yYyDa;Nea?OC9`7!GSJ2j*hdyZVjXlV?v;Z8Ofj49Y zZ!!kdSSsj}%lT>yN?|LIf+E)sZhPU{@U?xbnQ;?_Sh$a{r~$XQw{7cdQ@kHg~_&fqejVQxFZ{`az=E&_iR|nf1(37k)$q&1y?? zr@CI<>e{OAwC#26RbR55w$9q_+o}5Io@{>J_IbG84C-uHJi)qQ@Ae<5al;O-gb_vV zQbNcQlugAkyq3vF1r929X#g(0-yoOcEIJg@n4N!1H=QlDg#q87;^F zks0}nJR@J1tK|L#A9q$PY9V75(NNK_sbY(fO?{8EDduF1CTnUY)iqivTXbH`5vz|j zS~C);qu`Xa=OoZT)=CC^R>U!B!r;pk7R_=-JM}$=MCDPJ%zB@|hpKXYW#*gD-sq+% z5Ms+lx_-D}@RfmgI&$%hPwOm6{`rcVW5OXm3g+3J-&?)qsZ|^Fp8C27rVZWLyJ`DN ze<_X+b9A~iyD=MzMuC@X*n(}&*YnQd(mOlC3wl;QcmB_VD|yzavL&Ss9D!<8Bk&*B z-xFvdw1&Yz+O$uJSGv=vo-U-{il0j3^+LVel59$KF}k43UCHIC9=cn|hq~o;$-dMM zX0xza-jUqT3<|@cL3ucJSol%uP5O1=&Cu)e8_AQYx4pj917>W`_vr|za6 zq>@s4XLM(L#C^hj!ZVY$u6BbOn-j(lr4l~^JRK6Tu#f9i&z80b#v$i?i%+chjFcH>#$kIgF`EdP-567jdW?|kz&h3Lugj3 z^hiDucl#o~n1saLaEf}v5>Pw|sVu5tlCYGZs!b{6o7|Eu6QHHcD~Rcx^RWshavrE> z4%^0OJ4zXMQ?-X*|4RGeKLO7tT7PUo;}4WAEd!^1v9oytHu>PWo=h+rWk?O$*7mM{ zU6}<@NeV_D)`9n6*ZlCK^D|lKSrioQH&CxQ@|L~>1`RrcW@sJN=|i|xPlCQA5RFnX zlg*K^qDYHkA!P|dkV%(EVgSw;44z{gz>&u=#0s-Zp9Sa;_-e%@NFuI?D2fs&NTU*p zNDRy}GtzabO6pG-2_8Pi$7y8f)?k_BnBq{e*Hum(8uA3u4H=%o8HQ4(xk^5$c*y^z z;-`1+oxgluB)pbmxpcjoTKrTgwY0`hR8e6;R0zOflb?Rtsw%lQPhw-K{b>anM#O76 z|FfsQ6)cn8mbqK#U*Xef!0dX$uKHD!Wova(Q{$w@d-9HTv2o@nkt)JU#EC?Ib|72G zTIlQ)ko1?~`+kMG;=CBS82wxLM&zb?8^0aC9hp^0wngn#zn?y!j(`z#1S@a_p%5qp zkETazDH_lyf!Q6FAfaBWxg53yF|UUWdaM13fI4O$BgUoU;o}jKO;K^RL+#4;W%nfZ zs7IV{hbOalu-idL!qyN%rqK`(K^4@Hp>>r9x- z^9XbIUJ$!kt zZv0wgYVOWCw-zpMATQl{->s>ISd@UNHsLsM$u$n5d#@~FU(=frEFM14;eA4}3OxSc0x_r58aw^_6SUw3o0eYuJr}uo2V!4U01J9y%(= zc5hg^MiTp8yE47&ne8&?qhvXFa&z0-O{LG$>G2nHE3z(zbzqaFOUJi%q?_W2+GWrF z{J>a<5WuoyKVGG^Z5&;otsQ*BM>}~VYkTJYi7v!HLITK*^9VI}M_=U7`amCwwp5R~ z#{3t!3*IUJ9lv#=8XOfsm!pg7bM#UF=3!Rlc!kHj9Pbw}U`(FCDS&bH_!J1}L4ehx zD6lvhZ7NNAuW_H7UH?0tz*U5t0(W&)g01eqY*%e;TUQyr#~-;Lc6{&k^~b%}U)Q-l z_hU}%EcQ)mE1GXvKa#Db(a_3TWVKK#C=yx|RQ9J)ydNy71PY+?V*`|G5_AXwY0@U? zB3L>V)IV&MpjB5P(H~(j(M)A3Ri)Jl@40TKBCvJObI$Rxef|1<-sgEs<;;A>m!S{} z1cHUwn1osqq9j3@m)4}~(jBQwI-36FnQb@F_H4A!yx#=J1}P85y1h;cov;Bfts^LQ zl&2d{_k+HBGCxs7VkNGNUcDcxcxqrcqV5brl^a6O-Mm?`^3RB--r7}q>8T$KeXB2N z2d-@XX;1sthn}&muN2Q4`i{aGbY+eXPI4E2xpiTwA+<93S%e)U76lUgbQ(@P+lXF8KLG8 z;?YSohu%j4MA{AIwpNz^0i=?m;59?+T#d8e2jOe{#yW`06?T5P^9hH=(HY$ z?4NZW9)E>Ynitc&nx+IXC1lc+*LA%5D&!@w&lAysp=3~ZwnGMjKs))zfrORm_>b}V zM9z$Ce%$x%)4TVN_ojzN(9T1R;y0hK9rmAX-?n2hIcAjI#qE}NE2HWO<|oYS>`&DMao}jx!{XB&%-S8?ySIa;*+E_JpeTCix=Omr z_nZdTG2uHIJgvPN{Ej%=!`7K9SCwn2KXk3-Z>jVQcSfF8{6oEEVO% zY;#K1D=6bek8nuf3fvsG z#Qm8Ia+7R|WRKu>mCrGknfDk!Gs!Ba)K!$CG>V1$<)c-W+_#ePaACk9upD+Mc8&G1 zE9jjip$D~kI?;er1ebWJnkVE_1ZZO>?l(#4Qrq%}3M89+U)>-$A#ZfdhL>c7fzuuJ zm=2a?Z&Cyq*+9bDlOvdrCbVwb_0Y??BAS5eeu>i(-30DG{qbq8uR2jFs2 zjnSY;!{xbo$}6Q(KHe##lH@sbLwEA=F7Px+M}|RMJ^D@BwBt8!CYexA6&0&7J-xYV zZ(dGVIdQ;$78{!0zuD#M-jRv0dbLxmyp0JRV8r$?E+g+1neYrj21w}h7XJv?L0*sanV!dY?{uL_s( zW$T^NHGIwb0DoXzFXgCUfbNP1k}Rf8*6OmI!F;#lwn`k?%ReI_(3%*bbyGx`jk zFk? zmZ`05O}eg(3mQW+EM2gzm~C4SWo-5{Y>Z)8&;=!4;BbuNuwE$i%Sud^72D7iFtw1? z9A)KK(OpQ*EV{dxL%c{<0u=#O;CNmT!02j}k8C2U7mh&c%d6-cP;?pebCwn7&q>w%3}&Tr=Z^|?<;?WTJpm}8Ay{_)_9Brj4(;?P`HX$ zkw6(#9C#CUx$+SMxkk(I86Zs;*-5j^yba`GK*%^~I#A2HXZb96>m_)rb&mGB*D)Kl zY(&|Dt=XgKu3w3nHI)CNz4@N~x6Oa!&D)tB!@$x&Hl6Ej{|o(UdN>*JW9&}~ zdMw`l1bsTFNm(Dp-6tOX(>K~)_WMRF-H<#fit_&*Si8f2+}(=q=Fn{SY-CoPHm0jL zLpPJR&D#~0FBu#T6~YtTsnCZ5bh=*R4-W=PjbKA)h&zmiRjc;bM?(jM1LB_SsIkv_ zzUtNwDhKgc{S-YFni8hOsl-(BNA#R9C!UvAjcg>y3%tnp=7gM>>$N#MS*~+J{YCch z;8;Ci02iRsGOJaG3x&fKnPX{MF{)0r;^63PqAViO33qoV!kI~BEQ_*b{IWi!`}A2I z=?X5DYL&iEY}>4i!4I5tkP{5jSfS~HgJX_^!wJ*uuY_ZjN*H1-v*BdL#7byK*?r28 zIe)lHJA4|YbGhDfxg-g`9Uw%aNYtei=tF&d*=&XjgGagaasnkvcm+ikH3cc8l7$7w zRpymD$~`4OHi)VytG+?1Lec1J3r@)d1};(+R9W?1p=wm!w|_DJM<7G-K@++LpK!T4-hK;WArG zl2!rc|5yZ!1G;QlY;?ORp&^r9SP*ojWTX*vqoD>Z!!Sr@b)u+%w#AcAySK#Gu*MVJ zy0yU9Xu=%6HUO~nANH#~Hj3kn|MqeA?Y(yIe0%G=^W)ZcKA-Q-4-U@ejIr0T&D#$s zBoHu;OKjdRLjt6sLP}{9wG#A2Qq;z6RHaI(8j&=vqadY4L~5%>qE%W^DXEcSXcd(- zLJmR+w)@TA5ljRERs4Cg`ptYZJG=AEH}k!m^ulS5uoNR2VsO)#n|()-kLl|u2{9XY z*7F6O8HM)mCTNt8D2kkM6Ox9SDaxv$FWY#S?@?I-^NCTUW*V$&CRXpwR1@4*Uq&*2 z=F3zYZi1RgG0@5yTb;l@KkdGy%(s^>r#0MdFPP%rOlKOIs^9d(g+)G}rt0Yw8?CBh z#=z{e5WJE3>mc`PwH~(n+LkY=h;j{cB6HRpo>;vkGu>O`FEYOQ{f?TN>AyvS(MNyx z>t!n!k|`+Bic?C(mMxnCYAG3l;)>y4OphI!BSa!zm!|cdJGb6lT*XHs7H{c+nHxJA znGbhNFA^rn8l<=##wkgmqjDvFSj`vBtMxtJ@QmeX0WV1wkJWDtNC|HsDn&ezKr}I* zYw)Cli#=PUEw-)xp}?l#mgGU}A=@GUfx!OY!Q^wc=ln0=1?l;~iNsqtKkrgOm>g;% zk&MS}oEg0NS;Uu2f<&qO-LpZW~`Tp(IkMSH*PC zMch`^g)^n{JWUJunX3yPvvK3LPi*{Swmr80+63F-R;fqomjvlBB^fTGH1T&i#L1zN z5Fa|$pXBP2tw}!VpF8gr{bkA+65SNMbxri`otT=WgsN}vRCniK$7Qs3O-&@Snn_B? znVM*w<#woLT6$M6bIY@EdzqRg?i`(&;hC9Q%*t;Yxv1$e9Na0jrbZus*Ij6>NO1AW zSh3`v{&vHwD;KP2tk*L!TiN1B+w^Z`-LGhK={i)ER&`FNxX-F%9?9;c_^nuXwcglu z^x2MNe6CM!SvSG}Ye1C0zg}J&b|?icF;Xgxqf55$0`52#T7kNZu%}lE=mKav`oMN}F27QrL)*vRHm&E3=C(S&j&zR}e(O z&WkeVaA{6K=3Qd1g|pN<9R*6i%*pi}ZI&cl;sg9 z2LIOKbH$u;g#xQja}6_n7*0^EZQ4E8%y~m1D<{-bC9+HABtkY=FXGF{V>9oM`Z8k7 z%g76(-i)}%!^q>Ko{U(mGVC%^|$pIUC=Mc6dbmv zRW?B#S9w|OQI8QJ?pEJcXH-GGAQ;k-rgS-}5Z@z>OZ+ibN!KHnKFGy$i7B(b+2s5f zi_osH`(Byysova;*6%`dYwC=)+S;}@{vTA$CXBOYw>I~FR!g>P=40+1(apO^7Nu{3 z7pe>!5U_BY#l=gAxl7&3HPm%6F7&i6x zIf#nI>NT9B5K73N$V$0#L=luRF8+q4N%IFw&Tw()_$#*%=H2VMGSzp}JWO86S_LS7 zG_^bz+>rt|k|NVq3$uG|Y~aoeRR$e)+2#qlV=d*0Ovkph3oP3cE$OOsNR|tw=9;-B z(c#rk4zNAq_r&W}lh+=jRPvMUvnY}mqfWzXa%pLjwQ}tUsR!}-x^f3Dr~0nkGGbYU z$S@v@n5SX3CtUFbb2(N&-dq{5J6v{8fUy{FYTv$YfiPINFda>oXIV^@ME7P{G|Q8B z2H$Z9{DCg-vMj^^VGOW%2-^tn1BEWaRY3YFVE;PcI1ac(K;8o=s{qdsQ1l|M?l$rp!_pHKLdmp0g(!zvIU3{Kh^a>;u}ECvq0_7f%^Sfq|O8B zKLHKbf%zwZrq_TL;hhTfPF_J-sVeeP#8UhaXwH?$Pxd`fe?9YSw~pj8b*_-i$q{B4Ui{80|%bmFh66 z(S(KQ#X77ax(|8oo0S__RN_N@BAQ& z2!a=1y!aD(j^@th{)%wV8CDGC{wUCSBS(uwdvkOFQ89KCM2SXXXwK0*TzEW33p75Q zqeY@m=I8>V&vv$V_O>rdtlqkFa9{V}fmOSA4(z($F?6Dx&`b3qlHh8R@JMEY7m?81a?qw_`bh-(DO)Q76n&HM!R7l2`Q+fGl%6}x(5RFNjThbdSexd zV!fL!hIkJN|BLeFV``;BIugPOEBno#tuA3-?zH$Ho&3v-ebfDN^QTt7)tvp(hoxs( z``Yr0_RsfEeMf1sx~R*}&;0ZM1^|pCXlV*%Ze(+Ga%Ev{4P|a*Z(?cH zHaH+YJ|GQqbaG{3Z4C-YiCk3+P?Xmd{?5Js-9;3{AcF6O1w?`10~KFkkzGVoTw4%_Q%9wh0iW1;H8ndws{jQa)Uuenl zZEWDZ>wuTnw1VmR|Mq`U$YWkp$qn)ddY!F50^pU+6k%gxF;9r@!9wzrAT49MdJ z|B>w~0pBQ|hvwxMF03-5XYkw!v>!bC)$A;)sb||8%6V?j&stdE(}&jc`B2uk%*o2n zjdP|x0(SB~y;<<;yh8Syznx24_6z3b7L0#V(+q^H1KRCXZ=<^!?fJXNP3R2hTl}-H zy3w7YpQ%}}x@YQo>Ce_epZ`C9VOWJwG@=y8kbyHo!a*-04F(0FBLoe?DDp!f6nP^8 zR*ZrZ0Z75uZCC`SlV(E$nAfUPt_-@^)Aq#3B<(;t%!JusS*^-YX} zQ}5?HfFXDf?~^aO^Nu%J^$oT#4{zcSE<+<7o7Gmf;>7PTNAJgET%dHCq`P1w=3p5% zV;hd3f!?CyhSHhnkExhTX7Z;9S)@NkoVwC#uRf{I!;kg1vDF76(n!+Z!iGkJbRK8a zAN^S7;3MqAbqb>XG7-Lr<-0Pl6xA|#~g$i{Ni(?U8fg4GrE zNH4|<9A7MZT8s*OjuW`g){ca-Y0z zL>LcsoIU{)Vpz8bpK@I1a1sCHdL_{qx-6E--Rcd!g#C>{9!IeXl{kpUq^K3Oq4s2< zel(CHTSCX_mgp+1B27+})oPtyq}L;uv66vYJ~HPmYw#19 z7)>47qY$!E4~pXGCQuqJpkmrc74!w&q6T^Mqm$8H*-Ho@<%zuQ7jOK5V{j)?PgrPh;5op7`b4NRx~hQ9@cA;JA;9 zLU~4PqN-nMKozqGIhZ02$Rpx|r6uyV{7e)9hTXG0j9IJ}e?_gjXauN6oD!YzE%V_f znI(>jEh2~p$RWmRqn24`5&OAa+!SU}%^LT)8dLBxb;N&+SMZSYU#E&Wr%B=lRf#V| z3M2UnD#T%I!B*tbK=v*Nd+`(-=%BRFKE~a0oW}#y|KhC?(>z?nn}WoA(_pU9K^mt| ziJtmCcl|9|jcf8LWB(OOr5NnM9j^K%ily#`+vto7%zWKY#;E-lc5@GYX@qhIJ;p&9 zi*%!&YaY}5ceh;?%9ZrJNZ@h?dYF4`3mY>BZ)UD);Za{yGZL6ZJRN->XDNiUc+qqf z@8caDk^u;lmEv`w3{M3bF*-}3ERWmI5uDgOQ%tRdGXey<{&O6EI zrt{hJ9n3{GJy~y26ICS6(P#?5F=nqI&SI%*AN+j16CO#UOQu1ftxjI!?@xN2mk8hQ5rd@%*w6qu1Dr zwj4(@0%$DyyGLLUzp<~wsVZ$YTl`DIh7K7#C~jc?*nWNc^p1HkIx4bP&xju3VWHNL zU`zLIUAuJd)G;WqLqL1~7uxx?_4R4f+PjsP*`y392vH7eQl`Zfp6N2et;xyJEpuxY zFJ%2{A=72yrKI2LxGb3-HOp@`ZLB%%|1@pSHEsXXBtOeg42_PmIII@eS-aJ8fYQgM z@p!%6I?>{4@{GrL#wDJy508U``GCa{lxMfNDAVF_CC$$(c4XSw+^*K%iPpqi@8~G( z@@~z;);w|rS_^hj;7jBgh(O2ST>@S{?3AmM)$VY0wAx!daLF)7R*uU#F3n-@92`6` z`oFAKdvH`|6+id68(hdHG(3_}cDW=W*$q%0%}XSZWFZN!gg|!@b@PA%83fFLB0|#& z1unr_I<{09%5clpdUT1m4Hi6B28afg<8y+|! z5Ba)`uJ-j&OKFes9yuZGTW?ML_LWJuR4krb7d@D2El|vcNt*+#n&!cPb*d$rY7O$= zSPW}mu3Qv}n-Of?hafhu4q!L=KrCuW2e4&;Pr|24oNt5Cz&-IT0V~T`Y-}>O#BnwG zrbVs0gXi+|YhSV7rTm6~*%mc|*1`fK)?QzD_8u}@cfT;XHZVEeQXW3*&q)OK?6_>F z;TxOU*pRe|mKe^h=GJ6nCHBZ@1R<vgUe}VG9yh?`7m5Er$+Nu0$=_`Mgz*iVsx4{4e=u)I*qc`y%`NMqfd(_~#J0ID zO-tA-lQySijjxL;1+vqU3lw1mDc2_lvl8`LY7u^QLF%pnj~A>IJyIZI`Qu9ye`8~U z!MmI^V1LZBgt#@$anq^}rRz0mdYXGaQ-Q;3vE1CY&NRoQ*&@h5rWr8;5i@SK57@mO zM!;{Fui$H?#N6HxcW-pS{?(BJE7BLkAvQ_X04#IlQeYwP0UXB~rM!-iM0-6uXub9Z`L(qaf@}c_&@NTqqnUaYtp-BM6ft%J z+B0D*_8g^BjGF>|6~@hD3B6eDp)IgCp*@?|#5r;y#{s$M4`TmI>@#GY`V)FWCHn^2 z#aMei=7yA`v;uM^-Eq= z*v4bu9LQNf4(xeK2|XvhM$bTgSuG`>I5)%cex{w_QLpFX7(u!Zy|X(syT$@hU{8)>HeW2)4PC=2IXhq3iQkKi72p^MsZA2#Gz z^?k~RZ8}hlJ3QmW$lo7ltY&Tresz%_`*Y0s?sKHIBjBce4YscU zU&Fa-fcs(pIyf8?b1)z4);K&YO-g{dmx3AWgA2YV7YU9~9&nF?65uspKkzuP9oWcY zux=T~Gf%p)W&`wT%n#-U*5Z3;a=4QNewPZ4CEm;r5yvKA5|FLqZ8;c=v3dMnn6u)& z;4Ls8nOn>mS2Di^?@voF^Evs>Q)Gd5nO3t8;_Tp7iY#WHu~Y`BOvo~t!JK8jxl+8z zg#X3-CR~~H2k(>L2un3YMf_Hoi%E%h%CShwiByU;m+Q~M_ixezY6&$d-LyzuM~#Yw z{4>J)**DZ7dS3o5g*=0TGdLqXogw+2k9&rsE!rTxgjg1-7wKt8LmiT*sY8;cowl!O zr{#SKxb0M#32884W64Oh{kQ%93*<}MY1)YP2ig$c&JZ2LS;_OEG#d!G68-0ZUZBhy zl8$@3r2)?xyeFbhfo*Cn-g+%nsDsD}c~pxy6`_BP{sVBXn@X_u=W;E*j`o|#eH9Af zePS>967mJW>q9P2;ZYjrM&Xi>E?-BHA%{ysGM|yx-g4yIj=TvO1}96nHgJCC`oK9F zSeoRk%gYi9LpHno%;c%V&lL_oZ|CJLITy0dsV$tlbNQWOFOTzP*K>a49LG75^Bm_m zcYLNy&hMwEaE3QU9=?dViFZ8}2mxW(cRP6mdDz}B@?n>~(-X0Gs^#`hy~;kMe+aqB z-X-s`wH+TuW$-T0t9?3#2G3P&d)9mLn&#Z3j(PZc?Fo7`g5V`?~TtEf95cDQ!^V zRK;_ZbCj(;OhN6BsAYTXkHvaiuOPXP{nt0rBF+WcR#AJmIQcltckk87=T)ghjJb&1 zG)%dC77>FcnkM4Fb05an57zQrqp50@h(mxO=KPI(91o6BS}YQ^xk;=!Eb`envGy?b z{2i@j=u@f5^EPrO@3ocUSu*EE`>Ip38&OXim1prCp}!{9YE2Pmg0h-i7di>kY46Dq`CRjxO?!L2c=vY`I^Oz`a88?bnZc z@0a*H>ai4j-{~t%NGT{^74?;EH{}cR8To?UE$V#*g_U2RK7NE8|1$V6nHI>MR4JQO zsb=BZ?2BlBhbony1IG}9J@&9V5jngb{XYT@V%{GR&urK}!I+2YiHwI5>R&0DuzCewt2j?P5v|b*J56V zuFasQ)NOR{o&32Iq~FtQ5yJ#(@8*nm3;ssq`uzV|?{0zneF%6^#Qzk{6dbyW_S{tURn=}<`Orl9Ol9q0;QkCS~4ouS&OVj8}RkCVQ!efLDP+LlyjICun z684?r&~6CSP1^pMtnd4N=bYd9o!>e4T;Frn6!!aPW%XZj<~pCx--*xP4ZJHii~0Wq zygP3JuLFnw*JDxqKdAo*zxtn0XVeWitFFg;@eXMuWGqd{f<#+c49!2}{Lf`T4Lw}6&CFu8nw^4^3edTf3F9Pdee+>K`*a^f6@h-#f@&R=o zxxqd3zpFR7fBd^zxNi{inqGg0_>XkM7wh$Rj%&~Vi}c!E;s&bMVdCEO{y*J)Zg$7{ z7sdYZ6n=ypyqb4$XT=K3&Ozrb&?=*IE!`liW?FqN&f3YXM4*DosnxoL$fZjsj73Wd zhGW)P!wGfJ9|4$)xG0GhdO6Vr*61OWDIX_3N>wet;`k?HG(P6|DlI$V=NIuMxJVf3 z<~<}2Fw)9DA!&fYeV?qkO%25NIVEM5AO0gG0Av78y1-PIZ9w^tIF(aX`1ho*T=yH6 z@w$TH_@`SkpfB*Pa*D|J@(bGSQxyf{JtvE6l{iM3l}~tZm!Mej^H}lodbGbektG&MMEFlh z9AH?~Q?O3K^5fzX2bzFZAPsZ^`2XP_q2(j^#P|u)#*dLK&x zTZ=iYCCvbbdy`Yr?tJYP{l6ouN%U9_MuaY8EsFsy8v~j2O6gJN?r=(mBw_H2Ulf`Aadfvo)$4zJQ zG)rJJ*6S(Ns_zS~o&g{ZWKg44+;aRC*y8tdOQ&s-7N86023&ZM7Thg7NQ<1*H9o!w zb6SKC%OXs>4Lk}k)Za!Lfo;Gc;3P0f*R}u*^>K{Q0?q;q5o0L(!8XtWWPmOp4~zmu zq@UMdoEmt?!5N?%7zSK;AoG#Oe6&^Y02#xVQ{W8RZr9T^Nz*h-^Rz2HDeX^}7uyTx z%(v_Z6)jK{1ubszw0SZf9{1RuCJ*;}0v?vTnwLfOwGg|)U0-`Nc{X_|$txCT-Pt16 zpD3l}WEdC$IO(T;l>8|9?I(GE!*Ih$1Mg1`Cr6UJ|HSZ#krTYXX1HdghTF;NdTVhr zZKY|tjk*Ml(MGzOx|(?_PxEcuC3uWCVlTK_ylvi$m&d)fx5>->-hh{7y*vqy1U$NQ4}j`EVA9M(Zn)#42#+Y?gkjifV0~2>y|ut zK$o+++yZXXWgFb2tq?e_EFh$>o)mG8qQ_C~r^+F4T$O9pgf(~fjkgFCeq12<}GpZ4*6R_+F$)K(j~>$85%+ERW3xYpmrI`C_U*8Gy@PR&2p{B_Mf+Z!@2 zhK%2ZjNKt4QNr#fVN{Q5{y_7Cc3IeXKWrQh8@s~Bb77;Go+3ds++tS+jWa>xk3r)= z(0CzeY!4dig2tMlaZgZ%ijn{^*eu0#z2=$rw19CXVEidyyd5y!2pG=>jBf;t`T*SN zd3-z#+(s1d(7fWsKfS3|=D529e_)E$kMVoCBFDlL<(* zOnwzoC6kXrDrIuJNO+i!_TXe9SQ+gpR$3~}Eok-@q*$8kA(NzeyU5XTX@($|Woj1W zl1$Bpd@56QkWZAnO8-hy3}NVBWNIhE)3YS1!qWFhgzbgqWU>+72MVLpmq`PigK9}^ zl?8oKnn;fBk!BRKTbg0WuL`nDnga5yOf7)yl&S5IU&z#HNQaC*tm3tksE+eAiD-RH zCacl>h)k*oZ88~yw94c{$V0N>9moT+;k4@d9oj>&yJ?D;I@52j47y@sBFpJAb-<%bX^)O#OEV6)25CkhcNA9cOENVNvP4F)#&ofa z?!?M1niycNdOokxFw#(gLeks|Cm~aFA+u#_1*BT_L{gO#qgIdxom9Crm6u{&u~bmJWx~U*7H^sh#BU=TMK0PaoaAiLgT%U1_lbQe9pR-2x=FDonqw5byHfXv zr_Fg{MXRhsg9lx%f#E(4iD{(?D z33=FgG{m`a>`<^ALPEi&F-;7~h6Rz$#-XB85vW4IQo0rGqN29jtyXO5gRV_r>#%G| z7ip!ciyEn_)E`?KRq5kTRIN5ptu}Fb<~k*;#IOG8T+f-gbH17L&G^i@GwIkx=X71A z^QGED&KGN$A)E7P-Jo*D}=SVY;b`q4P^^_b7gi;SA=Nw}qgDVpI2z8ud2d@BLb%=}>zO}R2phP*i_+Iujg_J$y1iIV8P zkR8DpdLaj7UpxovtjD?XjHNd|M*y~+yIju7zH^ro31U6jZSS@O%^iK==ZKLF83{|9 z$u3Esd#lV`jSj^#KdVe+nptJNG7-&e9dZrF=jd_zVkA6AkFg>VpMwc{JTl1IVIrJB zSf>IbL3#{fB*qGa(P0u~Fl--w1_oJd4rhbG0%#9p83;Gc9tCu;0P1}f#9e}31=P!3 zQb4a44AvnJG$^wIVd~?gPBB=gKCZy*43_ozaHMe`gJhe12*~=H74_atb!|a?v!K4o z)H#4neZW^>TT6V31s|+G;=hoWahr!P=Rv{;B7vr1Ml)r#^5l{MCxcT5ha52cu#};CXh<&v1Xz>v@U%yblSkJX> zFkq$ts34Zoc?`7u|cm#Y-5RuXO|a_&(YUptt^7Lzj*R0Gn`yb zqID4;(!Jch+|)>tkc3hVkB?cj*sQivA2ExBUYTeL`x*~K{mGB>S?E_EYY6uoy!>|l zgZ!WJxv{=L^IrG_PZ}~TBR2y!wJEXHs3I*R*fZpK4Xet{B!8JH z0#lFu=4D1Bxw5v51Xh;8+|kk0s8DDP_-lM#53lihd;x!JOS84KL^GZ~%JaNNUnzAS zII?s9*KX#oZEOC~kXbA6=HX!I$hq{Zj~Ihyn53s@5dEY~*5mb$l&Qg?V#fN#CGqcM zdkp6a?tq(~TAQOgVe*Y8Dmtj zw?e2u4oKfzPJWdbwFr@KS z0~qAuQoq5m?eWKq;uGoR*mANrF55hERgK@n2Jx^#JZz9j4@|KQl|Z0Ui7m5pg$=_C zf^96!AYLi43oGwnuMyiuuMI!xJte20&gFKxsm5d!jZ{IJ<@Q)(@- zQjNo2W-qsM8VVe!fYa1TTP3R5V)mqnk4I5aY)e6{8im_fnF6oACPnO4tVw`1<-^59 z-9|b~reF$6cq7GyNAJYNZ);_~VY8}5_Rbsz_m$0RZD~d)=I(TOrmyTzz23FWEd^T^ z(kCA__U1oS75Nt7X$?(**H~&0$?ad!wc=sbX(s`-L zW%p*+{*_-$?QC?l&oD1JkKyMq{;M|fjeEohHz7a-xc-jkEBqu+0j~wDg@;e^R%wOn zcv<^5Da95mrLxf^5VgSTvC#<>@XjWSM&p2K()6~86LCX0?8aZ?F;bu(5IeQOUzFIj zgpn(m+z}Jkk}P_4TRI*uufk&qk`lV1xdi9hQtA%0G~=Ez`+aN;YO3k?t$i`)T5HvT z-DQ?0SIaI7e4?KC?B`#PY^$!W?K?y7AKLDARV^vI1!wdFoKXe&r(AV`{*wNJ<7)J; zakNNRqywTZx4dD!ZKc)fIY-`~LR{si1~kC{ zZ9oSB4QN$JwA8J z%gza$`N8-GJsrVkaCX;%w|m6P#koh@w`@P}oKdVv6i4mR=gbbk(L%j;$|FUk`PM3H zOL$A=G{Ey~vBzv(*)tVHlhX{0`O2^`xE-hJX~sQFWwLjjQQ+ zsE&^qhPefpcfphx0DBr34CET)YN-vy@_DsnS@K}N+^^&s3ne92feViwM~0&Xlg~pT zLz-S&na*X!!OS*sA$3ocIdA(j(GueXT5Ys(0S;0U}3dpNI%S#%LUgrFc0+4gbR#rZ@8wT^HX86F<& z!E^0cBb#T5#HLunsuH3Qp}4;?LHnt37p4ugl@*rrT_<0YB&mW; z5Vr(c!UjYMZHyLZA)8mPUF(#ba0lLjA2ACa{;1cvgPVFu6c{oI9O0B}D$?%wY6NU~KjT&q~DvJ6vsOC8=)hv|=zE|(2}Kdm4Z zvqk*nS*3=##1Po1pMpT67IBX)NWoN)1p9mAyNrPO4N$E*Zjh*o%+)^raZOV+$R_>UGm`@;Tr9jE8W z>~Z6*+UXt7j7Zgfdzo|48Av?kn(8>+;zygVuKRMsxDjKm2{jWNWZxUlpX|dxoT+er zSIV=$7BtR>82n0PMT7{&NB_3NN~pDgNr!(EX7Zd%kHu0h-VFbe+=R%r7lJE~d(N1q zj9e)&`qQ$g_xXzWvr|Z|j}^&{Gm@H8iAsg*4bg)L#p@m)1n9H`ZawV*O&&1*8%uJj zzsyC-$g&w{6B!|xHj{~}1aT`FznKyAPFx*OJ5h}UcZS#O#ED?46KNt`&|_n@8mtW) zPyxIILaCp4Aoo?|O_L2sUP)tjIHXiSl&QZmSI&I0-WX9ee!{+wU%$C*-My8c;N8v* zZgf@~nVtNs)n4bCpUl43yT6(b{rS??Pgifp+<|_){foiZci1}|r!}+a5DqPvIHZPN zP=kQpx4npuyNH_uX$wXCp)FMeEnvj(9?W^DpZJs-a)}T`WI_ng{4CFNEb_UF@HiW#+(HZY9}aS7!Zp&TQWW8?{O`;`ivy36!>97A0gSmX8> zK@H3sd3?`jhX~yX^O$=eNIRsm9n$erZQIeR(&8$0B$&gvPxW&Yf9Vdzmva7;P zqxx)SI&+X=7`3&M9Q&lE2AdaK8|V)IP9F1=b9}soa*ig*l0~fn5#Lm+s%Va<}VhCOx)c%CmD8j7*84nx@%}?cXQPf-}nxeg5m6uN|7W=EtvY z>pl0@+}&LB+9?|+jqaG-{(*Bn88x=H&sjfqQQ->cHV@}>uAIc{&}BOzFe=l)F#Gsp ze38HIV<&R1sNv$GsakxotW^&8oT{xw6jj?NxsVvWi{f&e#Hl`&pxR*cdGBHh8IIRK zk?BBLr9&~MBGdm@X|rnbik1=0KqjFk)hd;7XUrPE(TS?%cqcY_xYK>9POK_$Nei{Z zl-dCQRI0cT1v4Jw9s-r(sf0s>#brP%2{zZwjbNKhp8zr)B$;`7K%&L!A-#Fs@6NC!* z{T!OnL*@!JqbO=VkK&Im+i@k>>TX9KU%TR!n09`|r7#Z1V}I-|g8}9___*;xmLJxY zg2Q{$3+1xZ(J^tTKQUK2D*dTraOv#|qLzap=YR~p^&R^zyM zPWHSno)QU88wBZwp$UF(l@QfpRZ%Z+n{ZWpBoMBO0H#QfEHfmz#z2~^5zQdl$bcLQ z1Z0C48maS3A-`WDEBx3mtPWr;AWLk-&;ouyIBH0Gx(=oi#{IG_OALe_LEt$lf+H93 zMr7cuZPWcA^{^FY>^>Kqs63^8{+$6d1 z<{i9o^8_oGkhx68JMRGd^nZ_iSf6tJkMF9FZQ?xR_r7P}ozGu)w(soteDV3tcaC$m zyn8cLD)5M5ElS2d|nF(A-sP{i6l zn8Y7#*HxNY_+!zKnrc%S?bgNYea}u|tea&&_dM^tex-YU_j{h_r|TkD?ejZ2J_qlW z(x?`i2rCb&>JqAj_2BWsO9z9%_p~$uN3thFze-)IzLfes{ipOl>ew2v04xFDk{*YB z($jDp?#iA5@21{QO;`U#y<7dI`el}1!Weoa9#41_*`calMGmN1R<9=GL`KQh*AjYl zJc3$lAd=B_MqVm`Hm)RSmf*U^^RYT^TaX zrFZg}ub8%-E6)=dE(0^&w~Nx7neLfBQ~;6O;%4xV$8@g3)?#!;RUnMeAvEX7<7{Js zo9UP)hU|1uSB;=f87W;8l{%neW73&A5DUez(a@Tfw%67nf^+$~W^7TsOmf_=eA}|O z)6htn*=DsbHJuiq-n(UAVIM@ilrIwEy|J?nc3xzve5EQ^ zH@X)3kmCwFq(*;tcwGE>cv6~(FoXP{ZjtDp>!lnNA(8+)DidF&fx(z8KufPp$5 z@Zli?7(RXDMbKc$p3ap8hnJ1AknOIjx`Tl}TlQx9mU6|rMLaE_l7-0i=^aF*nL9HC z7L4CsKKf<@gW!#e5z6gCz%mZn0V&CFi>yvi5oDYpk4`wkb{&+#ti@1*;xK_GQ;aL% zH3PRhOhq3?rAfdgJZ{ZcSU%w;`-(*BuLqxhzrMZy+L5sjMmB$O{G-z83)ey4)yY@; ztCTw0K3J-qy0&lRz{T^W4-O4Y{CMl&8({I&RnUK>Enb(ywNgU-8@Bjo0%xH=Jcbgl zVZ&i#Vzbu2F0@uZoSdq$ujSXH-~fM6Jm#l<;Gq?nP*p~eJ*pPTcs)>^FG&RBOGmtN zREBaJlcm8jG-vHhwVo?i`e96=d^b)mM;M#|jcy{q1+oD$(1=osl6>Ci&IW)L&Gc0$ zg=A(qv8!=nAJa@O?DO+}NYx~giP{87-H#w83PB+xR0%dJuA7{pgIa8~Ffs{$1RE2r znYy6r574O=f68paVQxN7afK|HEkWEk(acL}Bpawk_l_uWUV>O#bbafw0SV@2ii$XRzMQ zhruFXKe8Gosnrp zkwdC#_SVg4j0jf7zR8BG{z8bFOOCG5WX3N?+Ju&3d9QCw9Ub$NY(k6(@xnb7LkE8YzAvM4Ob7j znC)?{VMo|;*0U|SH*?N*j(x}W4m)j|X7AeXvaH8yZ?Yl_s%rG6Qd6*|upuEEUIs82 zWQWWUh41=`iNhLDgCs^g;E|j0f=<-vaVRk9%x?fh16C`bQLQwwY{K~D@8ogU%g= z&MquNg^6ic;CZw{dE0OHzCqU(t+Sm;{Tb+qeD zm#s_jf+&EXjVCyOzRNhk?OfD4QmN6KD@D3Ee0MG&<_1q}ea(oKv$%3vwbHT=xEA?1 z%s=qIKohX=K;4ax{nyIF|A5(t(S*OlzSjvp5f?S5;I&jHjBzI9>3wZ%PkJoBj^v!$2M`Ear}K>|HfzE`RASQhwZz|*@-W)1Bp#8 zWaLyz(N<`(Zv0B?0UZMhh$hiSx^}E+3k_{2Evy>>(Qd3NY;4j-8BnL%CNxDW($I?7 zCc3HWP=~}cm5M(iMh9{Byl10=)Xi4j_r3Q{N$!1~=lgs=24@T#dyS)p$Ee|Ho6D-L z1kM8ciQl+{Ud1)?OFQ|=v7~aHc{_hJ@1hp^k!n-pXJ_X?Ga#Gh`ev(r7AQJzIWOx# zi0l8oF7HS;*q>0>?Unbu^`DkFZ!PGljUziJpDf|`>Mfu0_D8o_;lRq*k2YfM+Xg34 z!2|Yano?pgjRWat)1&S|0v9G&y^mM ziDqJ%IM>1%9yZFx*f?N}5vX|YO`2k_lGB=}nCVpvO)q7YvZmMO_uI>mB4;r|t+EuC zWeHU(2z4mwxT2&Ht|+oAgGE#+6HIc3B1a>BgckQmoQTDx3*HI-JxGFL56!KBobGo1 zp&sg<1BqmJPdd|DD$;uqdarn;NX`{600YvOZwTti#C@&>JM1qfscVy@zmQ_(2Y<*id8i%#|!V{x*~a_6+J+=OV2#lJKB}k@OUl#~!UIxg%AovQ%+zHdeHu-qqR$JW{hW5iXh&?maUI zHU$otI-1(puD~MjMHvibESQ)0{(09si=m>Glag+F{e3CmU`w{#VJW@5+17@D#{Bfxt z9=&1*qC!9NhhzPyHh-ge#m4*D+WfU&!80)b?NpfWZ@?-t&Gi^lljVbI4wFQLra>b! zD3j))iI;JmysCGO;B#x1sM?(!JCKM%{Byf&8@DZXXu;*^<p3BGb@m6s`nqm%5heQ)X*?VA=&VE`}n<=Hzr!XC&Q}`e`>^clTIZz0Dxjkjk~m0_RkjPe#8S3DOR{V<6D3h#B3G zVKB`;_%<-fi6*cr8mU4$oc4R8mZvs9YX_qi5uz3YKcqJQ&r=bLsm*@~lZRe~tN>iS z5weik{H(`!SCCq`1w}X?QM376ghL)sjtJL4tZ117SIh$;{b1^R{pI@i&i@b}!98zn z8hB>);jPQpJ+b+SX9H8;RliiP&(!bSn!zDl#4oS>?JM>7>c@_Mb&-uzAHZI47p2{9 zaNozE`bCiGccxJms-Lm4wE741;30i1HMetz@2$DJw&Bkmx@tT zlx1%$3Lxm`RII+gF}XMSBS4xLOF5jA8n&t zXEVuU7L!yeo%F6(ovL%rBNiek-JI-@DxZen)ZY?W{XD3sMNuZ2Qd*e0V#9<8j_4-3 zPeXlT=P+Tr_&9b3*4r$TgjWp&+Ptivx9uVu%frm~Yui}T>z`JF8MfOr#VVtYu8;ZG zLY5%xY(5bvth`r1E}=W5e*ogT9GKVor5;^$SP(K*2mLv82;~{tD*4-Z8chWM=!7?W zbxUKLGFr@N+vg|v8SK|^%%A<;^xojW?W+Bp{4EpvebVea`k#o7nZ_m>kCjEZ41mjp zw)Se%`BR!}K~Yexq-RsrR?XRQGv7_oS$Da96x~F24pX!ujKAI!_u5-poDocLQcp=$ z_Q}iFuijwY7#u@oAFBACPv04ld!iX*9wn2}I9;%EX=G032xT}jSWKcL)QW*!9P_jm z{Ir(4cjO?ObCO#nPh6}@EyT(tCCY^rCP&eslL&+<%RW9Dk~qbhb@M0`X*QZ6eIgFY z4%vy6L`o-bqnxe@QC3THsT_5YXcRU-z&*ya?S(^Ff z@zZ3~G~wp>ew)7Y^@iiocT>D=PT#RAzZq?`mDHuy7F$_&mjSMxZ)uCNzI$UJQ%AeF z*mNfKRjRz$c<8?IqI=p2Z-M%~6J*iL6z_k2kjav)$gAb)7y z(B24MH`+-%&cq6Xd3ezw5;w-B-ry&*fr{akig@5?a<}5D^z*Y8n(5$4qp`C7#N~Nc-S26VlO`lG$ z#N$fWbu5jut*nF**YSqpAN_{u|165e9?m?!o!ncE`Q40eXCr-E>CL~cIoy08BaM%65cGfm zr@6$W(-Lcf{!86*BU}_6tTgklZ%9$4 zrcyzs&r-|2c6VD(r`nj~ecLwH_Vb&2`tj@iKvrj)mY%Fwolk44)_lrAe)E}uWlYI` zh;OBnt<&Ion!x4P{8++X{?B?oRK5(v(E!KMAn0;Qi;~;zA$Q*r4of$!DDTZsJ;d0F z!B$ove9s!@3E+wtDpicfgKusWk`S%NvtDS3Avze-$u+ z2DASlOf(cVxep*gwLWTEgqG^Mq9+QO#yiA63UF9ir8OgUY8VBbkM4tD$x?`iY69yu(yFk%O7GtqQ6b|?8i<5@-W1&z^&2t&$q^uYs<`jz;> z8M|O&)4(%EpE6@zW^fz~oWy%6OC_SkR~IdL^d;d7VoT{vS4Je^^q@+#BaU8P){*cq zO&*sRrC50Tm0^kp1(U%7jI%~jqA2ACY8xm~V^=27J_tueaj1^Lc?{`n`DH$12-%95 z16}+4PFJYqG7wU%3e#Gwe(f43jQ8qF7MaX%A-yDI?mXOW4-3WNylM-y+{4rj0;mS{@P=OAATUlQN3h@W~kknYE}b zgtp|<`u+#|&2?Qbw%@Qy*{gF(g3G?&n`w$w#T zrwF#iyxa(hq+2>d}g`wkx!$H7hWX!2iM zE=^WquMHErXCPg$7)DvWYN3~32-1Rv>%~~s3M=Wr86pOoP$pFz2JEKh5qfdM+OJRF~z%@xc ziw6NO0(o+JI3>4kRA$YLkIx;GuY z1+VkJz6)1F40X|ncN*Zi8JO-R;0=1MyfpIyDhZDl-1ei{-@tOqY;swNr10REgAN5M zLMHot_3q8?X)uSTBmf9805C^h@{>o=Ldr&ceSKFZ3vs7!B)o%Jxf^)69LEb)SXim!dh+_LwBGguJ{pdkYode-f5%%V z#e`mA=>fZj*vO7g!l~zyIH}E8D=Mtw-Y<`Fm-1xp3fPj#Id`l}Sghd|ZN%o@TK(&e zz^4n~lm`;#>*%jBW)96Wj3<;o*#Gs(#f9_9#JT%qA9JO1P6vI0`sj}xeOtNlb1gff z#2+fI7BG5Ttp_pd>-xoI`jbDC$)A=QmZJB`+T zN6q=A4q?WI-XvN?l4>Q3L}#waS=n2YHL(e67u&AsEy7vzuk9}QmFX_oS-uj&T6ci4 z4c8jA)te2TuKT%Be-U}7^N#A7{f#@hwI<>k$-?5Tk}QiBgZLD6a;0R+Gl4G%P>F)* z$~Jd_NNP~pAi+VGg+c>8nI9-009thCb6a)^2$WyfqE(QtEG`+@U8tIOp-2)=%7!TE zz_SnP?oSK0BMd7#L^6K2%DoK60WClWgDSmepnGG-`lC~idXZr}8Uwj5$}MIzoHs7^ zLK@*nYP(1;Fj+8a4`b?18F07V)J7RnHk_+n*ik-A0W$pS0uERrhPQE5T+e? z9Pt>4gT_6J3pj#p1+<NZ&Fm)i0Nr3*gCD6zvImMic7Um{9Ytj#i{^RL5)~i#V3AET7Q{k)SAJc+vVD0&w{(Md<>3GC{PG+K53UC_m ztQvrel0fqMt@3)sJ1f&b&CQ~)alqauWxXvs1|mM*@1FtWVC}uV4gPFi6f+x0*t^Ef zPiHOtt><$ZhGi*J>x)b1x^HiDuTQF{g_0N9SdofuHbxGjv(HX>-M{*ETaSyw3GC98 zd@ZKW@ZN)5RCecg$Xktlqz;n!qpbJ3kcNi#&|&OU>8g&h5<|OnH8`AVepUZU!*?_J^~*hb>fQrSWIGPWlY9jouJ@1@80;Hv zj!q1>p_>inp&AUdfK+c_C6W_7L&y{=+m9T*Se7k^)KQ5_T0k><;Io-CQSF%};0bM= zE@D0~852~#F3BI z8n#?lQ^hGAEKCgCJ^kQ*)+_36jm89@KSAZ$MxP;7EU}q2YvzQR^jeIf&n0}k!%z&u z8O)SzRTv~A^+-m#+3vl~UK?{JZ;8(0wcRxIrDP#)#M9Q(PVSQb-ufkRZ*lP7b3&g% z<>qYr1r94#*RWr#(sZ_n{!cyJUPWet%2OEBX*53j;j(T>srZ-;--UPQe8#w;aHF;I z@U*&^9XBMxi-U=E=Gb)j-!(2Ir!PJmQFPgr_>Qpq`wh^K**@OSt-Z?L{Qe|n)tyT# z99h&;X6EfV&(rrff4kq6_<~o{K)g? z{nUQbuG|&)E{$v!)=#;Sj3j)3ASvFX6l3uWZcITYVnl%wFfbY2-3eWc5BCU?M2l4e z3=cjJ{b2n3RS#Ca`OSM4HgNZ1bFH8@ZC4dvo8y1Ce^Q53At032wXownSBL3AGS7*v~JIuvSN=9Dx`WODJVkvnCmtT0c0<;Y@<``@i!lNqpulWkAB=A>^$W5CQ zXJJG`jvg@P`>6U1x`oI6Tw@6b(q#@VlkY6#sn+)@f5yBA`+^al$hT>qA2Q0 zSDA%Cg?!e4+OH$)vp=nx_qQ8if6gA?t46(^wAKcna!O&me6X`NKGL8|xk3p~&<_8j ze7Ez#f4C#RY#W%U?E=8+wKDcQ5Qaoy=&4F;0mV!U($xZeY+|F&wRvW0|*E&PWXd=xerlZdo-rZ|FOfxmiLzZLQJz* zl9-y!axzN_!Jsj>eMF4GmSndRA~V~fY8C+OvGIk3g^kv(dpb3}c67_DM6*pKSST4K zhRU!-u{(tN0O1GvRa^XqU!;Fb{t~8-M0a(L5yzx?CgzmfhVoXY>QO6*<it@vSxBjAH>gDnm|HoqK*ZKFtkuuFhSKfR$tMa0|z>S zq8+Pe5IM zXax7VUIMF{Oq4ph3%AmejxUvZM3~&Taf_FlW1W$q6ce_)2hlC=n$Nn!V+aP*l6jhr zS%nptBqb#&W{Z#IND3t-b4mHxd-KN0N`#04iS8)~?OGY5XuNrD!NQM4X1n*y$E7Rz zjPDJ1%%9bKMge@dy7mjVVT8$`gSA2gB?kdCub4dq|CYl!@T0!?UJ|?EKOCe_dK83| zE>t3yrH2FsnO|NO=yvTHPEG1#G`4c=9oUM4jjg&!3ps-kU>hQh|8R1tTF^GItf?oo!>vs42dFian z*&sQqgeIYEQz63Xs6j|;VJkBX*o;|%r*{8p0vY~}?q>&F`DabN(HChA>(paS)KNI} z--J53X-qx^!vAg-0%g6+(BR_L(oK>QvGWAS{MTvQ;OW}gLQ%z;B*%h_mNU*=#G#sn z@Jx&ce|Zjw3Wr&VF}5f}DzgPVBFjnc_4uU1lakP*MMiRxL3ku1Bq=``KcPr?VEfeO zx1KGr7XC=Pb&R)KYdL16C6|MfJ!BPADI$wWl!|FXy_%hH)}&-e18cf*jHR1K1J_(E zfncTp$TwC=1) zgxe^%-=yN6Fc^gQJG#5O!R|Q!oc+d36B15~a}^S@1+mOB2;dq8*OurZj5Oez4uptq z2VWhXFy>$oni3eTmc@>iJ59@!vf_(6I0|L;zGJFnEvjpA7wN2?GTW+SEu0xFEZbP* zaP0+!0LON63MEU9*t$CxYiNk6S@h?N=n7x78W=y%IfpW|Vkd%Yxj8?^7F%2Wqsh`* zKjRi4*Lc_QdegXg&RJ#I(D|oN4bs6~?Z91op)P3(^4itcWo*uMU)dA0u5n+V>g8d{ zJgIZ0PGSb0n-0*2$cN}(ro;O3J_X-{JOKZAJSlxNyCdz5Gf;Us(q*K4lj0dOkk}k6 zjNbD@RacrzMG=e+D#MAo@h)Y@UUvPI*#(Db&Y8nZc}uf84KYBK=`q8`-teG7dqC}D ztq?Kwh6~?KS!sv#j5L&&G-B#06c#d&kfEFsk%lc|(4YbVQb*e+W^uMC^5=vitjl5XD3?x3Y2(RCP^P(pY5CF>^qa3Ix1#(1Uj; ze`Mcs&-i_nKhrRRcrtBOR;;;VI;rv{=16piZiP3gz-)$|bbxD2A zb;M)O6)Tw$J=sp2r?#Z$o{APn{2u%sez>>mvM)WlrT2m=13RkGqHG)X-XE!sa(tTI}BZ8%agp4$*IaEdVEpS`?Y{y5CQMH<0e91B>2? zciYiBXYF5j8cu)Gei54V%k!i|0J)Yd;2wTnW_zu^U*zO)K6gT2*9}-I>UlX{ zfIYvDuh-)e+%6;;o2(261o#SixlcZmyZ1iX&%^D2`}}jT&zeyw!w@8Eg?Iy+IzH0fRA35Jv{{|& z1$@vFbK{`_K$I`05HBMz1_OnLCd`yfd6`Kr>wwB{Krh%wOj{$;QQR7nh1c5Q*Vog{7$HuT= zXc7x1DiBPZ|L?5elMPnj$qBdv4PclUIK3Hr`iD3!KYHDA+OY%PM{5ir=}izKwzMiNnY~Skd5;JI^#~DMHTWvnq{84B3$d44}kj{Na@4Xwy0mH1>JSXdu zAkrF8Ob<$=hQavTegY5XvGTI`6vlGtT4N;pOk}@EfZuE#kl7H2Szu;p;T)^6c1^vi zHJUWQ6lRUXG(LZZWsgx!DAhSEb&C{laS-HN5n2=3LnLC=ofOP#M!yA|758s?!*Mq^ zrNXgW?8bdS)mtq+F8Gp~1=mhoGu!;BGj%~jE^f4tW=ylfg{h{PHyb0>=3PovxJOXZ z7|Cx%j8|w_ER(#jz=EQd(Ncfgtdp};g9Zf}bUZx)rpng>;zoe`o^pVFW(I=ULo4It zG=!6<%4hsn4}RvoY-s6F#%PuwI~Vl~2@vGl@f*MZf@njM%$9;%;ol)AoY#y8y-QZ` zzQUnAbc_7o#g(|6|Kr&S_gdaAV*LF~QqhLt#w0oKTCek03%s5$@{8^l1nSu9_YJ&$ z7S>k9F&lq;V_&+W@o=!4cNsdG5VeNbo&#zH4g%-s-19lk>k%HE7F)og?2f!a5V_C5 z&+NHVPZm?Qv!j1J2+Z6GZO+*@;&%M!j*3#H+qd-e;Qc99gB_>}hoWv}V@joVPPv?V zk7`9Uso?~wf~Z3%WC1A+B%feKOz;`Ndz^9uM15=$=|*=;!nlGrWi2u%PW}nJJYwBq z$;#}amAmCjL5Vvd5JYmdi$)#(ean$Ti{qW))} zN}7KRiaF-kSy9Fi6sBdL^aETslgvQU}V{*v?0HlXQ*y z#MgA!e|0zg#ItwyWcCEJ1Y=vVaGaVcXPaU>Z^*$_4SN=b?%7)kH|AE{YkEBnpVnK2 z$1=KnRwUk1^y&Cy`qKOxKnG@?$o85Jxg#5XCNLt0t=lAIx|Lya&iK^R!&A(^7o|4^U`=4DP4X?RqgC;AI zXYwaBSKyT=z4i6a_<4SY*Xz4vCnene$J^n;Ik{n#0p`tgJOF5tyT(YaI-(MvT?z^W z^O~O=X_$^R1OB?#aq&h@S?kCcvukyt$`CtkKHZ~zII2M)i?$3SmNEfl)j?38z=34& zoILWh(CQdUvZLH8y;z`$^1mqmiMUnxsI=>viTEY5AruhW6{@w2)o2UoBSGz1{8zoF z-Fv5mcy!!E%5g*>^WMS&_D5Owu0Y!^wnVp@A}T{~DRFL>;d2f17k>0L-_e;Guqq*- zfkY!SFnRXbfPAnLBw4t+EF2d0|JGJcv;=Awx!Y`V;U8dF5CsY$YNb^zED0ak&=4=d zQ(tN{cjtO%wy?#Ap$b@@KWcm3?wS*8nODbhJuktu@XKmGkACq8tJd+pKk~k)*%6Ka zpeZ{v{0MTRo||{W|)xoG+bIz+0WlQAz6$AdzDg^+8hy98vOxZAz7M!9ss|`gVHqQ}*CJtdU z^Xl|bjXUWVNIC8S8px26jvHdBJ-fR`{v&v;bDKdm<9vt4zHr;~?TbgDZl6^S;oEVW zJ8Y1PyLj3{tT|Gj3YIs|GCd-whw8m7E|U1JQT?!d;xo%WKZL+x4rrzIFJ?!@xck95 z1V1y_%$6QL>sPR4plahbVOK#MO&CD@;qM>q$wdJg}XPmT5F!nXev0be^7W8LR4XqW%*2u8X@KD={w7~`gHnQZP zbxfuJa5pAG-^c~12jud~n6f|!fAG2BnS>RfRN4gE73Li{Gg^t~#HBz>3>>5_{DC@x z7RS#zZ7EV9e)7|P607O4HTB9XEt9M2xSJhE;AE#mm^JACOia%jf63!g@3LnykBdDXviWlb@ZgD` zLd-vm7;rXADh0vy{1Q%)!T$@VidcQ z;&Fbev&s3I0i$kVF>-m{1|1FA>49ms*JIv5^B>fI zu6y;S`Zb8@CdYz zwY5gn78P4N0ELKAuyHe&&A+Dun1Kek2Lkm7Z0r(f(9K_`Q;0&R=z>n(se_V0Q_yOs zs*ec(Rm&&Xvsz>hgoLqY!`!uL?AkDKw;GF%tur)jio9RuVhjkUL`Hm4{ns*$`(M-^ zNr;eW#^odk4Gk-#o)uE}vc_~u4ne@TEu`jRvWx6tar%PDXKDFcU5SK$Jj}(O&Ce0@ zCXty=X}EtHhHh>h{K77P!2as+ERt0r8*Qjg?Z#A=;@yR7**5-W+Dv@4$7R8bchrY` ze$Rl=piIk~;%zQ-9?1SKcl~F>5BY*RrNNx<8MG=bhJL(RR#`8>{Hr&@4+)m*A=Fg8 zgdxiD#WEOi@B-jX_g|Qs_LEh!FnA~H=Y9uCgZ077K`hW&iqG&>WWEHE6j5wBK`w+L z4$;9xXj#q;(?1#Vq=^(JHB(IDunih%2U1efVGodOu)uy58t#T*&FqMANE+z~d7-5z zFHpjXh{!Gv^|I@6U?%dl68A*lw)Pv+SUmw6+0v>AVPF??RhK)}fm(iOQ7Cfoqpv`0{(B7aaU|dp5 zdcPZhJw#V}DMhe30-hC&t3Uhg{gn&HX-G?#CafKr=&dAH5%BRG>?5lYRzj;^X1YmM z7kM~O5mYz_*iZg(1BP=eylGHCsO!`3JE{u_%pUs|QFPp`CEeQmT2Wu$r#kWt{y2<> zRGU`XYSTWBKV_4fJ3>#kEL1PCGZ(EBG=vS;2p zY@!Mh@!o+r$uYFPz}mMjfK^|PprTxh;j`?-SR3w4NZZ1Yp60E)nXO0K_Cek-GFt~m#1+gI_{UwU7gy>dp}kvSug zxOU^Q%H$*i2>*u3j;jEHLKT-pNkJMBBs9cSVQu-T7TmrC%8hK4_(NB%Dydi%Qe7*D z<1MkYS!I8g{E@Y~;?yAT3)cQiBZO!!#=CrHC$Pz$R0E+4&6SiEk4(lo z#cgKx%zRrwW~7x{mK`dbTeH(Hqh|!IW5-gG%1~0)NpN-n!W1dSGmG3a*B-fSQpgaJ zrcM5hJ;1-$^!;PTv;4@_koOwvw>hp}ywoTE?-!T2PCY>i{2K;pi)gvh+|>|CTo-xe zpKM*@8gZStKiWs^W8$Gnw?GL~%E#2?omo$XM|T1gIyDy86MN)KD6JvA4I&i)o3GtJ zh^4w1vZ2w*GVRJj?xrx=$2FGP+`F6%EU%A)1G51%IY~9f_9DMxH)8B{rVUyfP2V@u z9-aK__G$f$UXEPVZxK;>8n*jGnk|RkEhY6aAGejF&DgT7Qfy#VwaS22{8R7Au1sWf zOL@%ehc+H55k!+*h@Jy@n-+%Hmm>WO=o@%n-tIa}CKgP-#b+50!tbhj?gM#?8w>c8 z1Z6TCLw=XkVjU3ku8J>a-+^I2B(62 zG$>G0(TaQ%IZlXD!2_R4Mj^pAq9MEp>F&>Jym%zBfRI@)ULC-c|DUpBT$##_C9taYCvLInN`|sLF|{+4pGf@?PTVq#0FtVRDS6FOdzdm3eMYH+=>D-O8TOXr4cl=X3B zh`!(PLO>1(4q%Z}%K)zQ`d@(z`XD9N0M6b3*6aa4i1zKkdE^oJy7;@(0Qh^f3BZzd z0jY9J~Glm%2@cvZv$%fXgu02%QW*sX~EgXb6kv#9`lk|N9I=)*`#iEG&bRv6~T z+Sn3p;Xd z!yLb*Ctrh-0gNVme(79qYWP%Z-)PUt}n`LU};%h zs6;*mQ4~1y{Kk1b5b=6|m?H}Loh?^KyQr&BA|G*PJ&X^=2z@5*AS--5^Zsh^1r*k z)SHR8XSb^&@%Y$096bJ(tM`V6i_zO74_lw>IPzDGgp zxT%jo?AEQh>TJ~}C2H7?J?PXwR)D-x7J>g4M~L(PlOx2)!oke>e>g%+tPJc-|2IcS z4caAE9qsp(@6X>p6JbH+aAUh&G67v^gjq>aLX?UyRU~Cw1Wzae5leH?Ynq_29O=%j zc}c0DLlA9$Az)Pl zAT)Ww*jvn$w4@Fg=Y#3X%B`U2d@=Qyv;V*8`DPMt+j{8{zXrXvI)JsLouZzhu9YnB zej-@9@MG!R)Qq);FAfAJlnZ}W-ckCasA)!;Po3QB^Hf@-n(69;)4moa_z5~%n#~sP zLvD=ej`#6%#s(Y>EiP!xF2PZ=kho;xn4K2k7xKt1+mK?qGQ!6@0)uDx{)QHdvs=Tj zq#A>#=9Je0zEc$1%&!mC{00Ee)l5o|6$;StO|@A8Z+_jBch!k&?6T}B%ewBzr#_XK zTiw^o&k5uxTr~-OvLPZ8LTKMUNNE8?Mfs=!PkuB8pm6oB0Q_(vrr&YDLsaU5)cX~3 z<6~y;4Ew-(M5spyXM2FnH!hk@As>X0zfUkB7ksZ7@TVc<>V^NH)$@qB#k_hmbmTh# zEKB-mC8!z9Xc5X&L(n&6^5>FI2t*i?Png%MXDwPyLX3K1n9H$Yo}g1{B#O$CCn`C4 zNl}nqp;r$yn;>csM7PWjhYbE;G=!)=%%H+Iq;&%zI%QH=`R=ITd$c?p4vGC^QLT%H zyUi7%N_+=Q6_rlY@-#C~ksM3FZgEL- zw%Q_BWwHH*x!z#eM`fi-9z_|KT>_#hN;>K(t90ecL8XiBqU2#toljTYJ(-wMI;>380vXm$l3EawimdRCm{cCIe2P4)AODd1IA~#gA zT`~caTw|`>zj4726)}7zRNd0A>!F(t>bk?0Z^FEjyJeb}+Rf_MO6N&ai>0X;BuOUb z;XSISMAPh&ZLaA|)1BJ~S!au|uA&TiF?`4Lm4o;kEN4SYMheLYTLyCsmnAsz$KGaUgZ6r-Tki917n&{t-E#l4) zc{0Zw*#2Wg^^IVAF#At~za#jQYWDV{e}HwVm`{iVNvYITNg^eBS`}=T!j=1%KUE6q zs>_qIg&kAO*JBTi3J}j}*yu2KNoSX)gPwj7iPPw%0!g=+)}0ieq^=m<*vU4RYVfz- zHds|8TU94g{mc-@YzgwdvkF$6OYe%`EmH0pZyVcB<|~25F${(tO|(y(P^BBGK)NPz z`C-@9Ct-L!so@b`+j)*kpuQpEm1dm9xHaSMlP1&uoHpN+OWLF!AOyJrZyw_E!nPdK zqox$G|7UW`C#g)snmp3{?pY}I2`N}xAw8Ipt@!CxvE+=5#38f64thHX2TYo)N*8B~ z8wRmanIc&VJP%MX?xf_+#;f36pGeV}{d!)5*-q(YAHWb~sFmD<7X{cEC=0p)$vbkY zWTk126@w0&ofLj|gc0mU<+Oss><;i}!E%TMzXw5;i;vlrvJ;+IGBTORee9>JJT60t zaBUXo?h|x>2a9?jwzN~L;>e3zVthPez$*0`7+Ir~o(DPTy^zPlp>;Ju)Z01Ep-N*r z%Jvk|WlUP}!+7Qtb^h1r$U|E7`-vAsE3az3=7}5bvFa6ju<{)&Vva_4QA9Q9fI*CH zjV<5AU!xdm*6DXl#fUU5DM zf6gdnk)k4=;E_a@Q-tN&ENmm&krg&cdmmF(Y)w|hxoG}+R9^4f`|S4L2+f-;Z#3W} zm0|mBfXr}6*qG{cVow-~@84FlR5SWaypgeS_$Uk}kHvn#?*jeH4f0Bt`rLTLef>P% zPFE-h_(m7lCXn9sVu$h=+|AF=X3zeYk9Hp0ZjH95C*PT2OL~}*kVlZON9hb^(glmq zbz~$Wt#Owy8BSluxXY%A)%%@ocB@QV#?4!5gHgtpe`-UY#^~={l8;UBe{#<4RD(87 z`H?MKR~AB6-RHKW8%(w{-nQK9RrRiy)k1KvNic1puWyg6e@wQJvj@&kY2+rOQ)gD) zJV)1#oVkbxK%Fh2sY^7%^AFs39 z+;6iv8UM9tTKBZH)c2sm=7_WN+%Qja@GqK<(LSb$HYTl10-)Vq`ObE1h-w#5 z_vw34Y2=T#atOBkD)N(0;Er@JR(qp87>>c)C#AvY%$rxHG5$H!?m6BCUUr7L_@@YX z5X;lU;m&st`JQj&5pUf9IQDMuK2 zu)C~d_+eA#yq91zrR*)#_YjBc+tj|6vt%tCaiwkYVOh912_HebwqQ%kfn}9A=ra-= z5})tR%XlgLPaTQAOFe`$pn=x~V!m=zQ*(nkWaJMO^-vy_Fu8iGF#2tGMNJ`GPo~AF zpw2u}a9hbbpj4HOq=?SF*!vyfZ^U_OjDI*ES7>Wp;qG>B3Rge+!%ahv-Qqi*%u&8{ z_WkxZwt9YDdK$T?iJCPA@sfOr`P#I+f)tcQ4J1tI+`a4hi6Q*qQOA!7%wPrO9GTSY z7-xA%zqFUh=^pV?gq}GqC>=#+9hlQ{)_eZ>x_vp`4S;~*u_nCqeH;kq%W|pF&BzeP zow^->{`o8Gb9Pase^4$gofot}8781AGDG1ZLx*?*|D~(I49iwZiMpV`+A`xwgi*g2#sRyxUB z0ZV3tpwncYAUDkYl1-7Tg@4x5{tDHJU(-UK+Zq(uIINEVo3aV?1MSNBHb*SiuB>Q_ zT+efu=&XZWcENoR-3ZTGBLJ6$@g>ei0XUT3$)*Y2rE3<>1?)H&w5ts9N&>u}>#a2j z_~QN$E@l{FG3}Cg^?TuF)^0#(e*dK)zb_Mua*x*>PR+AJ9MWHn-)S;O4nBznWv;Mmxe5 z3;3~|bwlxKM}=qse878G09UTzPv`n`zZ`G9TLxRT)-U4Lzt|XHuC%bix+5@Z!RWz{ zz(x^z3}&3kqH+(`qr+VJ*k(5ry!C_8bzoV6+V-WH93V8Hdf)|_`~5Lo$c!2gkHnq2 ziRfy>jg@;x8Uj83aNmvB-CMwh*~c{AcP*r!IiHpDkvtXzpEE=84PrX!ahk5nOuIsj zqm4&P)ogH9tRL)7U7d6^Rd#xylM8+NH#u90P~PH<^%thvmt@!%RN^#SF$-j=@MDg? zBAR656sGY16YF80q4vmxs#V7~RkWas6S>6tfH#)J@ip&YM6Sahz!fXlI|6f_^Ss_u zW{$qCuZz^3Q!nrUHZB)+$_XMxci5-F10`AzbT)kn>WLmCHPKiak z%~!9aIeyt~BED*r##n5mo&3?y^D|gKgw>_^vu2gBWLg5RO3hMlI`o?=-(Lk#5wMcU zZs8~wgl2=HgtvD}-mnSWLueWzs`A zh%=`B0@9k-`_6+VazKq~waVT_kw$MmYzE>TWzTu8m0sQw)@d!T)#l0SA8q!3WLyEC zS%`7yom?fhZwFvK9^|~+;FmqRsrdAQWM$wUF-7SaOtyqRaj&6G^Fu$`hu5%s{7~@| zjXB00^MrH%CGhqV$DXfQfG6O;R`U1+McsJA-t+!pG=kUg1o6mttMFA9kKu-@kc`d? zQ{l@NXr59!RbZK{s1(Wl%A7E5lXs<7a&6MAiC&%qcoJvN9V@2T!%cYg=2hAi`ekxA z8?sD)NX* zR`TVhq!ZJt0g;gU;KlDQO$-l=c^)0 z^Z}22HEMPf#9yvBWq8EEMf`hg-p$)xG2S2Zeq?^&L$zEhS5#RbWJJ9y1zjeIn~*+? z=+1X9wfyAh(7i5%YS76=m|JvtV7aa-D>@u|?9`xMi7rip*Ya4VLhI}q8@M&>un=|!78qxYiYkNxbZTV^TZT``Y z)-wATyE5yNf;Wz#WQmpd1(bkVYQ^OEXEb|7W$u~iZIlp=SLT>7hV1JHydLbvI=Tx) zSMbAwAn+yL&mXuJSjKouHXQ>5R`3T)oSWPBC__407RZhtuzO}ck00TNwn-k~CsKnS z$OkMV@Wlq;S7liMa1MqW^N&ZpbfhcnVJpDdq7UrTB7LnB`-To}2iyiE6>wsUMNUDh zpfeJ=X7s$;!PwpEGlKurW$@?@D?d7+yllX*W+ym2wmo+V69(4oEeR~bzd`TiKPZs; z_wHBjPj0NNmgUJeqCn zvYKj{uM4)#N|?f6X4)A5Tu1IeEPU%g&rK(^|LoL=$I9D>P(%tq|dA-%F z2D@INvry%C06*v?AMBoselg0K2u$pWgct!aIz;4yZ&e*r)w>m^kE#t`R&T@fv3m+; z9W=dB)oQ-#n`G40SR4W5a2gtD@s~uPRccV3gCmO%=xeJVaOZj&vT;}@S2>1|Pce$; zHk+=^iWx}y#;{G?a~#&>n@)D{r|n*B2z=8NvL2w$YwvY_dYo`l%rh^{CRS^?)2(J% zYSVVdfB&nk?|_E$+ulYHB}x#z2ho{6ql=OdM2TLaw?ywzqem~%gXjd&iB5E)M(zuRqdG@pSKIT2|nemp=n%CN$$|rw1(4?cM3u`}rH&7@C zr*>$F&voP%rzUTcu4)|gXIqtW-FZ}lViGrJ$n_X2QZrdya$na4YG^8qN&98Hjk3$FoJB+Qcq?YbC(d6 z-z@pUvft@xJgP1OZZK?5fSuy#`3AgMjES!~`uNZS(M0{DoaY`!PiaK1n@rAruhqz9 zOLZ|&IlBJFTfP_g;^`v;CdBAAJz7?!f{mg!xLAB_=IgDmQql86=BjEvn)D!LjqcLG zH;EdgRyk*;?!S1yFsrQMxg`YW`Ex9V9DHXKc~CTg^%1ZCx!fNLX-sWR4}#hUZ})Ki zs4z~5V~#!&z&?7kFW*Z4GoJx$EQ#5mvS{K0s1B_)TLsadUA6ck?-LM$NvV-13TXi> zTd~n~W?51Au3h^bV#$28{rF-nxPgy3@9EKc@O5o) z11EDyShw9XO+Sy9Y*lhAS2}l}x6!|=Q$a*7_(a_1pLw@EV>s-2d(!h78F1;dyRj=k zy~vrUFo9a@rMd`<2Dr+&dSJ-+MXAvsV*~2=@o;uKZA<_4mjOAQXteR?B;o3$g0$oO zu2zh(jLRf=!6CmQloExp4un^`w9yyJMj88;(#iB=*23x!sj|!2uZ>na@D?M7SoWL4 zf6{vY@L#C8el0$EPT3xM;Iec=B=yy2Ae6Rxl+9MuBp^NK(B1>h5_l{^wphvX{&8Sg z4uA8Su*`<7@Da(l6lm*l9nN8h)Lp>_?PTU1&T4nIl&GQu<%i~n3)T#-tDypQ z-)1O(4&aXG1M$etndmDQa^>BF3zIXX<*;D5ACOEykgGsV=K8l!oQ#I zXYZ2jY;4xG@Jw%QR3%>v3H=G^X?;n36MGVUz%n-?5ab=mB1A|6OwJBFF|lZ_RF{>sNw;?WsG=C<&^mR^(rg z7@vc4zC5LujQs-Qpy#$F8>qb@?pl|uL2Oj__w=dS$ugpSw)IiUrNIzOBm~=@=RUOd zZuP8O6zQFNEo!@sbuQT|jJ`K?w>cgA_ifnfZ3dQMu6aS*dvyp95Yg2dy=E_sPkJ|dR>MP4*-7izbdtNNu1%q-h* zE@O-3x-viUaTMzm>1dR>Abb z?U_vKOl|Cgc+ECvgLu>pJgj6XOs=iGYu$QAi1JVP7@YC#SYhAjoM$k;2p(olW!5bC z*{oT28Foo;bkTW+(y?Qo4_tly$#c4Px`xN@`uXdX$kLW)Ti_m3y6Y2q)}CvIGEx&I z+@pc#RWNRMToxh6@A-8nue{Iw8MPT2>L}WHinVg;f9}~RPDqX`_-E3!>VuQRfdm+@ zSx&~T>6CfGByu@N1wwbC@MUcE+}rFAKdTL!Q~4+*Z>r^k;hh=3 z|2SU1wiCBkuO(8);~$Jmc<7~ifqu#F1+x%b`cQCGdF8kk7tgiSR|kG0q5=CnJ5q0@ zU*k}tjrZd@7e=EJg4HF#r9Q{goXD+w@7+rw-_GI2AH+!GF}D~VJzK{7#A+yCD*BzY ztdP7v+O0BeR!rCUR!A03%Ayqibi(Y-=P0$+?rS;7w~neq~VY8C&mTH~pRHNTM z#V!4$Q#wvgq~}k@e6cGBZ!me+Lp$lm5@gx!)TW7D2GO42FrkUC`b)7eMm^i^B)va3 z<{nTvtt(s{cg|GIQex%CI#nKKSu96DdENbJ2$Qr*hMy%srlljwA9LBiT5JRuAfu8T zm|-=oz@9Df)k{BcQTeaWl6G`pndUE)amOhhtRCf~73;V9gY{J_6=iW&^DXvb$2ma|VsS-@Me$Er#$= zy?{~p0w<2on$j)3X`8==>)a5`x}qm9Wkg4a%a6=oD7vrSq#T?)YxeVOc0K()*XTJK z+ST;qPnk-|d#x&c)}D0DX_&|0wNyA=*240%`vI(K>D6oh+D=c~!?HHTzpY(bm+Mcg zCQ9_t1geOtp6<6kfMsB%1s#+^?F^q^-M%KLm{zBId;Y7g@gRE1WNt2U_`%bSCWp6P zi%p-dhzOldpXv-YV)!hfuY6$i!I>=-39bLwwbFg4T30}9Pu%@D=&77!wnZVDEtIlys)$_27B>-1a=zc6;PdEmp!H4BOULZF zSl0>vAG(S+P8J!^Kn258BQXZ_s>l4e^`mZztb!v7i9QdAgJNCp5>I^D(X8*(aBc|6ZdcLn>U@dL}hXqvvOC%c7f)4OR? z;_JVpfeD}uM~z+Blb-9 z&(q#JriK9cD-x)(`{ji{U+U9Jg7%oDaM!lN=@r`myPIcM53d0*nlMRUN28nEr_YY5 z7$(A`m|g9fGu{g7-J%t&r`5jdTws=~{P?!8v9i~=?YLY{ZBq%mpz8GLc(mJV==SA73!uQsA&KD-_YUmCDen?5@AD ze5;M&@<^)4$-FJnp#CfUwDZTiNa2ZtWg z3BP9{?Y60hwW;x@Qy-y}nR;gtx@uZz+`YsUPQVaaYkL40vss|@O+HIVT(M+!hZEb= zz`O!zYM)+eE7eL8BX}>Q+D}q#vwD?Gv@RO$Woo}C z4h@^dT5gxy>vi;T=t4(5jr;~GbO@8M8vWIZAC}V?g=~FVguYFSD*Z{Zxp{U_s8pIhSQyGQMYXbLPu< z365olefVUAcDu6&VcC8ixu{up*zJtM$sf&j=SIv{ZSMn2+ku;7%A_%e2#k+EX&kGM ztQ#Vrg`WJ+4CvFa!CnwY%-fZg8uuawnkn{0*kXh0(_fF8=e58C5 zRUQAh0MpP>tZv{^`)VgKK?8X*a|Zv}V_MgI9^wq<$A27;Kk=kDHC8Ky zBtL!pO93lO5V^a$HDi6|!J*mVsA8S7U>nR@N+Uhlok%rBj~I(}wM$W8$5=!_GaOsk zu1`k&nSG#AdMkxU3w`jHu*912{nDM9l`>oRzlEni^te2TpFizn?(+LeZSry07|#v^ zqinI*fsU0JxUK9T7utat0k($W#@c3Bf^I&p&@V#m;jmA9Z*YU+rZxnZ6;m~Xn!bZ3 zqv>tMf;#a$gpu91{XC9_NtWfAlO(?+gc=$yf@5?J_H81C8o_g)2@Xgm8ZPI3zj`DL z3Y+hQx1{;4wFRk(l$m+j?4?z+Q^e3(XV}?ZWtA9B+A3NCGQQmse%lh2zT!s55-G8+ za4j0vX)_#}DV3zh+-^}>JiL6Cq6ci8Jvj~}o&Qacm!c~Qx)}*e@=aUZW224a3~5yu zG}`rV?Ic0RE~sOX)Q|yCJ_olAf&E5^F`~pTJK9_`5yUpqPY^yb&Vu4-pmU<3{a!WVG5Tt5wgf>bS%&0Gb^mU39B28fO&$} zlDx`;Lj=D;$0Q}*EZJ~;)SH!=GoR1E=)B9r5bT+SwmT?FDvFVXt8rcGf+1#qd)->( z+=s|U@uT$0F+oi`C5?Aa>n(k<*ar{JclYMz7xd1&&d%%;`fm1j=jLCNSo5*8%=MMd}GR&&FNNW+7>kPNf`gbZA7)sCrgSHF@Tk zhWbpCs+F}}Fg$Hd`_@=a+?A`<$(>=W-~4&#Z7E(S~eUdq0pX?X0> zqOZ~IMr!*y97Js19)$DmQRdO`8=DLBt0PrUvnYWpKyMqk97 zK81-WLo1xB>YqZF5dj$|N!eEvm$Pm}R)8rulbwojPitnTt3MsliK7c)I@OF&rG71O zeZJEpd2R)pS!Y+K#*OK3=mWoIetGD()O%&cyw_6`Y53vh=!&rb@kkjzRcu2Zw8Q4Y zw}&{nYMz~!w=5B}B)TpNHk|x=_`vIZx&C+qZ87+ZrGB;+O>Dl!X;VX+j~#gZl-cgn zjlY1Oofomei9Yt!qyfcUMb@ZiLogj=~R7Ct}vGGfe|#($_?mZ+nJk$(Hdch~h!g4P$UblY7n=r#jEvo=0W34lBvsbq7$o>g`7oU)ki zUa2?jyMcz8?4mDr@%LqDbM+z{NrmWF{P@>A5aesgt@;8t5Kv~xLs`Yl&Qy<>!|Da-{@ieqSu^Uj(%u!s0m;0|e%&&yVKeesT?;?9Yy?rCs-Vq2S`t|d7_ZtAYqnqV9Z(oQ+{EK0+RxWr?)5M z;+Z#y{yKO~@7G8hDU3&zYDw%mJy-mS`4+=TnWZt4Zsgqp8z0vcUHx;MJHydaU4PT+w#}NTa#51iMMU76uG5l?_JH8decQ+mjYUOv`5!7nwN&- zZSTh2&M|KRRTi{^o(>%i^LzCaES?d@+kLN;FZytXD-ulOfq2NfR^(r;-w#g{@(Qe8 z2W*#aR7u&)dyKl}9=`$ zR_!U`jn#WBRWf|DsJ=)AS55ieM$POKWq>)e{gR3VV|%1isGzacuEn=%h}A(;*K@t7 zyLw4a#S`Nt7pkA^Vk|5QAyyyE6;J2Pevnu*vC?0kV}6_*Tw`8yX!3;k0Ihc$;%RIKvHoqVxT{UGw^0P9gk)(lo<)$Fr^EeVFA z>|)8D2T^*fIcBEgSY*>dpe~T$eB8j@mG{CvQ5(E-m*d+`P3X600m7De$A(@*=oiGs zwe4Fiib84fj))e$K3V}ktcP?iKWK3ixUm{y$cT59f%H+c$Y1lr^jt8R3#E0i-8HG4 zgFKX&AjzV~4gE%M98xU(m1)^Ru$lzI%FWeD*H(H_Jjzdt_u`kXlJ>+U;2Rpz-j9U#F%3h>yWT=twidnOKJ~@m57X)D zx>ym$dRd1g7a8<|VRF4fxf>)@x9W;dypp-A*+_~zOkj<2RekF0fdYYo@z0K~3q!ce z3;W*#lFY5-Swh!rkjFx8JG##$iC9l)RRKt-d|+o$<`&PW#R=qwxi?$-53-d^l4RqS zKykoAOZ|^8KM~~8*G)`wow7e%bOCnd;FmcZx><@?G6c3A5;0Mi6Us=0SZ~e67+Ca) zmA{}1&(u4}&QYn$0tIHFKgwZ3o`TL;R)RYesVRt+tYR;)BA*A^9E~XkZRSmCf%Z!| z2b5wH# z^4moEvLgw@nT3&NMg0|S^BqI|yJHu*E2iMI<(-l@z8$t_BRq6|3=CImB|#}_qjV>= z#Szq1y7Ze|<1a>9ZOSlIXtYIzCmCUl7x=6!@a%jnIz9@<^NKjj&Dx`Qg__s`1w#HV zyfQiP70|;-Vx;d1)a+h=cX2%01c)F=>IXF3f1(w?Wq4Q7?@I9+Oszn)g{3& zHI$GSS;QQzAChaXXKNX0B=G2goX7*$I6PZY%@{l%jB+$T3w>;cPuNtdiEsTB@eh+e}71Wf4|-lX6+5$K0I zgxlzrFB~--n{1#Puz59Z`L&;}^0d}+P9h&AX2(Age?(kF2!kS0eV+W_e&q_@#xEw{ zSQMP3A~KcGWeI^uG7;0P58={|?mT{|Ky2UZ`{xNJfVz6B$GaAjeRVl=QDKnOBSS`f zqOekJ0@o;Xlje+rGu*_9lmIX%zn_!0NmH4W_M+IoU3ot=W9W;RUj2ABp2krn{^e>R zE6h;$_B)!C$TQpL1JIxlQHK0>?l!WAcgr@mvDrE5yUNql4=(?@7x9q5xRVTe2z-Q? z_l8S8Tz*^Sli;e0X+l%t&UN?cA4d00kDO-AIwbtY0{GjrMZrf%NY8oqcVAw2N+G__ zMY9igraR<-`5Bkfg>YYUG{f9feP)H-$$9vnzh*D=;DEC{`;Wyu2{8L29nw#UT7+4{ zA|>p@Wm2Y|b_=U{f^}DScH-NyO7<_YGMzE5NlU)IjC>R|_TXw*ZCg#-W?2`!@?pYT zuausYEg%TfLOxIaGfN*5)@z=$ZeQM)Zck)$^}l9N$BYUD`02%1GtvdEvTCYf=t<$S zm-Emo&T4X1J8MTfrTr9RtBm=PV{SR>fQ7X&bA+c*h({Rvge5c#iZwC5_7USFFvlZ* zR&9@y=n4L2_(FZM@{io`WUX_~qikhk=WugsVN1zn3|&!nI=HKZCaDq*Cfr?{s{p&)+M{YJL7a@gxDZ_)c7y z#{@n1%%z=~>lKxZDYvSs!u2VL>*~xdD4*iRwlHB$+?U%nL4OvJXL;#Z6ShBapYy)N zco#RfdNkwt-S8J}O^{CBV@sc1Thdu<`6z$w_l3>4<&}spUoQ}@Pc}SZH(wfgb&T@Z zTI7Ren70Cn=k3XDxV+Vx6o(AfSP;!LAu>tdIi;Tk;NIrGWx#hEi>xrl^1ZOQBM@D? zvtAL}@fk#qdARqEd}wHYzPp?Gu+2BEM;6~DY&%%5pTpjVovfHqpo>~XPqctI)`&nk za!f_wm&5c8aO=1_*iC)nXrQiB9X3F(TLW3_v5l?{Hd%-W_uHH1swL&)@O3bg{}xes zun@tp(~T|LFX}X4zPZ6iTTEFx5!~% zCl)R;pV$p}BwW^zo`#YxqDwB-F;615+2<%^5XL^wWLb0+k4?%I*DYpwo>8rEl;TEO z#4H@TAe+T;KVk$U-LfqE8 zt2U)InjvVqT-7~l_T1%Gcb&a0V|BIIhP>omjk`tC$o-6Qj*40={#9R9Pke{pRq`4^ zrFjv@9Wl^284iaJtg&x$)yrz%`0i;7#Ds^1o3A}miXaqrst&AVldyX$sO?~yJ8rc> zdfU`uYx9a}F0}E>Wq6o*1`l6=xGR)^k=;G#)9fQA7~Gm`J>KQmn1a zC*+yChw_J3hgVGxcWy9tt$5-n3wfyDagb>ad^wIwG?#l{IJm}H^f~iY3kGpaQcQw@ zEb^RY<7pgZ!y2ofb#(pFDip6O9wlWwIy-j5zIu~1)&AZ{0jLs|l$3Qe866t@gMR$Q zXPHRzp_po2rjqUY3A3Heh9)BsvOzN`ZiUd7t20H+UAC()tgb{yAS}!xKiLR;q^=px z3L(%CPP}xtojvZWKTXp~b3AiX*5|`}BXbs0sk?2?c$MXIIXqZc%yHz(*||QIj3528 z#orWlg0YQ0zX)by6EVytwg|)YCJqxb1mB92+@L2WP7>oLQ)iscCSesE1-Box?WB6R z4Ah?Q?VfmSq&Zl(3>R7ZJd13I{5)FV$Xg4YGD>-)9-}?lpNUuat#c+3c@yaUPnJ*0 zPB<)y#IhtoO$Asb=qZan??~t4=tLe;=21sK2$gN$QTF;MLl} zH?R@>tYauvdN}s^LC}c(mrUjm9xA0@IPdJAG{#)`3-6n8y`qr?fn#~|;48Gf@5`t$ z{XUX#@ee#v!A>_nLM%s^K3r*OaA;uXj!F*kuRi>iO}*5RvHHY7`m2=qV`+cD5|{Wh zSLPW|c-+fiR zv;RY6OLXg%bZz4FD^UzS-GTP);}-y#b{r^W~rl;VO{p)PvklN*)PK+ zaq{bB#3H4|h;TFW^RZf4&6^HEWzEzG$mmDE6U%Gk*A}XdpQ$rtWnDLTIFBqsSHWV? z%9+CCPFJ4NT;srOk%naBKH+$cm8ga{z|CTUB}u6w3){=t0GM9NerS!q4*5XO=A%ny z#Bc4?>Rbx4ys%%dPH(Pqbwi0()3!;hoMXo{w@Zq8*i+5&)L(o0s3(;gj&>mCz8sxZ z$)61Pg`SB27=vCvq`Y(as_cRd!uu-dXh!)9t&@Wwciz1Xc)3`*iM~^h{^bL~_f%@x zaSR+T^x28KPa~KuI@exr1F#RR(C#A9K3e-MqWhGt@JTjteD%S=WB{~_^#1gFGl`nXH&D0l(+@dE)m6#X*ScaWU>c*-*r6H1DNlPx_Dl{YSGd%^V`zBZ zHQy*wf*iLxI{>%7Dcsl)mmoXL+BZi%ZbhYd_$Ry3U@`7jjjHipS(C1x1^&u%_<{a-*6Po zuzuUn#~Wwxg6ZhhkO!co#nY~5rayxWq#VCkLLNJaA@1xFBG-jCpIeY7!^x8Sk8HRQ5i z%wc&Q=isol<>Ep5tlM4as%?M538uH?wL+3l;;ra%>wxANxZs2ONU5v6i75d;BLEC! zB;fy_3jtz;LLeXrqbUL2|CIrtjQ{$`X#F1<0188qf%w5FG6)!iB7-7O+rc3y;{icX z`2D^>C;+t`4EcELJ^O$NAWB~lKO99b2nYt;?+XGUP;`JG5Y)af06$7!I4Uk+ejo}4 zU;rGYFBk+u!5Iuj`s3c*z)&!XEf63G#byWyfigG9|1uBg|KcMk6cqy~9DE;B06!3n zd@A{$>p$E8@Pqm9+YI1G)eAsU=)TPW00IEHKOT@Dc7GlKAP|Vs7YKr*=mjD{Kp78; zK-B?5;cfS~_nJP;6pq89=J+~*Jwf{GOo z0!3z^|DRVt7zhPFAPkJcAs`Hj68k^|42oh40s*)mNB_9|zFrUz4!n;&a%wP?b|3)o zzAr%#egH~e2mn!9e)^m`1V>aeq7r006lk=MZFY-S-Cs20@7# z2n+_lA0PjO+kG7{5Cp|O7&5)w_XiBj54rDiBy0aCcLM%XLt$VLN}fa_kKzv)7=l_q z_yNFw^Ys564~D{B7#IOTu@3@3$zd=E0QPVE0RPF^$ginT>IV$Qk2)Rb@^w$Ph%q9$C8Y^9t!Al$s2KAyD!f434Zc_w~Y&2_HoU=D#0JYz z;XqU$!I63QJ~xnVL*X-0c0a!W0B|_q9@hY1q|5JP`VUtB=Ei@q`ZojqdH@31{xdkgMcDJ2;|Ds2yBWhx~4!=Fa!)YfkB}ls1d)BDbU!| h03xDGARa{e%{{f~1p%DN8 literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries new file mode 100644 index 00000000..a517fa83 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries @@ -0,0 +1,2 @@ +/UART_spec.doc/1.6/Thu Dec 4 11:00:48 2003/-kb/ +D diff --git a/usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository new file mode 100644 index 00000000..a41b31f8 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository @@ -0,0 +1 @@ +uart16550/doc/src diff --git a/usrp2/fpga/opencores/uart16550/doc/src/CVS/Root b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/doc/src/CVS/Template b/usrp2/fpga/opencores/uart16550/doc/src/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/doc/src/UART_spec.doc b/usrp2/fpga/opencores/uart16550/doc/src/UART_spec.doc new file mode 100644 index 0000000000000000000000000000000000000000..227dbe2c82415c9cb0a40b1c2d87d7269ef70623 GIT binary patch literal 129024 zcmeEv2V4}#`~HH!0fG(eZ7oqyq$${yA}ETWfHB5`2OMw;9C9FH!1PVvmV6Mu{=@-aG&2o!!0Lg*!tm`N!{%)hPphP&pjr3^{zVx+T>rf>N*-F+rLlYh4ova^5vN(+hiyXF5Lf?LOM-wofE-8pUt zR9njb@fePqjUF6{=D3CUPFHi>=g3zf2LH&uJ;k&-j^lcu{MLAmyN2h>5;(3OzORo4 zZG7LvH+>57T@(4LkL5TX1p~%&Tpa3mLVM~l3d(<^e%DA;-j`C<&@MkDsD1R2@=4!B zmp%`*!gpT&O8pg{LM>uA?l#)vhjL|P<)re`H_>;&bD|f4Z=yr_rS?jEBmT%gQhE8k zf?P}ek?0YfM3^m!UUVwthjy2QP(1N{3hk;6!cuz*QirKLqq2m%Qh#MAbl#+n&Tw>YbWx>ALU5S(ue58l|nx7m4+VaAAK}pzRxEJX+6r1K>MY%RKL_u zNq?p9yzU6Cx1$q55(i3%QP58Fz zSX~U?T9+21PH{|NUw*n&O-d{Z>D_f{3CJ6v>FdsWy8Ajh@lhe|gZOTKeD(JD@NsT@ z7CzN^Xa9_Z41GH9<>khEdwP18c65kJ)avYNQ3)+owbJNg)3m8nT&41K_fo0kO;-hL z(@=k_GXZa@ zn#$K#dDr*w%~!sa7VXrf@k#3R*hC&3^zyA2qfO^CwQ(9gBQ=iNi_#5LUJX4w8|5qB zUKa-$parSAv~)g2ovhJQb{~~zeGf11eAz=IBY05P^FD6Ad~A|NjW+61;_ zr-^fS3`yZbLL%Jws5TKnd?r{e-fyLe$w)v~6TneTnx4wmhAkC5)WI|>;| zHfizdSPi3L$QPcfNnBL6_1@j>(>1n#Sj9B6h-z|~1;Jek~+XqFo4hjyBXzw4zJIiyFw)-gG$IglG;NLpP zBr#{N(uRt{g?^i^Bb*6WUz-1$3S>l_F6qO#dHOR$A4FWA)`=%m$3`}X|ajgbWLn}1~l0u^W{1It{!<_ zu_~X^4qg~fMm2JDjDoH*JL=LYMcWtS2)gL6&q|3+Ow*<4GV~Zou^M{d5tXJ+(I;!u zF^pU>Owq*DG+kfV1wF6Vq-jwuR+pTdks_L4K31Koj?pGzFl+Q~d}g8+1_gFemzgJD zrdAkSnq(e^N3c|yeyD|pRUGVQER|2v_QvQ=5e=Cx8KVRiEkmzON#NCn2B>3_C|4XG z5$Rpun;Mv|i`6B$^UPSYMq{9&$r!Y-Qm_*-8a`RwPn*mvHad_BV?ab$t7sr=Q{rIw z)3SJdItZ)N;`lITFVMJ1O|q8k6^5iPO+OK~(#OZsUu?6xqhqi}O`_B{aWrLHv?+Xj zZ)RN4?MCbZ=2gwdfa$O`V5hiE5_4OFxX9dmT>b_@~i zfTN?gyBBZ5b_#|O=BopYsH!vBP*p2!8ahNXoMN^M3~i_KQiY_D=?fqOF;S1{0N>y~ zGl!i6q9Y=sqC*V1@~9}LJCzEV{9Cn(KrSEBr1~PkPI^c>9yih?ZV7B<^DN3l28E(X z12K0bW4UP68O>JyR57%P#3bgzysehCjk1IrvV;pZoaK@1IqK;gBx`@?Gz@g2n5I$3 z@v&K${Gh}nZ9}u70%Z9`?*>YdPQx&sW^*dUS{T?RP?pu7X^=n?$_^=F7$iUqF%=Vw z0^6ajUMjJb{;|DNbeTz-IQTKTcnl?pKIR~AcW*wNc@A1q3L209K&T;M#1unCOs~>1 zQqv7{oG|4XbA(HCVT?KhJ`7w9F{@asJ2O_|d<%aDV-xJa5cTrq`|)a+O*GI@7LG$Y zWzeM1_=)4S@yyOexABjRrkW%Cqk^KtJ4JQq6h+zMH1S%P1oRr+uFnTa2FOJhA72k< zIhAZ)N{S$$0x5N5)CbWW{4uE;dq~Dsw3UKl81yVMLda~?a9Lh92n<;xq9XIsjnMRg zr0pym(1})25qa}4b&S%aC2L8J5m372)#n|>nO*qencaiw41*V` ziqvJK#cEU$Pzu<^_HFvRDlLdg)n46C)n1#TiqPnFNg2X0QpG0qRvAna46Dk^+ow_c z#Qw}4@Lurb`IuC_s=m8#Bi{z>rk9tGbk|st*&>z7p@G5TLIWDW>`4Y#&-^{Ht?IK{54 zcxRQAQ7A4NPA9%imkvP@p&?=Ic)nW_Up*u!qB?Iuo}wm=jm980K1xGL(T2_{A?eXx zttaCvX43NsniTSrF^*!g*q9@qL8Z!1J|qwMkT_ZqN$axGDsR@+*3cgVbzH$bC$m}A z1j*n)Mv^gweBv}+l0c@jN=%9jW99=!E?=WU1qz`U;8-g%3I-OIR@E0eE9b!FeMr1l%1AS?g4`YXr`Wrs97m5pYOXYo8 zdHFrEU|2F?F~XKnZX_*Q7y;fq8(O@y$de{SvZCsActZ&q%1q+`z8IJ#EdJbiW_XRc zW7R3-H>sIsLnSg3vGzqtz9Bq0msX+v0j{*HqxppHn&dm#Tw0{U1)JbC(Vh3lB`v7a zwaFS*N*$x?t1-;kSY4%4pJ-sg0(jQ*f`6GZ5$kJXJK&fwTk-efFQ0~p90{dWuSQQ& zl6b^!mF5GoFdKRCE}@YD!g#|_iK4zm21wnElP{fN_QWJ3Ae8r(1{sxXZ?>dkXX2;e z+KL`xoa7j?K|`xpH-m&>&B=+V$9zMhkVxR_zG`g}^NGp-iDL`q)Qq%L9e5~N)>q*c z5%OYOVkEsvLksJB!-NSz3ZWvYDJDh&TakMk33$W&cncIFH5h<#Vq1+QupVvzZzQkT zG7Mwh1%}O)mNU>HshF_b5M2PaP$0@$Jvc%sqX81F8?CEl<_-E304=VeGG)R&$ykaO zJC0{FnvvTE%8qsuJSXx~#Y#1CNPAIQbV^iE2vQ{D$|}E!*CeOHbuTzYh{4dXG-2$C z=EcCgzim~0q9HdbM#leb*@pER2rYo4(--j@J~C04k%V~zF%eyYHkJoR5E#KsO2hws zicvM;Jv|e#sAvGk%g{((IdjM*9U@3tLvbOIm4YM499?yCGHe*^N}47e9tMm^CRsPK zEf{vO8EI*lk@!Sy0!Df|d}FN+zIYaokRY2|4V;GABk_BJ_so|e-zPZ%ZosNS78rOmxr%CEUq!w5X8(GrI*4q- z`bTmcqOwvovZUyt8J`OR7AHwW0io;o)2BwU%t)}ZY1N=BLam-EZ}`Lp?@BpVRm`t|q9i0hYlL+)YQZ!R zCp?Nv)1^{)mjV}xY&3Yi&@Cb!g;@(8J(|W;h1PJe@o5?;12hIMHDrewD^Z<>WeV!S zqKw+i>K2yavWK#8G8Vt2$%eerf-yc#m&`*vWY+0n5>?QE4@3oQ21Sfl;tk7cAmCzP zgW!4^SmjE*A{o_BLwEkxAiX?G5ZNpht#O$$3mteVQL$r`9fO(zr|ho*Vg_}dQZPOgwkyy}uw4xVaf%9WU@Y$f2bRrDkau8&G$$Dr`;z-$LJwW2Ln#@_G0+%{ z{22HkY(3AireV#8XbKBr3DXJF1m%?EZ*Tw%*22}DhngC^2j(BLmLudM_;oB055j^6 z!n`tRMukm$>73YE6_SvG&|nn^5P;-5}Y*XXgulV`-GANX~hFWEy`0ZkAE}QTN&BTKtvHM7C|EgOD?NP zual}17D$o-S1bsOA#ow_#8_;MUBP+?Gn>JB8!CXfSrlCu`Ix5=C8d5zN{E@9tS;tD zVfCeG`b%9}uX@a>6(gWByF()dGZxK?#%anRY9Hc*-XLmUDJe+0p&`ix4Z$Nb4GMUe zLQqqPCm5?Uh8nO_nkp3+wMx20nnaGYm%9%F^I|q(A?m|I>Bg~`q)AD@x>PW@;2N0# zM>{)jMp@Wz$kggJSUl>m#uHY;;HNOkVR_X_ndE~pP75X_o^8cS)v};G#yNAE1viEl zqA0`@YGjxW`^4nlNUaugi5AV+VXQwGli^Av=xF2`dqJ(Xv$KQrSwqkdDKm_yj`>Mg zv7;Hx`wr@h6{J{;&~d3P;c;=YDTSB_k_KxbvPY^y5_+Z%+a4}#Bv3nq&d}r-LoriW za!e?~&J5+mZViI1q?4=!xigqp;E1Q>tr?zlI-7umK_t=@*@Ct~T}3&w4jFaCM7!l} z1si;rf~9LQI*iCre3v|d zBdHF`ib)-NaE7TCDns!LF~X#RH>+4J(wyMsB}@vwqR%IFJwn>%aY3|BoE6B8NH#w$ zh+8UYY{-dohq%*0)?3Z?<5+px008T7lh{gL%S%nFC(WT0yNOZ+-Vr2=if|Pp`cktb zZs3uqA`&a5JDw8-rzb)g?&n>wC18#k9_67X-63k^n}lbid$AFmq|>Fws4-v!rwfy) z*jr^pAJv5zY7@iE_JjD^eH*Xeh0#BH>9w<6I2;G-!h%CTSS&v~>)pLC7mksm2kBRkXrG zBnr&a24(Ej8d)eRjIfgFXsdK7+gE$Sfa2?LzAm#igwZf3V@L^8w3;7o7|Nw2og#yMoV;Q z1`~qm&oGZMM}lNQUZkYo{?ZbeV$O!F#$#0?qlBrOahQ!hA_0X#2u&qL4T30Cz`KM8 z$=V^+0{bgfOk#@9ND_9;4TlO0t8>Ew+)yzl7bo=uc)0u z7!G3uZZ~y~d^mAjumwhb8L;q{IPsz(P%t($|AmgrD+SY8dnI?<&^6`&s-&2e$dO=k z95HB?p}aXp#A)HMVSSgVMkG^Bhx%|s@;%-8@OW66j5IwIOYkFMcbJYPBf!bFM}(jy z6pq+z3^57{2TADIKH$H`%y@ZJ&n!22=E#apO^6}p=n{ab$6#T^k&T5I^ITvkg*`91 zbX2o@}kSPxPNnRLRNkUZcW)Z$>KsdCPDjDP*|nOa$y6Wxpj2~ z@3*exE!Q;&P4JhQSYp*AIHJ*ClO{8hh?*cwD6BTva3E)qe@(!7g<*ccz(+U45rwb<*vRXfOoBF^I@| zF@72>AE`8TM4O_g!^NcC)OVA@$$0s6Cb@_P8Ne;TeqkeVWe_PugJlZ?=GR1_MnhOd zvDYFQcQ(^W(~@!xLDoT!AW)KC2L`|b)98bPhBTeGqH~e7>J@Dj?JSDpz(A8my*T3u zr0EIH{)v>)Iz3p%h=NPB{K43!wH1@EnnpHGa}!xI;Tk$vfF&!ixbj!P@i3*}sjleC*wZImG zftmT53$4c<4BHjbvE4*NFAxY4S;KmfZ?z*XcSLI-xu>L!c=D1O+2SYyhhCCXlQhY+ zi7BcN@l{+|r14lALJ3J%*g`MQLI^a->gK0GTr@$0Ej{zoK*YfW4e-kZ3AQL<^QUY< zhIWu`G0_gNz%&tW+*hvZKm?<_vEe*RsBnA(dlbaXzX?7d0!@QT@XnE)&aRLz37#UF zERHpIzKbpcYdp4tjX{G0ZDgrvm>?^SN5pOLMh1+$oL1hd(Qw4YkcrLC0u^O&ScslU zM~H&g%!d%))sU!2hpy3DHuVW>QYogwQ&v`FOj66mm=l>*96c3Q?;##I1}&X{X3I$fYsFS*`lTjmV<8_@mSI>` z7+WDY@OoW*dM0e4&_?#I3~QYzY#di$BZ+$FqR+xBCW!yABgxo6K+Kc(;9c0c(>NR^ zrwuPd@E)--7f)i* zNn`1pEzKArNn`_gaTXNLUb7=X31on!^G76cI#9bSj-&}#w8y&TNOG+9s`h6OL}=&{ukju_&g32ir0@31W&qlQbO#k~FUEzO3s zC!z|aO{K^@UIbGam>@5&dUSfzxJVI#|7eVOIA72$7dDmGuZNTNDC1KP2cG1Gq|+`? zl$RCsu3yiKj4x|ZpctxD76AGBUiG}O51`mO&qG$QonBs|es~w^$1CZFH`5RK;v+b+ zz2FH@+>Km;FvAAEVXe>1mZA{tsk)@cM>AuAvlyaMMHr3*8>zy|Gu<&q*I0%TO_LFA zRL_fM-S+b1t9kSS+W_Q|HGrNX!~<<>i~?*;Vp`k8{Mar=eo5~l;JONjzan6Q)96(j zVGzJ9c#`Hh_&6F~gAz55t!$a;K_*0+Fgt6Lae6V0rhS9KC-X^@2aKukGLB#mgu-M^ z42PgtgiO;fR>O`3i*Btjtd;7qq{dS)Gt2lA4tD0G_n63L!>nS^)Z@{KS@hpo=#!l9|%?#Xcy#Rc2_0R&r+9@o!pw#H!I) zxF%vH$(YMv6lr=fn0BEm;uvum^F4NDgm4QQhc{4hrk>nmg}c(A9wlk+g#hC;(y2WZ zAXPAkS)AG29fg&hQ9dj*U=$J+>grE#8+9k`@nPF}G;`G#)@<~`EKb_wIg1T)R4@ZG}tjc0bq020ItUTVy(G?lWkBfZE3X{Kk= zi_z#XV-OP@_7Z7JjCjdP2eRmh7CXt9ODFfztb)A6PR9j2y^ivtI7IaH80QY7r&hyi-R zM>LyX8Shb)WEClQUnM~?GbY3dJcSBOy9r>o=!b(%vNty2kqPHB$TuSyxiRA=p3L-u z(G?C_fNlP8$eAwCCV|jCX@gkO)etG%CZ2{cOf)cI!H|?-Zj7cKr&I+UU_->fV6Zll z`ULix?u|GjNPalU6#^69@_-wOg%j)toI|2QtG0$Thfo{^UszYb#wwR$3f>~dW2ipQ z12^8_e7mvt04UxH))?yn7p0{nZSU)(J#<-xd1#t=4?z&=wAflC+t`ya|m2QXzy8kIR=N4u)OndQAkEG zcdmSM;ax-OuyW`aR)5A*jqLqs_WCh(U2&$2nFnH;8nYis5Sl_Xh33nRq1YFU4EAnV z9G|R>i^GE5Sb*(BupJ-NLh{1|uBA~;duqI%UTii@iFgxD8l7w{Yd9nZjbXE^QE8}E z6qm(eo-7O;I63+ZdVyQ7&%ohc(naG!nRcXw)oQFdDK;YsRurYFLnfGr0Yxtm`#Q?s zPZ!Lm;QdJsfx%x1V?W9esS9LN22%nyJz~DdBL#!=C(V-~v~LFHD;yY_c*L@@m!mO3 z(&1j_{IQ0J2W)AbEUxC+hA0o3hDUhCTn(iarNoxAcxjux43B%nO);g*NOz?)HhaXk zTxpw5+B4Q``>~nOctk}!eIf-ym@^56rqKoiW>rX7i~(XK3Fmah-9O0}LrZX{6 z!$ik1hQ%=AwCV&{MoEnX#v8I5Y%hA3Kpv-~gRLz&>?wg*JnQX@>u^?3aiUU>-8dY@W{-}bcg?G{9P80>K4xOo1C6==X6{e zm%x?g?6?R{!}aBO{1S~j=Y{VMc%tEwI4ze9q;P7avyjh;J@e;Ub3wRr=8E%&txMxd z$Hn7cdK!r*fm{?9!i95Tpd;jsL|$*y1vms82A<)U7M}y9 zRGWzJKS&l|Sh3#_!w}?$|Fs{c?=`Z56)O zipga{a)FqfcI+1vcCxe`TBKKNOOCU#wFqomiR)x*A>2D*k^f$TiF@?>xOvMCFmVsJ zWcjS9F3zz?FS;$(tMauS8rar_DfIooi z0NF0XN0GQ~xQz>ib}BUbl04hFS4hZ<6kbal!1P{y zB4bKfi7%E@lQ`>ROoyow=2q(=qnb>J9>3w1Xaj-Fd!QWw=k@&JXZUg<~aHM%h0V z*)96jeFG}xzg0Q^tp>+zI1BqCNu2rt`o&q3tQ~=pKzX1R5DFx*U-lPkjF;7n_@3-z zJ|WBWZ(S<1;ZKj5!uIpm-J-f)}z_w1eX-g|BN6G8dsjme*6-5%%&bOGXlPl3_E zIAA)k1lRy<1d<-fcw%1q=AR^OdR+pyNO4Vl-mLud)d2bDzc(C}=K0vzi~lo$@&0Se zAEXQeovz=M{EL!Iw*b3=UxCL!N%W}+5Cg0Q)&U!VAAx_%WslD>a_=RT$}-{dwa2`Y z98&*-GKUb2-a=85>0#hHa09pr+y-cl@`NIrA`v0a zCSId@$6dA@=H`7^1#?!;qGw|xoA}vuRVaTh_iyKX2-GNlJCZHMVYUGjQz?OUV|kzg z&>t88oCbaeTHA442=G488CY%4ao+-)fh_=66b=gz1B?d70G|Ql0r|ASDV7WWUlKRv zYIa?oxca(@3vvPlOqj3qw49pk+TMbz73W!=E7AcY0~3O0`6A@H+t=cX24j9Cm(l{$ z5d2jLRW^F0)M;BbmdaC1tQ*03Z+u0)he3 zM@j#DOLAO8AQWg1gaJK(XkZF36<7u=2UY+(fk*cr-MWG#s*89xHq3xBzfYGbxqt`r-)OUiXn0>Xl%{^W&#_4jlfo5CvXV(4LA)bqC!up z@6tyxGbocIhzx9_lnUvZv8&Gzm)tQ(RdSn9?>y;-jJWCu=y5H3&}p%k!*8-bHF9w8SosiaX<(WC>ssS|s1^3M-;+M-L zV?LYtC-G|vL_n4amxc2G$MPqcx&p0$ARr9r0CWcW0BL|87z>O8URz=>As`o~A}A1J z_KEO7@@NX>|BvNQGMx;}1Qr9UfNy~Hzy@F&@H1duZ04WD%YAwC*~~wYi@hM%uO$Bz ztL-We2U-i9V*5K(k#Q{$X<{vqVqza?Lg{yMEnr8oJp!Bregn<{R>e5Z8%O{Wfus+x zVvs(s;pL-d@ z*^+#}0lo(|13Q4k&{SMmkq@~41|qTx0XCL%;BCe8$0a>`rwwe7z= zIz-oRC_=daw){cxt<3*LNv6?&8b|;#fWE*GU>GnGmUjp9&8-O2yoxnceERX~I30wip3rX=L zezr_9iYEn3C=7`CV1Q7IxYJoEe^`lsw*Oz0WcrBY3cLWUan!IRP#LHKR0VjTCZN~@ zR3skCq|GT3H_Sfi-c9yMQO0~iR)U+5|A1$a1{OHuX$2SC6vQ*0J1tM!W|gnZ_q6dc z*mGL%)2F9RSxh3rN4m$^%t7CUk2J6Mv{{@lpK!V}mukkRK;O;}ij3lg)1Cjox{HnY zdr4z^yhu$8!(k7c09MS9)KGhps|!#cXaM*Cje%gG8&JUE8qi4SHtTx<%douk&-#BZ z$&sAREy<&r$Nt!&DUm~XNaW>`hNshA_W!S|rO8uE(WiQ?1x%~HDUFzk~bA{BU zbj4$4A5}8{sIvJ-$}_(T9Z->s(*Qj%3>Xf442%Z~C`T-1jURvGB@U3t6DF@E%e^+g z3cPeA=aWB&(JYNlLroaX`R|o8ac`7~ecop#Jp0A@%D#sDscEJ@|EBzHNX`?0#lRBa zD_}M79k3PH2kZwF=3a5iL-9oMp3|!&!dDrjM;A*>>IE&YUD@>QmyCO9 z$Hb0gdm1#0$6gP}JM!PQ#7&?7uqF9c1*!o)0G*@j3B&+#KmsrsDBxTWIq;wR z0p>{?h4==v!bnWKf>z|eXDY^@WAGCV`E9ylZ(R9#ZLI*gd;|V%YlR)jmd;1+27Ute z0SADKz$KtqDVzxgx`fIE8!7Mkt0cPMEoH;UJOM)%h0ju`3ko%PojHNCqjRvkL|I?P z_X{8w;2?7cz!9he)CK$ie;@$p4155j0~x@lz-V9$Fawwgd;_cob_08WpMYP1qrffT zHeiETnF_E4oPpB75@0D1iaD@7kPM^%I$$6$2ji7a+AI;3SVc1uj9hkA^JLi zr#pr8X5niseSM3+1MH8Kn9ltQr-IvKQ6`)f7SeR~S)Qgd)rRko(DLzEzk2= zo;3a5g8cdS7%oCuejYi(aMySq8OuD#pcLQ?xB?{WEb9P@8%%qwiE9m$r)@GM{sFb`M&tO98K9s^DQUG5o$fuDAheO@KeEgk z7)y2}+d4p9zzrA#)WrPy9#9AH1{wifjIzMw|GJ5easuWPESI9aG`ke)!W+{C&W>c; z5@-jIzcm{83>XJY1Xcp(2WaGY*>vAVE>!bn%s*k}O|Mg5N#+ZR7B3Ow6i}ApK#nYK zLp8jaI8aUd|A{z|Ey;HkuoKt~`~>U=E(2ZU#ynCk-B(G-%m34Bg$;NEx{x=b^Li1Y zn)Z3=y>=`hZ*+*#Zy^@I*^r#C09SzstdAmr4}hLPJdg}z0k2~J%^UUtK4EI2ko_y$ zf2A$Rr+=>%3#v))J}W-2Eq_o`7%uw16?M)p74OAO|U&@Y17s>pvd^?P8AOuPruqr#i^3l$p; z6^~KUFf~+CCMzqG%CW8(S|0qOyvKsTT_kOZUveSnd` zbYLm)1+W~*E+LbCmRw@5lE}U#qwp#O6lJpI2M`rc6yxAEbwSo*;nJp&i9N+0*iTS? zURxJH&R_&yLl?MWB*&G&LEsQ@82AG?2NcIXNeO`VOwIzm%M1G^kL8_FBovI!lxH)a z$S7Dg^8^%6$7~Y>&<5RFiWnS?sh-v07r&*70h&PSqW3M#>r|)aqdKWdZLs zl)cC*w%BF~7?#r+iI}f6m1C=S+-$)AtI;f1dGgO|t#~&;t{JNx);d zxbK=x)M=LgT%3@(P|p7`J1@5aa^HZnB6&{%rU5g6IlwBw6X&1QfMPBvV8Z+?p?D%! ztitQJ!~wbFO;}_V$v6gx1LA>1APMkoAaj)pXz~@=3hlqCH^Kg6c7d~|bB?`%2f!o1 z558w8&;`%{POk6^fhs^%z!j(i)CU>>YG3?cPQbZ_`28?oa0uQV2EGKo0=5C$fxW;! z-~ezCxC`6^?gI{Ou|xrQpb^jn2nN~!p+Fe$KJWpM2BZUhfquY1U@));*bD3jZUDCc z{CA?9C13@V07?PQKpCJMPzk64Bm%vFB;Yyzp5pJJ_(!Qb;@_n|k6rrZ5_fR-4#OXJ z>Cg4Ddq{qDi7Ur`71pLGJB1lg#}YsMnwrQQe&LDQKK~P()Wpdv@qc)tYZS5zsAH3w zNb3aQ9H&rvlU@GO$Z085QA+r&Sw*eJ^PV<#y{x!Px7c}9I$z2K(pVn{tOjlXHvz@` zZ$9DaCvy?any*4%;6FCz;W5$`-(sdpny}pBAa5CH=i)8GKCM` z90%mmhT+KbJ}{>u8Mg$;?vu?Q4U7R`(v6=6#!FcJf+gg+=$dRrp)L52*#gd*WIYF% z3v30p0V6AO+$dliFcnw`ECQAQOM&Nd*(wrG%|20NQzXpq|0s9fvIEdeL(g*cErOICqz1ULg_fbRgsw4XIwwir-6F`tl2=)ZOSn)^Sr{i^5? z_WbhSdq?(vtx47!fbW6LKy+1{{R85FcpwGP0eT<<_#9XZ>;$sqYLO-9iTOl!Gg&_K z*%VK*b7Vtb@#Iw!igA!_G9pPwIOH(CU!Lboh^#Nd}cW(YC zIeGyNfM6gNDE}Vb{RAokl>r{`22z1hz^A|%;IX{>ubs$}FYsQU+F=W0>lDGy)m}Gl5ya0^kck!O{XIvgEA$x12#^orV4% z`#+y;nENaKZ{dz4%Qe7SU_Gz_I0xJVY-;C`v*Nh7!uKnn1}L%_CG)nf3Vl8kKA(+T z{wk8O3a|r;0-its5Cvq*f7DSicwZ&)wuJmo=_>mFnsdQB@Bi75oI3%XfiA#oU=A=3 zSO8oEE&*47YrqqrlndS$1+sbB99uw@$aZ^$GW4I6OP>PPV?NuPl)uy{!}mMq|B)Pv zyTacCY69*+W1tDp6le+f10g_LpbL-%JT`P07q6Yjl1Bv#NKGMOg*TyyCK=mVIRBfN z|4Ekpfg!*rz$9QYFcp{%d<*;vl&h0x-{+x`u~-$FP4sn^tr2^h&1Rl};)%TcORr7N z{6q5x{;b$9M$2Qp!s~k$_^D6}&h}WIcrQJqkMTDo-sN`y(Gh!+Yk8m|P#I_f^s9^C z{{^yh-lW}SjQbD#^Cs>8yFdSvoF@R2fGNN?Kp!{E{lG$CG4MI?Bd`Sr{B8lafxAF< zD=VWs73TO=64}k(q_$;`RGDepo0Pw?3i0ZloBv6U_W@^j_s!l|+`yKc`k0|1rJ?#uXzu#(LpQC6EDR0$D(1 zZ^U>3PrwW42t)ws^^p%SFRiR=vKileHuF!iLZD85Pg=WFA; z=KoodyuSjL178Ct0V^N;4rr202h7i|=AYza&41yBLiR)RhXe37s<~KzYVv!Q`Qicj z`t+{J-3zI|7IVdID--BQO9vvIBu(z$jn}Fi0-g0rG@GFBDG-n9$3qnNP@b{gZ2j%q2hI zpZfnk7XN$xzdgxyDlik64Xg&T<@Q;A{Sy2BPo(j0AWk-WbJ)&m=W z>%a}*E^r^PZH{#zP#h=;1OVCBWD+c(eq_siz=D>!^v~;&l_;G5!3_K_(GK(_AGB6LA4;%*U0&xZe7z^wN4ggPpr$GN!*fRuL z1mT?#AT${J2Ee}7$O{|*4gx;|XMpE&mYGjHEm$@Mfd}$3uaZzuGoQFEujf?~=1CXu z$xV3+uFDhhYf;y6s?NR^S2Wm~ldi1rdcURci#!!cCTD?w5cq+>0AL_62p9_N2k1B3 z`nSb>ph7$0chk<}`vPzYXc&t3#DK;?6QC(jz@EHHw!899DhOPDqfZp1&zmK!$Ywqv zFaI{Kt$6xzCv{B=`x0EuIQ;gYMc6J|RD_?Mw&1Y%t;OzjFy7x z7(d^-Ch*=Wm;g_US1aYt{j-W{b7HIhu0?*$E8I#gjey0v?%xc(J^4}117o9UYyIx$y^KM=U()ieZ70p^iD@-oVhqi?^Kg-GNO9S&d*~G|JwTF zur>OjB}P`~M_Ha5-e5<~*fuexzCQKaoHwV4Ad-M3o zgx2aYzgr9}>N#Xii(LV(%WEv({OLCh_m->J^7)l+yPV%&HRhYg6=Lhe{W#S6+@_r& zW9Imb`DR+ls>?k4R^Au;cw41s2h~THkN^GP-Vdznmc00M=&xZuZXwTJlzY-063E0u5k;l7nl{U6kLzI*(Oj;YHI>wg{SaCv=&r`w$$E)1>z zQ?2iEGiyX%E^~a!ewQ=77I*EkD_~NI_oID&xKel5p%=c@0e^&Zb#;&yFEw??k zg%8O-R)+Vu`&m_sOw09wRd!_UOC4PA>t#Fd`?OhV^LWM2@kPEJvMlvkTfX?k13Mnq zPyOAY+q}`~X%l+5ADJ`sUV`7D&`bM9t|vl$TwAQ3HsDzFEUyI(ogR(+ZG2Ga9_!0J_@+bKsA}a#R(zmq_*>(7 zqq1_k*tdM~V3bqsnAJOOT;89v!}W{oy&Z~7`8KkeuS?|x%N&aisamAW;4$0uM;s1% zZ@6}<_X<}l*WoKpEbnn-tHpHP`XcpDMy;{>_GJ2lv3ma+dB69dM6DkbM;EuGFPA0KN0!#$5u^K8;^IiYH+4X+@)t#2JJYtcK&A$ z&1d-xE#lYa>7L;4F9dB>&)@j;$h1Z?`c8PFE1&wnr4fH>XL{Z7rPfaPBYb_ya%1}b z9GTm>zyD2jo!_z-Ufb8?`Qd3kPr4s_;Z$|{vy{H!4bR+snpqKKAHA@vs@4B zuZL|8UbOIx&6esLmK_Qyf6{yIq3+!`Ebiy}XU3>|D@&eRKkLw>u|p0=pB_GVV%GJ$ z2L~P=^j($OQv;4K%E`_=AGr7QjUSiqow&OCv9*9kE_4> zwe3*jeC^|3j#zMW+SxTZ7p8gqm@-SfzMXn~^RX8@?tOA$+MhP(r>*o~Rkn%yuotn# zo=04`FfC|G>9t3v{MG%*;9py|iJ!Bjyhqiw=UNOLTGTzT#leuvgR8c=aIEE*?(VM3 zzO484)c�z1OXL(&>rI+BxSJt=_UCvUP2hPr%1p!`>Sc*5a>4qZ|j_{<+iO8;imp zecy6c&5Fy{O-j1o={0J!{dw1{+PGu;PM@Zo-RwSuDksHj_i5CiFZ|NgH!ERRe0Vh zV8_i)TLy0ry`lPv_Xc(xP<`IYCO+p{E-Z2C zS;r+MyIC#|9uRQr#>pxpQ&#Q`JyiDcltZ1P8js%;^8ZqTk0h_DJ?Bchn!Y=(wmbYRz1T^|UH!@|ua%M9dWqYa z*>0P^-@nYS#)q4ie|qY#xffzI6`Obm-|(&FJMq!=b$C%ehlqL@Zrb z+uCQ?gs7#Z!XCF-IDFQ)4%v5h)jV^2)4toy+IFcvXvDRLb*z^4Irnh<()MGUh0N$$ zbzuLXKZ@@g-Spy~KOcwa2Hje^V^G%SPo4&>ZuMZhYG1;a-D}KCz8?Zu`vlDDw6xTR zg97&@^lqnneB=3psK_NTWZl| zOZw>4S%>z06PcYcz^8{p%|4skhotRa=l+wf~)th#hyQkRs@Ya(nP8#bn zbnzFZEE`%}ojCQY5w-4>49wyDE}lNI^u@x?*Q*_UuUfiWh4eAs*E>@szW00Y4-d1Q zak9dK9Th&jzM$(D_2%^MF+R)qYPxAJ5jGY{? zW_0Pq8vCyMcm`J6(YyGxBE^49QT1HEujhK_Wxc!dnqQ+w9`CVdy>ILj^m^os{qb=KK51!F`<@g@G9;!!w@ZOVeOZWA-G^^_Afw{>iu08ad zvh(=+yPr&-{NAaD+PS-b2->}1K&^h8etfuOfAZYgTi0AGvwC%t zAL#q|PuO|4#IoqUA4lX)Kh^Ckr#;0ra}LihzP`-N5e{_|*Uc(=pjOY7C+9nDS~kvR z;eb_FYh3XtU2b93-hG#Qc@3|yVA~gwb{5C)UkhC3aRmJRY=r*0_t$;yb-eWWN|Vp$ zef(tQr@w12uC&@R^+bQq9)s4D>o@vL$wmCdpP$AqT6Q+%82cMNH{AT^P{53+?|HQv2)`hp2}=k2mkTHT2^Bn3>gf`)h-ThwVB1txv$r-YvFN z$vAYp_IE3qp0)h`=#TMELoeR@dT?#cjlrFxx|O+huc_Ug&3`PmAG9y zY`5);sX5;aJ5;J!*sYVpb{B2Y`qp~ud3E~@%eiZn-8yw+&Q1Fk(YIP{FKc_SM6-}v z9}V+}*{oWB`{KOB12)$(%B;AUI`C#~P0I7HyJ$-9T;1d5)tQev4J%Uq;%k`1)yBKcBhJmgK0cTDpAae=BfU!&P4|=y)r5 zd-0-!TiseTtg6$q?{aEe=}J9YoO58A;UbJ2TsTd-G0_-;5Rw# ztfK2Y^4{KMSZGL%~`0Q z@X4~vb7t1iMO^S5y#9Df-N4@0eIMK3e{WCcnGG)2ZU5o$Alt-SPM-~~{nemib-N!_ zZ!NJY_}1oOH}%aDdhfjX)Ow{;H;;asbE54B``sEotfE!Rn*E07MBAk}Z|ZpK$70XN zeR_7B-QaGwoQ5^D8ys=#LZiU}3q15)*J}r+^j@)OUR}+onZGT(IPbxe>Sz0fUA$9rw|igwMtwOLF_AZ@HtV+HI>la%0xe&?L4_ZSh|oAcQ9d`;QTQ+5ryyL9I1(aY=ympk&qg>auw2l#w4!Ts6X ze$x-$omT8@`1+6UH(7dg-s&+y8wXeVZpS(OrKqXx+_uh5(Oinf3T3t1uVPlW&`-=bf3a zvzo>&yFWkVR!R>&zj5>2rm8U}VeDvAx$eIP}1+(&u+J_8L~_q1)^sUcD*=|NLXr zr^SywYQ*1*j2=I3Ty0nX&q~bCsQTb$tF>F*|G4h-W7A0|dbM7*&wgK*$6KoR{bT0V zW``m&SMHhID>Z33zTRN6i{1R)50^ymuK96Pxu#F8BHJFVQTNI8M!ny4QI~{Sb${EmW!>hEQ*O4KF?QgylM{-&>XQc) z?LE3}z4)Kjb$&3q^~Os}Z+2W4c*|nSN6%Vc{wjL!=`F1%#Qs&`{PyHc&pO?o^4F${ zgFXps@!P@ko4PhHF>JZr>0;M3?K%ZCJ=ojwlOg?|ZfbS#`=8g}vB1)%%gQm{9hOcX z!JV^s`mn^8onOQUgl=Bg^y-wI+iZp(uUxgl?^jE^>Stxn`tZA?QKz%xYF?dHHFrl& zM$o4xH&>b9-LU1{j@>$T>;6I4E(abxoqD3r`g^~>7_*_OcfaR-_FU?*zRk%Fl{NcUZ{_J-wH_f!pD&z0OWZ+F(AKc2;RJ3i*> ztO*DEELNwukXr6JFX%+4%vF?;0IVt+gdY;&>1k)|Wki&uLdcvx@yq{WCc!w=_L z-08#htNOTq_=0B}wmjX@yyDW^8NXY!zcHhESkok%H8Af3d{P>Hs)|{3@L|KVF1!4i z4yt&6(Xrt0U4Cm)E)A~KsMwk6yZm;qsMp{5a@F-Wj=SFI)aBZsI;n@M-0t)K_1Nnd zYHaC?yq}fWq`NcVerGS6UiUWZZnS#x*_N#{X=L21S8>Wm)2F?6ZNQGQRc^x*2l z55J07KZ?>5-uQ*S(uO{^GAK$Gb;g_ja4{ znxbZ@EPE>$LBpM`-V?O^XK}N*i+UX{>9b!vmVkdGO-Sl)2XiSs(4(+G(pL4V4$)_i#w2k|5Ou*9?E9(CBP;+~ab-h0?uD0D=al?`s zL2a@%Q!6Z}d1J$zgJ1M|eB^NTPP!h)SI2%;YC(;8J-VHo_|x8pZZjf|rCq=I!Fm0V z8yg1s9(hqodwjJ|Q}2CyFY8^KE)ILYdS=ISNzTh2w@9)%>6Wv8dP-X(dSUr)aMZ|297IEUPn!MctNo)UT-RnUzjVFF;L5{hZT9%6eOL7_ zpKPDk=vqBZ%7cTh(=+eR4sZIr>kVzjS6in(%89?Z@5@197p^~SwR_96hzq@LKFex& z@tcFW`)XXD7(` zvFbjk{;l%2Aj*@Jil zr{NNysd~sy11XXW|2HcoJ*6J1Wh3*g_taFSZ`~aDqnEKXwvUTDl)p9PK8;is6mC2xSaoGIU}2ngro@#z}i zULtH}I_8TeoCp3g*`GUlO*2ReEGmhB7*F@oz!Q(cM!rE#f>hzBkOPGrDCEGKO)Jjj_0$bKs z?W=^lwvcBfP7MTbDn`LHpBo~tBd6k=7T@BW7dPYlYT1DAfo+|+@V1s*M?j71Hk|EC zxPu>+0S#Lj4HdY>xMC#3xZ?~hvSXA?Yf)oH$xcSema9a1!-$nKvCBNtD7un=++Jss#txl82B-N|nuR}#4$m;|%L<#`etJH0{Ao@-IZ z0{_2vPjI^y=Zf6bENUTlQP%zhQ0Bq63@#atsZa}kxt(i)Hh5dKMT@PuD9}nrpK0mq zgg@x1QJ^&VxC+AP^Aq&lk89Val7XAS+>3A;iNT;%T-JNz*rxi`sGsQDa?V8GIELB? zG}~~ExGrc@gheCJaeyo+m_)56SCXXB9yC%x!GwD`O%b zjdFXgJn|j3>;X`|vL^D;7`6vTi;vh=qz6Fx%9+SVqtl+Nh!7q9N>iXY3$+-_+Hlob zee{1)I?sMBQ0^@YH+3Ea`4^+)kOanc1M&7u=F)sigd^#BI!E?%Hu@sCyBhrl^k(|>j{uu4@3)MEXl5yFiA;S zx)@|#d_}e=BKtyv!r>ZoJk7i+9L48p2KN;LwnkC5opgaOR^`WOj47# zN1))$xv(}FIV-g+60|0=mKB5+@wyo2s_37Q=XJQ2ptg`{18E=WlAhVl1eCMq>Y!Xs z35@F!qzzS&cQw-n2jtbk`WWqxQGYDCx@?AYX0ux*E)=CKF#@gd*9!Vg)|_mQ8~$y; z)y2~zBF!Ks(-csqJaV11qBrYe$7lm3}_yQWK0-Sb0emVeQ>G50qYAg!~~Z*d<|;h3aaGx)LGR=`YAK^u#xQ zf1LA1?jMTKY+_@`Em#!t=LD%z36iQ%qCQF-$yY+qgXSpF2=bv4jUXSPL<5vKm#>7N z1uam5q(dc0IzkB_l(>_xgrEa0QNs5BwRa|fQ50z#?j$oIfe^weipT&WD2EUM11g3a zITRs?N`!n)(DfG7xp=PDv9pd72BxS-;J;*G4oqOKax^;pUOyxr-{ z^h}2tLO52Z;Ym+dSAA9Wbyam$clB53Ss@b8vqGdA9SITZQ9Y;?kdIzw?^As--Q^N# z>CKNdxm)YL)0HswJY5M>yOJ=LCK72O%y4=$N4Vn&*CI?f=|lHRGo0R85-yE!sbRuN zD~Yt0X1GKvTsOk?3KLGcNu<3r!|5FxWup(_hIzW1<;X4xKC>lOA25&hWipykd*2tG z2Hwwl7<~yl*3*NqbpmvwRy;7QrJrP4ER_`NeyF}$52GL93nK_GJtZ6c37_V%!l%(U z>tXaK{Im$dOJB+K1?--S)o1Hr3?Tfi5rmiClBs1XQ&#*5T;)`w6X6$8p45}^>(1Hp zPo(E>>bn*~xW3&9vz+Usdg~s>E>DB3aC|VQa3>J%xiI0Z@-)~Ar#JtEJCSg2gb8Pr zry*9jWGmcBgxe7&oK>DqwZiFD0OPgxJhk}VGlBfoG{+A)+%pRt_uUqZ7HYrP)JWVO zvp#O2x1>f^VtOqfqc(L2%C~0RIpY~=#G6kz+@5ZXGfp9evEFgSluS(4eOV(%-L36b z&>DSpFov3Cr|$HPzaKd+o)2?dnSYNm|F$;&w(n!mCsBK}@pu1yyQ^_HvDEWg*DLTT zOlWWMbmFkbA?=LQlsa>Kn#5Sl?tR$lQoT}dDApLp-!$WFe5ZOf4y#F8^XbvZhqJdb zyrNHFX-4DxHpU<`o;GI4;e^Ylz80H3B72fYEd6j+6*JQDIn3JupNYI0$|L?sra$`? zY)xYXIk0E7xQgg$!4mwk&FY_u~|rJimB zV$UY5+E*WX7|-9HW*R4ORA@@fGq0ZRKO;#&a9^a4(bAOG2WcrT($s4{7l~HiOj6EN zKDdkdX#LeY@zMI9Xml{8=#E(nDW{PNe>t(QN4#;CIW`KuV|F%15qgnVqZaiJ+tW+c zHOfbZn=WIGv(b5~kwvKGUbPTOl*tUr>0mSe&c0-+1%D z8EWQlys0zAt=B*r3#trdqGjs|kX159Q*Tr{+8Lvf^@dmXd8-r_n(0=m+n{T`I6K;u za1MX9^?|-{LVwFRf!z3joy|jwYyZ|h#&k8E%%ed6wyXBG!~wP=PbS$C%IIZU+bni# zpg-ei#mg>5eiWcXLL6byzTa5|g?XPa1FczMKr(iWa54y*G4d1}G z@E!aHzr%lF9LmZCJrDT@q@cJ%;V`%aE`<-^L(sK31EmQ zhAD6*TnjhD9GDA>;30S%*1%ejEryNoD*PM1hM(aV*ae4Ba2i8%XbDF{ALt9G!cZ6q z7eXNv!7VTYX2U$V50=5>@HDJ~_3%2p0q?^5ume04(o{GXCO{sX2e-fsm<{vbK3E2i z!!xi3UVsnbBiIRFLMbFr=o6tnG=#&U9khqzp&O(_28@6)kPYX;WS9aaFb%GQJ7Eso z3ya}FSP84(dDsA(;A7Yh|AH^!7x)!+ftN~H7n;Hm&-p5G;q4unsoCX7~U;g6*&a{sX^26B>i2&W6rf&1YBcmy7USK&3- z0-wMRsMCOJ3B~X+oZk>xPzY1u3b+>Tfcs$`tcT~|1$Ytu2_HiP9*B2=u8;=Z;Y3J> zGoc7>h1=kExD)2W-LMQg9zuPDu`mwC!vx5O8L%9_fp6hE_yK-`Um?CR_a9g3}Cm;radB3KMd;C`rk808xpKqFWQtKb<}17n&{MqnI_he=QX zMKBqb!jrH8w!&xdIqU=;=NU230$M` zfI3GI9qs^XZR0v@X;TDPS6?pK@J?+oVo~2pegtu z6)u45;Rd(~X265+7W@IbpkWL22Wij^=D}TXFFXJ%;7NEIR>2PV5#n3Yr-4MM1s$Om zWWWd*31`84xEmJ0z3@5ggfHRW@Eg=SlKKsGT9FSp5;{OP=nf~q$5tKrf#?ke zEUV-1>ceo^->kU16 zQcq!UFRmwS>WyEJy}noBb@&KAhF*Qi7hDRL!R2rjY=$2FChDIYbP9qrFBgid;i(7}>PM%XSI6CD9{!xyG}Hw-S$;o~ zGk^;3t%$wc#R%u(OwStRJm`qM>MnC#<SXsEeAgKa98K-B(9D_V{&PXi@(1b>SqFe^mNLv=jwEs>nhpbX72mA_GiAL zaZ!#+BE6>WWh1J?{~aAVTN_>X>T-#;NR$F?dr_OJb}ja+=E-2ty+C=cxZ|5GNtIlj z_ikIx{)#IS%{NZp{e5{`-Qe2f?&7>&@)L=Rv+cD*`SoMF_^(CPUEJfU4#}Z8jmD+w z!dBvby+ZZlEUO9?iA%WByNcIcPB~R~ab9J~sk%#}inb+EIZlm58mj6k^w$gbxb|&& zqWV$Yao2oA*Hm@SQO5S|^>W4)NeV;LQ(g5m5;@`8jmbRFbINgdapqKhB5`r%-45ly z>)9@Tt-8Co%Lx}MG=+awIiWeNnoFpDA}Jf8e!`WyZB2qpox6)O7xEK{i!&E?D1WK5 zUHs)|-@3S`XMe?Bb&c(t6dqV@{B>gApE(VU%h@KVeucV(YbCyBZmu(wyNk1g%TFXO z&NSPh{H=uT;;#i&cX5xaIwXhYw7TLt*yM!f^uW68JN<-~`EWI*W2@jYAE}Eo7j`Ir zEwEkuWwq)q?r~LzWM@fG3ZrppV8m7uy19{@;)jE*FvJt2*R_ zUgdCQ;~2i7a)vTp(gVwnyB}x10bMKbdq8Y%*p11u+ke1(>}aPyJ$-JLVkpU1^nPeb!{EZ+n=^In;Y$LB9m zmLIv;-#hqS?C%}?F822heiw{!Xe+T?_*GF>BX{wJq(?5!^vK1T9=SNvBNvaEoV`m= z_*{f5hf8_~UyJ?eqU$j)J+N(&OHEvyoBX6yTrbW==Eo_7Bb7RzL(aTFKP4Q9-EM<9 zEA*w&o*)Z0ZWQ8fGg(E6f^i6!Z3Azc^P2EQ?I6A=Cqh2n#;T+2A!987t_Atcg|8vW zOS)h+JRgrG0p{M%tc4{EXwK}TDexk+tj$-_@H$LLwybA-$UdPCU&6z^@FmD1MkdSz zS+;0OdS*jPJ-#FcS(7-L_swZod3X%IfQuUvKa{~!jj#@I2wxUM{-Ib(fES_5VSIcE zw?Ve@2j4;uEEn7a_c!Is;v>j^GtM{X3t(8-f-laG@;{2Nt6THsK77@NIFDwW zhH_@M=ArwLp6vJeg0vEwlxEL;hOW`uO9Ik*X zp#-jiX>c`6hil+(pfcQ@%(mmF(xfoAfGjPwj5ExpvoHHB7z?tzbS=z;rSL4Q1zBR+ z0bf8FG(_$pAgfG0U;vDUDKHgerD*{?2Ze%@7z3vS#xL zYyerXDT5^HkgV49gpnXiH8;Xskae1k@D|7-O%3W-1CV8zNpKm+B8=+Se2^8Gt?(hp zvR8fI?6TWS>c^RXTkZAlGaHmOEU90mzf?H0B&(FFgsL=L19!n9SOO2hN>~kR;8XY+ zj2LnbiJ+FSGxUR@FcM_PYc%9T0jM>s->g_US6XkX-`xD&E5s$_Yz-ni0(>w4>L+zZ z3JNb($)^1%ZOq@;1Ypm_Rnko2**7y{N>v(L7&S_dWFPDK>8W!bzv{c$-#7X({jRrH zEc>*~v}L0(8&dT5=9qNji0`Y$=;HxUsv>{`2kfSGo`oNqJ%g>RtSNtMqq6}tiN!Sa9ck)QY37fI&KQ8!Ihmjy?>N^5KV2}FcM1)t+xnq0 zCLOrOW;|EVHXL7s$vsJQuHYD$hU1Xl5&50Xv~+Q#&v~w^<6I{~S?NyWCY2g=F4fFW z7xHu*{{rLdgkR-V=Q%xXS1YCZ zM70hy`UWM93QU{8OXezWMqS{2V2EjgrbtSJCmFKlBc}*v;S>*Ly^76f}Nd2k(n+3M1Nju!O^6M$Hj55h6lZ!I9xCmrE zQKk!Jvd}i|XPfl1&H1^R>$6Su$xNSZqR%$5XPeZsP3YOC@oZCgw&^={EM#U*oeG(j zllig*V4FR%%@E0ak4*PSPd~xo=&3cd1*hI*4qr%r_V0bU_s61ZRaT}Fm&8CQo6c+j zomwBAXPLwui_0Yb_ND`#N&R*%%Tk0togc@3ICWo!U@h~tMfmnh%cQ1Xz`uOX<`BYO z0K|tx9cANth_um7%G zM2zy?0Ut$3iRLeQ{i5abz|}vm@u~M+@0Z@K-gmrNhGG#3j z>X`xlJ8*Z`zrAlszVLoTDt3|%pYa7Ld7Jd?K$de zror+Pn1&SENTtCo#Z~cLd24xid2aiedDDVSx^9!q_FGr2@)XoDF2j)aO@jw6IMpbt z)J(l*VzW{}Id63#uk1BT?~>X3+0R1H(VIGAeUBaqZ})JMxj60YjLPDR zG2^%XI+8*U$6m^$p%!UOsKHWV){-W42D#7fKIB4k*%UM6LN6f~n#-p|64F|t#SEF| zA*8ibOC%w+$j_WzX2>nEgxq2-3*!%|-gWR+Yd85kZD0&bLBtpZZOH7?rOI&SBHS~) zM!_Kc)(9zh^NT1i1p(;~60!Tj>z)z63Q(R#e$LHQUSrmb8fq0mv%)lH?Wm!< zJor?Fsi-t@UT0Wy)K_QvMph?E2nfBARY4MV#aD!m4U}Mwy8Lp?_}8;8)YLyr{cTG` zf9qKvYU&@1p338wggA#34OIg+Q_4zKecJh7Q_2HLNLk71Pdops0j-q_TZ+dii9u<= zW=dLCv+ndWElDZKNLp4ii(^J(E|R=K(iqqJH&faJNm@z8OxN}lq&<+NRZ}#u4o#P& z#Rp3aY;aA93zO?kPqQR0tB=Hm$ypq0h+etG8X-~{722CAb$d$P=~=&-=`3l4)a@x* z9BaV8d#MS2$+kTI-jwWKGF6(zUe+sVNB0qboIJtco)dVi`%~bt?k$1Gy0--$>pmEG ztov!;vF^Qr$GT4k9_yYSc&vMF;PI6~$Lc!-o>xC3@K}A4z+(>gVhb!!fl~dy%R+C+ z{*wW}UgP%`*b48#C-60V1K-0Bplh52diY;mi+SvB@s7X3!WnzBXokI5#{cpn8T(>! zjKAuF7}dQ9Ms+WM5pKbY;Ds)N7q|#s*rKupEzak0_Mc(VihaE(g}n$xxCJMI7nlfM zOd@y@i4H#J!V$rXMFcMrVJ{95ZZU}9MIiQc@rTM5cL=wzL-2wQ!3#Ou+s3(oL-4{4 z!3#D7FVqmcK!d$FL%0PQf)`^5w-7^hEyA$B7Fak~7E~zVZOT=kMG5pK#eOqIH;6a$ zyouvSAom0v>)ifuyMuW6e^8~Vy7OHfd8`f@)t#?MR6>z+C1@rmH$-_GbB#Z%vu99=-+s)?@7cjb+#0M zd9J<0@Znry%P}LuA%oYB6V2DfK3*f|5}%JrA_cfF99_H)k!Gm<&AGva^FoDl!n;*6!OjpvD_HZKNFWAa#xq3NC; zBQ8br*uR7{9tCSPa%&eY31ojwjOX10g&eOZ~_X{e#Fri|@wN<`?CFCWuH$D30?awvD1 z)XMWHwOX-V6AV<2^N};{bB)&KG>+ZLl_u)a9%}7;RfWp(P}ZXE~uaT{=G#R9@zQ3du^)Th32`N^g2n z^Q+etYQ@{J*PF&Z>{Vulve)}WeXF3?hEv(=t>AR_dcUN0OYimOv)44ec{$m|c?FY-d>OeDFUZd>&Na`ss?To z+q%f-3}X~YmeMyYFK0q_;W*!b+`=ijdHMNFQS#{=(ekNJ&II>3$JkMraVj4JvvUf@ z`uZ0Xj?JEA6phJHIO*%SZbpHAD=$OxataHI3dR@vMivldw+OeeC&(W<-Z@vsF&6AIrDY2(k9tzkF{5utbKHgY9OkCs0N}Mh-x6J zfv5(e8i;Bjs)48mq8j*XYoN06zsA+ETVL5azeAIh+wNri-|p8(`{3pca?51E*%&l# z*VuCcXsmt#=o!Fl&?Bk&pyvknf#%OY0vhwL0FCLN1&xDW0DT0z88mi&3ncp&2psF{ z!`OcLxl@Y^3nt~|Fow^an3q#9Y24(T;(|hBScb;DdIG7vo=9q+&GRws7uHNP6t(ktF#cJQH{Ft42EIl^^$)i$F{qh43&l5eL`-Boye0l$>ec`xwqZjWTKMt&(kfx`Q>4| zMi|!m#N7%zk>_-Z_qYhdTA#_=@mgU!Mi|!m)ZPj^zC7Oc5r(xs*SEsv@f1;MJ|@Dj z)+hgVSgVA#jyP;zo@QEM8)H*P@#@)__S&?!uaWBH1fIMWQ~SFc$MWwY^Ir#^p6Uti zB=T%MN0reG{W=#v&ax|cc08&V`y(F3u`lE4$wz*YuQ}#sT;=V8>Z|Ii+CTp%bnd1_ z0_!*4qgzx1Q4K^j5Y<3b15ph`H4xQ6R0CDgfIS9Pzf|KY_2o5|)%a2G?Df80@8~sF zSASe%a=nw+xK906jp;QW(Ad2J@YPRcMy!m(Ij6C>#>?u@`k*u7kEsdX>ZKhFfdkPS&JRSelQGyQ{gli3a5j{N5etm^bv3djD$1cEI1oRK_;97 zqhSnWK{kwq92f_=FdohYJxj@h^Wc2Qhlwx=3g7~`5DK9PieWNLfs0@&Tnu^+aw%K} zm%|lsC6vHbFb%GT>2MAF4X%aj;Ci?LZiJiQX1E1rz)YA0x590(i}B6v?C*d(VGhiN zd2ko#{N3#Dfd#M-?uA9L81925uoUix2jD??2p)z<;P3D#JO<0)aaaydzzTR0o`R=g zC9Hzg@C-Z)YhW#`gZ1zacn+S27hnUt2rt1#cp3f)n_x4%0n#!etey2Y{qvbE zHBaR?4ok{U*yg~>5BGRilaGGv__dEjz-)O+v3z!-)>`w;aw7>E;wPD>buqZwX$bM) z;xHe#rL(LPw!yPLT!kEJuV%LDIz+l1M*idR?1f14FWDy@rBo~2a5GlTo|SnuncK8x zy~)Kk+h%%o{nMrj`u{&kD%Zc4R@a&HJxfm-@p}-rC;I<7ApRJ0ZlC54o<=)-p3dyi zR*(2q;%mU3=--aXid9DIWGG5?F@KNZZ$#;{^Ilo}cD=Lrff1+_RMs{G%8ma1b7~-l zs~=a>D!ajLcVo&%(7ePx1v!%^=1wX$`-DS=Ypx= {1'b0,trigger_level}); + thre_int <= #1 threi_clear ? 0 : ier[`UART_IE_THRE] && lsr[`UART_LS_TFE]; + ms_int <= #1 ier[`UART_IE_MS] && (| msr[3:0]); + ti_int <= #1 ier[`UART_IE_RDA] && (counter_t == 6'b0); + end +end + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + int_o <= #1 1'b0; + else + if (| {rls_int,rda_int,thre_int,ms_int,ti_int}) + int_o <= #1 1'b1; + else + int_o <= #1 1'b0; +end + + +// Interrupt Identification register +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + iir <= #1 1; + else + if (rls_int) // interrupt occured and is enabled (not masked) + begin + iir[`UART_II_II] <= #1 `UART_II_RLS; // set identification register to correct value + iir[`UART_II_IP] <= #1 1'b0; // and clear the IIR bit 0 (interrupt pending) + end + else + if (rda_int) + begin + iir[`UART_II_II] <= #1 `UART_II_RDA; + iir[`UART_II_IP] <= #1 1'b0; + end + else + if (ti_int) + begin + iir[`UART_II_II] <= #1 `UART_II_TI; + iir[`UART_II_IP] <= #1 1'b0; + end + else + if (thre_int) + begin + iir[`UART_II_II] <= #1 `UART_II_THRE; + iir[`UART_II_IP] <= #1 1'b0; + end + else + if (ms_int) + begin + iir[`UART_II_II] <= #1 `UART_II_MS; + iir[`UART_II_IP] <= #1 1'b0; + end + else // no interrupt is pending + begin + iir[`UART_II_IP] <= #1 1'b1; + end +end + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v new file mode 100644 index 00000000..58059fa2 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v @@ -0,0 +1,170 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_top.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core top level. //// +//// //// +//// Known problems (limits): //// +//// Note that transmitter and receiver instances are inside //// +//// the uart_regs.v file. //// +//// //// +//// To Do: //// +//// Nothing so far. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Jacob Gorban, gorban@opencores.org //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_top.v,v $ +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "timescale.v" +`include "uart_defines.v" + +module uart_top ( + clk, + + // Wishbone signals + wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, + int_o, // interrupt request + + // UART signals + // serial input/output + stx_pad_o, srx_pad_i, + + // modem signals + rts_pad_o, cts_pad_i, dtr_pad_o, dsr_pad_i, ri_pad_i, dcd_pad_i + + ); + +parameter uart_data_width = 8; +parameter uart_addr_width = `UART_ADDR_WIDTH; + +input clk; + +// WISHBONE interface +input wb_rst_i; +input [uart_addr_width-1:0] wb_addr_i; +input [uart_data_width-1:0] wb_dat_i; +output [uart_data_width-1:0] wb_dat_o; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +output wb_ack_o; +output int_o; + +// UART signals +input srx_pad_i; +output stx_pad_o; +output rts_pad_o; +input cts_pad_i; +output dtr_pad_o; +input dsr_pad_i; +input ri_pad_i; +input dcd_pad_i; + +wire stx_pad_o; +wire rts_pad_o; +wire dtr_pad_o; + +wire [uart_addr_width-1:0] wb_addr_i; +wire [uart_data_width-1:0] wb_dat_i; +wire [uart_data_width-1:0] wb_dat_o; + +wire we_o; // Write enable for registers +wire re_o; // Read enable for registers +// +// MODULE INSTANCES +// + +//// WISHBONE interface module +uart_wb wb_interface( + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .wb_we_i( wb_we_i ), + .wb_stb_i( wb_stb_i ), + .wb_cyc_i( wb_cyc_i ), + .wb_ack_o( wb_ack_o ), + .we_o( we_o ), + .re_o(re_o) + ); + +// Registers +uart_regs regs( + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .wb_addr_i( wb_addr_i ), + .wb_dat_i( wb_dat_i ), + .wb_dat_o( wb_dat_o ), + .wb_we_i( we_o ), + .wb_re_i(re_o), + .modem_inputs( {cts_pad_i, dsr_pad_i, + ri_pad_i, dcd_pad_i} ), + .stx_pad_o( stx_pad_o ), + .srx_pad_i( srx_pad_i ), + .enable( enable ), + .rts_pad_o( rts_pad_o ), + .dtr_pad_o( dtr_pad_o ), + .int_o( int_o ) + ); + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v new file mode 100644 index 00000000..a028f4ed --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v @@ -0,0 +1,288 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_transmitter.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Jacob Gorban, gorban@opencores.org //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_transmitter.v,v $ +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "timescale.v" +`include "uart_defines.v" + +module uart_transmitter (clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, state, tf_count, tx_reset); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input tf_push; +input [7:0] wb_dat_i; +input enable; +input tx_reset; +output stx_pad_o; +output [2:0] state; +output [`UART_FIFO_COUNTER_W-1:0] tf_count; + +reg [2:0] state; +reg [4:0] counter; +reg [2:0] bit_counter; // counts the bits to be sent +reg [6:0] shift_out; // output shift register +reg stx_o_tmp; +reg parity_xor; // parity of the word +reg tf_pop; +reg bit_out; + +// TX FIFO instance +// +// Transmitter FIFO signals +wire [`UART_FIFO_WIDTH-1:0] tf_data_in; +wire [`UART_FIFO_WIDTH-1:0] tf_data_out; +wire tf_push; +wire tf_underrun; +wire tf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; + +assign tf_data_in = wb_dat_i; + +uart_fifo fifo_tx( // error bit signal is not used in transmitter FIFO + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( tf_data_in ), + .data_out( tf_data_out ), + .push( tf_push ), + .pop( tf_pop ), + .underrun( tf_underrun ), + .overrun( tf_overrun ), + .count( tf_count ), + .error_bit(), // Ta ni priklopljen. Prej je manjkal, dodal Igor + .fifo_reset( tx_reset ), + .reset_status(1'b0) +); + +// TRANSMITTER FINAL STATE MACHINE + +parameter s_idle = 3'd0; +parameter s_send_start = 3'd1; +parameter s_send_byte = 3'd2; +parameter s_send_parity = 3'd3; +parameter s_send_stop = 3'd4; +parameter s_pop_byte = 3'd5; + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + state <= #1 s_idle; + stx_o_tmp <= #1 1'b1; + counter <= #1 5'b0; + shift_out <= #1 7'b0; + bit_out <= #1 1'b0; + parity_xor <= #1 1'b0; + tf_pop <= #1 1'b0; + bit_counter <= #1 3'b0; + end + else + if (enable) + begin + case (state) + s_idle : if (~|tf_count) // if tf_count==0 + begin + state <= #1 s_idle; + stx_o_tmp <= #1 1'b1; + end + else + begin + tf_pop <= #1 1'b0; + stx_o_tmp <= #1 1'b1; + state <= #1 s_pop_byte; + end + s_pop_byte : begin + tf_pop <= #1 1'b1; + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : begin + bit_counter <= #1 3'b100; + parity_xor <= #1 ^tf_data_out[4:0]; + end + 2'b01 : begin + bit_counter <= #1 3'b101; + parity_xor <= #1 ^tf_data_out[5:0]; + end + 2'b10 : begin + bit_counter <= #1 3'b110; + parity_xor <= #1 ^tf_data_out[6:0]; + end + 2'b11 : begin + bit_counter <= #1 3'b111; + parity_xor <= #1 ^tf_data_out[7:0]; + end + endcase + {shift_out[6:0], bit_out} <= #1 tf_data_out; + state <= #1 s_send_start; + end + s_send_start : begin + tf_pop <= #1 1'b0; + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= #1 0; + state <= #1 s_send_byte; + end + else + counter <= #1 counter - 5'b00001; + stx_o_tmp <= #1 1'b0; + end + s_send_byte : begin + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + if (bit_counter > 3'b0) + begin + bit_counter <= #1 bit_counter - 1; + {shift_out[5:0],bit_out } <= #1 {shift_out[6:1], shift_out[0]}; + state <= #1 s_send_byte; + end + else // end of byte + if (~lcr[`UART_LC_PE]) + begin + state <= #1 s_send_stop; + end + else + begin + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: bit_out <= #1 parity_xor; + 2'b01: bit_out <= #1 1'b1; + 2'b10: bit_out <= #1 ~parity_xor; + 2'b11: bit_out <= #1 1'b0; + endcase + state <= #1 s_send_parity; + end + counter <= #1 0; + end + else + counter <= #1 counter - 5'b00001; + stx_o_tmp <= #1 bit_out; // set output pin + end + s_send_parity : begin + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= #1 4'b0; + state <= #1 s_send_stop; + end + else + counter <= #1 counter - 5'b00001; + stx_o_tmp <= #1 bit_out; + end + s_send_stop : begin + if (~|counter) + begin + casex ({lcr[`UART_LC_SB],lcr[`UART_LC_BITS]}) + 3'b0xx: counter <= #1 5'b01101; // 1 stop bit ok igor + 3'b100: counter <= #1 5'b10101; // 1.5 stop bit + 3'b1xx: counter <= #1 5'b11101; // 2 stop bits + endcase + end + else + if (counter == 5'b00001) + begin + counter <= #1 0; + state <= #1 s_idle; + end + else + counter <= #1 counter - 5'b00001; + stx_o_tmp <= #1 1'b1; + end + + default : // should never get here + state <= #1 s_idle; + endcase + end // end if enable +end // transmitter logic + +assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp; // Break condition + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v new file mode 100644 index 00000000..80f712ca --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v @@ -0,0 +1,125 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_TX_FIFO.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core WISHBONE interface. //// +//// //// +//// Known problems (limits): //// +//// Inserts one wait state on all transfers. //// +//// Note affected signals and the way they are affected. //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Jacob Gorban, gorban@opencores.org //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_wb.v,v $ +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:01 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:13+02 jacob +// Initial revision +// +// + +// UART core WISHBONE interface +// +// Author: Jacob Gorban (jacob.gorban@flextronicssemi.com) +// Company: Flextronics Semiconductor +// + +`include "timescale.v" + +module uart_wb (clk, + wb_rst_i, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, + we_o, re_o // Write and read enable output for the core + + ); + +input clk; + +// WISHBONE interface +input wb_rst_i; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +output wb_ack_o; +output we_o; +output re_o; + +wire we_o; +reg wb_ack_o; + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + wb_ack_o <= #1 1'b0; + end + else + begin +// wb_ack_o <= #1 wb_stb_i & wb_cyc_i; // 1 clock wait state on all transfers + wb_ack_o <= #1 wb_stb_i & wb_cyc_i & ~wb_ack_o; // 1 clock wait state on all transfers + end +end + +assign we_o = wb_we_i & wb_cyc_i & wb_stb_i; //WE for registers +assign re_o = ~wb_we_i & wb_cyc_i & wb_stb_i; //RE for registers + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries new file mode 100644 index 00000000..92430839 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries @@ -0,0 +1,13 @@ +/raminfr.v/1.2/Mon Jul 29 21:16:18 2002// +/timescale.v/1.6/Fri Aug 24 21:01:12 2001// +/uart_debug_if.v/1.5/Mon Jul 29 21:16:18 2002// +/uart_defines.v/1.14/Fri Sep 12 07:26:58 2003// +/uart_receiver.v/1.31/Fri Jun 18 14:46:15 2004// +/uart_regs.v/1.42/Mon Nov 22 09:21:59 2004// +/uart_rfifo.v/1.4/Fri Jul 11 18:20:26 2003// +/uart_sync_flops.v/1.1/Fri May 21 11:43:25 2004// +/uart_tfifo.v/1.2/Mon Jul 29 21:16:18 2002// +/uart_top.v/1.19/Mon Jul 29 21:16:18 2002// +/uart_transmitter.v/1.19/Mon Jul 29 21:16:18 2002// +/uart_wb.v/1.17/Fri May 21 12:35:15 2004// +D diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository new file mode 100644 index 00000000..b0efc9db --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository @@ -0,0 +1 @@ +uart16550/rtl/verilog diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Template b/usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v new file mode 100644 index 00000000..bd376d5d --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v @@ -0,0 +1,114 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// raminfr.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Inferrable Distributed RAM for FIFOs //// +//// //// +//// Known problems (limits): //// +//// None . //// +//// //// +//// To Do: //// +//// Nothing so far. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2002/07/22 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: raminfr.v,v $ +// Revision 1.2 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// + +//Following is the Verilog code for a dual-port RAM with asynchronous read. +module raminfr + (clk, we, a, dpra, di, dpo); + +parameter addr_width = 4; +parameter data_width = 8; +parameter depth = 16; + +input clk; +input we; +input [addr_width-1:0] a; +input [addr_width-1:0] dpra; +input [data_width-1:0] di; +//output [data_width-1:0] spo; +output [data_width-1:0] dpo; +reg [data_width-1:0] ram [depth-1:0]; + +wire [data_width-1:0] dpo; +wire [data_width-1:0] di; +wire [addr_width-1:0] a; +wire [addr_width-1:0] dpra; + + always @(posedge clk) begin + if (we) + ram[a] <= di; + end +// assign spo = ram[a]; + assign dpo = ram[dpra]; +endmodule + diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v new file mode 100644 index 00000000..051ee7a5 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v @@ -0,0 +1,64 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// timescale.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Defines of the Core //// +//// //// +//// Known problems (limits): //// +//// None //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// Timescale define + +`timescale 1ns/10ps diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v new file mode 100644 index 00000000..3c8ac1aa --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v @@ -0,0 +1,129 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_debug_if.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core debug interface. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2001/12/02 //// +//// (See log for the revision history) //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_debug_if.v,v $ +// Revision 1.5 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.4 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.3 2001/12/19 08:40:03 mohor +// Warnings fixed (unused signals removed). +// +// Revision 1.2 2001/12/12 22:17:30 gorban +// some synthesis bugs fixed +// +// Revision 1.1 2001/12/04 21:14:16 gorban +// committed the debug interface file +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +module uart_debug_if (/*AUTOARG*/ +// Outputs +wb_dat32_o, +// Inputs +wb_adr_i, ier, iir, fcr, mcr, lcr, msr, +lsr, rf_count, tf_count, tstate, rstate +) ; + +input [`UART_ADDR_WIDTH-1:0] wb_adr_i; +output [31:0] wb_dat32_o; +input [3:0] ier; +input [3:0] iir; +input [1:0] fcr; /// bits 7 and 6 of fcr. Other bits are ignored +input [4:0] mcr; +input [7:0] lcr; +input [7:0] msr; +input [7:0] lsr; +input [`UART_FIFO_COUNTER_W-1:0] rf_count; +input [`UART_FIFO_COUNTER_W-1:0] tf_count; +input [2:0] tstate; +input [3:0] rstate; + + +wire [`UART_ADDR_WIDTH-1:0] wb_adr_i; +reg [31:0] wb_dat32_o; + +always @(/*AUTOSENSE*/fcr or ier or iir or lcr or lsr or mcr or msr + or rf_count or rstate or tf_count or tstate or wb_adr_i) + case (wb_adr_i) + // 8 + 8 + 4 + 4 + 8 + 5'b01000: wb_dat32_o = {msr,lcr,iir,ier,lsr}; + // 5 + 2 + 5 + 4 + 5 + 3 + 5'b01100: wb_dat32_o = {8'b0, fcr,mcr, rf_count, rstate, tf_count, tstate}; + default: wb_dat32_o = 0; + endcase // case(wb_adr_i) + +endmodule // uart_debug_if + diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v new file mode 100644 index 00000000..8b372cb5 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v @@ -0,0 +1,250 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_defines.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Defines of the Core //// +//// //// +//// Known problems (limits): //// +//// None //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_defines.v,v $ +// Revision 1.14 2003/09/12 07:26:58 dries +// adjusted comment + define +// +// Revision 1.13 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.12 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.10 2001/12/11 08:55:40 mohor +// Scratch register define added. +// +// Revision 1.9 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.8 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.7 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.6 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.5 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.4 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.3 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +// remove comments to restore to use the new version with 8 data bit interface +// in 32bit-bus mode, the wb_sel_i signal is used to put data in correct place +// also, in 8-bit version there'll be no debugging features included +// CAUTION: doesn't work with current version of OR1200 +//`define DATA_BUS_WIDTH_8 + +`ifdef DATA_BUS_WIDTH_8 + `define UART_ADDR_WIDTH 3 + `define UART_DATA_WIDTH 8 +`else + `define UART_ADDR_WIDTH 5 + `define UART_DATA_WIDTH 32 +`endif + +// Uncomment this if you want your UART to have +// 16xBaudrate output port. +// If defined, the enable signal will be used to drive baudrate_o signal +// It's frequency is 16xbaudrate + +`define UART_HAS_BAUDRATE_OUTPUT + +// Register addresses +`define UART_REG_RB `UART_ADDR_WIDTH'd0 // receiver buffer +`define UART_REG_TR `UART_ADDR_WIDTH'd0 // transmitter +`define UART_REG_IE `UART_ADDR_WIDTH'd1 // Interrupt enable +`define UART_REG_II `UART_ADDR_WIDTH'd2 // Interrupt identification +`define UART_REG_FC `UART_ADDR_WIDTH'd2 // FIFO control +`define UART_REG_LC `UART_ADDR_WIDTH'd3 // Line Control +`define UART_REG_MC `UART_ADDR_WIDTH'd4 // Modem control +`define UART_REG_LS `UART_ADDR_WIDTH'd5 // Line status +`define UART_REG_MS `UART_ADDR_WIDTH'd6 // Modem status +`define UART_REG_SR `UART_ADDR_WIDTH'd7 // Scratch register +`define UART_REG_DL1 `UART_ADDR_WIDTH'd0 // Divisor latch bytes (1-2) +`define UART_REG_DL2 `UART_ADDR_WIDTH'd1 + +// Interrupt Enable register bits +`define UART_IE_RDA 0 // Received Data available interrupt +`define UART_IE_THRE 1 // Transmitter Holding Register empty interrupt +`define UART_IE_RLS 2 // Receiver Line Status Interrupt +`define UART_IE_MS 3 // Modem Status Interrupt + +// Interrupt Identification register bits +`define UART_II_IP 0 // Interrupt pending when 0 +`define UART_II_II 3:1 // Interrupt identification + +// Interrupt identification values for bits 3:1 +`define UART_II_RLS 3'b011 // Receiver Line Status +`define UART_II_RDA 3'b010 // Receiver Data available +`define UART_II_TI 3'b110 // Timeout Indication +`define UART_II_THRE 3'b001 // Transmitter Holding Register empty +`define UART_II_MS 3'b000 // Modem Status + +// FIFO Control Register bits +`define UART_FC_TL 1:0 // Trigger level + +// FIFO trigger level values +`define UART_FC_1 2'b00 +`define UART_FC_4 2'b01 +`define UART_FC_8 2'b10 +`define UART_FC_14 2'b11 + +// Line Control register bits +`define UART_LC_BITS 1:0 // bits in character +`define UART_LC_SB 2 // stop bits +`define UART_LC_PE 3 // parity enable +`define UART_LC_EP 4 // even parity +`define UART_LC_SP 5 // stick parity +`define UART_LC_BC 6 // Break control +`define UART_LC_DL 7 // Divisor Latch access bit + +// Modem Control register bits +`define UART_MC_DTR 0 +`define UART_MC_RTS 1 +`define UART_MC_OUT1 2 +`define UART_MC_OUT2 3 +`define UART_MC_LB 4 // Loopback mode + +// Line Status Register bits +`define UART_LS_DR 0 // Data ready +`define UART_LS_OE 1 // Overrun Error +`define UART_LS_PE 2 // Parity Error +`define UART_LS_FE 3 // Framing Error +`define UART_LS_BI 4 // Break interrupt +`define UART_LS_TFE 5 // Transmit FIFO is empty +`define UART_LS_TE 6 // Transmitter Empty indicator +`define UART_LS_EI 7 // Error indicator + +// Modem Status Register bits +`define UART_MS_DCTS 0 // Delta signals +`define UART_MS_DDSR 1 +`define UART_MS_TERI 2 +`define UART_MS_DDCD 3 +`define UART_MS_CCTS 4 // Complement signals +`define UART_MS_CDSR 5 +`define UART_MS_CRI 6 +`define UART_MS_CDCD 7 + +// FIFO parameter defines + +`define UART_FIFO_WIDTH 8 +`define UART_FIFO_DEPTH 16 +`define UART_FIFO_POINTER_W 4 +`define UART_FIFO_COUNTER_W 5 +// receiver fifo has width 11 because it has break, parity and framing error bits +`define UART_FIFO_REC_WIDTH 11 + + +`define VERBOSE_WB 0 // All activity on the WISHBONE is recorded +`define VERBOSE_LINE_STATUS 0 // Details about the lsr (line status register) +`define FAST_TEST 1 // 64/1024 packets are sent + + + + + + + diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v new file mode 100644 index 00000000..a11d374c --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v @@ -0,0 +1,485 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_receiver.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_receiver.v,v $ +// Revision 1.31 2004/06/18 14:46:15 tadejm +// Brandl Tobias repaired a bug regarding frame error in receiver when brake is received. +// +// Revision 1.29 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.28 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.27 2001/12/30 20:39:13 mohor +// More than one character was stored in case of break. End of the break +// was not detected correctly. +// +// Revision 1.26 2001/12/20 13:28:27 mohor +// Missing declaration of rf_push_q fixed. +// +// Revision 1.25 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.24 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.23 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.22 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.21 2001/12/13 10:31:16 mohor +// timeout irq must be set regardless of the rda irq (rda irq does not reset the +// timeout counter). +// +// Revision 1.20 2001/12/10 19:52:05 gorban +// Igor fixed break condition bugs +// +// Revision 1.19 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.18 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.17 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.16 2001/11/27 22:17:09 gorban +// Fixed bug that prevented synthesis in uart_receiver.v +// +// Revision 1.15 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.14 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +module uart_receiver (clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input rf_pop; +input srx_pad_i; +input enable; +input rx_reset; +input lsr_mask; + +output [9:0] counter_t; +output [`UART_FIFO_COUNTER_W-1:0] rf_count; +output [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +output rf_overrun; +output rf_error_bit; +output [3:0] rstate; +output rf_push_pulse; + +reg [3:0] rstate; +reg [3:0] rcounter16; +reg [2:0] rbit_counter; +reg [7:0] rshift; // receiver shift register +reg rparity; // received parity +reg rparity_error; +reg rframing_error; // framing error flag +reg rbit_in; +reg rparity_xor; +reg [7:0] counter_b; // counts the 0 (low) signals +reg rf_push_q; + +// RX FIFO signals +reg [`UART_FIFO_REC_WIDTH-1:0] rf_data_in; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_push_pulse; +reg rf_push; +wire rf_pop; +wire rf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire break_error = (counter_b == 0); + +// RX FIFO instance +uart_rfifo #(`UART_FIFO_REC_WIDTH) fifo_rx( + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( rf_data_in ), + .data_out( rf_data_out ), + .push( rf_push_pulse ), + .pop( rf_pop ), + .overrun( rf_overrun ), + .count( rf_count ), + .error_bit( rf_error_bit ), + .fifo_reset( rx_reset ), + .reset_status(lsr_mask) +); + +wire rcounter16_eq_7 = (rcounter16 == 4'd7); +wire rcounter16_eq_0 = (rcounter16 == 4'd0); +wire rcounter16_eq_1 = (rcounter16 == 4'd1); + +wire [3:0] rcounter16_minus_1 = rcounter16 - 1'b1; + +parameter sr_idle = 4'd0; +parameter sr_rec_start = 4'd1; +parameter sr_rec_bit = 4'd2; +parameter sr_rec_parity = 4'd3; +parameter sr_rec_stop = 4'd4; +parameter sr_check_parity = 4'd5; +parameter sr_rec_prepare = 4'd6; +parameter sr_end_bit = 4'd7; +parameter sr_ca_lc_parity = 4'd8; +parameter sr_wait1 = 4'd9; +parameter sr_push = 4'd10; + + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + rstate <= #1 sr_idle; + rbit_in <= #1 1'b0; + rcounter16 <= #1 0; + rbit_counter <= #1 0; + rparity_xor <= #1 1'b0; + rframing_error <= #1 1'b0; + rparity_error <= #1 1'b0; + rparity <= #1 1'b0; + rshift <= #1 0; + rf_push <= #1 1'b0; + rf_data_in <= #1 0; + end + else + if (enable) + begin + case (rstate) + sr_idle : begin + rf_push <= #1 1'b0; + rf_data_in <= #1 0; + rcounter16 <= #1 4'b1110; + if (srx_pad_i==1'b0 & ~break_error) // detected a pulse (start bit?) + begin + rstate <= #1 sr_rec_start; + end + end + sr_rec_start : begin + rf_push <= #1 1'b0; + if (rcounter16_eq_7) // check the pulse + if (srx_pad_i==1'b1) // no start bit + rstate <= #1 sr_idle; + else // start bit detected + rstate <= #1 sr_rec_prepare; + rcounter16 <= #1 rcounter16_minus_1; + end + sr_rec_prepare:begin + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rbit_counter <= #1 3'b100; + 2'b01 : rbit_counter <= #1 3'b101; + 2'b10 : rbit_counter <= #1 3'b110; + 2'b11 : rbit_counter <= #1 3'b111; + endcase + if (rcounter16_eq_0) + begin + rstate <= #1 sr_rec_bit; + rcounter16 <= #1 4'b1110; + rshift <= #1 0; + end + else + rstate <= #1 sr_rec_prepare; + rcounter16 <= #1 rcounter16_minus_1; + end + sr_rec_bit : begin + if (rcounter16_eq_0) + rstate <= #1 sr_end_bit; + if (rcounter16_eq_7) // read the bit + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rshift[4:0] <= #1 {srx_pad_i, rshift[4:1]}; + 2'b01 : rshift[5:0] <= #1 {srx_pad_i, rshift[5:1]}; + 2'b10 : rshift[6:0] <= #1 {srx_pad_i, rshift[6:1]}; + 2'b11 : rshift[7:0] <= #1 {srx_pad_i, rshift[7:1]}; + endcase + rcounter16 <= #1 rcounter16_minus_1; + end + sr_end_bit : begin + if (rbit_counter==3'b0) // no more bits in word + if (lcr[`UART_LC_PE]) // choose state based on parity + rstate <= #1 sr_rec_parity; + else + begin + rstate <= #1 sr_rec_stop; + rparity_error <= #1 1'b0; // no parity - no error :) + end + else // else we have more bits to read + begin + rstate <= #1 sr_rec_bit; + rbit_counter <= #1 rbit_counter - 1'b1; + end + rcounter16 <= #1 4'b1110; + end + sr_rec_parity: begin + if (rcounter16_eq_7) // read the parity + begin + rparity <= #1 srx_pad_i; + rstate <= #1 sr_ca_lc_parity; + end + rcounter16 <= #1 rcounter16_minus_1; + end + sr_ca_lc_parity : begin // rcounter equals 6 + rcounter16 <= #1 rcounter16_minus_1; + rparity_xor <= #1 ^{rshift,rparity}; // calculate parity on all incoming data + rstate <= #1 sr_check_parity; + end + sr_check_parity: begin // rcounter equals 5 + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: rparity_error <= #1 rparity_xor == 0; // no error if parity 1 + 2'b01: rparity_error <= #1 ~rparity; // parity should sticked to 1 + 2'b10: rparity_error <= #1 rparity_xor == 1; // error if parity is odd + 2'b11: rparity_error <= #1 rparity; // parity should be sticked to 0 + endcase + rcounter16 <= #1 rcounter16_minus_1; + rstate <= #1 sr_wait1; + end + sr_wait1 : if (rcounter16_eq_0) + begin + rstate <= #1 sr_rec_stop; + rcounter16 <= #1 4'b1110; + end + else + rcounter16 <= #1 rcounter16_minus_1; + sr_rec_stop : begin + if (rcounter16_eq_7) // read the parity + begin + rframing_error <= #1 !srx_pad_i; // no framing error if input is 1 (stop bit) + rstate <= #1 sr_push; + end + rcounter16 <= #1 rcounter16_minus_1; + end + sr_push : begin +/////////////////////////////////////// +// $display($time, ": received: %b", rf_data_in); + if(srx_pad_i | break_error) + begin + if(break_error) + rf_data_in <= #1 {8'b0, 3'b100}; // break input (empty character) to receiver FIFO + else + rf_data_in <= #1 {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= #1 1'b1; + rstate <= #1 sr_idle; + end + else if(~rframing_error) // There's always a framing before break_error -> wait for break or srx_pad_i + begin + rf_data_in <= #1 {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= #1 1'b1; + rcounter16 <= #1 4'b1110; + rstate <= #1 sr_rec_start; + end + + end + default : rstate <= #1 sr_idle; + endcase + end // if (enable) +end // always of receiver + +always @ (posedge clk or posedge wb_rst_i) +begin + if(wb_rst_i) + rf_push_q <= 0; + else + rf_push_q <= #1 rf_push; +end + +assign rf_push_pulse = rf_push & ~rf_push_q; + + +// +// Break condition detection. +// Works in conjuction with the receiver state machine + +reg [9:0] toc_value; // value to be set to timeout counter + +always @(lcr) + case (lcr[3:0]) + 4'b0000 : toc_value = 447; // 7 bits + 4'b0100 : toc_value = 479; // 7.5 bits + 4'b0001, 4'b1000 : toc_value = 511; // 8 bits + 4'b1100 : toc_value = 543; // 8.5 bits + 4'b0010, 4'b0101, 4'b1001 : toc_value = 575; // 9 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : toc_value = 639; // 10 bits + 4'b0111, 4'b1011, 4'b1110 : toc_value = 703; // 11 bits + 4'b1111 : toc_value = 767; // 12 bits + endcase // case(lcr[3:0]) + +wire [7:0] brc_value; // value to be set to break counter +assign brc_value = toc_value[9:2]; // the same as timeout but 1 insead of 4 character times + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_b <= #1 8'd159; + else + if (srx_pad_i) + counter_b <= #1 brc_value; // character time length - 1 + else + if(enable & counter_b != 8'b0) // only work on enable times break not reached. + counter_b <= #1 counter_b - 1; // decrement break counter +end // always of break condition detection + +/// +/// Timeout condition detection +reg [9:0] counter_t; // counts the timeout condition clocks + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_t <= #1 10'd639; // 10 bits for the default 8N1 + else + if(rf_push_pulse || rf_pop || rf_count == 0) // counter is reset when RX FIFO is empty, accessed or above trigger level + counter_t <= #1 toc_value; + else + if (enable && counter_t != 10'b0) // we don't want to underflow + counter_t <= #1 counter_t - 1; +end + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v new file mode 100644 index 00000000..087524ab --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v @@ -0,0 +1,903 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_regs.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Registers of the uart 16550 core //// +//// //// +//// Known problems (limits): //// +//// Inserts 1 wait state in all WISHBONE transfers //// +//// //// +//// To Do: //// +//// Nothing or verification. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: (See log for the revision history //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_regs.v,v $ +// Revision 1.42 2004/11/22 09:21:59 igorm +// Timeout interrupt should be generated only when there is at least ony +// character in the fifo. +// +// Revision 1.41 2004/05/21 11:44:41 tadejm +// Added synchronizer flops for RX input. +// +// Revision 1.40 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.39 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.38 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.37 2001/12/27 13:24:09 mohor +// lsr[7] was not showing overrun errors. +// +// Revision 1.36 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.35 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.34 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.33 2001/12/17 10:14:43 mohor +// Things related to msr register changed. After THRE IRQ occurs, and one +// character is written to the transmit fifo, the detection of the THRE bit in the +// LSR is delayed for one character time. +// +// Revision 1.32 2001/12/14 13:19:24 mohor +// MSR register fixed. +// +// Revision 1.31 2001/12/14 10:06:58 mohor +// After reset modem status register MSR should be reset. +// +// Revision 1.30 2001/12/13 10:09:13 mohor +// thre irq should be cleared only when being source of interrupt. +// +// Revision 1.29 2001/12/12 09:05:46 mohor +// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo). +// +// Revision 1.28 2001/12/10 19:52:41 gorban +// Scratch register added +// +// Revision 1.27 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.26 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.25 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.24 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.23 2001/11/12 21:57:29 gorban +// fixed more typo bugs +// +// Revision 1.22 2001/11/12 15:02:28 mohor +// lsr1r error fixed. +// +// Revision 1.21 2001/11/12 14:57:27 mohor +// ti_int_pnd error fixed. +// +// Revision 1.20 2001/11/12 14:50:27 mohor +// ti_int_d error fixed. +// +// Revision 1.19 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.18 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.17 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.16 2001/11/02 09:55:16 mohor +// no message +// +// Revision 1.15 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.14 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/10/19 16:21:40 gorban +// Changes data_out to be synchronous again as it should have been. +// +// Revision 1.11 2001/10/18 20:35:45 gorban +// small fix +// +// Revision 1.10 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.9 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.10 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.9 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.8 2001/05/29 20:05:04 gorban +// Fixed some bugs and synthesis problems. +// +// Revision 1.7 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.6 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.5 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +`define UART_DL1 7:0 +`define UART_DL2 15:8 + +module uart_regs (clk, + wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i, + +// additional signals + modem_inputs, + stx_pad_o, srx_pad_i, + +`ifdef DATA_BUS_WIDTH_8 +`else +// debug interface signals enabled +ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate, +`endif + rts_pad_o, dtr_pad_o, int_o +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o +`endif + + ); + +input clk; +input wb_rst_i; +input [`UART_ADDR_WIDTH-1:0] wb_addr_i; +input [7:0] wb_dat_i; +output [7:0] wb_dat_o; +input wb_we_i; +input wb_re_i; + +output stx_pad_o; +input srx_pad_i; + +input [3:0] modem_inputs; +output rts_pad_o; +output dtr_pad_o; +output int_o; +`ifdef UART_HAS_BAUDRATE_OUTPUT +output baud_o; +`endif + +`ifdef DATA_BUS_WIDTH_8 +`else +// if 32-bit databus and debug interface are enabled +output [3:0] ier; +output [3:0] iir; +output [1:0] fcr; /// bits 7 and 6 of fcr. Other bits are ignored +output [4:0] mcr; +output [7:0] lcr; +output [7:0] msr; +output [7:0] lsr; +output [`UART_FIFO_COUNTER_W-1:0] rf_count; +output [`UART_FIFO_COUNTER_W-1:0] tf_count; +output [2:0] tstate; +output [3:0] rstate; + +`endif + +wire [3:0] modem_inputs; +reg enable; +`ifdef UART_HAS_BAUDRATE_OUTPUT +assign baud_o = enable; // baud_o is actually the enable signal +`endif + + +wire stx_pad_o; // received from transmitter module +wire srx_pad_i; +wire srx_pad; + +reg [7:0] wb_dat_o; + +wire [`UART_ADDR_WIDTH-1:0] wb_addr_i; +wire [7:0] wb_dat_i; + + +reg [3:0] ier; +reg [3:0] iir; +reg [1:0] fcr; /// bits 7 and 6 of fcr. Other bits are ignored +reg [4:0] mcr; +reg [7:0] lcr; +reg [7:0] msr; +reg [15:0] dl; // 32-bit divisor latch +reg [7:0] scratch; // UART scratch register +reg start_dlc; // activate dlc on writing to UART_DL1 +reg lsr_mask_d; // delay for lsr_mask condition +reg msi_reset; // reset MSR 4 lower bits indicator +//reg threi_clear; // THRE interrupt clear flag +reg [15:0] dlc; // 32-bit divisor latch counter +reg int_o; + +reg [3:0] trigger_level; // trigger level of the receiver FIFO +reg rx_reset; +reg tx_reset; + +wire dlab; // divisor latch access bit +wire cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits +wire loopback; // loopback bit (MCR bit 4) +wire cts, dsr, ri, dcd; // effective signals +wire cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback) +wire rts_pad_o, dtr_pad_o; // modem control outputs + +// LSR bits wires and regs +wire [7:0] lsr; +wire lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7; +reg lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r; +wire lsr_mask; // lsr_mask + +// +// ASSINGS +// + +assign lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r }; + +assign {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs; +assign {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]} + : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign dlab = lcr[`UART_LC_DL]; +assign loopback = mcr[4]; + +// assign modem outputs +assign rts_pad_o = mcr[`UART_MC_RTS]; +assign dtr_pad_o = mcr[`UART_MC_DTR]; + +// Interrupt signals +wire rls_int; // receiver line status interrupt +wire rda_int; // receiver data available interrupt +wire ti_int; // timeout indicator interrupt +wire thre_int; // transmitter holding register empty interrupt +wire ms_int; // modem status interrupt + +// FIFO signals +reg tf_push; +reg rf_pop; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; +wire [2:0] tstate; +wire [3:0] rstate; +wire [9:0] counter_t; + +wire thre_set_en; // THRE status is delayed one character time when a character is written to fifo. +reg [7:0] block_cnt; // While counter counts, THRE status is blocked (delayed one character cycle) +reg [7:0] block_value; // One character length minus stop bit + +// Transmitter Instance +wire serial_out; + +uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, serial_out, tstate, tf_count, tx_reset, lsr_mask); + + // Synchronizing and sampling serial RX input + uart_sync_flops i_uart_sync_flops + ( + .rst_i (wb_rst_i), + .clk_i (clk), + .stage1_rst_i (1'b0), + .stage1_clk_en_i (1'b1), + .async_dat_i (srx_pad_i), + .sync_dat_o (srx_pad) + ); + defparam i_uart_sync_flops.width = 1; + defparam i_uart_sync_flops.init_value = 1'b1; + +// handle loopback +wire serial_in = loopback ? serial_out : srx_pad; +assign stx_pad_o = loopback ? 1'b1 : serial_out; + + wire rf_push_pulse, rf_overrun; +// Receiver Instance +uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, serial_in, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + + +// Asynchronous reading here because the outputs are sampled in uart_wb.v file +always @(dl or dlab or ier or iir or scratch + or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i) // asynchrounous reading +begin + case (wb_addr_i) + `UART_REG_RB : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3]; + `UART_REG_IE : wb_dat_o = dlab ? dl[`UART_DL2] : ier; + `UART_REG_II : wb_dat_o = {4'b1100,iir}; + `UART_REG_LC : wb_dat_o = lcr; + `UART_REG_LS : wb_dat_o = lsr; + `UART_REG_MS : wb_dat_o = msr; + `UART_REG_SR : wb_dat_o = scratch; + default: wb_dat_o = 8'b0; // ?? + endcase // case(wb_addr_i) +end // always @ (dl or dlab or ier or iir or scratch... + + +// rf_pop signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + rf_pop <= #1 0; + else + if (rf_pop) // restore the signal to 0 after one clock cycle + rf_pop <= #1 0; + else + if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab) + rf_pop <= #1 1; // advance read pointer +end + +wire lsr_mask_condition; +wire iir_read; +wire msr_read; +wire fifo_read; +wire fifo_write; + +assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab); +assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab); +assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab); +assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab); +assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab); + +// lsr_mask_d delayed signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + lsr_mask_d <= #1 0; + else // reset bits in the Line Status Register + lsr_mask_d <= #1 lsr_mask_condition; +end + +// lsr_mask is rise detected +assign lsr_mask = lsr_mask_condition && ~lsr_mask_d; + +// msi_reset signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + msi_reset <= #1 1; + else + if (msi_reset) + msi_reset <= #1 0; + else + if (msr_read) + msi_reset <= #1 1; // reset bits in Modem Status Register +end + + +// +// WRITES AND RESETS // +// +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + lcr <= #1 8'b00000011; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_LC) + lcr <= #1 wb_dat_i; + +// Interrupt Enable Register or UART_DL2 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin + ier <= #1 4'b0000; // no interrupts after reset + dl[`UART_DL2] <= #1 8'b0; + end + else + if (wb_we_i && wb_addr_i==`UART_REG_IE) + if (dlab) + begin + dl[`UART_DL2] <= #1 wb_dat_i; + end + else + ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb + + +// FIFO Control Register and rx_reset, tx_reset signals +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + fcr <= #1 2'b11; + rx_reset <= #1 0; + tx_reset <= #1 0; + end else + if (wb_we_i && wb_addr_i==`UART_REG_FC) begin + fcr <= #1 wb_dat_i[7:6]; + rx_reset <= #1 wb_dat_i[1]; + tx_reset <= #1 wb_dat_i[2]; + end else begin + rx_reset <= #1 0; + tx_reset <= #1 0; + end + +// Modem Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + mcr <= #1 5'b0; + else + if (wb_we_i && wb_addr_i==`UART_REG_MC) + mcr <= #1 wb_dat_i[4:0]; + +// Scratch register +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + scratch <= #1 0; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_SR) + scratch <= #1 wb_dat_i; + +// TX_FIFO or UART_DL1 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin + dl[`UART_DL1] <= #1 8'b0; + tf_push <= #1 1'b0; + start_dlc <= #1 1'b0; + end + else + if (wb_we_i && wb_addr_i==`UART_REG_TR) + if (dlab) + begin + dl[`UART_DL1] <= #1 wb_dat_i; + start_dlc <= #1 1'b1; // enable DL counter + tf_push <= #1 1'b0; + end + else + begin + tf_push <= #1 1'b1; + start_dlc <= #1 1'b0; + end // else: !if(dlab) + else + begin + start_dlc <= #1 1'b0; + tf_push <= #1 1'b0; + end // else: !if(dlab) + +// Receiver FIFO trigger level selection logic (asynchronous mux) +always @(fcr) + case (fcr[`UART_FC_TL]) + 2'b00 : trigger_level = 1; + 2'b01 : trigger_level = 4; + 2'b10 : trigger_level = 8; + 2'b11 : trigger_level = 14; + endcase // case(fcr[`UART_FC_TL]) + +// +// STATUS REGISTERS // +// + +// Modem Status Register +reg [3:0] delayed_modem_signals; +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + msr <= #1 0; + delayed_modem_signals[3:0] <= #1 0; + end + else begin + msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 : + msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]); + msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd_c, ri_c, dsr_c, cts_c}; + delayed_modem_signals[3:0] <= #1 {dcd, ri, dsr, cts}; + end +end + + +// Line Status Register + +// activation conditions +assign lsr0 = (rf_count==0 && rf_push_pulse); // data in receiver fifo available set condition +assign lsr1 = rf_overrun; // Receiver overrun error +assign lsr2 = rf_data_out[1]; // parity error bit +assign lsr3 = rf_data_out[0]; // framing error bit +assign lsr4 = rf_data_out[2]; // break error in the character + +// Why is this here? Empty should be signalled in the fifo itself, + // to properly account for fifo length parameters + +assign lsr5 = (tf_count!=5'b01111); // transmitter fifo is not full +assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter completely empty +assign lsr7 = rf_error_bit | rf_overrun; + +// lsr bit0 (receiver data available) +reg lsr0_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0_d <= #1 0; + else lsr0_d <= #1 lsr0; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0r <= #1 0; + else lsr0r <= #1 (rf_count==1 && rf_pop && !rf_push_pulse || rx_reset) ? 0 : // deassert condition + lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted + +// lsr bit 1 (receiver overrun) +reg lsr1_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1_d <= #1 0; + else lsr1_d <= #1 lsr1; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1r <= #1 0; + else lsr1r <= #1 lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise + +// lsr bit 2 (parity error) +reg lsr2_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2_d <= #1 0; + else lsr2_d <= #1 lsr2; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2r <= #1 0; + else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise + +// lsr bit 3 (framing error) +reg lsr3_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3_d <= #1 0; + else lsr3_d <= #1 lsr3; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3r <= #1 0; + else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise + +// lsr bit 4 (break indicator) +reg lsr4_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4_d <= #1 0; + else lsr4_d <= #1 lsr4; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4r <= #1 0; + else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d); + +// lsr bit 5 (transmitter fifo is empty) +reg lsr5_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5_d <= #1 1; + else lsr5_d <= #1 lsr5; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5r <= #1 1; + else lsr5r <= #1 lsr5; + //else lsr5r <= #1 (fifo_write) ? 0 : lsr5r || (lsr5 && ~lsr5_d); + +// lsr bit 6 (transmitter empty indicator) +reg lsr6_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6_d <= #1 1; + else lsr6_d <= #1 lsr6; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6r <= #1 1; + else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d); + +// lsr bit 7 (error in fifo) +reg lsr7_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7_d <= #1 0; + else lsr7_d <= #1 lsr7; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7r <= #1 0; + else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d); + +// Frequency divider +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + dlc <= #1 0; + else + if (start_dlc | ~ (|dlc)) + dlc <= #1 dl - 1; // preset counter + else + dlc <= #1 dlc - 1; // decrement counter +end + +// Enable signal generation logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + enable <= #1 1'b0; + else + if (|dl & ~(|dlc)) // dl>0 & dlc==0 + enable <= #1 1'b1; + else + enable <= #1 1'b0; +end + +// Delaying THRE status for one character cycle after a character is written to an empty fifo. +always @(lcr) + case (lcr[3:0]) + 4'b0000 : block_value = 95; // 6 bits + 4'b0100 : block_value = 103; // 6.5 bits + 4'b0001, 4'b1000 : block_value = 111; // 7 bits + 4'b1100 : block_value = 119; // 7.5 bits + 4'b0010, 4'b0101, 4'b1001 : block_value = 127; // 8 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : block_value = 143; // 9 bits + 4'b0111, 4'b1011, 4'b1110 : block_value = 159; // 10 bits + 4'b1111 : block_value = 175; // 11 bits + endcase // case(lcr[3:0]) + +// Counting time of one character minus stop bit +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + block_cnt <= #1 8'd0; + else + if(lsr5r & fifo_write) // THRE bit set & write to fifo occured + block_cnt <= #1 block_value; + else + if (enable & block_cnt != 8'b0) // only work on enable times + block_cnt <= #1 block_cnt - 1; // decrement break counter +end // always of break condition detection + +// Generating THRE status enable signal +assign thre_set_en = ~(|block_cnt); + + +// +// INTERRUPT LOGIC +// + +assign rls_int = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]); +assign rda_int = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level}); +assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE]; +assign ms_int = ier[`UART_IE_MS] && (| msr[3:0]); +assign ti_int = ier[`UART_IE_RDA] && (counter_t == 10'b0) && (|rf_count); + +reg rls_int_d; +reg thre_int_d; +reg ms_int_d; +reg ti_int_d; +reg rda_int_d; + +// delay lines +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_d <= #1 0; + else rls_int_d <= #1 rls_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_d <= #1 0; + else rda_int_d <= #1 rda_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_d <= #1 0; + else thre_int_d <= #1 thre_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_d <= #1 0; + else ms_int_d <= #1 ms_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_d <= #1 0; + else ti_int_d <= #1 ti_int; + +// rise detection signals + +wire rls_int_rise; +wire thre_int_rise; +wire ms_int_rise; +wire ti_int_rise; +wire rda_int_rise; + +assign rda_int_rise = rda_int & ~rda_int_d; +assign rls_int_rise = rls_int & ~rls_int_d; +assign thre_int_rise = thre_int & ~thre_int_d; +assign ms_int_rise = ms_int & ~ms_int_d; +assign ti_int_rise = ti_int & ~ti_int_d; + +// interrupt pending flags +reg rls_int_pnd; +reg rda_int_pnd; +reg thre_int_pnd; +reg ms_int_pnd; +reg ti_int_pnd; + +// interrupt pending flags assignments +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_pnd <= #1 0; + else + rls_int_pnd <= #1 lsr_mask ? 0 : // reset condition + rls_int_rise ? 1 : // latch condition + rls_int_pnd && ier[`UART_IE_RLS]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_pnd <= #1 0; + else + rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 : // reset condition + rda_int_rise ? 1 : // latch condition + rda_int_pnd && ier[`UART_IE_RDA]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_pnd <= #1 0; + else + thre_int_pnd <= #1 fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 0 : + thre_int_rise ? 1 : + thre_int_pnd && ier[`UART_IE_THRE]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_pnd <= #1 0; + else + ms_int_pnd <= #1 msr_read ? 0 : + ms_int_rise ? 1 : + ms_int_pnd && ier[`UART_IE_MS]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_pnd <= #1 0; + else + ti_int_pnd <= #1 fifo_read ? 0 : + ti_int_rise ? 1 : + ti_int_pnd && ier[`UART_IE_RDA]; +// end of pending flags + +// INT_O logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + int_o <= #1 1'b0; + else + int_o <= #1 + rls_int_pnd ? ~lsr_mask : + rda_int_pnd ? 1 : + ti_int_pnd ? ~fifo_read : + thre_int_pnd ? !(fifo_write & iir_read) : + ms_int_pnd ? ~msr_read : + 0; // if no interrupt are pending +end + + +// Interrupt Identification register +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + iir <= #1 1; + else + if (rls_int_pnd) // interrupt is pending + begin + iir[`UART_II_II] <= #1 `UART_II_RLS; // set identification register to correct value + iir[`UART_II_IP] <= #1 1'b0; // and clear the IIR bit 0 (interrupt pending) + end else // the sequence of conditions determines priority of interrupt identification + if (rda_int) + begin + iir[`UART_II_II] <= #1 `UART_II_RDA; + iir[`UART_II_IP] <= #1 1'b0; + end + else if (ti_int_pnd) + begin + iir[`UART_II_II] <= #1 `UART_II_TI; + iir[`UART_II_IP] <= #1 1'b0; + end + else if (thre_int_pnd) + begin + iir[`UART_II_II] <= #1 `UART_II_THRE; + iir[`UART_II_IP] <= #1 1'b0; + end + else if (ms_int_pnd) + begin + iir[`UART_II_II] <= #1 `UART_II_MS; + iir[`UART_II_IP] <= #1 1'b0; + end else // no interrupt is pending + begin + iir[`UART_II_II] <= #1 0; + iir[`UART_II_IP] <= #1 1'b1; + end +end + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v new file mode 100644 index 00000000..21e9d25e --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v @@ -0,0 +1,323 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_rfifo.v (Modified from uart_fifo.v) //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_rfifo.v,v $ +// Revision 1.4 2003/07/11 18:20:26 gorban +// added clearing the receiver fifo statuses on resets +// +// Revision 1.3 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.2 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +module uart_rfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + error_bit, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; +output error_bit; + +wire [fifo_width-1:0] data_out; +wire [7:0] data8_out; +// flags FIFO +reg [2:0] fifo[fifo_depth-1:0]; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; + +wire [fifo_pointer_w-1:0] top_plus_1 = top + 1'b1; + +raminfr #(fifo_pointer_w,8,fifo_depth) rfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in[fifo_width-1:fifo_width-8]), + .dpo(data8_out) + ); + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= #1 0; + bottom <= #1 1'b0; + count <= #1 0; + fifo[0] <= #1 0; + fifo[1] <= #1 0; + fifo[2] <= #1 0; + fifo[3] <= #1 0; + fifo[4] <= #1 0; + fifo[5] <= #1 0; + fifo[6] <= #1 0; + fifo[7] <= #1 0; + fifo[8] <= #1 0; + fifo[9] <= #1 0; + fifo[10] <= #1 0; + fifo[11] <= #1 0; + fifo[12] <= #1 0; + fifo[13] <= #1 0; + fifo[14] <= #1 0; + fifo[15] <= #1 0; + end + else + if (fifo_reset) begin + top <= #1 0; + bottom <= #1 1'b0; + count <= #1 0; + fifo[0] <= #1 0; + fifo[1] <= #1 0; + fifo[2] <= #1 0; + fifo[3] <= #1 0; + fifo[4] <= #1 0; + fifo[5] <= #1 0; + fifo[6] <= #1 0; + fifo[7] <= #1 0; + fifo[8] <= #1 0; + fifo[9] <= #1 0; + fifo[10] <= #1 0; + fifo[11] <= #1 0; + fifo[12] <= #1 0; + fifo[13] <= #1 0; + fifo[14] <= #1 0; + fifo[15] <= #1 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + fifo[bottom] <= #1 0; + bottom <= #1 bottom + 1'b1; + count <= #1 count - 1'b1; + end + 2'b11 : begin + bottom <= #1 bottom + 1'b1; + top <= #1 top_plus_1; + fifo[top] <= #1 data_in[2:0]; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= #1 1'b0; + else + if(fifo_reset | reset_status) + overrun <= #1 1'b0; + else + if(push & ~pop & (count==fifo_depth)) + overrun <= #1 1'b1; +end // always + + +// please note though that data_out is only valid one clock after pop signal +assign data_out = {data8_out,fifo[bottom]}; + +// Additional logic for detection of error conditions (parity and framing) inside the FIFO +// for the Line Status Register bit 7 + +wire [2:0] word0 = fifo[0]; +wire [2:0] word1 = fifo[1]; +wire [2:0] word2 = fifo[2]; +wire [2:0] word3 = fifo[3]; +wire [2:0] word4 = fifo[4]; +wire [2:0] word5 = fifo[5]; +wire [2:0] word6 = fifo[6]; +wire [2:0] word7 = fifo[7]; + +wire [2:0] word8 = fifo[8]; +wire [2:0] word9 = fifo[9]; +wire [2:0] word10 = fifo[10]; +wire [2:0] word11 = fifo[11]; +wire [2:0] word12 = fifo[12]; +wire [2:0] word13 = fifo[13]; +wire [2:0] word14 = fifo[14]; +wire [2:0] word15 = fifo[15]; + +// a 1 is returned if any of the error bits in the fifo is 1 +assign error_bit = |(word0[2:0] | word1[2:0] | word2[2:0] | word3[2:0] | + word4[2:0] | word5[2:0] | word6[2:0] | word7[2:0] | + word8[2:0] | word9[2:0] | word10[2:0] | word11[2:0] | + word12[2:0] | word13[2:0] | word14[2:0] | word15[2:0] ); + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v new file mode 100644 index 00000000..a822f26d --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v @@ -0,0 +1,125 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_sync_flops.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - Andrej Erzen (andreje@flextronics.si) //// +//// - Tadej Markovic (tadejm@flextronics.si) //// +//// //// +//// Created: 2004/05/20 //// +//// Last Updated: 2004/05/20 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_sync_flops.v,v $ +// Revision 1.1 2004/05/21 11:43:25 tadejm +// Added to synchronize RX input to Wishbone clock. +// +// + + +`include "timescale.v" + + +module uart_sync_flops +( + // internal signals + rst_i, + clk_i, + stage1_rst_i, + stage1_clk_en_i, + async_dat_i, + sync_dat_o +); + +parameter Tp = 1; +parameter width = 1; +parameter init_value = 1'b0; + +input rst_i; // reset input +input clk_i; // clock input +input stage1_rst_i; // synchronous reset for stage 1 FF +input stage1_clk_en_i; // synchronous clock enable for stage 1 FF +input [width-1:0] async_dat_i; // asynchronous data input +output [width-1:0] sync_dat_o; // synchronous data output + + +// +// Interal signal declarations +// + +reg [width-1:0] sync_dat_o; +reg [width-1:0] flop_0; + + +// first stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + flop_0 <= #Tp {width{init_value}}; + else + flop_0 <= #Tp async_dat_i; +end + +// second stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + sync_dat_o <= #Tp {width{init_value}}; + else if (stage1_rst_i) + sync_dat_o <= #Tp {width{init_value}}; + else if (stage1_clk_en_i) + sync_dat_o <= #Tp flop_0; +end + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v new file mode 100644 index 00000000..9b369aac --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v @@ -0,0 +1,246 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_tfifo.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_tfifo.v,v $ +// Revision 1.2 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +module uart_tfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; + +wire [fifo_width-1:0] data_out; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; +wire [fifo_pointer_w-1:0] top_plus_1 = top + 1'b1; + +raminfr #(fifo_pointer_w,fifo_width,fifo_depth) tfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in), + .dpo(data_out) + ); + + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= #1 0; + bottom <= #1 1'b0; + count <= #1 0; + end + else + if (fifo_reset) begin + top <= #1 0; + bottom <= #1 1'b0; + count <= #1 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + bottom <= #1 bottom + 1'b1; + count <= #1 count - 1'b1; + end + 2'b11 : begin + bottom <= #1 bottom + 1'b1; + top <= #1 top_plus_1; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= #1 1'b0; + else + if(fifo_reset | reset_status) + overrun <= #1 1'b0; + else + if(push & (count==fifo_depth)) + overrun <= #1 1'b1; +end // always + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v new file mode 100644 index 00000000..e57ca8e4 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v @@ -0,0 +1,337 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_top.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core top level. //// +//// //// +//// Known problems (limits): //// +//// Note that transmitter and receiver instances are inside //// +//// the uart_regs.v file. //// +//// //// +//// To Do: //// +//// Nothing so far. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_top.v,v $ +// Revision 1.19 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.17 2001/12/19 08:40:03 mohor +// Warnings fixed (unused signals removed). +// +// Revision 1.16 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.15 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.14 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/08/25 15:46:19 gorban +// Modified port names again +// +// Revision 1.11 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.10 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_top + (wb_clk_i, + + // Wishbone signals + wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_sel_i, + int_o, // interrupt request + + // UART signals + // serial input/output + stx_pad_o, srx_pad_i, + + // modem signals + rts_pad_o, cts_pad_i, dtr_pad_o, dsr_pad_i, ri_pad_i, dcd_pad_i + `ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o + `endif + ); + + parameter uart_data_width = `UART_DATA_WIDTH; + parameter uart_addr_width = `UART_ADDR_WIDTH; + + input wb_clk_i; + + // WISHBONE interface + input wb_rst_i; + input [uart_addr_width-1:0] wb_adr_i; + input [uart_data_width-1:0] wb_dat_i; + output [uart_data_width-1:0] wb_dat_o; + input wb_we_i; + input wb_stb_i; + input wb_cyc_i; + input [3:0] wb_sel_i; + output wb_ack_o; + output int_o; + + // UART signals + input srx_pad_i; + output stx_pad_o; + output rts_pad_o; + input cts_pad_i; + output dtr_pad_o; + input dsr_pad_i; + input ri_pad_i; + input dcd_pad_i; + + // optional baudrate output + `ifdef UART_HAS_BAUDRATE_OUTPUT + output baud_o; + `endif + + wire stx_pad_o; + wire rts_pad_o; + wire dtr_pad_o; + + wire [uart_addr_width-1:0] wb_adr_i; + wire [uart_data_width-1:0] wb_dat_i; + wire [uart_data_width-1:0] wb_dat_o; + + wire [7:0] wb_dat8_i; // 8-bit internal data input + wire [7:0] wb_dat8_o; // 8-bit internal data output + wire [31:0] wb_dat32_o; // debug interface 32-bit output + wire [3:0] wb_sel_i; // WISHBONE select signal + wire [uart_addr_width-1:0] wb_adr_int; + wire we_o; // Write enable for registers + wire re_o; // Read enable for registers + // + // MODULE INSTANCES + // + + `ifdef DATA_BUS_WIDTH_8 + `else + // debug interface wires + wire [3:0] ier; + wire [3:0] iir; + wire [1:0] fcr; + wire [4:0] mcr; + wire [7:0] lcr; + wire [7:0] msr; + wire [7:0] lsr; + wire [`UART_FIFO_COUNTER_W-1:0] rf_count; + wire [`UART_FIFO_COUNTER_W-1:0] tf_count; + wire [2:0] tstate; + wire [3:0] rstate; + `endif + + `ifdef DATA_BUS_WIDTH_8 + //// WISHBONE interface module + uart_wb wb_interface + (.clk(wb_clk_i), + .wb_rst_i( wb_rst_i ), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_dat8_i(wb_dat8_i), + .wb_dat8_o(wb_dat8_o), + .wb_dat32_o(32'b0), + .wb_sel_i(4'b0), + .wb_we_i( wb_we_i ), + .wb_stb_i( wb_stb_i ), + .wb_cyc_i( wb_cyc_i ), + .wb_ack_o( wb_ack_o ), + .wb_adr_i(wb_adr_i), + .wb_adr_int(wb_adr_int), + .we_o( we_o ), + .re_o(re_o) + ); + `else + uart_wb wb_interface + (.clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_dat8_i(wb_dat8_i), + .wb_dat8_o(wb_dat8_o), + .wb_sel_i(wb_sel_i), + .wb_dat32_o(wb_dat32_o), + .wb_we_i( wb_we_i ), + .wb_stb_i( wb_stb_i ), + .wb_cyc_i( wb_cyc_i ), + .wb_ack_o( wb_ack_o ), + .wb_adr_i(wb_adr_i), + .wb_adr_int(wb_adr_int), + .we_o( we_o ), + .re_o(re_o) + ); + `endif + + // Registers + uart_regs + regs(.clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_addr_i( wb_adr_int ), + .wb_dat_i( wb_dat8_i ), + .wb_dat_o( wb_dat8_o ), + .wb_we_i( we_o ), + .wb_re_i(re_o), + .modem_inputs({cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} ), + .stx_pad_o( stx_pad_o ), + .srx_pad_i( srx_pad_i ), + `ifdef DATA_BUS_WIDTH_8 + `else + // debug interface signals enabled + .ier(ier), + .iir(iir), + .fcr(fcr), + .mcr(mcr), + .lcr(lcr), + .msr(msr), + .lsr(lsr), + .rf_count(rf_count), + .tf_count(tf_count), + .tstate(tstate), + .rstate(rstate), + `endif + .rts_pad_o( rts_pad_o ), + .dtr_pad_o( dtr_pad_o ), + .int_o( int_o ) + `ifdef UART_HAS_BAUDRATE_OUTPUT + , .baud_o(baud_o) + `endif + + ); + + `ifdef DATA_BUS_WIDTH_8 + `else + uart_debug_if + dbg(// Outputs + .wb_dat32_o (wb_dat32_o[31:0]), + // Inputs + .wb_adr_i (wb_adr_int[`UART_ADDR_WIDTH-1:0]), + .ier (ier[3:0]), + .iir (iir[3:0]), + .fcr (fcr[1:0]), + .mcr (mcr[4:0]), + .lcr (lcr[7:0]), + .msr (msr[7:0]), + .lsr (lsr[7:0]), + .rf_count (rf_count[`UART_FIFO_COUNTER_W-1:0]), + .tf_count (tf_count[`UART_FIFO_COUNTER_W-1:0]), + .tstate (tstate[2:0]), + .rstate (rstate[3:0])); + `endif + + initial + begin + `ifdef DATA_BUS_WIDTH_8 + $display("(%m) UART INFO: Data bus width is 8. No Debug interface.\n"); + `else + $display("(%m) UART INFO: Data bus width is 32. Debug Interface present.\n"); + `endif + `ifdef UART_HAS_BAUDRATE_OUTPUT + $display("(%m) UART INFO: Has baudrate output\n"); + `else + $display("(%m) UART INFO: Doesn't have baudrate output\n"); + `endif + end + +endmodule // uart_top + diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v new file mode 100644 index 00000000..e27e6998 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v @@ -0,0 +1,355 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_transmitter.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_transmitter.v,v $ +// Revision 1.19 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2002/01/08 11:29:40 mohor +// tf_pop was too wide. Now it is only 1 clk cycle width. +// +// Revision 1.15 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.14 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "uart_defines.v" + +module uart_transmitter (clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input tf_push; +input [7:0] wb_dat_i; +input enable; +input tx_reset; +input lsr_mask; //reset of fifo +output stx_pad_o; +output [2:0] tstate; +output [`UART_FIFO_COUNTER_W-1:0] tf_count; + +reg [2:0] tstate; +reg [4:0] counter; +reg [2:0] bit_counter; // counts the bits to be sent +reg [6:0] shift_out; // output shift register +reg stx_o_tmp; +reg parity_xor; // parity of the word +reg tf_pop; +reg bit_out; + +// TX FIFO instance +// +// Transmitter FIFO signals + reg [`UART_FIFO_WIDTH-1:0] tf_data_in; +wire [`UART_FIFO_WIDTH-1:0] tf_data_out; +wire tf_push; +wire tf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; + +always @(posedge clk) + tf_data_in <= wb_dat_i; + +uart_tfifo fifo_tx( // error bit signal is not used in transmitter FIFO + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( tf_data_in ), + .data_out( tf_data_out ), + .push( tf_push ), + .pop( tf_pop ), + .overrun( tf_overrun ), + .count( tf_count ), + .fifo_reset( tx_reset ), + .reset_status(lsr_mask) +); + +// TRANSMITTER FINAL STATE MACHINE + +parameter s_idle = 3'd0; +parameter s_send_start = 3'd1; +parameter s_send_byte = 3'd2; +parameter s_send_parity = 3'd3; +parameter s_send_stop = 3'd4; +parameter s_pop_byte = 3'd5; + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + tstate <= #1 s_idle; + stx_o_tmp <= #1 1'b1; + counter <= #1 5'b0; + shift_out <= #1 7'b0; + bit_out <= #1 1'b0; + parity_xor <= #1 1'b0; + tf_pop <= #1 1'b0; + bit_counter <= #1 3'b0; + end + else + if (enable) + begin + case (tstate) + s_idle : if (~|tf_count) // if tf_count==0 + begin + tstate <= #1 s_idle; + stx_o_tmp <= #1 1'b1; + end + else + begin + tf_pop <= #1 1'b0; + stx_o_tmp <= #1 1'b1; + tstate <= #1 s_pop_byte; + end + s_pop_byte : begin + tf_pop <= #1 1'b1; + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : begin + bit_counter <= #1 3'b100; + parity_xor <= #1 ^tf_data_out[4:0]; + end + 2'b01 : begin + bit_counter <= #1 3'b101; + parity_xor <= #1 ^tf_data_out[5:0]; + end + 2'b10 : begin + bit_counter <= #1 3'b110; + parity_xor <= #1 ^tf_data_out[6:0]; + end + 2'b11 : begin + bit_counter <= #1 3'b111; + parity_xor <= #1 ^tf_data_out[7:0]; + end + endcase + {shift_out[6:0], bit_out} <= #1 tf_data_out; + tstate <= #1 s_send_start; + end + s_send_start : begin + tf_pop <= #1 1'b0; + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= #1 0; + tstate <= #1 s_send_byte; + end + else + counter <= #1 counter - 1'b1; + stx_o_tmp <= #1 1'b0; + end + s_send_byte : begin + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + if (bit_counter > 3'b0) + begin + bit_counter <= #1 bit_counter - 1'b1; + {shift_out[5:0],bit_out } <= #1 {shift_out[6:1], shift_out[0]}; + tstate <= #1 s_send_byte; + end + else // end of byte + if (~lcr[`UART_LC_PE]) + begin + tstate <= #1 s_send_stop; + end + else + begin + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: bit_out <= #1 ~parity_xor; + 2'b01: bit_out <= #1 1'b1; + 2'b10: bit_out <= #1 parity_xor; + 2'b11: bit_out <= #1 1'b0; + endcase + tstate <= #1 s_send_parity; + end + counter <= #1 0; + end + else + counter <= #1 counter - 1'b1; + stx_o_tmp <= #1 bit_out; // set output pin + end + s_send_parity : begin + if (~|counter) + counter <= #1 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= #1 4'b0; + tstate <= #1 s_send_stop; + end + else + counter <= #1 counter - 1'b1; + stx_o_tmp <= #1 bit_out; + end + s_send_stop : begin + if (~|counter) + begin + casex ({lcr[`UART_LC_SB],lcr[`UART_LC_BITS]}) + 3'b0xx: counter <= #1 5'b01101; // 1 stop bit ok igor + 3'b100: counter <= #1 5'b10101; // 1.5 stop bit + default: counter <= #1 5'b11101; // 2 stop bits + endcase + end + else + if (counter == 5'b00001) + begin + counter <= #1 0; + tstate <= #1 s_idle; + end + else + counter <= #1 counter - 1'b1; + stx_o_tmp <= #1 1'b1; + end + + default : // should never get here + tstate <= #1 s_idle; + endcase + end // end if enable + else + tf_pop <= #1 1'b0; // tf_pop must be 1 cycle width +end // transmitter logic + +assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp; // Break condition + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v new file mode 100644 index 00000000..daf43645 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v @@ -0,0 +1,308 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_wb.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core WISHBONE interface. //// +//// //// +//// Known problems (limits): //// +//// Inserts one wait state on all transfers. //// +//// Note affected signals and the way they are affected. //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_wb.v,v $ +// Revision 1.17 2004/05/21 12:35:15 tadejm +// Added 2 LSB address generation dependent on select lines and LITLE/BIG endian when UART is in 32-bit mode. +// +// Revision 1.16 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.15 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.12 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.11 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.10 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.9 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.8 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:01 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:13+02 jacob +// Initial revision +// +// + +// UART core WISHBONE interface +// +// Author: Jacob Gorban (jacob.gorban@flextronicssemi.com) +// Company: Flextronics Semiconductor +// + +`include "uart_defines.v" + +module uart_wb (clk, wb_rst_i, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_adr_i, + wb_adr_int, wb_dat_i, wb_dat_o, wb_dat8_i, wb_dat8_o, wb_dat32_o, wb_sel_i, + we_o, re_o // Write and read enable output for the core + ); + + input clk; + + // WISHBONE interface + input wb_rst_i; + input wb_we_i; + input wb_stb_i; + input wb_cyc_i; + input [3:0] wb_sel_i; + input [`UART_ADDR_WIDTH-1:0] wb_adr_i; //WISHBONE address line + +`ifdef DATA_BUS_WIDTH_8 + input [7:0] wb_dat_i; //input WISHBONE bus + output [7:0] wb_dat_o; + reg [7:0] wb_dat_o; + wire [7:0] wb_dat_i; + reg [7:0] wb_dat_is; +`else // for 32 data bus mode + input [31:0] wb_dat_i; //input WISHBONE bus + output [31:0] wb_dat_o; + reg [31:0] wb_dat_o; + wire [31:0] wb_dat_i; + reg [31:0] wb_dat_is; +`endif // !`ifdef DATA_BUS_WIDTH_8 + + output [`UART_ADDR_WIDTH-1:0] wb_adr_int; // internal signal for address bus + input [7:0] wb_dat8_o; // internal 8 bit output to be put into wb_dat_o + output [7:0] wb_dat8_i; + input [31:0] wb_dat32_o; // 32 bit data output (for debug interface) + output wb_ack_o; + output we_o; + output re_o; + + wire we_o; + reg wb_ack_o; + reg [7:0] wb_dat8_i; + wire [7:0] wb_dat8_o; + wire [`UART_ADDR_WIDTH-1:0] wb_adr_int; // internal signal for address bus + reg [`UART_ADDR_WIDTH-1:0] wb_adr_is; + reg wb_we_is; + reg wb_cyc_is; + reg wb_stb_is; + reg [3:0] wb_sel_is; + wire [3:0] wb_sel_i; + reg wre ;// timing control signal for write or read enable + + // wb_ack_o FSM + reg [1:0] wbstate; + always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_ack_o <= #1 1'b0; + wbstate <= #1 0; + wre <= #1 1'b1; + end else + case (wbstate) + 0: begin + if (wb_stb_is & wb_cyc_is) begin + wre <= #1 0; + wbstate <= #1 1; + wb_ack_o <= #1 1; + end else begin + wre <= #1 1; + wb_ack_o <= #1 0; + end + end + 1: begin + wb_ack_o <= #1 0; + wbstate <= #1 2; + wre <= #1 0; + end + 2,3: begin + wb_ack_o <= #1 0; + wbstate <= #1 0; + wre <= #1 0; + end + endcase + + assign we_o = wb_we_is & wb_ack_o; + //assign we_o = wb_we_is & wb_stb_is & wb_cyc_is & wre ; //WE for registers + assign re_o = ~wb_we_is & wb_stb_is & wb_cyc_is & wre ; //RE for registers + + // Sample input signals + always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_adr_is <= #1 0; + wb_we_is <= #1 0; + wb_cyc_is <= #1 0; + wb_stb_is <= #1 0; + wb_dat_is <= #1 0; + wb_sel_is <= #1 0; + end else begin + wb_adr_is <= #1 wb_adr_i; + wb_we_is <= #1 wb_we_i; + wb_cyc_is <= #1 wb_cyc_i; + wb_stb_is <= #1 wb_stb_i; + wb_dat_is <= #1 wb_dat_i; + wb_sel_is <= #1 wb_sel_i; + end + +`ifdef DATA_BUS_WIDTH_8 // 8-bit data bus + always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + wb_dat_o <= #1 0; + else + wb_dat_o <= #1 wb_dat8_o; + + always @(wb_dat_is) + wb_dat8_i = wb_dat_is; + + assign wb_adr_int = wb_adr_is; + +`else // 32-bit bus + // put output to the correct byte in 32 bits using select line + always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + wb_dat_o <= #1 0; + else if (re_o) + case (wb_sel_is) + 4'b0001: wb_dat_o <= #1 {24'b0, wb_dat8_o}; + 4'b0010: wb_dat_o <= #1 {16'b0, wb_dat8_o, 8'b0}; + 4'b0100: wb_dat_o <= #1 {8'b0, wb_dat8_o, 16'b0}; + 4'b1000: wb_dat_o <= #1 {wb_dat8_o, 24'b0}; + 4'b1111: wb_dat_o <= #1 wb_dat32_o; // debug interface output + default: wb_dat_o <= #1 0; + endcase // case(wb_sel_i) + + reg [1:0] wb_adr_int_lsb; + + always @(wb_sel_is or wb_dat_is) + begin + case (wb_sel_is) + 4'b0001 : wb_dat8_i = wb_dat_is[7:0]; + 4'b0010 : wb_dat8_i = wb_dat_is[15:8]; + 4'b0100 : wb_dat8_i = wb_dat_is[23:16]; + 4'b1000 : wb_dat8_i = wb_dat_is[31:24]; + default : wb_dat8_i = wb_dat_is[7:0]; + endcase // case(wb_sel_i) + + `ifdef LITLE_ENDIAN + case (wb_sel_is) + 4'b0001 : wb_adr_int_lsb = 2'h0; + 4'b0010 : wb_adr_int_lsb = 2'h1; + 4'b0100 : wb_adr_int_lsb = 2'h2; + 4'b1000 : wb_adr_int_lsb = 2'h3; + default : wb_adr_int_lsb = 2'h0; + endcase // case(wb_sel_i) + `else + case (wb_sel_is) + 4'b0001 : wb_adr_int_lsb = 2'h3; + 4'b0010 : wb_adr_int_lsb = 2'h2; + 4'b0100 : wb_adr_int_lsb = 2'h1; + 4'b1000 : wb_adr_int_lsb = 2'h0; + default : wb_adr_int_lsb = 2'h0; + endcase // case(wb_sel_i) + `endif + end + + assign wb_adr_int = {wb_adr_is[`UART_ADDR_WIDTH-1:2], wb_adr_int_lsb}; + +`endif // !`ifdef DATA_BUS_WIDTH_8 + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/rtl/vhdl/.keepme b/usrp2/fpga/opencores/uart16550/rtl/vhdl/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries new file mode 100644 index 00000000..f674e77e --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:50 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository new file mode 100644 index 00000000..952ae5ae --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository @@ -0,0 +1 @@ +uart16550/rtl/vhdl diff --git a/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Template b/usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log new file mode 100644 index 00000000..4677f5be --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log @@ -0,0 +1,2 @@ +A D/gate_sim//// +A D/rtl_sim//// diff --git a/usrp2/fpga/opencores/uart16550/sim/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/CVS/Repository new file mode 100644 index 00000000..7a152b5d --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim diff --git a/usrp2/fpga/opencores/uart16550/sim/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log new file mode 100644 index 00000000..7a4fd3fe --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log @@ -0,0 +1,5 @@ +A D/bin//// +A D/log//// +A D/out//// +A D/run//// +A D/src//// diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository new file mode 100644 index 00000000..2717c3bb --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/.keepme b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries new file mode 100644 index 00000000..49302b14 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:53 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository new file mode 100644 index 00000000..23fff6f0 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim/bin diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/.keepme b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries new file mode 100644 index 00000000..c6b9be28 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:56 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository new file mode 100644 index 00000000..a59f7759 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim/log diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/.keepme b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries new file mode 100644 index 00000000..ea09c988 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:58 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository new file mode 100644 index 00000000..90408263 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim/out diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/.keepme b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries new file mode 100644 index 00000000..170c5aa9 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:59 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository new file mode 100644 index 00000000..f0fe74e8 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim/run diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/.keepme b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries new file mode 100644 index 00000000..76093103 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:01 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository new file mode 100644 index 00000000..e90e5de3 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/gate_sim/src diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log new file mode 100644 index 00000000..7a4fd3fe --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log @@ -0,0 +1,5 @@ +A D/bin//// +A D/log//// +A D/out//// +A D/run//// +A D/src//// diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository new file mode 100644 index 00000000..6880ade9 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries new file mode 100644 index 00000000..4993d601 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries @@ -0,0 +1,3 @@ +/nc.scr/1.4/Mon Jul 29 21:15:18 2002/-kb/ +/sim.tcl/1.2/Mon Dec 3 21:44:29 2001/-kb/ +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository new file mode 100644 index 00000000..1ea808f7 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim/bin diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr new file mode 100644 index 00000000..c42e3c34 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr @@ -0,0 +1,9 @@ ++libext+.v ++access+wr ++mess ++incdir+../../../rtl/verilog+../../../bench/verilog ++tcl+../bin/sim.tcl +-y ../../../rtl/verilog +-y ../../../bench/verilog +../../../bench/verilog/uart_test.v +//+gui diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl new file mode 100644 index 00000000..18a0dbec --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl @@ -0,0 +1,5 @@ +database -open waves -into ../out/uart -default +probe -create -shm uart_test -all -depth all +stop -create -time 1000000000ns -relative +run +quit diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/.keepme b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries new file mode 100644 index 00000000..8a92af1b --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries @@ -0,0 +1,4 @@ +/.keepme/1.1/Sun Aug 12 18:53:04 2001// +/uart_interrupts_report.log/1.1/Sat Mar 27 04:09:24 2004// +/uart_interrupts_verbose.log/1.1/Sat Mar 27 04:09:24 2004// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository new file mode 100644 index 00000000..61aafb85 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim/log diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log new file mode 100644 index 00000000..64b5c188 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log @@ -0,0 +1,23 @@ + +--------------------------------------------------------------------------- + +Initialization of UART. + PASSED! + Simulation Time: 621000 + +--------------------------------------------------------------------------- + +Interrupt test. + FAILED! + Failure message: Bit 5 of LSR register not '1'!. + Simulation Time: 5734521200 + +--------------------------------------------------------------------------- + +TEST CASE execution summary: +Number of tests PASSED=1 +Number of tests FAILED=1 + Simulation End Time: 5834521200 + +--------------------------------------------------------------------------- + diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log new file mode 100644 index 00000000..0382f36e --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log @@ -0,0 +1,104 @@ + +--------------------------------------------------------------------------- +- Initialization of UART. +--------------------------------------------------------------------------- + +Time: 200 (testbench_utilities.do_reset) +*N, RESET signal asynchronously set. +Time: 200 (testbench_utilities.disable_clk_generators) +*N, Following clocks are DISABLED: +Time: 200 (testbench_utilities.disable_clk_generators) +*N, - WB_clk +Time: 200 (testbench_utilities.disable_clk_generators) +*N, - RX_clk +Time: 200 (testbench_utilities.disable_clk_generators) +*N, - TX_clk +Time: 200 (testbench_utilities.disable_clk_generators) +*N, - TX_clk_divided +Time: 200 (testbench_utilities.set_device_tx_rx_clk_divisor) +*N, UART DEVICE TX/RX clock divisor: 1000. +Time: 200 (testbench_utilities.set_wb_clock_period) +*N, WB & UART DEVICE TX/RX clock period: 64. +Time: 200 (testbench_utilities.enable_clk_generators) +*N, Following clocks are ENABLED: +Time: 200 (testbench_utilities.enable_clk_generators) +*N, - WB_clk +Time: 200 (testbench_utilities.enable_clk_generators) +*N, - RX_clk +Time: 200 (testbench_utilities.enable_clk_generators) +*N, - TX_clk +Time: 200 (testbench_utilities.enable_clk_generators) +*N, - TX_clk_divided +Time: 11100 (testbench_utilities.release_reset) +*N, RESET signal released synchronously to WB clk. +Time: 11100 (uart_wb_utilities.write_dlr) +*N, DLAB in LC Register is going to be 1. +Time: 11100 (uart_wb_utilities.write_dlr) +*N, Current LCR = 3. +Time: 11100 (uart_wb_utilities.write_lcr) +*N, WRITING UART's LC Register. +Time: 101000 (uart_wb_utilities.write_lcr) +*N, Write LCR = 83. +Time: 101000 (uart_wb_utilities.write_dlr) +*N, WRITING UART's DL Register [15:8]. +Time: 161000 (uart_wb_utilities.write_dlr) +*N, Write DLR [15:8] = 10. +Time: 161000 (uart_wb_utilities.write_dlr) +*N, WRITING UART's DL Register [ 7:0]. +Time: 281000 (uart_wb_utilities.write_dlr) +*N, Write DLR [ 7:0] = 0. +Time: 281000 (uart_wb_utilities.write_dlr) +*N, DLAB in LC Register is going to be 0. +Time: 281000 (uart_wb_utilities.write_lcr) +*N, WRITING UART's LC Register. +Time: 371000 (uart_wb_utilities.write_lcr) +*N, Write LCR = 3. +Time: 371000 (uart_wb_utilities.write_ier) +*N, WRITING UART's IE Register. +Time: 411000 (uart_wb_utilities.write_ier) +*N, Write IER = 7. +Time: 411000 (uart_wb_utilities.write_fcr) +*N, WRITING UART's FC Register. +Time: 511000 (uart_wb_utilities.write_fcr) +*N, Write FCR = c0. +Time: 511000 (uart_wb_utilities.write_lcr) +*N, WRITING UART's LC Register. +Time: 621000 (uart_wb_utilities.write_lcr) +*N, Write LCR = 3. +Time: 621000 (uart_device_utilities.set_rx_length) +*N, SETTING RX CHAR length. +Time: 621000 (uart_device_utilities.set_rx_length) +*N, Length: 8. +Time: 621000 (uart_device_utilities.disable_rx_parity) +*N, DISABLING RX CHAR parity. +Time: 621000 (uart_device_utilities.set_rx_second_stop_bit) +*N, SETTING RX CHAR 1 stop bit. +Time: 621000 (uart_device_utilities.set_tx_length) +*N, SETTING TX CHAR length. +Time: 621000 (uart_device_utilities.set_tx_length) +*N, Length: 8. +Time: 621000 (uart_device_utilities.disable_tx_parity) +*N, DISABLING TX CHAR parity. +Time: 621000 (uart_device_utilities.correct_tx_parity) +*N, DISABLING WRONG parity generation. +Time: 621000 (uart_device_utilities.correct_tx_frame) +*N, DISABLING WRONG frame generation. +Time: 621000 (uart_device_utilities.generate_tx_glitch) +*N, DISABLING 1 TIME glitch generation with CLKs delay. +Time: 621000 (uart_device_utilities.generate_tx_glitch) +*N, CLKs delay from start bit edge: 0. + +--------------------------------------------------------------------------- +- Interrupt test. +--------------------------------------------------------------------------- + +Time: 621000 (testbench_utilities.wait_for_num_of_wb_clk) +*N, Waiting for following number of WB CLK periods: +Time: 621000 (testbench_utilities.wait_for_num_of_wb_clk) +*N, Waiting for following number of WB CLK periods: 450000. +Time: 701000 (uart_wb_utilities.write_char) +*N, Write TRR = aa. +Time: 5734501000 (testbench.write_tx_shift_reg_read_tx_fifo) +*N, TX FIFO is empty! +Time: 5734521200 (testbench.tx_fifo_status_changing) +*E, Bit 5 of LSR register not '1'! diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/.keepme b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries new file mode 100644 index 00000000..b974d366 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:06 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository new file mode 100644 index 00000000..e52a5c28 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim/out diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries new file mode 100644 index 00000000..0f0e80b1 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries @@ -0,0 +1,4 @@ +/run_signalscan/1.1.1.1/Sun Aug 12 16:27:51 2001/-kb/ +/run_sim/1.1.1.1/Sun Aug 12 16:27:51 2001/-kb/ +/run_sim.scr/1.1/Sat Mar 27 04:07:47 2004// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository new file mode 100644 index 00000000..6dea2247 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim/run diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan new file mode 100755 index 00000000..cd653656 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan @@ -0,0 +1,2 @@ +signalscan ../out/uart/uart.trn & +# -do ../out/uart/uart.do & diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim new file mode 100755 index 00000000..f86c2f9b --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim @@ -0,0 +1 @@ +ncverilog -f ../bin/nc.scr & diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr new file mode 100644 index 00000000..6bc0c5ee --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr @@ -0,0 +1,345 @@ +#!/bin/csh -f + + +# GLOBAL VARIABLES +################### + +set sim_top = testbench; +set arg_tool = "NCSim"; # By default NCSim is used as simulation tool +set arg_wave = 0; # By default waveform is not recorded +set arg_verb = 0; # By default basic display on monitor (no verbose) +set arg_test = 0; # By default all testcases are simulated + + +# GETTING PARAMETERS FROM COMMAND LINE +####################################### + +set cur_arg = 1; + +if ($#argv < 1) then + echo "" + echo " Verification without any argument:" +else + + while ($cur_arg <= $#argv) + + switch ("$argv[$cur_arg]") + # HELP ARGUMENT + case "-h": + goto help + breaksw + case "help": + goto help + breaksw + # TOOL ARGUMENT + case "-m": + set arg_tool = "ModelSim"; + echo " $argv[$cur_arg] - ModelSim tool" + breaksw + case "modelsim" + set arg_tool = "ModelSim"; + echo " $argv[$cur_arg] - ModelSim tool" + breaksw + # WAVEFORM ARGUMENT + case "-w": + @ arg_wave = 1; + echo " $argv[$cur_arg] - Waveform" + breaksw + case "waveform": + @ arg_wave = 1; + echo " $argv[$cur_arg] - Waveform" + breaksw + # VERBOSE ARGUMENT + case "-v": + @ arg_verb = 1; + echo " $argv[$cur_arg] - Verbose" + breaksw + case "verbose": + @ arg_verb = 1; + echo " $argv[$cur_arg] - Verbose" + breaksw + # TESTCASE ARGUMENT + default: + if (-e ../../../bench/verilog/testcases/$argv[$cur_arg].v) then + set arg_test = $argv[$cur_arg]; + echo " $argv[$cur_arg] - Testcase" + # INVALID ARGUMENT + else + echo "" + echo " Invalid verification argument: $argv[$cur_arg]" + goto help + endif + breaksw + endsw + + @ cur_arg++ + end + +endif + + +# SIMULATION LOOP +################## + +set cur_test_num = 0; + +simulate: + + + # DELETING FILES + ################# + + # Prepared files + if (-e ./file_list.lst) then + rm -rf ./file_list.lst + endif + if (-e ../bin/cds.lib) then + rm -rf ../bin/cds.lib + endif + if (-e ../bin/hdl.var) then + rm -rf ../bin/hdl.var + endif + if (-e ./compile.args) then + rm -rf ./compile.args + endif + if (-e ./elab.args) then + rm -rf ./elab.args + endif + if (-e ./sim.args) then + rm -rf ./sim.args + endif + if (-e ./sim.tcl) then + rm -rf ./sim.tcl + endif + if (-e ./sim.do) then + rm -rf ./sim.do + endif + + # Projects, Libraries and Logs + if (-e ./uart.mpf) then + rm -rf ./uart.mpf + endif + if (-e ./work) then + rm -rf ./work + endif + if (-e ./INCA_libs/worklib) then + rm -rf ./INCA_libs/worklib + endif + + + # PREPARING FILE LIST + ###################### + + # Design files + echo "../../../rtl/verilog/uart_top.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_wb.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_transmitter.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_receiver.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_tfifo.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_rfifo.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_regs.v" >> ./file_list.lst + echo "../../../rtl/verilog/uart_debug_if.v" >> ./file_list.lst + + # Testcase file + if ($arg_test == 0) then + set i = 0; + foreach testcase (../../../bench/verilog/testcases/uart*.v) + if ($i == $cur_test_num) then + set testcase_i = $testcase:t:r + endif + @ i++ + end + set max_test_num = $i; + else + set testcase_i = $arg_test; + set max_test_num = 1; + endif + echo "//////////////////////////////////////////////////" > ./file_list.lst + echo "// File created within script ${0}" >> ./file_list.lst + echo "// path: $cwd" >> ./file_list.lst + echo "// user: $user" >> ./file_list.lst + echo "//////////////////////////////////////////////////" >> ./file_list.lst + echo "../../../bench/verilog/testcases/$testcase_i.v" >> ./file_list.lst + # Delete vawe out file for this testcase, if it already exists + if (-e ../out/$testcase_i.wlf) then + rm -rf ../out/$testcase_i.wlf + endif + # Delete log out file for this testcase, if it already exists + if (-e ../log/$testcase_i.log) then + rm -rf ../log/$testcase_i.log + endif + + # Testbench files + echo "../../../bench/verilog/uart_testbench.v" >> ./file_list.lst + echo "../../../bench/verilog/wb_master_model.v" >> ./file_list.lst + echo "../../../bench/verilog/uart_device.v" >> ./file_list.lst + echo "../../../bench/verilog/uart_testbench_utilities.v" >> ./file_list.lst + echo "../../../bench/verilog/uart_wb_utilities.v" >> ./file_list.lst + echo "../../../bench/verilog/uart_device_utilities.v" >> ./file_list.lst + + + # COMPILING & ELABORATING + ########################## + + if ("$arg_tool" == "NCSim") then + + # cds.lib library file + echo "//////////////////////////////////////////////////" > ../bin/cds.lib + echo "// File created within script ${0}" >> ../bin/cds.lib + echo "// path: $cwd" >> ../bin/cds.lib + echo "// user: $0" >> ../bin/cds.lib + echo "//////////////////////////////////////////////////" >> ../bin/cds.lib + echo "DEFINE worklib ./INCA_libs/worklib" >> ../bin/cds.lib + + # hdl.var variable file + echo "//////////////////////////////////////////////////" > ../bin/hdl.var + echo "// File created within script ${0}" >> ../bin/hdl.var + echo "// path: $cwd" >> ../bin/hdl.var + echo "// user: $0" >> ../bin/hdl.var + echo "//////////////////////////////////////////////////" >> ../bin/hdl.var + echo "INCLUDE \$CDS_INST_DIR/tools/inca/files/hdl.var" >> ../bin/hdl.var + echo "DEFINE WORK worklib" >> ../bin/hdl.var + + # compile.args argument file + echo "//////////////////////////////////////////////////" > ./compile.args + echo "// File created within script ${0}" >> ./compile.args + echo "// path: $cwd" >> ./compile.args + echo "// user: $0" >> ./compile.args + echo "//////////////////////////////////////////////////" >> ./compile.args + echo "-CDSLIB ../bin/cds.lib" >> ./compile.args + echo "-HDLVAR ../bin/hdl.var" >> ./compile.args + echo "-MESSAGES" >> ./compile.args + echo "-NOCOPYRIGHT" >> ./compile.args + echo "-INCDIR ../../../rtl/verilog" >> ./compile.args + echo "-INCDIR ../../../bench/verilog" >> ./compile.args + echo "-INCDIR ../../../bench/verilog/testcases" >> ./compile.args + if ($arg_verb == 1) then + echo "-DEFINE VERBOSE" >> ./compile.args + endif + cat ./file_list.lst >> ./compile.args + + # compiling + ncvlog -LOGFILE ../log/$testcase_i.compile.log -f ./compile.args #> /dev/null + + # elab.args argument file + echo "//////////////////////////////////////////////////" > ./elab.args + echo "// File created within script ${0}" >> ./elab.args + echo "// path: $cwd" >> ./elab.args + echo "// user: $0" >> ./elab.args + echo "//////////////////////////////////////////////////" >> ./elab.args + echo "-CDSLIB ../bin/cds.lib" >> ./elab.args + echo "-HDLVAR ../bin/hdl.var" >> ./elab.args + echo "-MESSAGES" >> ./elab.args + echo "-NOCOPYRIGHT" >> ./elab.args + echo "-NOTIMINGCHECKS" >> ./elab.args + echo "-SNAPSHOT worklib.testbench:rtl" >> ./elab.args + echo "-NO_TCHK_MSG" >> ./elab.args + echo "-ACCESS +RWC" >> ./elab.args + echo "worklib.$sim_top" >> ./elab.args + + # elaborating + ncelab -LOGFILE ../log/$testcase_i.elab.log -f ./elab.args #> /dev/null + else + + # compile.args argument file + echo "+libext+.v" >> ./compile.args + echo "-y ../../../rtl/verilog" >> ./compile.args + echo "-y ../../../bench/verilog" >> ./compile.args + echo "-y ../../../bench/verilog/testcases" >> ./compile.args + echo "-work ./work" >> ./compile.args + echo "+incdir+../../../rtl/verilog" >> ./compile.args + echo "+incdir+../../../bench/verilog" >> ./compile.args + echo '+define+LOG_DIR=\"../log/$testcase_i\"' >> ./compile.args + if ($arg_verb == 1) then + echo "+define+VERBOSE" >> ./compile.args + endif + cat ./file_list.lst >> ./compile.args + + # open project +# echo "project new ./ testbench ./work" >> ./sim.do + vlib -dos ./work + + # compiling + # echo "vlog -f ./compile.args" >> ./sim.do + vlog -f ./compile.args + endif + + + # SIMULATING + ############# + + if ("$arg_tool" == "NCSim") then + + # sim.args argument file + echo "//////////////////////////////////////////////////" > ./sim.args + echo "// File created within script ${0}" >> ./sim.args + echo "// path: $cwd" >> ./sim.args + echo "// user: $0" >> ./sim.args + echo "//////////////////////////////////////////////////" >> ./sim.args + echo "-CDSLIB ../bin/cds.lib" >> ./sim.args + echo "-HDLVAR ../bin/hdl.var" >> ./sim.args + echo "-MESSAGES" >> ./sim.args + echo "-NOCOPYRIGHT" >> ./sim.args + echo "-INPUT ./sim.tcl" >> ./sim.args + echo "worklib.testbench:rtl" >> ./sim.args + + # sim.tcl file + echo "//////////////////////////////////////////////////" > ./sim.tcl + echo "// File created within script ${0}" >> ./sim.tcl + echo "// path: $cwd" >> ./sim.tcl + echo "// user: $0" >> ./sim.tcl + echo "//////////////////////////////////////////////////" >> ./sim.tcl + if ($arg_wave) then + echo "database -open waves -shm -into ../out/waves.shm" >> ./sim.tcl + echo "probe -create -database waves $sim_top -shm -all -depth all" >> ./sim.tcl + echo "run" >> ./sim.tcl + else + echo "run" >> ./sim.tcl + endif + echo "quit" >> ./sim.tcl + + # simulating + ncsim -LICQUEUE -LOGFILE ../log/$testcase_i.sim.log -f ./sim.args + else + + # sim.do do file + echo "vsim work.testbench work.testbench_utilities work.uart_wb_utilities work.uart_device_utilities work.testcase -wlf ../out/$testcase_i.wlf" >> ./sim.do + if ($arg_wave) then + echo "log -r -internal -ports /testbench/*" >> ./sim.do + endif + echo "run -all" >> ./sim.do + + vsim -c -do ./sim.do + + endif + + @ cur_test_num++ + + if ($cur_test_num < $max_test_num) then + goto simulate + endif + +exit + + +# HELP DISPLAY +############### + +help: + echo "" + echo " Valid verification arguments:" + echo " 'help' / '-h' : This help is displayed" + echo " 'modelsim' / '-m' : ModelSim simulation tool is used, otherwise" + echo " NCSim is used (default)" + echo " 'waveform' / '-w' : Waveform output is recorded, otherwise" + echo " NO waveform is recorded (default)" + echo " 'verbose' / '-v' : Verbose display on monitor, otherwise" + echo " basic display on monitor (default)" + echo " '\042testcase\042' : Testcase which is going to be simulated, otherwise" + echo " ALL testcases are simulated - regression (default);" + echo " Available testcases:" + foreach testcase (../../../bench/verilog/testcases/uart*.v) + echo " "$testcase:t:r + end + echo "" +exit diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/.keepme b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries new file mode 100644 index 00000000..b974d366 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:06 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository new file mode 100644 index 00000000..8c096f11 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository @@ -0,0 +1 @@ +uart16550/sim/rtl_sim/src diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Template b/usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log new file mode 100644 index 00000000..7a4fd3fe --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log @@ -0,0 +1,5 @@ +A D/bin//// +A D/log//// +A D/out//// +A D/run//// +A D/src//// diff --git a/usrp2/fpga/opencores/uart16550/syn/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/CVS/Repository new file mode 100644 index 00000000..6af4f4f1 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn diff --git a/usrp2/fpga/opencores/uart16550/syn/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/bin/.keepme b/usrp2/fpga/opencores/uart16550/syn/bin/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries new file mode 100644 index 00000000..b974d366 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:06 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository new file mode 100644 index 00000000..e7bbabb1 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn/bin diff --git a/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/bin/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/log/.keepme b/usrp2/fpga/opencores/uart16550/syn/log/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries new file mode 100644 index 00000000..b974d366 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:06 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository new file mode 100644 index 00000000..e6e7d32f --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn/log diff --git a/usrp2/fpga/opencores/uart16550/syn/log/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/log/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/log/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/out/.keepme b/usrp2/fpga/opencores/uart16550/syn/out/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries new file mode 100644 index 00000000..b974d366 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:06 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository new file mode 100644 index 00000000..2a89f947 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn/out diff --git a/usrp2/fpga/opencores/uart16550/syn/out/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/out/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/out/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/run/.keepme b/usrp2/fpga/opencores/uart16550/syn/run/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries new file mode 100644 index 00000000..892fcc4a --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:07 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository new file mode 100644 index 00000000..5a41dd3c --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn/run diff --git a/usrp2/fpga/opencores/uart16550/syn/run/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/run/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/src/.keepme b/usrp2/fpga/opencores/uart16550/syn/src/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries new file mode 100644 index 00000000..892fcc4a --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:53:07 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository new file mode 100644 index 00000000..2ecee2b8 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository @@ -0,0 +1 @@ +uart16550/syn/src diff --git a/usrp2/fpga/opencores/uart16550/syn/src/CVS/Root b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/syn/src/CVS/Template b/usrp2/fpga/opencores/uart16550/syn/src/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/verilog/CVS/Entries b/usrp2/fpga/opencores/uart16550/verilog/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/verilog/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/verilog/CVS/Repository b/usrp2/fpga/opencores/uart16550/verilog/CVS/Repository new file mode 100644 index 00000000..61165834 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/verilog/CVS/Repository @@ -0,0 +1 @@ +uart16550/verilog diff --git a/usrp2/fpga/opencores/uart16550/verilog/CVS/Root b/usrp2/fpga/opencores/uart16550/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/verilog/CVS/Template b/usrp2/fpga/opencores/uart16550/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/wb_conbus/CVS/Entries b/usrp2/fpga/opencores/wb_conbus/CVS/Entries new file mode 100644 index 00000000..38a42028 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/CVS/Entries @@ -0,0 +1,2 @@ +D/bench//// +D/rtl//// diff --git a/usrp2/fpga/opencores/wb_conbus/CVS/Repository b/usrp2/fpga/opencores/wb_conbus/CVS/Repository new file mode 100644 index 00000000..df791b1f --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/CVS/Repository @@ -0,0 +1 @@ +wb_conbus diff --git a/usrp2/fpga/opencores/wb_conbus/CVS/Root b/usrp2/fpga/opencores/wb_conbus/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/wb_conbus/CVS/Template b/usrp2/fpga/opencores/wb_conbus/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository new file mode 100644 index 00000000..eaa8d3ab --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository @@ -0,0 +1 @@ +wb_conbus/bench diff --git a/usrp2/fpga/opencores/wb_conbus/bench/CVS/Root b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/wb_conbus/bench/CVS/Template b/usrp2/fpga/opencores/wb_conbus/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries new file mode 100644 index 00000000..76919de4 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries @@ -0,0 +1,6 @@ +/tb_wb_conbus_top.v/1.1.1.1/Sat Apr 19 08:40:17 2003// +/tests.v/1.1.1.1/Sat Apr 19 08:40:17 2003// +/wb_mast_model.v/1.1.1.1/Sat Apr 19 08:40:15 2003// +/wb_model_defines.v/1.1.1.1/Sat Apr 19 08:40:16 2003// +/wb_slv_model.v/1.1.1.1/Sat Apr 19 08:40:16 2003// +D diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository new file mode 100644 index 00000000..30644530 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository @@ -0,0 +1 @@ +wb_conbus/bench/verilog diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Template b/usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v b/usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v new file mode 100644 index 00000000..e15d089f --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v @@ -0,0 +1,759 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// Top Level Test Bench //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000-2002 Rudolf Usselmann //// +//// www.asics.ws //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + + +// +// +// rewrite from test the wb_conbus module +// +// + + +`include "wb_conbus_defines.v" + +module tb_wb_conbus; + +reg clk; +reg rst; + +// IO Prototypes +wire [31:0] m0_data_i; +wire [31:0] m0_data_o; +wire [31:0] m0_addr_i; +wire [3:0] m0_sel_i; +wire m0_we_i; +wire m0_cyc_i; +wire m0_stb_i; +wire m0_ack_o; +wire m0_err_o; +wire m0_rty_o; +wire [31:0] m1_data_i; +wire [31:0] m1_data_o; +wire [31:0] m1_addr_i; +wire [3:0] m1_sel_i; +wire m1_we_i; +wire m1_cyc_i; +wire m1_stb_i; +wire m1_ack_o; +wire m1_err_o; +wire m1_rty_o; +wire [31:0] m2_data_i; +wire [31:0] m2_data_o; +wire [31:0] m2_addr_i; +wire [3:0] m2_sel_i; +wire m2_we_i; +wire m2_cyc_i; +wire m2_stb_i; +wire m2_ack_o; +wire m2_err_o; +wire m2_rty_o; +wire [31:0] m3_data_i; +wire [31:0] m3_data_o; +wire [31:0] m3_addr_i; +wire [3:0] m3_sel_i; +wire m3_we_i; +wire m3_cyc_i; +wire m3_stb_i; +wire m3_ack_o; +wire m3_err_o; +wire m3_rty_o; +wire [31:0] m4_data_i; +wire [31:0] m4_data_o; +wire [31:0] m4_addr_i; +wire [3:0] m4_sel_i; +wire m4_we_i; +wire m4_cyc_i; +wire m4_stb_i; +wire m4_ack_o; +wire m4_err_o; +wire m4_rty_o; +wire [31:0] m5_data_i; +wire [31:0] m5_data_o; +wire [31:0] m5_addr_i; +wire [3:0] m5_sel_i; +wire m5_we_i; +wire m5_cyc_i; +wire m5_stb_i; +wire m5_ack_o; +wire m5_err_o; +wire m5_rty_o; +wire [31:0] m6_data_i; +wire [31:0] m6_data_o; +wire [31:0] m6_addr_i; +wire [3:0] m6_sel_i; +wire m6_we_i; +wire m6_cyc_i; +wire m6_stb_i; +wire m6_ack_o; +wire m6_err_o; +wire m6_rty_o; +wire [31:0] m7_data_i; +wire [31:0] m7_data_o; +wire [31:0] m7_addr_i; +wire [3:0] m7_sel_i; +wire m7_we_i; +wire m7_cyc_i; +wire m7_stb_i; +wire m7_ack_o; +wire m7_err_o; +wire m7_rty_o; +wire [31:0] s0_data_i; +wire [31:0] s0_data_o; +wire [31:0] s0_addr_o; +wire [3:0] s0_sel_o; +wire s0_we_o; +wire s0_cyc_o; +wire s0_stb_o; +wire s0_ack_i; +wire s0_err_i; +wire s0_rty_i; +wire [31:0] s1_data_i; +wire [31:0] s1_data_o; +wire [31:0] s1_addr_o; +wire [3:0] s1_sel_o; +wire s1_we_o; +wire s1_cyc_o; +wire s1_stb_o; +wire s1_ack_i; +wire s1_err_i; +wire s1_rty_i; +wire [31:0] s2_data_i; +wire [31:0] s2_data_o; +wire [31:0] s2_addr_o; +wire [3:0] s2_sel_o; +wire s2_we_o; +wire s2_cyc_o; +wire s2_stb_o; +wire s2_ack_i; +wire s2_err_i; +wire s2_rty_i; +wire [31:0] s3_data_i; +wire [31:0] s3_data_o; +wire [31:0] s3_addr_o; +wire [3:0] s3_sel_o; +wire s3_we_o; +wire s3_cyc_o; +wire s3_stb_o; +wire s3_ack_i; +wire s3_err_i; +wire s3_rty_i; +wire [31:0] s4_data_i; +wire [31:0] s4_data_o; +wire [31:0] s4_addr_o; +wire [3:0] s4_sel_o; +wire s4_we_o; +wire s4_cyc_o; +wire s4_stb_o; +wire s4_ack_i; +wire s4_err_i; +wire s4_rty_i; +wire [31:0] s5_data_i; +wire [31:0] s5_data_o; +wire [31:0] s5_addr_o; +wire [3:0] s5_sel_o; +wire s5_we_o; +wire s5_cyc_o; +wire s5_stb_o; +wire s5_ack_i; +wire s5_err_i; +wire s5_rty_i; +wire [31:0] s6_data_i; +wire [31:0] s6_data_o; +wire [31:0] s6_addr_o; +wire [3:0] s6_sel_o; +wire s6_we_o; +wire s6_cyc_o; +wire s6_stb_o; +wire s6_ack_i; +wire s6_err_i; +wire s6_rty_i; +wire [31:0] s7_data_i; +wire [31:0] s7_data_o; +wire [31:0] s7_addr_o; +wire [3:0] s7_sel_o; +wire s7_we_o; +wire s7_cyc_o; +wire s7_stb_o; +wire s7_ack_i; +wire s7_err_i; +wire s7_rty_i; + + + +// Test Bench Variables +reg [31:0] wd_cnt; +integer error_cnt; +integer verbose; + +// Misc Variables + +///////////////////////////////////////////////////////////////////// +// +// Defines +// + + +///////////////////////////////////////////////////////////////////// +// +// Simulation Initialization and Start up Section +// + + +initial + begin + $timeformat (-9, 1, " ns", 10); + + $display("\n\n"); + $display("*****************************************************"); + $display("* WISHBONE Connection Matrix Simulation started ... *"); + $display("*****************************************************"); + $display("\n"); + +`ifdef WAVES + $shm_open("waves"); + $shm_probe("AS",test,"AS"); + $display("INFO: Signal dump enabled ...\n\n"); +`endif + wd_cnt = 0; + error_cnt = 0; + clk = 1; + rst = 1; + verbose = 1; + + repeat(5) @(posedge clk); + s0.delay = 1; + s1.delay = 1; + s2.delay = 1; + s3.delay = 1; + s4.delay = 1; + s5.delay = 1; + s6.delay = 1; + s7.delay = 1; + + #1; + rst = 0; + repeat(5) @(posedge clk); + + // HERE IS WHERE THE TEST CASES GO ... + +if(1) // Full Regression Run + begin + $display(" ......................................................"); + $display(" : :"); + $display(" : Regression Run ... :"); + $display(" :....................................................:"); + verbose = 0; + + test_dp1; +// test_rf; +// test_arb1; +// test_arb2; + test_dp2; + + end +else +if(1) // Debug Tests + begin + $display(" ......................................................"); + $display(" : :"); + $display(" : Test Debug Testing ... :"); + $display(" :....................................................:"); + + test_dp2; + + end + +repeat(100) @(posedge clk); +$finish; +end // End of Initial + +///////////////////////////////////////////////////////////////////// +// +// Clock Generation +// + +always #5 clk = ~clk; + +///////////////////////////////////////////////////////////////////// +// +// Watchdog Counter +// + +always @(posedge clk) + if(m0_ack_o | m1_ack_o | m2_ack_o | m3_ack_o | + m4_ack_o | m5_ack_o | m6_ack_o | m7_ack_o) + wd_cnt = 0; + else + wd_cnt = wd_cnt +1; + +always @(wd_cnt) + if(wd_cnt > 5000000) + begin + $display("\n*******************************************"); + $display("*** ERROR: Watchdog Counter Expired ... ***"); + $display("*******************************************\n"); + $finish; + end + +///////////////////////////////////////////////////////////////////// +// +// IO Monitors +// + +///////////////////////////////////////////////////////////////////// +// +// WISHBONE Inter Connect +// + +wb_conbus_top #(4, + 4'h0, + 4, + 4'h1, + 4, + 4'h2, + 4'h3, + 4'h4, + 4'h5, + 4'h6, + 4'h7 + ) + conbus( + .clk_i( clk ), + .rst_i( rst ), + .m0_dat_i( m0_data_i ), + .m0_dat_o( m0_data_o ), + .m0_adr_i( m0_addr_i ), + .m0_sel_i( m0_sel_i ), + .m0_we_i( m0_we_i ), + .m0_cyc_i( m0_cyc_i ), + .m0_stb_i( m0_stb_i ), + .m0_ack_o( m0_ack_o ), + .m0_err_o( m0_err_o ), + .m0_rty_o( m0_rty_o ), + .m1_dat_i( m1_data_i ), + .m1_dat_o( m1_data_o ), + .m1_adr_i( m1_addr_i ), + .m1_sel_i( m1_sel_i ), + .m1_we_i( m1_we_i ), + .m1_cyc_i( m1_cyc_i ), + .m1_stb_i( m1_stb_i ), + .m1_ack_o( m1_ack_o ), + .m1_err_o( m1_err_o ), + .m1_rty_o( m1_rty_o ), + .m2_dat_i( m2_data_i ), + .m2_dat_o( m2_data_o ), + .m2_adr_i( m2_addr_i ), + .m2_sel_i( m2_sel_i ), + .m2_we_i( m2_we_i ), + .m2_cyc_i( m2_cyc_i ), + .m2_stb_i( m2_stb_i ), + .m2_ack_o( m2_ack_o ), + .m2_err_o( m2_err_o ), + .m2_rty_o( m2_rty_o ), + .m3_dat_i( m3_data_i ), + .m3_dat_o( m3_data_o ), + .m3_adr_i( m3_addr_i ), + .m3_sel_i( m3_sel_i ), + .m3_we_i( m3_we_i ), + .m3_cyc_i( m3_cyc_i ), + .m3_stb_i( m3_stb_i ), + .m3_ack_o( m3_ack_o ), + .m3_err_o( m3_err_o ), + .m3_rty_o( m3_rty_o ), + .m4_dat_i( m4_data_i ), + .m4_dat_o( m4_data_o ), + .m4_adr_i( m4_addr_i ), + .m4_sel_i( m4_sel_i ), + .m4_we_i( m4_we_i ), + .m4_cyc_i( m4_cyc_i ), + .m4_stb_i( m4_stb_i ), + .m4_ack_o( m4_ack_o ), + .m4_err_o( m4_err_o ), + .m4_rty_o( m4_rty_o ), + .m5_dat_i( m5_data_i ), + .m5_dat_o( m5_data_o ), + .m5_adr_i( m5_addr_i ), + .m5_sel_i( m5_sel_i ), + .m5_we_i( m5_we_i ), + .m5_cyc_i( m5_cyc_i ), + .m5_stb_i( m5_stb_i ), + .m5_ack_o( m5_ack_o ), + .m5_err_o( m5_err_o ), + .m5_rty_o( m5_rty_o ), + .m6_dat_i( m6_data_i ), + .m6_dat_o( m6_data_o ), + .m6_adr_i( m6_addr_i ), + .m6_sel_i( m6_sel_i ), + .m6_we_i( m6_we_i ), + .m6_cyc_i( m6_cyc_i ), + .m6_stb_i( m6_stb_i ), + .m6_ack_o( m6_ack_o ), + .m6_err_o( m6_err_o ), + .m6_rty_o( m6_rty_o ), + .m7_dat_i( m7_data_i ), + .m7_dat_o( m7_data_o ), + .m7_adr_i( m7_addr_i ), + .m7_sel_i( m7_sel_i ), + .m7_we_i( m7_we_i ), + .m7_cyc_i( m7_cyc_i ), + .m7_stb_i( m7_stb_i ), + .m7_ack_o( m7_ack_o ), + .m7_err_o( m7_err_o ), + .m7_rty_o( m7_rty_o ), + .s0_dat_i( s0_data_i ), + .s0_dat_o( s0_data_o ), + .s0_adr_o( s0_addr_o ), + .s0_sel_o( s0_sel_o ), + .s0_we_o( s0_we_o ), + .s0_cyc_o( s0_cyc_o ), + .s0_stb_o( s0_stb_o ), + .s0_ack_i( s0_ack_i ), + .s0_err_i( s0_err_i ), + .s0_rty_i( s0_rty_i ), + .s1_dat_i( s1_data_i ), + .s1_dat_o( s1_data_o ), + .s1_adr_o( s1_addr_o ), + .s1_sel_o( s1_sel_o ), + .s1_we_o( s1_we_o ), + .s1_cyc_o( s1_cyc_o ), + .s1_stb_o( s1_stb_o ), + .s1_ack_i( s1_ack_i ), + .s1_err_i( s1_err_i ), + .s1_rty_i( s1_rty_i ), + .s2_dat_i( s2_data_i ), + .s2_dat_o( s2_data_o ), + .s2_adr_o( s2_addr_o ), + .s2_sel_o( s2_sel_o ), + .s2_we_o( s2_we_o ), + .s2_cyc_o( s2_cyc_o ), + .s2_stb_o( s2_stb_o ), + .s2_ack_i( s2_ack_i ), + .s2_err_i( s2_err_i ), + .s2_rty_i( s2_rty_i ), + .s3_dat_i( s3_data_i ), + .s3_dat_o( s3_data_o ), + .s3_adr_o( s3_addr_o ), + .s3_sel_o( s3_sel_o ), + .s3_we_o( s3_we_o ), + .s3_cyc_o( s3_cyc_o ), + .s3_stb_o( s3_stb_o ), + .s3_ack_i( s3_ack_i ), + .s3_err_i( s3_err_i ), + .s3_rty_i( s3_rty_i ), + .s4_dat_i( s4_data_i ), + .s4_dat_o( s4_data_o ), + .s4_adr_o( s4_addr_o ), + .s4_sel_o( s4_sel_o ), + .s4_we_o( s4_we_o ), + .s4_cyc_o( s4_cyc_o ), + .s4_stb_o( s4_stb_o ), + .s4_ack_i( s4_ack_i ), + .s4_err_i( s4_err_i ), + .s4_rty_i( s4_rty_i ), + .s5_dat_i( s5_data_i ), + .s5_dat_o( s5_data_o ), + .s5_adr_o( s5_addr_o ), + .s5_sel_o( s5_sel_o ), + .s5_we_o( s5_we_o ), + .s5_cyc_o( s5_cyc_o ), + .s5_stb_o( s5_stb_o ), + .s5_ack_i( s5_ack_i ), + .s5_err_i( s5_err_i ), + .s5_rty_i( s5_rty_i ), + .s6_dat_i( s6_data_i ), + .s6_dat_o( s6_data_o ), + .s6_adr_o( s6_addr_o ), + .s6_sel_o( s6_sel_o ), + .s6_we_o( s6_we_o ), + .s6_cyc_o( s6_cyc_o ), + .s6_stb_o( s6_stb_o ), + .s6_ack_i( s6_ack_i ), + .s6_err_i( s6_err_i ), + .s6_rty_i( s6_rty_i ), + .s7_dat_i( s7_data_i ), + .s7_dat_o( s7_data_o ), + .s7_adr_o( s7_addr_o ), + .s7_sel_o( s7_sel_o ), + .s7_we_o( s7_we_o ), + .s7_cyc_o( s7_cyc_o ), + .s7_stb_o( s7_stb_o ), + .s7_ack_i( s7_ack_i ), + .s7_err_i( s7_err_i ), + .s7_rty_i( s7_rty_i ) + ); + + +///////////////////////////////////////////////////////////////////// +// +// WISHBONE Master Models +// + +wb_mast m0( .clk( clk ), + .rst( ~rst ), + .adr( m0_addr_i ), + .din( m0_data_o ), + .dout( m0_data_i ), + .cyc( m0_cyc_i ), + .stb( m0_stb_i ), + .sel( m0_sel_i ), + .we( m0_we_i ), + .ack( m0_ack_o ), + .err( m0_err_o ), + .rty( m0_rty_o ) + ); + +wb_mast m1( .clk( clk ), + .rst( ~rst ), + .adr( m1_addr_i ), + .din( m1_data_o ), + .dout( m1_data_i ), + .cyc( m1_cyc_i ), + .stb( m1_stb_i ), + .sel( m1_sel_i ), + .we( m1_we_i ), + .ack( m1_ack_o ), + .err( m1_err_o ), + .rty( m1_rty_o ) + ); + +wb_mast m2( .clk( clk ), + .rst( ~rst ), + .adr( m2_addr_i ), + .din( m2_data_o ), + .dout( m2_data_i ), + .cyc( m2_cyc_i ), + .stb( m2_stb_i ), + .sel( m2_sel_i ), + .we( m2_we_i ), + .ack( m2_ack_o ), + .err( m2_err_o ), + .rty( m2_rty_o ) + ); + +wb_mast m3( .clk( clk ), + .rst( ~rst ), + .adr( m3_addr_i ), + .din( m3_data_o ), + .dout( m3_data_i ), + .cyc( m3_cyc_i ), + .stb( m3_stb_i ), + .sel( m3_sel_i ), + .we( m3_we_i ), + .ack( m3_ack_o ), + .err( m3_err_o ), + .rty( m3_rty_o ) + ); + +wb_mast m4( .clk( clk ), + .rst( ~rst ), + .adr( m4_addr_i ), + .din( m4_data_o ), + .dout( m4_data_i ), + .cyc( m4_cyc_i ), + .stb( m4_stb_i ), + .sel( m4_sel_i ), + .we( m4_we_i ), + .ack( m4_ack_o ), + .err( m4_err_o ), + .rty( m4_rty_o ) + ); + +wb_mast m5( .clk( clk ), + .rst( ~rst ), + .adr( m5_addr_i ), + .din( m5_data_o ), + .dout( m5_data_i ), + .cyc( m5_cyc_i ), + .stb( m5_stb_i ), + .sel( m5_sel_i ), + .we( m5_we_i ), + .ack( m5_ack_o ), + .err( m5_err_o ), + .rty( m5_rty_o ) + ); + +wb_mast m6( .clk( clk ), + .rst( ~rst ), + .adr( m6_addr_i ), + .din( m6_data_o ), + .dout( m6_data_i ), + .cyc( m6_cyc_i ), + .stb( m6_stb_i ), + .sel( m6_sel_i ), + .we( m6_we_i ), + .ack( m6_ack_o ), + .err( m6_err_o ), + .rty( m6_rty_o ) + ); + +wb_mast m7( .clk( clk ), + .rst( ~rst ), + .adr( m7_addr_i ), + .din( m7_data_o ), + .dout( m7_data_i ), + .cyc( m7_cyc_i ), + .stb( m7_stb_i ), + .sel( m7_sel_i ), + .we( m7_we_i ), + .ack( m7_ack_o ), + .err( m7_err_o ), + .rty( m7_rty_o ) + ); + + +///////////////////////////////////////////////////////////////////// +// +// WISHBONE Slave Models +// + +wb_slv s0( .clk( clk ), + .rst( ~rst ), + .adr( s0_addr_o ), + .din( s0_data_o ), + .dout( s0_data_i ), + .cyc( s0_cyc_o ), + .stb( s0_stb_o ), + .sel( s0_sel_o ), + .we( s0_we_o ), + .ack( s0_ack_i ), + .err( s0_err_i ), + .rty( s0_rty_i ) + ); + +wb_slv s1( .clk( clk ), + .rst( ~rst ), + .adr( s1_addr_o ), + .din( s1_data_o ), + .dout( s1_data_i ), + .cyc( s1_cyc_o ), + .stb( s1_stb_o ), + .sel( s1_sel_o ), + .we( s1_we_o ), + .ack( s1_ack_i ), + .err( s1_err_i ), + .rty( s1_rty_i ) + ); + +wb_slv s2( .clk( clk ), + .rst( ~rst ), + .adr( s2_addr_o ), + .din( s2_data_o ), + .dout( s2_data_i ), + .cyc( s2_cyc_o ), + .stb( s2_stb_o ), + .sel( s2_sel_o ), + .we( s2_we_o ), + .ack( s2_ack_i ), + .err( s2_err_i ), + .rty( s2_rty_i ) + ); + +wb_slv s3( .clk( clk ), + .rst( ~rst ), + .adr( s3_addr_o ), + .din( s3_data_o ), + .dout( s3_data_i ), + .cyc( s3_cyc_o ), + .stb( s3_stb_o ), + .sel( s3_sel_o ), + .we( s3_we_o ), + .ack( s3_ack_i ), + .err( s3_err_i ), + .rty( s3_rty_i ) + ); + +wb_slv s4( .clk( clk ), + .rst( ~rst ), + .adr( s4_addr_o ), + .din( s4_data_o ), + .dout( s4_data_i ), + .cyc( s4_cyc_o ), + .stb( s4_stb_o ), + .sel( s4_sel_o ), + .we( s4_we_o ), + .ack( s4_ack_i ), + .err( s4_err_i ), + .rty( s4_rty_i ) + ); + +wb_slv s5( .clk( clk ), + .rst( ~rst ), + .adr( s5_addr_o ), + .din( s5_data_o ), + .dout( s5_data_i ), + .cyc( s5_cyc_o ), + .stb( s5_stb_o ), + .sel( s5_sel_o ), + .we( s5_we_o ), + .ack( s5_ack_i ), + .err( s5_err_i ), + .rty( s5_rty_i ) + ); + +wb_slv s6( .clk( clk ), + .rst( ~rst ), + .adr( s6_addr_o ), + .din( s6_data_o ), + .dout( s6_data_i ), + .cyc( s6_cyc_o ), + .stb( s6_stb_o ), + .sel( s6_sel_o ), + .we( s6_we_o ), + .ack( s6_ack_i ), + .err( s6_err_i ), + .rty( s6_rty_i ) + ); + +wb_slv s7( .clk( clk ), + .rst( ~rst ), + .adr( s7_addr_o ), + .din( s7_data_o ), + .dout( s7_data_i ), + .cyc( s7_cyc_o ), + .stb( s7_stb_o ), + .sel( s7_sel_o ), + .we( s7_we_o ), + .ack( s7_ack_i ), + .err( s7_err_i ), + .rty( s7_rty_i ) + ); + + +`include "tests.v" + +endmodule + diff --git a/usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v b/usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v new file mode 100644 index 00000000..5067f269 --- /dev/null +++ b/usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v @@ -0,0 +1,828 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Connection Matrix Test Cases //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// //// +//// Downloaded from: http://www.opencores.org/cores/wb_dma/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: tests.v,v 1.1.1.1 2003/04/19 08:40:17 johny Exp $ +// +// $Date: 2003/04/19 08:40:17 $ +// $Revision: 1.1.1.1 $ +// $Author: johny $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: tests.v,v $ +// Revision 1.1.1.1 2003/04/19 08:40:17 johny +// no message +// +// Revision 1.1.1.1 2001/10/19 11:04:27 rudi +// WISHBONE CONMAX IP Core +// +// +// +// +// +// + + +task show_errors; + +begin + +$display("\n"); +$display(" +--------------------+"); +$display(" | Total ERRORS: %0d |", error_cnt); +$display(" +--------------------+"); + +end +endtask + + +task init_all_mem; + +begin + s0.fill_mem(1); + s1.fill_mem(1); + s2.fill_mem(1); + s3.fill_mem(1); + s4.fill_mem(1); + s5.fill_mem(1); + s6.fill_mem(1); + s7.fill_mem(1); + + + m0.mem_fill; + m1.mem_fill; + m2.mem_fill; + m3.mem_fill; + m4.mem_fill; + m5.mem_fill; + m6.mem_fill; + m7.mem_fill; + +end +endtask + + +task verify; +input master; +input slave; +input count; + +integer master, slave, count; +begin +verify_sub(master,slave,count,0,0); +end +endtask + + +task verify_sub; +input master; +input slave; +input count; +input mo; +input so; + +integer master, slave, count; +integer mo, so; +integer o; +integer n; +reg [31:0] mdata, sdata; + +begin + +//$display("V2: %0d %0d %0d %0d %0d",master, slave, count, mo,so); + +for(n=0;n60M , and 374 SLICE if using Multiplexor bus +// or 150 SLICE if using tri-state bus. +// +`include "wb_conbus_defines.v" +//`define WB_USE_TRISTATE + + +module wb_conbus_top( + clk_i, rst_i, + + // Master 0 Interface + m0_dat_i, m0_dat_o, m0_adr_i, m0_sel_i, m0_we_i, m0_cyc_i, + m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o, m0_cab_i, + + // Master 1 Interface + m1_dat_i, m1_dat_o, m1_adr_i, m1_sel_i, m1_we_i, m1_cyc_i, + m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o, m1_cab_i, + + // Master 2 Interface + m2_dat_i, m2_dat_o, m2_adr_i, m2_sel_i, m2_we_i, m2_cyc_i, + m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o, m2_cab_i, + + // Master 3 Interface + m3_dat_i, m3_dat_o, m3_adr_i, m3_sel_i, m3_we_i, m3_cyc_i, + m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o, m3_cab_i, + + // Master 4 Interface + m4_dat_i, m4_dat_o, m4_adr_i, m4_sel_i, m4_we_i, m4_cyc_i, + m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o, m4_cab_i, + + // Master 5 Interface + m5_dat_i, m5_dat_o, m5_adr_i, m5_sel_i, m5_we_i, m5_cyc_i, + m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o, m5_cab_i, + + // Master 6 Interface + m6_dat_i, m6_dat_o, m6_adr_i, m6_sel_i, m6_we_i, m6_cyc_i, + m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o, m6_cab_i, + + // Master 7 Interface + m7_dat_i, m7_dat_o, m7_adr_i, m7_sel_i, m7_we_i, m7_cyc_i, + m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o, m7_cab_i, + + // Slave 0 Interface + s0_dat_i, s0_dat_o, s0_adr_o, s0_sel_o, s0_we_o, s0_cyc_o, + s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i, s0_cab_o, + + // Slave 1 Interface + s1_dat_i, s1_dat_o, s1_adr_o, s1_sel_o, s1_we_o, s1_cyc_o, + s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i, s1_cab_o, + + // Slave 2 Interface + s2_dat_i, s2_dat_o, s2_adr_o, s2_sel_o, s2_we_o, s2_cyc_o, + s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i, s2_cab_o, + + // Slave 3 Interface + s3_dat_i, s3_dat_o, s3_adr_o, s3_sel_o, s3_we_o, s3_cyc_o, + s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i, s3_cab_o, + + // Slave 4 Interface + s4_dat_i, s4_dat_o, s4_adr_o, s4_sel_o, s4_we_o, s4_cyc_o, + s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i, s4_cab_o, + + // Slave 5 Interface + s5_dat_i, s5_dat_o, s5_adr_o, s5_sel_o, s5_we_o, s5_cyc_o, + s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i, s5_cab_o, + + // Slave 6 Interface + s6_dat_i, s6_dat_o, s6_adr_o, s6_sel_o, s6_we_o, s6_cyc_o, + s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i, s6_cab_o, + + // Slave 7 Interface + s7_dat_i, s7_dat_o, s7_adr_o, s7_sel_o, s7_we_o, s7_cyc_o, + s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i, s7_cab_o + + ); + +//////////////////////////////////////////////////////////////////// +// +// Module Parameters +// + + +parameter s0_addr_w = 4 ; // slave 0 address decode width +parameter s0_addr = 4'h0; // slave 0 address +parameter s1_addr_w = 4 ; // slave 1 address decode width +parameter s1_addr = 4'h1; // slave 1 address +parameter s27_addr_w = 8 ; // slave 2 to slave 7 address decode width +parameter s2_addr = 8'h92; // slave 2 address +parameter s3_addr = 8'h93; // slave 3 address +parameter s4_addr = 8'h94; // slave 4 address +parameter s5_addr = 8'h95; // slave 5 address +parameter s6_addr = 8'h96; // slave 6 address +parameter s7_addr = 8'h97; // slave 7 address + + parameter dw = 32; // Data bus Width + parameter aw = 32; // Address bus Width + parameter sw = dw / 8; // Number of Select Lines + parameter mbusw = aw + sw + dw + 4; //address width + byte select width + dat width + cyc + we + stb +cab , input from master interface + parameter sbusw = 3; // ack + err + rty, input from slave interface + parameter mselectw = 8; // number of masters + parameter sselectw = 8; // number of slavers + + +//////////////////////////////////////////////////////////////////// +// +// Module IOs +// + +input clk_i, rst_i; + +// Master 0 Interface +input [dw-1:0] m0_dat_i; +output [dw-1:0] m0_dat_o; +input [aw-1:0] m0_adr_i; +input [sw-1:0] m0_sel_i; +input m0_we_i; +input m0_cyc_i; +input m0_stb_i; +input m0_cab_i; +output m0_ack_o; +output m0_err_o; +output m0_rty_o; + +// Master 1 Interface +input [dw-1:0] m1_dat_i; +output [dw-1:0] m1_dat_o; +input [aw-1:0] m1_adr_i; +input [sw-1:0] m1_sel_i; +input m1_we_i; +input m1_cyc_i; +input m1_stb_i; +input m1_cab_i; +output m1_ack_o; +output m1_err_o; +output m1_rty_o; + +// Master 2 Interface +input [dw-1:0] m2_dat_i; +output [dw-1:0] m2_dat_o; +input [aw-1:0] m2_adr_i; +input [sw-1:0] m2_sel_i; +input m2_we_i; +input m2_cyc_i; +input m2_stb_i; +input m2_cab_i; +output m2_ack_o; +output m2_err_o; +output m2_rty_o; + +// Master 3 Interface +input [dw-1:0] m3_dat_i; +output [dw-1:0] m3_dat_o; +input [aw-1:0] m3_adr_i; +input [sw-1:0] m3_sel_i; +input m3_we_i; +input m3_cyc_i; +input m3_stb_i; +input m3_cab_i; +output m3_ack_o; +output m3_err_o; +output m3_rty_o; + +// Master 4 Interface +input [dw-1:0] m4_dat_i; +output [dw-1:0] m4_dat_o; +input [aw-1:0] m4_adr_i; +input [sw-1:0] m4_sel_i; +input m4_we_i; +input m4_cyc_i; +input m4_stb_i; +input m4_cab_i; +output m4_ack_o; +output m4_err_o; +output m4_rty_o; + +// Master 5 Interface +input [dw-1:0] m5_dat_i; +output [dw-1:0] m5_dat_o; +input [aw-1:0] m5_adr_i; +input [sw-1:0] m5_sel_i; +input m5_we_i; +input m5_cyc_i; +input m5_stb_i; +input m5_cab_i; +output m5_ack_o; +output m5_err_o; +output m5_rty_o; + +// Master 6 Interface +input [dw-1:0] m6_dat_i; +output [dw-1:0] m6_dat_o; +input [aw-1:0] m6_adr_i; +input [sw-1:0] m6_sel_i; +input m6_we_i; +input m6_cyc_i; +input m6_stb_i; +input m6_cab_i; +output m6_ack_o; +output m6_err_o; +output m6_rty_o; + +// Master 7 Interface +input [dw-1:0] m7_dat_i; +output [dw-1:0] m7_dat_o; +input [aw-1:0] m7_adr_i; +input [sw-1:0] m7_sel_i; +input m7_we_i; +input m7_cyc_i; +input m7_stb_i; +input m7_cab_i; +output m7_ack_o; +output m7_err_o; +output m7_rty_o; + +// Slave 0 Interface +input [dw-1:0] s0_dat_i; +output [dw-1:0] s0_dat_o; +output [aw-1:0] s0_adr_o; +output [sw-1:0] s0_sel_o; +output s0_we_o; +output s0_cyc_o; +output s0_stb_o; +output s0_cab_o; +input s0_ack_i; +input s0_err_i; +input s0_rty_i; + +// Slave 1 Interface +input [dw-1:0] s1_dat_i; +output [dw-1:0] s1_dat_o; +output [aw-1:0] s1_adr_o; +output [sw-1:0] s1_sel_o; +output s1_we_o; +output s1_cyc_o; +output s1_stb_o; +output s1_cab_o; +input s1_ack_i; +input s1_err_i; +input s1_rty_i; + +// Slave 2 Interface +input [dw-1:0] s2_dat_i; +output [dw-1:0] s2_dat_o; +output [aw-1:0] s2_adr_o; +output [sw-1:0] s2_sel_o; +output s2_we_o; +output s2_cyc_o; +output s2_stb_o; +output s2_cab_o; +input s2_ack_i; +input s2_err_i; +input s2_rty_i; + +// Slave 3 Interface +input [dw-1:0] s3_dat_i; +output [dw-1:0] s3_dat_o; +output [aw-1:0] s3_adr_o; +output [sw-1:0] s3_sel_o; +output s3_we_o; +output s3_cyc_o; +output s3_stb_o; +output s3_cab_o; +input s3_ack_i; +input s3_err_i; +input s3_rty_i; + +// Slave 4 Interface +input [dw-1:0] s4_dat_i; +output [dw-1:0] s4_dat_o; +output [aw-1:0] s4_adr_o; +output [sw-1:0] s4_sel_o; +output s4_we_o; +output s4_cyc_o; +output s4_stb_o; +output s4_cab_o; +input s4_ack_i; +input s4_err_i; +input s4_rty_i; + +// Slave 5 Interface +input [dw-1:0] s5_dat_i; +output [dw-1:0] s5_dat_o; +output [aw-1:0] s5_adr_o; +output [sw-1:0] s5_sel_o; +output s5_we_o; +output s5_cyc_o; +output s5_stb_o; +output s5_cab_o; +input s5_ack_i; +input s5_err_i; +input s5_rty_i; + +// Slave 6 Interface +input [dw-1:0] s6_dat_i; +output [dw-1:0] s6_dat_o; +output [aw-1:0] s6_adr_o; +output [sw-1:0] s6_sel_o; +output s6_we_o; +output s6_cyc_o; +output s6_stb_o; +output s6_cab_o; +input s6_ack_i; +input s6_err_i; +input s6_rty_i; + +// Slave 7 Interface +input [dw-1:0] s7_dat_i; +output [dw-1:0] s7_dat_o; +output [aw-1:0] s7_adr_o; +output [sw-1:0] s7_sel_o; +output s7_we_o; +output s7_cyc_o; +output s7_stb_o; +output s7_cab_o; +input s7_ack_i; +input s7_err_i; +input s7_rty_i; + + +//////////////////////////////////////////////////////////////////// +// +// Local wires +// + +wire [mselectw -1:0] i_gnt_arb; +wire [2:0] gnt; +reg [sselectw -1:0] i_ssel_dec; +`ifdef WB_USE_TRISTATE +wire [mbusw -1:0] i_bus_m; +`else +reg [mbusw -1:0] i_bus_m; // internal share bus, master data and control to slave +`endif +wire [dw -1:0] i_dat_s; // internal share bus , slave data to master +wire [sbusw -1:0] i_bus_s; // internal share bus , slave control to master + + + +//////////////////////////////////////////////////////////////////// +// +// Master output Interfaces +// + +// master0 +assign m0_dat_o = i_dat_s; +assign {m0_ack_o, m0_err_o, m0_rty_o} = i_bus_s & {3{i_gnt_arb[0]}}; + +// master1 +assign m1_dat_o = i_dat_s; +assign {m1_ack_o, m1_err_o, m1_rty_o} = i_bus_s & {3{i_gnt_arb[1]}}; + +// master2 + +assign m2_dat_o = i_dat_s; +assign {m2_ack_o, m2_err_o, m2_rty_o} = i_bus_s & {3{i_gnt_arb[2]}}; + +// master3 + +assign m3_dat_o = i_dat_s; +assign {m3_ack_o, m3_err_o, m3_rty_o} = i_bus_s & {3{i_gnt_arb[3]}}; + +// master4 + +assign m4_dat_o = i_dat_s; +assign {m4_ack_o, m4_err_o, m4_rty_o} = i_bus_s & {3{i_gnt_arb[4]}}; + +// master5 + +assign m5_dat_o = i_dat_s; +assign {m5_ack_o, m5_err_o, m5_rty_o} = i_bus_s & {3{i_gnt_arb[5]}}; + +// master6 + +assign m6_dat_o = i_dat_s; +assign {m6_ack_o, m6_err_o, m6_rty_o} = i_bus_s & {3{i_gnt_arb[6]}}; + +// master7 + +assign m7_dat_o = i_dat_s; +assign {m7_ack_o, m7_err_o, m7_rty_o} = i_bus_s & {3{i_gnt_arb[7]}}; + + +assign i_bus_s = {s0_ack_i | s1_ack_i | s2_ack_i | s3_ack_i | s4_ack_i | s5_ack_i | s6_ack_i | s7_ack_i , + s0_err_i | s1_err_i | s2_err_i | s3_err_i | s4_err_i | s5_err_i | s6_err_i | s7_err_i , + s0_rty_i | s1_rty_i | s2_rty_i | s3_rty_i | s4_rty_i | s5_rty_i | s6_rty_i | s7_rty_i }; + +//////////////////////////////// +// Slave output interface +// +// slave0 +assign {s0_adr_o, s0_sel_o, s0_dat_o, s0_we_o, s0_cab_o,s0_cyc_o} = i_bus_m[mbusw -1:1]; +assign s0_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[0]; // stb_o = cyc_i & stb_i & i_ssel_dec + +// slave1 + +assign {s1_adr_o, s1_sel_o, s1_dat_o, s1_we_o, s1_cab_o, s1_cyc_o} = i_bus_m[mbusw -1:1]; +assign s1_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[1]; + +// slave2 + +assign {s2_adr_o, s2_sel_o, s2_dat_o, s2_we_o, s2_cab_o, s2_cyc_o} = i_bus_m[mbusw -1:1]; +assign s2_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[2]; + +// slave3 + +assign {s3_adr_o, s3_sel_o, s3_dat_o, s3_we_o, s3_cab_o, s3_cyc_o} = i_bus_m[mbusw -1:1]; +assign s3_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[3]; + +// slave4 + +assign {s4_adr_o, s4_sel_o, s4_dat_o, s4_we_o, s4_cab_o, s4_cyc_o} = i_bus_m[mbusw -1:1]; +assign s4_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[4]; + +// slave5 + +assign {s5_adr_o, s5_sel_o, s5_dat_o, s5_we_o, s5_cab_o, s5_cyc_o} = i_bus_m[mbusw -1:1]; +assign s5_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[5]; + +// slave6 + +assign {s6_adr_o, s6_sel_o, s6_dat_o, s6_we_o, s6_cab_o, s6_cyc_o} = i_bus_m[mbusw -1:1]; +assign s6_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[6]; + +// slave7 + +assign {s7_adr_o, s7_sel_o, s7_dat_o, s7_we_o, s7_cab_o, s7_cyc_o} = i_bus_m[mbusw -1:1]; +assign s7_stb_o = i_bus_m[1] & i_bus_m[0] & i_ssel_dec[7]; + +/////////////////////////////////////// +// Master and Slave input interface +// + +`ifdef WB_USE_TRISTATE +// input from master interface +assign i_bus_m = i_gnt_arb[0] ? {m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i, m0_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[1] ? {m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i,m1_cyc_i, m1_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[2] ? {m2_adr_i, m2_sel_i, m2_dat_i, m2_we_i, m2_cab_i, m2_cyc_i, m2_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[3] ? {m3_adr_i, m3_sel_i, m3_dat_i, m3_we_i, m3_cab_i, m3_cyc_i, m3_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[4] ? {m4_adr_i, m4_sel_i, m4_dat_i, m4_we_i, m4_cab_i, m4_cyc_i, m4_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[5] ? {m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i, m5_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[6] ? {m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i, m6_stb_i} : 72'bz ; +assign i_bus_m = i_gnt_arb[7] ? {m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i} : 72'bz ; +// input from slave interface +assign i_dat_s = i_ssel_dec[0] ? s0_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[1] ? s1_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[2] ? s2_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[3] ? s3_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[4] ? s4_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[5] ? s5_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[6] ? s6_dat_i: 32'bz; +assign i_dat_s = i_ssel_dec[7] ? s7_dat_i: 32'bz; + +`else + +always @(gnt , m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i, + m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i, m1_cyc_i,m1_stb_i, + m2_adr_i, m2_sel_i, m2_dat_i, m2_we_i, m2_cab_i, m2_cyc_i,m2_stb_i, + m3_adr_i, m3_sel_i, m3_dat_i, m3_we_i, m3_cab_i, m3_cyc_i,m3_stb_i, + m4_adr_i, m4_sel_i, m4_dat_i, m4_we_i, m4_cab_i, m4_cyc_i,m4_stb_i, + m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i,m5_stb_i, + m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i,m6_stb_i, + m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i) + case(gnt) + 3'h0: i_bus_m = {m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i}; + 3'h1: i_bus_m = {m1_adr_i, m1_sel_i, m1_dat_i, m1_we_i, m1_cab_i, m1_cyc_i,m1_stb_i}; + 3'h2: i_bus_m = {m2_adr_i, m2_sel_i, m2_dat_i, m2_we_i, m2_cab_i, m2_cyc_i,m2_stb_i}; + 3'h3: i_bus_m = {m3_adr_i, m3_sel_i, m3_dat_i, m3_we_i, m3_cab_i, m3_cyc_i,m3_stb_i}; + 3'h4: i_bus_m = {m4_adr_i, m4_sel_i, m4_dat_i, m4_we_i, m4_cab_i, m4_cyc_i,m4_stb_i}; + 3'h5: i_bus_m = {m5_adr_i, m5_sel_i, m5_dat_i, m5_we_i, m5_cab_i, m5_cyc_i,m5_stb_i}; + 3'h6: i_bus_m = {m6_adr_i, m6_sel_i, m6_dat_i, m6_we_i, m6_cab_i, m6_cyc_i,m6_stb_i}; + 3'h7: i_bus_m = {m7_adr_i, m7_sel_i, m7_dat_i, m7_we_i, m7_cab_i, m7_cyc_i,m7_stb_i}; + default:i_bus_m = 72'b0;//{m0_adr_i, m0_sel_i, m0_dat_i, m0_we_i, m0_cab_i, m0_cyc_i,m0_stb_i}; +endcase + +assign i_dat_s = i_ssel_dec[0] ? s0_dat_i : + i_ssel_dec[1] ? s1_dat_i : + i_ssel_dec[2] ? s2_dat_i : + i_ssel_dec[3] ? s3_dat_i : + i_ssel_dec[4] ? s4_dat_i : + i_ssel_dec[5] ? s5_dat_i : + i_ssel_dec[6] ? s6_dat_i : + i_ssel_dec[7] ? s7_dat_i : {dw{1'b0}}; +`endif +// +// arbitor +// +assign i_gnt_arb[0] = (gnt == 3'd0); +assign i_gnt_arb[1] = (gnt == 3'd1); +assign i_gnt_arb[2] = (gnt == 3'd2); +assign i_gnt_arb[3] = (gnt == 3'd3); +assign i_gnt_arb[4] = (gnt == 3'd4); +assign i_gnt_arb[5] = (gnt == 3'd5); +assign i_gnt_arb[6] = (gnt == 3'd6); +assign i_gnt_arb[7] = (gnt == 3'd7); + +wb_conbus_arb wb_conbus_arb( + .clk(clk_i), + .rst(rst_i), + .req({ m7_cyc_i, + m6_cyc_i, + m5_cyc_i, + m4_cyc_i, + m3_cyc_i, + m2_cyc_i, + m1_cyc_i, + m0_cyc_i}), + .gnt(gnt) +); + +////////////////////////////////// +// address decode logic +// +wire [7:0] m0_ssel_dec, m1_ssel_dec, m2_ssel_dec, m3_ssel_dec, m4_ssel_dec, m5_ssel_dec, m6_ssel_dec, m7_ssel_dec; +always @(gnt, m0_ssel_dec, m1_ssel_dec, m2_ssel_dec, m3_ssel_dec, m4_ssel_dec, m5_ssel_dec, m6_ssel_dec, m7_ssel_dec) + case(gnt) + 3'h0: i_ssel_dec = m0_ssel_dec; + 3'h1: i_ssel_dec = m1_ssel_dec; + 3'h2: i_ssel_dec = m2_ssel_dec; + 3'h3: i_ssel_dec = m3_ssel_dec; + 3'h4: i_ssel_dec = m4_ssel_dec; + 3'h5: i_ssel_dec = m5_ssel_dec; + 3'h6: i_ssel_dec = m6_ssel_dec; + 3'h7: i_ssel_dec = m7_ssel_dec; + default: i_ssel_dec = 7'b0; +endcase +// +// decode all master address before arbitor for running faster +// +assign m0_ssel_dec[0] = (m0_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m0_ssel_dec[1] = (m0_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m0_ssel_dec[2] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m0_ssel_dec[3] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m0_ssel_dec[4] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m0_ssel_dec[5] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m0_ssel_dec[6] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m0_ssel_dec[7] = (m0_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m1_ssel_dec[0] = (m1_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m1_ssel_dec[1] = (m1_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m1_ssel_dec[2] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m1_ssel_dec[3] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m1_ssel_dec[4] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m1_ssel_dec[5] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m1_ssel_dec[6] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m1_ssel_dec[7] = (m1_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m2_ssel_dec[0] = (m2_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m2_ssel_dec[1] = (m2_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m2_ssel_dec[2] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m2_ssel_dec[3] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m2_ssel_dec[4] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m2_ssel_dec[5] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m2_ssel_dec[6] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m2_ssel_dec[7] = (m2_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m3_ssel_dec[0] = (m3_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m3_ssel_dec[1] = (m3_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m3_ssel_dec[2] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m3_ssel_dec[3] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m3_ssel_dec[4] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m3_ssel_dec[5] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m3_ssel_dec[6] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m3_ssel_dec[7] = (m3_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m4_ssel_dec[0] = (m4_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m4_ssel_dec[1] = (m4_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m4_ssel_dec[2] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m4_ssel_dec[3] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m4_ssel_dec[4] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m4_ssel_dec[5] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m4_ssel_dec[6] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m4_ssel_dec[7] = (m4_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m5_ssel_dec[0] = (m5_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m5_ssel_dec[1] = (m5_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m5_ssel_dec[2] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m5_ssel_dec[3] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m5_ssel_dec[4] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m5_ssel_dec[5] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m5_ssel_dec[6] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m5_ssel_dec[7] = (m5_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m6_ssel_dec[0] = (m6_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m6_ssel_dec[1] = (m6_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m6_ssel_dec[2] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m6_ssel_dec[3] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m6_ssel_dec[4] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m6_ssel_dec[5] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m6_ssel_dec[6] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m6_ssel_dec[7] = (m6_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +assign m7_ssel_dec[0] = (m7_adr_i[aw -1 : aw - s0_addr_w ] == s0_addr); +assign m7_ssel_dec[1] = (m7_adr_i[aw -1 : aw - s1_addr_w ] == s1_addr); +assign m7_ssel_dec[2] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s2_addr); +assign m7_ssel_dec[3] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s3_addr); +assign m7_ssel_dec[4] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s4_addr); +assign m7_ssel_dec[5] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s5_addr); +assign m7_ssel_dec[6] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s6_addr); +assign m7_ssel_dec[7] = (m7_adr_i[aw -1 : aw - s27_addr_w ] == s7_addr); + +//assign i_ssel_dec[0] = (i_bus_m[mbusw -1 : mbusw - s0_addr_w ] == s0_addr); +//assign i_ssel_dec[1] = (i_bus_m[mbusw -1 : mbusw - s1_addr_w ] == s1_addr); +//assign i_ssel_dec[2] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s2_addr); +//assign i_ssel_dec[3] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s3_addr); +//assign i_ssel_dec[4] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s4_addr); +//assign i_ssel_dec[5] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s5_addr); +//assign i_ssel_dec[6] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s6_addr); +//assign i_ssel_dec[7] = (i_bus_m[mbusw -1 : mbusw - s27_addr_w ] == s7_addr); + + +endmodule + diff --git a/usrp2/fpga/sdr_lib/HB.sav b/usrp2/fpga/sdr_lib/HB.sav new file mode 100644 index 00000000..c5087e8a --- /dev/null +++ b/usrp2/fpga/sdr_lib/HB.sav @@ -0,0 +1,56 @@ +[size] 1400 967 +[pos] -1 -1 +*-46.395245 2565000000000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] hb_dec_tb. +@420 +hb_dec_tb.data_in[17:0] +@28 +hb_dec_tb.strobe_in +hb_dec_tb.strobe_out +hb_dec_tb.uut.write_even +@22 +hb_dec_tb.uut.addr_even[3:0] +@420 +hb_dec_tb.uut.data_even[17:0] +hb_dec_tb.uut.data_odd_a[17:0] +hb_dec_tb.uut.data_odd_b[17:0] +hb_dec_tb.uut.data_odd_c[17:0] +hb_dec_tb.uut.data_odd_d[17:0] +@28 +hb_dec_tb.uut.write_odd +@420 +hb_dec_tb.uut.prod1[35:0] +hb_dec_tb.uut.prod2[35:0] +@24 +hb_dec_tb.uut.phase[2:0] +@28 +hb_dec_tb.uut.stb_in +hb_dec_tb.uut.stb_out +@420 +hb_dec_tb.uut.sum2[17:0] +hb_dec_tb.uut.stb_out_pre[15:0] +@28 +hb_dec_tb.uut.do_acc +hb_dec_tb.uut.clear +@420 +hb_dec_tb.uut.sum1[17:0] +hb_dec_tb.uut.coeff1[17:0] +hb_dec_tb.uut.prod1[35:0] +hb_dec_tb.uut.prod2[35:0] +hb_dec_tb.uut.final_sum[17:0] +hb_dec_tb.uut.coeff2[17:0] +hb_dec_tb.uut.sum_of_prod[21:0] +hb_dec_tb.data_out[17:0] +@28 +hb_dec_tb.uut.do_acc +hb_dec_tb.uut.clear +@24 +hb_dec_tb.uut.addr_odd_a[3:0] +hb_dec_tb.uut.addr_odd_b[3:0] +hb_dec_tb.uut.addr_odd_c[3:0] +hb_dec_tb.uut.addr_odd_d[3:0] +@28 +hb_dec_tb.uut.write_odd +hb_dec_tb.uut.write_even +@22 +hb_dec_tb.uut.data_even[17:0] diff --git a/usrp2/fpga/sdr_lib/SMALL_HB.sav b/usrp2/fpga/sdr_lib/SMALL_HB.sav new file mode 100644 index 00000000..96ba0063 --- /dev/null +++ b/usrp2/fpga/sdr_lib/SMALL_HB.sav @@ -0,0 +1,40 @@ +[size] 1400 967 +[pos] -1 -1 +*-11.608687 1834 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] small_hb_dec_tb. +[treeopen] small_hb_dec_tb.uut. +@28 +small_hb_dec_tb.uut.clk +small_hb_dec_tb.uut.phase +@10421 +small_hb_dec_tb.uut.data_in[17:0] +@420 +small_hb_dec_tb.uut.d1[17:0] +small_hb_dec_tb.uut.d2[17:0] +small_hb_dec_tb.uut.d3[17:0] +small_hb_dec_tb.uut.d4[17:0] +small_hb_dec_tb.uut.d5[17:0] +small_hb_dec_tb.uut.d6[17:0] +small_hb_dec_tb.uut.coeff[17:0] +small_hb_dec_tb.uut.sum[17:0] +small_hb_dec_tb.uut.prod[35:0] +small_hb_dec_tb.uut.accum_rnd[17:0] +@28 +small_hb_dec_tb.uut.stb_in +@420 +small_hb_dec_tb.uut.final_sum[17:0] +@28 +small_hb_dec_tb.uut.go +small_hb_dec_tb.uut.go_d1 +small_hb_dec_tb.uut.go_d2 +small_hb_dec_tb.uut.go_d3 +small_hb_dec_tb.uut.go_d4 +small_hb_dec_tb.uut.stb_out +@420 +small_hb_dec_tb.uut.data_out[17:0] +small_hb_dec_tb.uut.prod[35:0] +small_hb_dec_tb.uut.accum_rnd[17:0] +small_hb_dec_tb.uut.final_sum[17:0] +@10421 +small_hb_dec_tb.uut.round_acc.out[17:0] +small_hb_dec_tb.uut.data_out[17:0] diff --git a/usrp2/fpga/sdr_lib/acc.v b/usrp2/fpga/sdr_lib/acc.v new file mode 100644 index 00000000..a2da9c86 --- /dev/null +++ b/usrp2/fpga/sdr_lib/acc.v @@ -0,0 +1,28 @@ + +module acc + #(parameter IWIDTH=16, OWIDTH=30) + (input clk, + input clear, + input acc, + input [IWIDTH-1:0] in, + output reg [OWIDTH-1:0] out); + + wire [OWIDTH-1:0] in_signext; + sign_extend #(.bits_in(IWIDTH),.bits_out(OWIDTH)) + acc_signext (.in(in),.out(in_signext)); + + // CLEAR & ~ACC --> clears the accumulator + // CLEAR & ACC --> loads the accumulator + // ~CLEAR & ACC --> accumulates + // ~CLEAR & ~ACC --> hold + + wire [OWIDTH-1:0] addend1 = clear ? 0 : out; + wire [OWIDTH-1:0] addend2 = ~acc ? 0 : in_signext; + wire [OWIDTH-1:0] sum_int = addend1 + addend2; + + always @(posedge clk) + out <= sum_int; + +endmodule // acc + + diff --git a/usrp2/fpga/sdr_lib/add2.v b/usrp2/fpga/sdr_lib/add2.v new file mode 100644 index 00000000..13fff803 --- /dev/null +++ b/usrp2/fpga/sdr_lib/add2.v @@ -0,0 +1,11 @@ + +module add2 + #(parameter WIDTH=16) + (input [WIDTH-1:0] in1, + input [WIDTH-1:0] in2, + output [WIDTH-1:0] sum); + + wire [WIDTH:0] sum_int = {in1[WIDTH-1],in1} + {in2[WIDTH-1],in2}; + assign sum = sum_int[WIDTH:1]; // Note -- will have some bias + +endmodule // add2 diff --git a/usrp2/fpga/sdr_lib/add2_and_round.v b/usrp2/fpga/sdr_lib/add2_and_round.v new file mode 100644 index 00000000..146af28d --- /dev/null +++ b/usrp2/fpga/sdr_lib/add2_and_round.v @@ -0,0 +1,11 @@ + +module add2_and_round + #(parameter WIDTH=16) + (input [WIDTH-1:0] in1, + input [WIDTH-1:0] in2, + output [WIDTH-1:0] sum); + + wire [WIDTH:0] sum_int = {in1[WIDTH-1],in1} + {in2[WIDTH-1],in2}; + assign sum = sum_int[WIDTH:1] + (sum_int[WIDTH] & sum_int[0]); + +endmodule // add2_and_round diff --git a/usrp2/fpga/sdr_lib/add2_and_round_reg.v b/usrp2/fpga/sdr_lib/add2_and_round_reg.v new file mode 100644 index 00000000..e7fcbf1a --- /dev/null +++ b/usrp2/fpga/sdr_lib/add2_and_round_reg.v @@ -0,0 +1,16 @@ + +module add2_and_round_reg + #(parameter WIDTH=16) + (input clk, + input [WIDTH-1:0] in1, + input [WIDTH-1:0] in2, + output reg [WIDTH-1:0] sum); + + wire [WIDTH-1:0] sum_int; + + add2_and_round #(.WIDTH(WIDTH)) add2_n_rnd (.in1(in1),.in2(in2),.sum(sum_int)); + + always @(posedge clk) + sum <= sum_int; + +endmodule // add2_and_round_reg diff --git a/usrp2/fpga/sdr_lib/add2_reg.v b/usrp2/fpga/sdr_lib/add2_reg.v new file mode 100644 index 00000000..456cf315 --- /dev/null +++ b/usrp2/fpga/sdr_lib/add2_reg.v @@ -0,0 +1,17 @@ + +module add2_reg + #(parameter WIDTH=16) + (input clk, + input [WIDTH-1:0] in1, + input [WIDTH-1:0] in2, + output reg [WIDTH-1:0] sum); + + wire [WIDTH-1:0] sum_int; + + add2 #(.WIDTH(WIDTH)) add2 (.in1(in1),.in2(in2),.sum(sum_int)); + + always @(posedge clk) + sum <= sum_int; + +endmodule // add2_reg + diff --git a/usrp2/fpga/sdr_lib/cic_dec_shifter.v b/usrp2/fpga/sdr_lib/cic_dec_shifter.v new file mode 100644 index 00000000..aa5ac895 --- /dev/null +++ b/usrp2/fpga/sdr_lib/cic_dec_shifter.v @@ -0,0 +1,106 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + + +// NOTE This only works for N=4, max decim rate of 128 +// NOTE signal "rate" is EQUAL TO the actual rate, no more -1 BS + +module cic_dec_shifter(rate,signal_in,signal_out); + parameter bw = 16; + parameter maxbitgain = 28; + + input [7:0] rate; + input wire [bw+maxbitgain-1:0] signal_in; + output reg [bw-1:0] signal_out; + + function [4:0] bitgain; + input [7:0] rate; + case(rate) + // Exact Cases -- N*log2(rate) + 8'd1 : bitgain = 0; + 8'd2 : bitgain = 4; + 8'd4 : bitgain = 8; + 8'd8 : bitgain = 12; + 8'd16 : bitgain = 16; + 8'd32 : bitgain = 20; + 8'd64 : bitgain = 24; + 8'd128 : bitgain = 28; + + // Nearest without overflow -- ceil(N*log2(rate)) + 8'd3 : bitgain = 7; + 8'd5 : bitgain = 10; + 8'd6 : bitgain = 11; + 8'd7 : bitgain = 12; + 8'd9 : bitgain = 13; + 8'd10,8'd11 : bitgain = 14; + 8'd12,8'd13 : bitgain = 15; + 8'd14,8'd15 : bitgain = 16; + 8'd17,8'd18,8'd19 : bitgain = 17; + 8'd20,8'd21,8'd22 : bitgain = 18; + 8'd23,8'd24,8'd25,8'd26 : bitgain = 19; + 8'd27,8'd28,8'd29,8'd30,8'd31 : bitgain = 20; + 8'd33,8'd34,8'd35,8'd36,8'd37,8'd38 : bitgain = 21; + 8'd39,8'd40,8'd41,8'd42,8'd43,8'd44,8'd45 : bitgain = 22; + 8'd46,8'd47,8'd48,8'd49,8'd50,8'd51,8'd52,8'd53 : bitgain = 23; + 8'd54,8'd55,8'd56,8'd57,8'd58,8'd59,8'd60,8'd61,8'd62,8'd63 : bitgain = 24; + 8'd65,8'd66,8'd67,8'd68,8'd69,8'd70,8'd71,8'd72,8'd73,8'd74,8'd75,8'd76 : bitgain = 25; + 8'd77,8'd78,8'd79,8'd80,8'd81,8'd82,8'd83,8'd84,8'd85,8'd86,8'd87,8'd88,8'd89,8'd90 : bitgain = 26; + 8'd91,8'd92,8'd93,8'd94,8'd95,8'd96,8'd97,8'd98,8'd99,8'd100,8'd101,8'd102,8'd103,8'd104,8'd105,8'd106,8'd107 : bitgain = 27; + default : bitgain = 28; + endcase // case(rate) + endfunction // bitgain + + wire [4:0] shift = bitgain(rate); + + // We should be able to do this, but can't .... + // assign signal_out = signal_in[shift+bw-1:shift]; + + always @* + case(shift) + 5'd0 : signal_out = signal_in[0+bw-1:0]; + 5'd4 : signal_out = signal_in[4+bw-1:4]; + 5'd7 : signal_out = signal_in[7+bw-1:7]; + 5'd8 : signal_out = signal_in[8+bw-1:8]; + 5'd10 : signal_out = signal_in[10+bw-1:10]; + 5'd11 : signal_out = signal_in[11+bw-1:11]; + 5'd12 : signal_out = signal_in[12+bw-1:12]; + 5'd13 : signal_out = signal_in[13+bw-1:13]; + 5'd14 : signal_out = signal_in[14+bw-1:14]; + 5'd15 : signal_out = signal_in[15+bw-1:15]; + 5'd16 : signal_out = signal_in[16+bw-1:16]; + 5'd17 : signal_out = signal_in[17+bw-1:17]; + 5'd18 : signal_out = signal_in[18+bw-1:18]; + 5'd19 : signal_out = signal_in[19+bw-1:19]; + 5'd20 : signal_out = signal_in[20+bw-1:20]; + 5'd21 : signal_out = signal_in[21+bw-1:21]; + 5'd22 : signal_out = signal_in[22+bw-1:22]; + 5'd23 : signal_out = signal_in[23+bw-1:23]; + 5'd24 : signal_out = signal_in[24+bw-1:24]; + 5'd25 : signal_out = signal_in[25+bw-1:25]; + 5'd26 : signal_out = signal_in[26+bw-1:26]; + 5'd27 : signal_out = signal_in[27+bw-1:27]; + 5'd28 : signal_out = signal_in[28+bw-1:28]; + + default : signal_out = signal_in[28+bw-1:28]; + endcase // case(shift) + +endmodule // cic_dec_shifter + diff --git a/usrp2/fpga/sdr_lib/cic_decim.v b/usrp2/fpga/sdr_lib/cic_decim.v new file mode 100755 index 00000000..9a03081b --- /dev/null +++ b/usrp2/fpga/sdr_lib/cic_decim.v @@ -0,0 +1,88 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + + +module cic_decim + #(parameter bw = 16, parameter N = 4, parameter log2_of_max_rate = 7) + (input clock, + input reset, + input enable, + input [7:0] rate, + input strobe_in, + input strobe_out, + input [bw-1:0] signal_in, + output reg [bw-1:0] signal_out); + + localparam maxbitgain = N * log2_of_max_rate; + + wire [bw+maxbitgain-1:0] signal_in_ext; + reg [bw+maxbitgain-1:0] integrator [0:N-1]; + reg [bw+maxbitgain-1:0] differentiator [0:N-1]; + reg [bw+maxbitgain-1:0] pipeline [0:N-1]; + reg [bw+maxbitgain-1:0] sampler; + + integer i; + + sign_extend #(bw,bw+maxbitgain) + ext_input (.in(signal_in),.out(signal_in_ext)); + + always @(posedge clock) + if(reset) + for(i=0;i 0 ; i = i - 1 ) begin + delay[i] = delay[i-1] ; + end + delay[0] = data_in ; + + // clear the temp reg + temp = 18'd0 ; + if( (decim && (count == rate-1)) || !decim ) begin + count <= 0 ; + for( i = 0 ; i < 31 ; i = i + 1 ) begin + // Multiply Accumulate + temp = temp + delay[i]*coeffs[i] ; + end + // Assign data output + data_out <= temp >>> 15 ; + end + end + end + end +endmodule diff --git a/usrp2/fpga/sdr_lib/halfband_tb.v b/usrp2/fpga/sdr_lib/halfband_tb.v new file mode 100644 index 00000000..231dd00d --- /dev/null +++ b/usrp2/fpga/sdr_lib/halfband_tb.v @@ -0,0 +1,120 @@ +module halfband_tb( ) ; + + // Parameters for instantiation + parameter clocks = 2 ; // Number of clocks per input + parameter decim = 0 ; // Sets the filter to decimate + parameter rate = 2 ; // Sets the decimation rate + + reg clock ; + reg reset ; + reg enable ; + reg strobe_in ; + reg signed [17:0] data_in ; + wire strobe_out ; + wire signed [17:0] data_out ; + + // Setup the clock + initial clock = 1'b0 ; + always #5 clock <= ~clock ; + + // Come out of reset after a while + initial reset = 1'b1 ; + initial #100 reset = 1'b0 ; + + // Enable the entire system + initial enable = 1'b1 ; + + // Instantiate UUT + halfband_ideal + #( + .decim ( decim ), + .rate ( rate ) + ) uut( + .clock ( clock ), + .reset ( reset ), + .enable ( enable ), + .strobe_in ( strobe_in ), + .data_in ( data_in ), + .strobe_out ( strobe_out ), + .data_out ( data_out ) + ) ; + + integer i, ri, ro, infile, outfile ; + + // Setup file IO + initial begin + infile = $fopen("input.dat","r") ; + outfile = $fopen("output.dat","r") ; + $timeformat(-9, 2, " ns", 10) ; + end + + reg endofsim ; + reg signed [17:0] compare ; + integer noe ; + initial noe = 0 ; + + initial begin + // Initialize inputs + strobe_in <= 1'd0 ; + data_in <= 18'd0 ; + + // Wait for reset to go away + @(negedge reset) #0 ; + + // While we're still simulating ... + while( !endofsim ) begin + + // Write the input from the file or 0 if EOF... + @( posedge clock ) begin + #1 ; + strobe_in <= 1'b1 ; + if( !$feof(infile) ) + ri = $fscanf( infile, "%d", data_in ) ; + else + data_in <= 18'd0 ; + end + + // Clocked in - set the strobe to 0 if the number of + // clocks per sample is greater than 1 + if( clocks > 1 ) begin + @(posedge clock) begin + strobe_in <= 1'b0 ; + end + + // Wait for the specified number of cycles + for( i = 0 ; i < (clocks-2) ; i = i + 1 ) begin + @(posedge clock) #1 ; + end + end + end + + // Print out the number of errors that occured + if( noe ) + $display( "FAILED: %d errors during simulation", noe ) ; + else + $display( "PASSED: Simulation successful" ) ; + + $stop ; + end + + // Output comparison of simulated values versus known good values + always @ (posedge clock) begin + if( reset ) + endofsim <= 1'b0 ; + else begin + if( !$feof(outfile) ) begin + if( strobe_out ) begin + ro = $fscanf( outfile, "%d\n", compare ) ; + if( compare != data_out ) begin + $display( "%t: %d != %d", $realtime, data_out, compare ) ; + noe = noe + 1 ; + end + end + end else begin + // Signal end of simulation when no more outputs + endofsim <= 1'b1 ; + end + end + end + +endmodule diff --git a/usrp2/fpga/sdr_lib/hb/acc.v b/usrp2/fpga/sdr_lib/hb/acc.v new file mode 100644 index 00000000..195d5ea9 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/acc.v @@ -0,0 +1,22 @@ + + +module acc (input clock, input reset, input clear, input enable_in, output reg enable_out, + input signed [30:0] addend, output reg signed [33:0] sum ); + + always @(posedge clock) + if(reset) + sum <= #1 34'd0; + //else if(clear & enable_in) + // sum <= #1 addend; + //else if(clear) + // sum <= #1 34'd0; + else if(clear) + sum <= #1 addend; + else if(enable_in) + sum <= #1 sum + addend; + + always @(posedge clock) + enable_out <= #1 enable_in; + +endmodule // acc + diff --git a/usrp2/fpga/sdr_lib/hb/coeff_ram.v b/usrp2/fpga/sdr_lib/hb/coeff_ram.v new file mode 100644 index 00000000..65460822 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/coeff_ram.v @@ -0,0 +1,26 @@ + + +module coeff_ram (input clock, input [3:0] rd_addr, output reg [15:0] rd_data); + + always @(posedge clock) + case (rd_addr) + 4'd0 : rd_data <= #1 -16'd16; + 4'd1 : rd_data <= #1 16'd74; + 4'd2 : rd_data <= #1 -16'd254; + 4'd3 : rd_data <= #1 16'd669; + 4'd4 : rd_data <= #1 -16'd1468; + 4'd5 : rd_data <= #1 16'd2950; + 4'd6 : rd_data <= #1 -16'd6158; + 4'd7 : rd_data <= #1 16'd20585; + 4'd8 : rd_data <= #1 16'd20585; + 4'd9 : rd_data <= #1 -16'd6158; + 4'd10 : rd_data <= #1 16'd2950; + 4'd11 : rd_data <= #1 -16'd1468; + 4'd12 : rd_data <= #1 16'd669; + 4'd13 : rd_data <= #1 -16'd254; + 4'd14 : rd_data <= #1 16'd74; + 4'd15 : rd_data <= #1 -16'd16; + default : rd_data <= #1 16'd0; + endcase // case(rd_addr) + +endmodule // ram diff --git a/usrp2/fpga/sdr_lib/hb/coeff_rom.v b/usrp2/fpga/sdr_lib/hb/coeff_rom.v new file mode 100644 index 00000000..7f8886b4 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/coeff_rom.v @@ -0,0 +1,19 @@ + + +module coeff_rom (input clock, input [2:0] addr, output reg [15:0] data); + + always @(posedge clock) + case (addr) + 3'd0 : data <= #1 -16'd49; + 3'd1 : data <= #1 16'd165; + 3'd2 : data <= #1 -16'd412; + 3'd3 : data <= #1 16'd873; + 3'd4 : data <= #1 -16'd1681; + 3'd5 : data <= #1 16'd3135; + 3'd6 : data <= #1 -16'd6282; + 3'd7 : data <= #1 16'd20628; + endcase // case(addr) + +endmodule // coeff_rom + + diff --git a/usrp2/fpga/sdr_lib/hb/halfband_decim.v b/usrp2/fpga/sdr_lib/hb/halfband_decim.v new file mode 100644 index 00000000..dff4d902 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/halfband_decim.v @@ -0,0 +1,163 @@ +/* -*- verilog -*- + * + * USRP - Universal Software Radio Peripheral + * + * Copyright (C) 2005 Matt Ettus + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA + */ + +/* + * This implements a 31-tap halfband filter that decimates by two. + * The coefficients are symmetric, and with the exception of the middle tap, + * every other coefficient is zero. The middle section of taps looks like this: + * + * ..., -1468, 0, 2950, 0, -6158, 0, 20585, 32768, 20585, 0, -6158, 0, 2950, 0, -1468, ... + * | + * middle tap -------+ + * + * See coeff_rom.v for the full set. The taps are scaled relative to 32768, + * thus the middle tap equals 1.0. Not counting the middle tap, there are 8 + * non-zero taps on each side, and they are symmetric. A naive implementation + * requires a mulitply for each non-zero tap. Because of symmetry, we can + * replace 2 multiplies with 1 add and 1 multiply. Thus, to compute each output + * sample, we need to perform 8 multiplications. Since the middle tap is 1.0, + * we just add the corresponding delay line value. + * + * About timing: We implement this with a single multiplier, so it takes + * 8 cycles to compute a single output. However, since we're decimating by two + * we can accept a new input value every 4 cycles. strobe_in is asserted when + * there's a new input sample available. Depending on the overall decimation + * rate, strobe_in may be asserted less frequently than once every 4 clocks. + * On the output side, we assert strobe_out when output contains a new sample. + * + * Implementation: Every time strobe_in is asserted we store the new data into + * the delay line. We split the delay line into two components, one for the + * even samples, and one for the odd samples. ram16_odd is the delay line for + * the odd samples. This ram is written on each odd assertion of strobe_in, and + * is read on each clock when we're computing the dot product. ram16_even is + * similar, although because it holds the even samples we must be able to read + * two samples from different addresses at the same time, while writing the incoming + * even samples. Thus it's "triple-ported". + */ + +module halfband_decim + (input clock, input reset, input enable, input strobe_in, output wire strobe_out, + input wire [15:0] data_in, output reg [15:0] data_out,output wire [15:0] debugctrl); + + reg [3:0] rd_addr1; + reg [3:0] rd_addr2; + reg [3:0] phase; + reg [3:0] base_addr; + + wire signed [15:0] mac_out,middle_data, sum, coeff; + wire signed [30:0] product; + wire signed [33:0] sum_even; + wire clear; + reg store_odd; + + always @(posedge clock) + if(reset) + store_odd <= #1 1'b0; + else + if(strobe_in) + store_odd <= #1 ~store_odd; + + wire start = strobe_in & store_odd; + always @(posedge clock) + if(reset) + base_addr <= #1 4'd0; + else if(start) + base_addr <= #1 base_addr + 4'd1; + + always @(posedge clock) + if(reset) + phase <= #1 4'd8; + else if (start) + phase <= #1 4'd0; + else if(phase != 4'd8) + phase <= #1 phase + 4'd1; + + reg start_d1,start_d2,start_d3,start_d4,start_d5,start_d6,start_d7,start_d8,start_d9,start_dA,start_dB,start_dC,start_dD; + always @(posedge clock) + begin + start_d1 <= #1 start; + start_d2 <= #1 start_d1; + start_d3 <= #1 start_d2; + start_d4 <= #1 start_d3; + start_d5 <= #1 start_d4; + start_d6 <= #1 start_d5; + start_d7 <= #1 start_d6; + start_d8 <= #1 start_d7; + start_d9 <= #1 start_d8; + start_dA <= #1 start_d9; + start_dB <= #1 start_dA; + start_dC <= #1 start_dB; + start_dD <= #1 start_dC; + end // always @ (posedge clock) + + reg mult_en, mult_en_pre; + always @(posedge clock) + begin + mult_en_pre <= #1 phase!=8; + mult_en <= #1 mult_en_pre; + end + + assign clear = start_d4; // was dC + wire latch_result = start_d4; // was dC + assign strobe_out = start_d5; // was dD + wire acc_en; + + always @* + case(phase[2:0]) + 3'd0 : begin rd_addr1 = base_addr + 4'd0; rd_addr2 = base_addr + 4'd15; end + 3'd1 : begin rd_addr1 = base_addr + 4'd1; rd_addr2 = base_addr + 4'd14; end + 3'd2 : begin rd_addr1 = base_addr + 4'd2; rd_addr2 = base_addr + 4'd13; end + 3'd3 : begin rd_addr1 = base_addr + 4'd3; rd_addr2 = base_addr + 4'd12; end + 3'd4 : begin rd_addr1 = base_addr + 4'd4; rd_addr2 = base_addr + 4'd11; end + 3'd5 : begin rd_addr1 = base_addr + 4'd5; rd_addr2 = base_addr + 4'd10; end + 3'd6 : begin rd_addr1 = base_addr + 4'd6; rd_addr2 = base_addr + 4'd9; end + 3'd7 : begin rd_addr1 = base_addr + 4'd7; rd_addr2 = base_addr + 4'd8; end + default: begin rd_addr1 = base_addr + 4'd0; rd_addr2 = base_addr + 4'd15; end + endcase // case(phase) + + coeff_rom coeff_rom (.clock(clock),.addr(phase[2:0]-3'd1),.data(coeff)); + + ram16_2sum ram16_even (.clock(clock),.write(strobe_in & ~store_odd), + .wr_addr(base_addr),.wr_data(data_in), + .rd_addr1(rd_addr1),.rd_addr2(rd_addr2), + .sum(sum)); + + ram16 ram16_odd (.clock(clock),.write(strobe_in & store_odd), // Holds middle items + .wr_addr(base_addr),.wr_data(data_in), + //.rd_addr(base_addr+4'd7),.rd_data(middle_data)); + .rd_addr(base_addr+4'd6),.rd_data(middle_data)); + + mult mult(.clock(clock),.x(coeff),.y(sum),.product(product),.enable_in(mult_en),.enable_out(acc_en)); + + acc acc(.clock(clock),.reset(reset),.enable_in(acc_en),.enable_out(), + .clear(clear),.addend(product),.sum(sum_even)); + + wire signed [33:0] dout = sum_even + {{4{middle_data[15]}},middle_data,14'b0}; // We already divided product by 2!!!! + + always @(posedge clock) + if(reset) + data_out <= #1 16'd0; + else if(latch_result) + data_out <= #1 dout[30:15] + (dout[33]& |dout[14:0]); + + assign debugctrl = { clock,reset,acc_en,mult_en,clear,latch_result,store_odd,strobe_in,strobe_out,phase}; + +endmodule // halfband_decim diff --git a/usrp2/fpga/sdr_lib/hb/halfband_interp.v b/usrp2/fpga/sdr_lib/hb/halfband_interp.v new file mode 100644 index 00000000..cdb11c1f --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/halfband_interp.v @@ -0,0 +1,121 @@ + + +module halfband_interp + (input clock, input reset, input enable, + input strobe_in, input strobe_out, + input [15:0] signal_in_i, input [15:0] signal_in_q, + output reg [15:0] signal_out_i, output reg [15:0] signal_out_q, + output wire [12:0] debug); + + wire [15:0] coeff_ram_out; + wire [15:0] data_ram_out_i; + wire [15:0] data_ram_out_q; + + wire [3:0] data_rd_addr; + reg [3:0] data_wr_addr; + reg [2:0] coeff_rd_addr; + + wire filt_done; + + wire [15:0] mac_out_i; + wire [15:0] mac_out_q; + reg [15:0] delayed_middle_i, delayed_middle_q; + wire [7:0] shift = 8'd9; + + reg stb_out_happened; + + wire [15:0] data_ram_out_i_b; + + always @(posedge clock) + if(strobe_in) + stb_out_happened <= #1 1'b0; + else if(strobe_out) + stb_out_happened <= #1 1'b1; + +assign debug = {filt_done,data_rd_addr,data_wr_addr,coeff_rd_addr}; + + wire [15:0] signal_out_i = stb_out_happened ? mac_out_i : delayed_middle_i; + wire [15:0] signal_out_q = stb_out_happened ? mac_out_q : delayed_middle_q; + +/* always @(posedge clock) + if(reset) + begin + signal_out_i <= #1 16'd0; + signal_out_q <= #1 16'd0; + end + else if(strobe_in) + begin + signal_out_i <= #1 delayed_middle_i; // Multiply by 1 for middle coeff + signal_out_q <= #1 delayed_middle_q; + end + //else if(filt_done&stb_out_happened) + else if(stb_out_happened) + begin + signal_out_i <= #1 mac_out_i; + signal_out_q <= #1 mac_out_q; + end +*/ + + always @(posedge clock) + if(reset) + coeff_rd_addr <= #1 3'd0; + else if(coeff_rd_addr != 3'd0) + coeff_rd_addr <= #1 coeff_rd_addr + 3'd1; + else if(strobe_in) + coeff_rd_addr <= #1 3'd1; + + reg filt_done_d1; + always@(posedge clock) + filt_done_d1 <= #1 filt_done; + + always @(posedge clock) + if(reset) + data_wr_addr <= #1 4'd0; + //else if(strobe_in) + else if(filt_done & ~filt_done_d1) + data_wr_addr <= #1 data_wr_addr + 4'd1; + + always @(posedge clock) + if(coeff_rd_addr == 3'd7) + begin + delayed_middle_i <= #1 data_ram_out_i_b; + // delayed_middle_q <= #1 data_ram_out_q_b; + end + +// always @(posedge clock) +// if(reset) +// data_rd_addr <= #1 4'd0; +// else if(strobe_in) +// data_rd_addr <= #1 data_wr_addr + 4'd1; +// else if(!filt_done) +// data_rd_addr <= #1 data_rd_addr + 4'd1; +// else +// data_rd_addr <= #1 data_wr_addr; + + wire [3:0] data_rd_addr1 = data_wr_addr + {1'b0,coeff_rd_addr}; + wire [3:0] data_rd_addr2 = data_wr_addr + 15 - {1'b0,coeff_rd_addr}; +// always @(posedge clock) +// if(reset) +// filt_done <= #1 1'b1; +// else if(strobe_in) + // filt_done <= #1 1'b0; +// else if(coeff_rd_addr == 4'd0) +// filt_done <= #1 1'b1; + + assign filt_done = (coeff_rd_addr == 3'd0); + + coeff_ram coeff_ram ( .clock(clock),.rd_addr({1'b0,coeff_rd_addr}),.rd_data(coeff_ram_out) ); + + ram16_2sum data_ram_i ( .clock(clock),.write(strobe_in),.wr_addr(data_wr_addr),.wr_data(signal_in_i), + .rd_addr1(data_rd_addr1),.rd_addr2(data_rd_addr2),.rd_data(data_ram_out_i_b),.sum(data_ram_out_i)); + + ram16_2sum data_ram_q ( .clock(clock),.write(strobe_in),.wr_addr(data_wr_addr),.wr_data(signal_in_q), + .rd_addr1(data_rd_addr1),.rd_addr2(data_rd_addr2),.rd_data(data_ram_out_q)); + + mac mac_i (.clock(clock),.reset(reset),.enable(~filt_done),.clear(strobe_in), + .x(data_ram_out_i),.y(coeff_ram_out),.shift(shift),.z(mac_out_i) ); + + mac mac_q (.clock(clock),.reset(reset),.enable(~filt_done),.clear(strobe_in), + .x(data_ram_out_q),.y(coeff_ram_out),.shift(shift),.z(mac_out_q) ); + +endmodule // halfband_interp diff --git a/usrp2/fpga/sdr_lib/hb/hbd_tb/HBD b/usrp2/fpga/sdr_lib/hb/hbd_tb/HBD new file mode 100644 index 00000000..574fbba9 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/hbd_tb/HBD @@ -0,0 +1,80 @@ +*-6.432683 5736 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +test_hbd.clock +test_hbd.reset +@420 +test_hbd.halfband_decim.middle_data[15:0] +@22 +test_hbd.halfband_decim.sum_even[33:0] +test_hbd.halfband_decim.base_addr[3:0] +@420 +test_hbd.i_in[15:0] +@24 +test_hbd.halfband_decim.phase[3:0] +test_hbd.halfband_decim.ram16_even.rd_addr1[3:0] +test_hbd.halfband_decim.ram16_even.rd_addr2[3:0] +test_hbd.halfband_decim.ram16_even.wr_addr[3:0] +test_hbd.halfband_decim.ram16_even.wr_data[15:0] +@28 +test_hbd.halfband_decim.ram16_even.write +@420 +test_hbd.halfband_decim.sum[15:0] +test_hbd.halfband_decim.product[30:0] +test_hbd.halfband_decim.dout[33:0] +test_hbd.halfband_decim.sum_even[33:0] +@22 +test_hbd.halfband_decim.acc.addend[30:0] +@28 +test_hbd.halfband_decim.acc.reset +@420 +test_hbd.halfband_decim.acc.sum[33:0] +test_hbd.halfband_decim.mult.x[15:0] +test_hbd.halfband_decim.mult.y[15:0] +@28 +test_hbd.halfband_decim.acc.clear +test_hbd.strobe_in +test_hbd.strobe_out +test_hbd.halfband_decim.acc_en +@420 +test_hbd.i_out[15:0] +@28 +test_hbd.halfband_decim.mult_en +test_hbd.halfband_decim.latch_result +@420 +test_hbd.halfband_decim.sum[15:0] +test_hbd.halfband_decim.sum_even[33:0] +test_hbd.halfband_decim.dout[33:0] +test_hbd.halfband_decim.data_out[15:0] +@22 +test_hbd.halfband_decim.data_out[15:0] +@28 +test_hbd.halfband_decim.dout[33:0] +@29 +test_hbd.halfband_decim.acc_en +@22 +test_hbd.halfband_decim.base_addr[3:0] +@28 +test_hbd.halfband_decim.clear +test_hbd.halfband_decim.latch_result +test_hbd.halfband_decim.mult_en +test_hbd.halfband_decim.mult_en_pre +@22 +test_hbd.halfband_decim.phase[3:0] +@28 +test_hbd.halfband_decim.start +test_hbd.halfband_decim.start_d1 +test_hbd.halfband_decim.start_d2 +test_hbd.halfband_decim.start_d3 +test_hbd.halfband_decim.start_d4 +test_hbd.halfband_decim.start_d5 +test_hbd.halfband_decim.start_d6 +test_hbd.halfband_decim.start_d7 +test_hbd.halfband_decim.start_d8 +test_hbd.halfband_decim.start_d9 +test_hbd.halfband_decim.start_dA +test_hbd.halfband_decim.start_dB +test_hbd.halfband_decim.start_dC +test_hbd.halfband_decim.start_dD +test_hbd.halfband_decim.store_odd +test_hbd.halfband_decim.strobe_in +test_hbd.halfband_decim.strobe_out diff --git a/usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden b/usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden new file mode 100644 index 00000000..2d24a9e1 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden @@ -0,0 +1,142 @@ +VCD info: dumpfile test_hbd.vcd opened for output. + x + x + x + x + x + x + x + x + x + x + x + x + x + x + x + x + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 8192 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 +- 4 + 18 +- 63 + 167 +- 367 + 737 +- 1539 + 5146 + 5146 +- 1539 + 737 +- 367 + 167 +- 63 + 18 +- 4 + 0 + 0 + 0 + 0 + 0 +- 4 + 14 +- 49 + 118 +- 249 + 488 + 7141 +12287 +17433 +15894 +16631 +16264 +16432 +16368 +16387 +16383 +16383 +16383 +16383 +16383 +16387 +16368 +16432 +16264 +16631 +15894 + 9241 + 4095 +- 1051 + 488 +- 249 + 118 +- 49 + 14 +- 4 + 0 + 0 + 0 + 0 + 0 +- 4 + 14 +- 49 + 118 +- 249 + 488 +- 1051 +12287 +17433 +15894 +16631 +16264 +16432 +16368 +16387 +16383 +16383 +16383 +16383 +16383 +16387 +16368 +16432 +16264 +16631 +15894 +17433 + 4095 +- 1051 + 488 +- 249 + 118 +- 49 + 14 +- 4 + 0 + 0 + 0 + 0 diff --git a/usrp2/fpga/sdr_lib/hb/hbd_tb/regression b/usrp2/fpga/sdr_lib/hb/hbd_tb/regression new file mode 100644 index 00000000..fc279c2f --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/hbd_tb/regression @@ -0,0 +1,95 @@ +echo "Baseline 1000" +iverilog -y .. -o test_hbd -DRATE=1000 test_hbd.v ; ./test_hbd >golden +diff golden really_golden + +echo +echo "Test 100" +iverilog -y .. -o test_hbd -DRATE=100 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 50" +iverilog -y .. -o test_hbd -DRATE=50 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 40" +iverilog -y .. -o test_hbd -DRATE=40 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 30" +iverilog -y .. -o test_hbd -DRATE=30 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 25" +iverilog -y .. -o test_hbd -DRATE=25 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 20" +iverilog -y .. -o test_hbd -DRATE=20 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 19" +iverilog -y .. -o test_hbd -DRATE=19 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 18" +iverilog -y .. -o test_hbd -DRATE=18 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 17" +iverilog -y .. -o test_hbd -DRATE=17 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 16" +iverilog -y .. -o test_hbd -DRATE=16 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 15" +iverilog -y .. -o test_hbd -DRATE=15 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 14" +iverilog -y .. -o test_hbd -DRATE=14 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 13" +iverilog -y .. -o test_hbd -DRATE=13 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 12" +iverilog -y .. -o test_hbd -DRATE=12 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 11" +iverilog -y .. -o test_hbd -DRATE=11 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 10" +iverilog -y .. -o test_hbd -DRATE=10 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 9" +iverilog -y .. -o test_hbd -DRATE=9 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 8" +iverilog -y .. -o test_hbd -DRATE=8 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 7" +iverilog -y .. -o test_hbd -DRATE=7 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 6" +iverilog -y .. -o test_hbd -DRATE=6 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 5" +iverilog -y .. -o test_hbd -DRATE=5 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 4" +iverilog -y .. -o test_hbd -DRATE=4 test_hbd.v ; ./test_hbd >output ; diff output golden + +echo +echo "Test 3" +iverilog -y .. -o test_hbd -DRATE=3 test_hbd.v ; ./test_hbd >output ; diff output golden diff --git a/usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd b/usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd new file mode 100755 index 00000000..b8aec757 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd @@ -0,0 +1,4 @@ +#!/bin/sh + +iverilog -y .. -o test_hbd test_hbd.v +./test_hbd diff --git a/usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v b/usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v new file mode 100644 index 00000000..01ab5e7e --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v @@ -0,0 +1,75 @@ + + +module test_hbd(); + + reg clock; + initial clock = 1'b0; + always #5 clock <= ~clock; + + reg reset; + initial reset = 1'b1; + initial #1000 reset = 1'b0; + + initial $dumpfile("test_hbd.vcd"); + initial $dumpvars(0,test_hbd); + + reg [15:0] i_in, q_in; + wire [15:0] i_out, q_out; + + reg strobe_in; + wire strobe_out; + reg coeff_write; + reg [15:0] coeff_data; + reg [4:0] coeff_addr; + + halfband_decim halfband_decim + ( .clock(clock),.reset(reset),.enable(),.strobe_in(strobe_in),.strobe_out(strobe_out), + .data_in(i_in),.data_out(i_out) ); + + always @(posedge strobe_out) + if(i_out[15]) + $display("-%d",65536-i_out); + else + $display("%d",i_out); + + initial + begin + strobe_in = 1'b0; + @(negedge reset); + @(posedge clock); + while(1) + begin + strobe_in <= #1 1'b1; + @(posedge clock); + strobe_in <= #1 1'b0; + repeat (`RATE) + @(posedge clock); + end + end + + initial #10000000 $finish; // Just in case... + + initial + begin + i_in <= #1 16'd0; + repeat (40) @(posedge strobe_in); + i_in <= #1 16'd16384; + @(posedge strobe_in); + i_in <= #1 16'd0; + repeat (40) @(posedge strobe_in); + i_in <= #1 16'd16384; + @(posedge strobe_in); + i_in <= #1 16'd0; + repeat (40) @(posedge strobe_in); + i_in <= #1 16'd16384; + repeat (40) @(posedge strobe_in); + i_in <= #1 16'd0; + repeat (41) @(posedge strobe_in); + i_in <= #1 16'd16384; + repeat (40) @(posedge strobe_in); + i_in <= #1 16'd0; + repeat (40) @(posedge strobe_in); + repeat (7) @(posedge clock); + $finish; + end // initial begin +endmodule // test_hb diff --git a/usrp2/fpga/sdr_lib/hb/mac.v b/usrp2/fpga/sdr_lib/hb/mac.v new file mode 100644 index 00000000..5a270bc7 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/mac.v @@ -0,0 +1,58 @@ + + +module mac (input clock, input reset, input enable, input clear, + input signed [15:0] x, input signed [15:0] y, + input [7:0] shift, output [15:0] z ); + + reg signed [30:0] product; + reg signed [39:0] z_int; + reg signed [15:0] z_shift; + + reg enable_d1; + always @(posedge clock) + enable_d1 <= #1 enable; + + always @(posedge clock) + if(reset | clear) + z_int <= #1 40'd0; + else if(enable_d1) + z_int <= #1 z_int + {{9{product[30]}},product}; + + always @(posedge clock) + product <= #1 x*y; + + always @* // FIXME full case? parallel case? + case(shift) + //8'd0 : z_shift <= z_int[39:24]; + //8'd1 : z_shift <= z_int[38:23]; + //8'd2 : z_shift <= z_int[37:22]; + //8'd3 : z_shift <= z_int[36:21]; + //8'd4 : z_shift <= z_int[35:20]; + //8'd5 : z_shift <= z_int[34:19]; + 8'd6 : z_shift <= z_int[33:18]; + 8'd7 : z_shift <= z_int[32:17]; + 8'd8 : z_shift <= z_int[31:16]; + 8'd9 : z_shift <= z_int[30:15]; + 8'd10 : z_shift <= z_int[29:14]; + 8'd11 : z_shift <= z_int[28:13]; + //8'd12 : z_shift <= z_int[27:12]; + //8'd13 : z_shift <= z_int[26:11]; + //8'd14 : z_shift <= z_int[25:10]; + //8'd15 : z_shift <= z_int[24:9]; + //8'd16 : z_shift <= z_int[23:8]; + //8'd17 : z_shift <= z_int[22:7]; + //8'd18 : z_shift <= z_int[21:6]; + //8'd19 : z_shift <= z_int[20:5]; + //8'd20 : z_shift <= z_int[19:4]; + //8'd21 : z_shift <= z_int[18:3]; + //8'd22 : z_shift <= z_int[17:2]; + //8'd23 : z_shift <= z_int[16:1]; + //8'd24 : z_shift <= z_int[15:0]; + default : z_shift <= z_int[15:0]; + endcase // case(shift) + + // FIXME do we need to saturate? + //assign z = z_shift; + assign z = z_int[15:0]; + +endmodule // mac diff --git a/usrp2/fpga/sdr_lib/hb/mult.v b/usrp2/fpga/sdr_lib/hb/mult.v new file mode 100644 index 00000000..a8d4cb1b --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/mult.v @@ -0,0 +1,16 @@ + + +module mult (input clock, input signed [15:0] x, input signed [15:0] y, output reg signed [30:0] product, + input enable_in, output reg enable_out ); + + always @(posedge clock) + if(enable_in) + product <= #1 x*y; + else + product <= #1 31'd0; + + always @(posedge clock) + enable_out <= #1 enable_in; + +endmodule // mult + diff --git a/usrp2/fpga/sdr_lib/hb/ram16_2port.v b/usrp2/fpga/sdr_lib/hb/ram16_2port.v new file mode 100644 index 00000000..e1761a92 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/ram16_2port.v @@ -0,0 +1,22 @@ + + +module ram16_2port (input clock, input write, + input [3:0] wr_addr, input [15:0] wr_data, + input [3:0] rd_addr1, output reg [15:0] rd_data1, + input [3:0] rd_addr2, output reg [15:0] rd_data2); + + reg [15:0] ram_array [0:31]; + + always @(posedge clock) + rd_data1 <= #1 ram_array[rd_addr1]; + + always @(posedge clock) + rd_data2 <= #1 ram_array[rd_addr2]; + + always @(posedge clock) + if(write) + ram_array[wr_addr] <= #1 wr_data; + +endmodule // ram16_2port + + diff --git a/usrp2/fpga/sdr_lib/hb/ram16_2sum.v b/usrp2/fpga/sdr_lib/hb/ram16_2sum.v new file mode 100644 index 00000000..559b06fd --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/ram16_2sum.v @@ -0,0 +1,27 @@ + + +module ram16_2sum (input clock, input write, + input [3:0] wr_addr, input [15:0] wr_data, + input [3:0] rd_addr1, input [3:0] rd_addr2, + output reg [15:0] sum); + + reg signed [15:0] ram_array [0:15]; + reg signed [15:0] a,b; + wire signed [16:0] sum_int; + + always @(posedge clock) + if(write) + ram_array[wr_addr] <= #1 wr_data; + + always @(posedge clock) + begin + a <= #1 ram_array[rd_addr1]; + b <= #1 ram_array[rd_addr2]; + end + + assign sum_int = {a[15],a} + {b[15],b}; + + always @(posedge clock) + sum <= #1 sum_int[16:1] + (sum_int[16]&sum_int[0]); + +endmodule // ram16_2sum diff --git a/usrp2/fpga/sdr_lib/hb/ram32_2sum.v b/usrp2/fpga/sdr_lib/hb/ram32_2sum.v new file mode 100644 index 00000000..d1f55b7d --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb/ram32_2sum.v @@ -0,0 +1,22 @@ + + +module ram32_2sum (input clock, input write, + input [4:0] wr_addr, input [15:0] wr_data, + input [4:0] rd_addr1, input [4:0] rd_addr2, + output reg [15:0] sum); + + reg [15:0] ram_array [0:31]; + wire [16:0] sum_int; + + always @(posedge clock) + if(write) + ram_array[wr_addr] <= #1 wr_data; + + assign sum_int = ram_array[rd_addr1] + ram_array[rd_addr2]; + + always @(posedge clock) + sum <= #1 sum_int[16:1] + (sum_int[16]&sum_int[0]); + + +endmodule // ram32_2sum + diff --git a/usrp2/fpga/sdr_lib/hb_dec.v b/usrp2/fpga/sdr_lib/hb_dec.v new file mode 100644 index 00000000..b256eb57 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb_dec.v @@ -0,0 +1,170 @@ +// Final halfband decimator +// Implements impulse responses of the form [A 0 B 0 C .. 0 H 0.5 H 0 .. C 0 B 0 A] +// Strobe in cannot come faster than every 2nd clock cycle +// These taps designed by halfgen4 from ldoolittle +// myfilt = round(2^18 * halfgen4(.7/4,8)) + +module hb_dec + #(parameter IWIDTH=18, OWIDTH=18, CWIDTH=18, ACCWIDTH=24) + (input clk, + input rst, + input bypass, + input [8:0] cpi, // Clocks per input -- equal to the decimation ratio ahead of this block + input stb_in, + input [IWIDTH-1:0] data_in, + output reg stb_out, + output reg [OWIDTH-1:0] data_out); + + // Control + reg [3:0] addr_odd_a, addr_odd_b, addr_odd_c, addr_odd_d; + wire write_odd, write_even, do_mult; + reg odd; + reg [2:0] phase, phase_d1; + reg stb_out_int; + wire clear, do_acc; + assign do_mult = 1; + + always @(posedge clk) + if(rst) + odd <= 0; + else if(stb_in) + odd <= ~odd; + + assign write_odd = stb_in & odd; + assign write_even = stb_in & ~odd; + + always @(posedge clk) + if(rst) + phase <= 0; + else if(stb_in & odd) + phase <= 1; + else if(phase == 4) + phase <= 0; + else if(phase != 0) + phase <= phase + 1; + + always @(posedge clk) + phase_d1 <= phase; + + reg [15:0] stb_out_pre; + always @(posedge clk) + if(rst) + stb_out_pre <= 0; + else + stb_out_pre <= {stb_out_pre[14:0],(stb_in & odd)}; + + always @* + case(phase) + 1 : begin addr_odd_a = 0; addr_odd_b = 15; end + 2 : begin addr_odd_a = 1; addr_odd_b = 14; end + 3 : begin addr_odd_a = 2; addr_odd_b = 13; end + 4 : begin addr_odd_a = 3; addr_odd_b = 12; end + default : begin addr_odd_a = 0; addr_odd_b = 15; end + endcase // case(phase) + + always @* + case(phase) + 1 : begin addr_odd_c = 4; addr_odd_d = 11; end + 2 : begin addr_odd_c = 5; addr_odd_d = 10; end + 3 : begin addr_odd_c = 6; addr_odd_d = 9; end + 4 : begin addr_odd_c = 7; addr_odd_d = 8; end + default : begin addr_odd_c = 4; addr_odd_d = 11; end + endcase // case(phase) + + assign do_acc = |stb_out_pre[6:3]; + assign clear = stb_out_pre[3]; + + // Data + wire [IWIDTH-1:0] data_odd_a, data_odd_b, data_odd_c, data_odd_d; + wire [IWIDTH-1:0] sum1, sum2; + wire [OWIDTH-1:0] final_sum; + reg [CWIDTH-1:0] coeff1, coeff2; + wire [35:0] prod1, prod2; + + always @* // Outer coeffs + case(phase_d1) + 1 : coeff1 = -107; + 2 : coeff1 = 445; + 3 : coeff1 = -1271; + 4 : coeff1 = 2959; + default : coeff1 = -107; + endcase // case(phase) + + always @* // Inner coeffs + case(phase_d1) + 1 : coeff2 = -6107; + 2 : coeff2 = 11953; + 3 : coeff2 = -24706; + 4 : coeff2 = 82359; + default : coeff2 = -6107; + endcase // case(phase) + + srl #(.WIDTH(IWIDTH)) srl_odd_a + (.clk(clk),.write(write_odd),.in(data_in),.addr(addr_odd_a),.out(data_odd_a)); + srl #(.WIDTH(IWIDTH)) srl_odd_b + (.clk(clk),.write(write_odd),.in(data_in),.addr(addr_odd_b),.out(data_odd_b)); + srl #(.WIDTH(IWIDTH)) srl_odd_c + (.clk(clk),.write(write_odd),.in(data_in),.addr(addr_odd_c),.out(data_odd_c)); + srl #(.WIDTH(IWIDTH)) srl_odd_d + (.clk(clk),.write(write_odd),.in(data_in),.addr(addr_odd_d),.out(data_odd_d)); + + add2_reg /*_and_round_reg*/ #(.WIDTH(IWIDTH)) add1 (.clk(clk),.in1(data_odd_a),.in2(data_odd_b),.sum(sum1)); + add2_reg /*_and_round_reg*/ #(.WIDTH(IWIDTH)) add2 (.clk(clk),.in1(data_odd_c),.in2(data_odd_d),.sum(sum2)); + + wire [IWIDTH-1:0] data_even; + reg [3:0] addr_even; + + always @(posedge clk) + case(cpi) + // 1 is an error + 2 : addr_even <= 9; // Maximum speed (overall decim by 4) + 3, 4, 5, 6, 7 : addr_even <= 8; + default : addr_even <= 7; + endcase // case(cpi) + + srl #(.WIDTH(IWIDTH)) srl_even + (.clk(clk),.write(write_even),.in(data_in),.addr(addr_even),.out(data_even)); + + localparam MWIDTH = ACCWIDTH-2; + wire [MWIDTH-1:0] sum_of_prod; + + MULT18X18S mult1(.C(clk), .CE(do_mult), .R(rst), .P(prod1), .A(coeff1), .B(sum1) ); + MULT18X18S mult2(.C(clk), .CE(do_mult), .R(rst), .P(prod2), .A(coeff2), .B(sum2) ); + add2_and_round_reg #(.WIDTH(MWIDTH)) + add3 (.clk(clk),.in1(prod1[35:36-MWIDTH]),.in2(prod2[35:36-MWIDTH]),.sum(sum_of_prod)); + + wire [ACCWIDTH-1:0] acc_out; + + acc #(.IWIDTH(MWIDTH),.OWIDTH(ACCWIDTH)) + acc (.clk(clk),.clear(clear),.acc(do_acc),.in(sum_of_prod),.out(acc_out)); + + localparam SHIFT_FACTOR = ACCWIDTH-IWIDTH-5; + wire [ACCWIDTH-1:0] data_even_signext; + wire [ACCWIDTH:0] final_sum_unrounded; + + sign_extend #(.bits_in(IWIDTH),.bits_out(ACCWIDTH-SHIFT_FACTOR)) + signext_data_even (.in(data_even),.out(data_even_signext[ACCWIDTH-1:SHIFT_FACTOR])); + assign data_even_signext[SHIFT_FACTOR-1:0] = 0; + + add2_reg /* add2_and_round_reg */ #(.WIDTH(ACCWIDTH+1)) + final_adder (.clk(clk), .in1({acc_out,1'b0}), .in2({data_even_signext,1'b0}), .sum(final_sum_unrounded)); + + round_reg #(.bits_in(ACCWIDTH-4),.bits_out(OWIDTH)) + final_round (.clk(clk),.in(final_sum_unrounded[ACCWIDTH-5:0]),.out(final_sum)); + + // Output + always @(posedge clk) + if(bypass) + data_out <= data_in; + else if(stb_out_pre[9]) + data_out <= final_sum; + + always @(posedge clk) + if(rst) + stb_out <= 0; + else if(bypass) + stb_out <= stb_in; + else + stb_out <= stb_out_pre[9]; + +endmodule // hb_dec diff --git a/usrp2/fpga/sdr_lib/hb_dec_tb.v b/usrp2/fpga/sdr_lib/hb_dec_tb.v new file mode 100644 index 00000000..3e5faa80 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb_dec_tb.v @@ -0,0 +1,140 @@ +module hb_dec_tb( ) ; + + // Parameters for instantiation + parameter clocks = 9'd2 ; // Number of clocks per input + parameter decim = 1 ; // Sets the filter to decimate + parameter rate = 2 ; // Sets the decimation rate + + reg clock ; + reg reset ; + reg enable ; + reg strobe_in ; + reg signed [17:0] data_in ; + wire strobe_out ; + wire signed [17:0] data_out ; + + initial + begin + $dumpfile("hb_dec_tb.vcd"); + $dumpvars(0,hb_dec_tb); + end + + // Setup the clock + initial clock = 1'b0 ; + always #5 clock <= ~clock ; + + // Come out of reset after a while + initial reset = 1'b1 ; + initial #1000 reset = 1'b0 ; + + // Enable the entire system + initial enable = 1'b1 ; + + // Instantiate UUT + /* + halfband_ideal + #( + .decim ( decim ), + .rate ( rate ) + ) uut( + .clock ( clock ), + .reset ( reset ), + .enable ( enable ), + .strobe_in ( strobe_in ), + .data_in ( data_in ), + .strobe_out ( strobe_out ), + .data_out ( data_out ) + ) ; + */ + + + hb_dec #(.IWIDTH(18),.OWIDTH(18),.CWIDTH(18),.ACCWIDTH(24)) uut + (.clk(clock),.rst(reset),.bypass(0),.cpi(clocks),.stb_in(strobe_in),.data_in(data_in), + .stb_out(strobe_out),.data_out(data_out) ); + + integer i, ri, ro, infile, outfile ; + + always @(posedge clock) + begin + if(strobe_out) + $display(data_out); + end + + // Setup file IO + initial begin + infile = $fopen("input.dat","r") ; + outfile = $fopen("output.dat","r") ; + $timeformat(-9, 2, " ns", 10) ; + end + + reg endofsim ; + reg signed [17:0] compare ; + integer noe ; + initial noe = 0 ; + + initial begin + // Initialize inputs + strobe_in <= 1'd0 ; + data_in <= 18'd0 ; + + // Wait for reset to go away + @(negedge reset) #0 ; + + // While we're still simulating ... + while( !endofsim ) begin + + // Write the input from the file or 0 if EOF... + @( posedge clock ) begin + //#1 ; + strobe_in <= 1'b1 ; + if( !$feof(infile) ) + ri = $fscanf( infile, "%d", data_in ) ; + else + data_in <= 18'd0 ; + end + + // Clocked in - set the strobe to 0 if the number of + // clocks per sample is greater than 1 + if( clocks > 1 ) begin + @(posedge clock) begin + strobe_in <= 1'b0 ; + end + + // Wait for the specified number of cycles + for( i = 0 ; i < (clocks-2) ; i = i + 1 ) begin + @(posedge clock) #1 ; + end + end + end + + // Print out the number of errors that occured + if( noe ) + $display( "FAILED: %d errors during simulation", noe ) ; + else + $display( "PASSED: Simulation successful" ) ; + + $finish ; + end + + // Output comparison of simulated values versus known good values + always @ (posedge clock) begin + if( reset ) + endofsim <= 1'b0 ; + else begin + if( !$feof(outfile) ) begin + if( strobe_out ) begin + ro = $fscanf( outfile, "%d\n", compare ) ; + if( compare != data_out ) begin + //$display( "%t: %d != %d", $realtime, data_out, compare ) ; + noe = noe + 1 ; + end + end + end else begin + // Signal end of simulation when no more outputs + endofsim <= 1'b1 ; + end + end + end + +endmodule // hb_dec_tb + diff --git a/usrp2/fpga/sdr_lib/hb_interp.v b/usrp2/fpga/sdr_lib/hb_interp.v new file mode 100644 index 00000000..d16807e1 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb_interp.v @@ -0,0 +1,157 @@ +// First halfband iterpolator +// Implements impulse responses of the form [A 0 B 0 C .. 0 H 0.5 H 0 .. C 0 B 0 A] +// Strobe in cannot come faster than every 4th clock cycle, +// Strobe out cannot come faster than every 2nd clock cycle + +// These taps designed by halfgen4 from ldoolittle +// myfilt = round(2^18 * halfgen4(.7/4,8)) + +module hb_interp + #(parameter IWIDTH=18, OWIDTH=18, ACCWIDTH=24) + (input clk, + input rst, + input bypass, + input [7:0] cpo, // Clocks per output, must be at least 2 + input stb_in, + input [IWIDTH-1:0] data_in, + input stb_out, + output reg [OWIDTH-1:0] data_out); + + localparam MWIDTH = ACCWIDTH-2; + localparam CWIDTH = 18; + + reg [CWIDTH-1:0] coeff1, coeff2; + reg [3:0] addr_a, addr_b, addr_c, addr_d, addr_e; + wire [IWIDTH-1:0] data_a, data_b, data_c, data_d, data_e, sum1, sum2; + wire [35:0] prod1, prod2; + + reg [2:0] phase, phase_d1, phase_d2, phase_d3, phase_d4, phase_d5; + + always @(posedge clk) + if(rst) + phase <= 0; + else + if(stb_in) + phase <= 1; + else if(phase==4) + phase <= 0; + else if(phase!=0) + phase <= phase + 1; + always @(posedge clk) phase_d1 <= phase; + always @(posedge clk) phase_d2 <= phase_d1; + always @(posedge clk) phase_d3 <= phase_d2; + always @(posedge clk) phase_d4 <= phase_d3; + always @(posedge clk) phase_d5 <= phase_d4; + + srl #(.WIDTH(IWIDTH)) srl_a + (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_a),.out(data_a)); + srl #(.WIDTH(IWIDTH)) srl_b + (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_b),.out(data_b)); + srl #(.WIDTH(IWIDTH)) srl_c + (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_c),.out(data_c)); + srl #(.WIDTH(IWIDTH)) srl_d + (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_d),.out(data_d)); + srl #(.WIDTH(IWIDTH)) srl_e + (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_e),.out(data_e)); + + always @* + case(phase) + 1 : begin addr_a = 0; addr_b = 15; end + 2 : begin addr_a = 1; addr_b = 14; end + 3 : begin addr_a = 2; addr_b = 13; end + 4 : begin addr_a = 3; addr_b = 12; end + default : begin addr_a = 0; addr_b = 15; end + endcase // case(phase) + + always @* + case(phase) + 1 : begin addr_c = 4; addr_d = 11; end + 2 : begin addr_c = 5; addr_d = 10; end + 3 : begin addr_c = 6; addr_d = 9; end + 4 : begin addr_c = 7; addr_d = 8; end + default : begin addr_c = 4; addr_d = 11; end + endcase // case(phase) + + always @* + case(cpo) + 2 : addr_e <= 9; + 3,4,5,6,7,8 : addr_e <= 8; + default : addr_e <= 7; // This case works for 256, which = 0 due to overflow outside this block + endcase // case(cpo) + + always @* // Outer coeffs + case(phase_d1) + 1 : coeff1 = -107; + 2 : coeff1 = 445; + 3 : coeff1 = -1271; + 4 : coeff1 = 2959; + default : coeff1 = -107; + endcase // case(phase) + + always @* // Inner coeffs + case(phase_d1) + 1 : coeff2 = -6107; + 2 : coeff2 = 11953; + 3 : coeff2 = -24706; + 4 : coeff2 = 82359; + default : coeff2 = -6107; + endcase // case(phase) + + add2_reg /*_and_round_reg*/ #(.WIDTH(IWIDTH)) add1 (.clk(clk),.in1(data_a),.in2(data_b),.sum(sum1)); + add2_reg /*_and_round_reg*/ #(.WIDTH(IWIDTH)) add2 (.clk(clk),.in1(data_c),.in2(data_d),.sum(sum2)); + // sum1, sum2 available on phase_d1 + + wire do_mult = 1; + MULT18X18S mult1(.C(clk), .CE(do_mult), .R(rst), .P(prod1), .A(coeff1), .B(sum1) ); + MULT18X18S mult2(.C(clk), .CE(do_mult), .R(rst), .P(prod2), .A(coeff2), .B(sum2) ); + // prod1, prod2 available on phase_d2 + + wire [MWIDTH-1:0] sum_of_prod; + + add2_and_round_reg #(.WIDTH(MWIDTH)) + add3 (.clk(clk),.in1(prod1[35:36-MWIDTH]),.in2(prod2[35:36-MWIDTH]),.sum(sum_of_prod)); + // sum_of_prod available on phase_d3 + + wire [ACCWIDTH-1:0] acc_out; + wire [OWIDTH-1:0] acc_round; + + wire clear = (phase_d3 == 1); + wire do_acc = (phase_d3 != 0); + + acc #(.IWIDTH(MWIDTH),.OWIDTH(ACCWIDTH)) + acc (.clk(clk),.clear(clear),.acc(do_acc),.in(sum_of_prod),.out(acc_out)); + // acc_out available on phase_d4 + + wire [ACCWIDTH-6:0] clipped_acc; + clip #(.bits_in(ACCWIDTH),.bits_out(ACCWIDTH-5)) final_clip(.in(acc_out),.out(clipped_acc)); + + reg [ACCWIDTH-6:0] clipped_reg; + always @(posedge clk) + if(phase_d4 == 4) + clipped_reg <= clipped_acc; + // clipped_reg available on phase_d5 + + wire [OWIDTH-1:0] data_out_round; + round #(.bits_in(ACCWIDTH-5),.bits_out(OWIDTH)) final_round (.in(clipped_reg),.out(data_out_round)); + + reg odd; + always @(posedge clk) + if(rst) + odd <= 0; + else if(stb_in) + odd <= 0; + else if(stb_out) + odd <= 1; + + always @(posedge clk) + if(bypass) + data_out <= data_in; + else if(stb_out) + if(odd) + data_out <= data_e; + else + data_out <= data_out_round; + + // data_out available on phase_d6 + +endmodule // hb_interp diff --git a/usrp2/fpga/sdr_lib/hb_interp_tb.v b/usrp2/fpga/sdr_lib/hb_interp_tb.v new file mode 100644 index 00000000..52f137f2 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb_interp_tb.v @@ -0,0 +1,132 @@ +module hb_interp_tb( ) ; + + // Parameters for instantiation + parameter clocks = 8'd2 ; // Number of clocks per output + parameter decim = 1 ; // Sets the filter to decimate + parameter rate = 2 ; // Sets the decimation rate + + reg clock ; + reg reset ; + reg enable ; + wire strobe_in ; + reg signed [17:0] data_in ; + wire strobe_out ; + wire signed [17:0] data_out ; + + initial + begin + $dumpfile("hb_interp_tb.vcd"); + $dumpvars(0,hb_interp_tb); + end + + // Setup the clock + initial clock = 1'b0 ; + always #5 clock <= ~clock ; + + // Come out of reset after a while + initial reset = 1'b1 ; + initial #1000 reset = 1'b0 ; + + always @(posedge clock) + enable <= ~reset; + + // Instantiate UUT + /* + halfband_ideal + #( + .decim ( decim ), + .rate ( rate ) + ) uut( + .clock ( clock ), + .reset ( reset ), + .enable ( enable ), + .strobe_in ( strobe_in ), + .data_in ( data_in ), + .strobe_out ( strobe_out ), + .data_out ( data_out ) + ) ; + */ + + cic_strober #(.WIDTH(8)) + out_strober(.clock(clock),.reset(reset),.enable(enable),.rate(clocks), + .strobe_fast(1),.strobe_slow(strobe_out) ); + + cic_strober #(.WIDTH(8)) + in_strober(.clock(clock),.reset(reset),.enable(enable),.rate(2), + .strobe_fast(strobe_out),.strobe_slow(strobe_in) ); + + hb_interp #() uut + (.clk(clock),.rst(reset),.bypass(0),.cpo(clocks),.stb_in(strobe_in),.data_in(data_in), + .stb_out(strobe_out),/* .output_rate(clocks), */ .data_out(data_out) ); + + integer i, ri, ro, infile, outfile ; + + always @(posedge clock) + begin + if(strobe_out) + $display(data_out); + end + + // Setup file IO + initial begin + infile = $fopen("input.dat","r") ; + outfile = $fopen("output.dat","r") ; + $timeformat(-9, 2, " ns", 10) ; + end + + reg endofsim ; + reg signed [17:0] compare ; + integer noe ; + initial noe = 0 ; + + initial begin + // Initialize inputs + data_in <= 18'd0 ; + + // Wait for reset to go away + @(negedge reset) #0 ; + + // While we're still simulating ... + while( !endofsim ) begin + + // Write the input from the file or 0 if EOF... + @( negedge clock ) begin + if(strobe_in) + if( !$feof(infile) ) + ri <= #1 $fscanf( infile, "%d", data_in ) ; + else + data_in <= 18'd0 ; + end + end + + // Print out the number of errors that occured + if( noe ) + $display( "FAILED: %d errors during simulation", noe ) ; + else + $display( "PASSED: Simulation successful" ) ; + + $finish ; + end + + // Output comparison of simulated values versus known good values + always @ (posedge clock) begin + if( reset ) + endofsim <= 1'b0 ; + else begin + if( !$feof(outfile) ) begin + if( strobe_out ) begin + ro = $fscanf( outfile, "%d\n", compare ) ; + if( compare != data_out ) begin + //$display( "%t: %d != %d", $realtime, data_out, compare ) ; + noe = noe + 1 ; + end + end + end else begin + // Signal end of simulation when no more outputs + if($feof(infile)) + endofsim <= 1'b1 ; + end + end + end + +endmodule // small_hb_int_tb diff --git a/usrp2/fpga/sdr_lib/hb_tb.v b/usrp2/fpga/sdr_lib/hb_tb.v new file mode 100644 index 00000000..7e960fd1 --- /dev/null +++ b/usrp2/fpga/sdr_lib/hb_tb.v @@ -0,0 +1,155 @@ + +module hb_tb(); + + localparam SWIDTH = 17; + localparam CWIDTH = 18; + localparam TWIDTH = 20; + localparam ACC_WIDTH = 40; + + reg clk = 0, rst = 1; + wire strobe_in, strobe_out; + reg [SWIDTH-1:0] sample_in; + wire signed [SWIDTH:0] sample_out; + + reg set_stb; + reg [7:0] set_addr; + reg [31:0] set_data; + + localparam DECIM = 3; + + initial $dumpfile("hb_tb.vcd"); + initial $dumpvars(0,hb_tb); + + always #5 clk <= ~clk; + initial + begin + @(posedge clk); + @(negedge clk); + rst <= 0; + end + + reg [7:0] stb_counter; + always @(posedge clk) + if(rst) + stb_counter <= 0; + else + if(stb_counter == 0) + stb_counter <= DECIM; + else + stb_counter <= stb_counter - 1; + assign strobe_in = (stb_counter == 0); + + hb_decim #(.SWIDTH(SWIDTH),.CWIDTH(CWIDTH), + .TWIDTH(TWIDTH),.ACC_WIDTH(ACC_WIDTH)) hb_decim + (.clk(clk), .rst(rst), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .sample_in(sample_in), + .strobe_in(strobe_in), + .sample_out(sample_out), + .strobe_out(strobe_out) + ); + + initial + begin : load_coeffs + @(negedge rst); + @(posedge clk); + set_addr <= 124; // load coeffs + set_stb <= 1; + set_data <= -18'd49; + @(posedge clk); + set_data <= 18'd165; + @(posedge clk); + set_data <= -18'd412; + @(posedge clk); + set_data <= 18'd873; + @(posedge clk); + set_data <= -18'd1681; + @(posedge clk); + set_data <= 18'd3135; + @(posedge clk); + set_data <= -18'd6282; + @(posedge clk); + set_data <= 18'd20628; + @(posedge clk); + set_addr <=125; // load table + // { stb_out, accum, load_accum, done, even_addr, odd_addr_a, odd_addr_b, coeff_addr } + set_data <= {1'b1,1'b1,1'b0,1'b1,4'd15,4'd15,4'd0,4'd0}; // Phase 8 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd14,4'd1,4'd1}; // Phase 7 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd13,4'd2,4'd2}; // Phase 6 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd12,4'd3,4'd3}; // Phase 5 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd11,4'd4,4'd4}; // Phase 4 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd10,4'd5,4'd5}; // Phase 3 + @(posedge clk); + set_data <= {1'b0,1'b1,1'b0,1'b0,4'd15,4'd9,4'd6,4'd6}; // Phase 2 + @(posedge clk); + set_data <= {1'b0,1'b0,1'b1,1'b0,4'd15,4'd8,4'd7,4'd7}; // Phase 1 + @(posedge clk); + set_data <= {1'b0,1'b0,1'b0,1'b0,4'd15,4'd8,4'd7,4'd7}; // Phase 0 + @(posedge clk); + set_stb <= 0; + end // block: load_coeffs + + initial + begin + sample_in <= 0; + repeat(40) + @(posedge strobe_in); + $display("EVEN"); + sample_in <= 0; + repeat(10) + @(posedge strobe_in); + sample_in <= 1; + @(posedge strobe_in); + sample_in <= 0; + repeat(40) + @(posedge strobe_in); + sample_in <= 1; + repeat(40) + @(posedge strobe_in); + sample_in <= 0; + repeat(60) + @(posedge strobe_in); + sample_in <= 1; + repeat(2) + @(posedge strobe_in); + sample_in <= 0; + repeat(60) + @(posedge strobe_in); + $display("ODD"); + sample_in <= 0; + repeat(10) + @(posedge strobe_in); + sample_in <= 1; + @(posedge strobe_in); + sample_in <= 0; + repeat(40) + @(posedge strobe_in); + sample_in <= 1; + repeat(40) + @(posedge strobe_in); + sample_in <= 0; + repeat(60) + @(posedge strobe_in); + sample_in <= 1; + repeat(2) + @(posedge strobe_in); + sample_in <= 0; + repeat(60) + @(posedge strobe_in); + $finish; + end + + always @(posedge clk) + if(strobe_in) + $display(sample_in); + + always @(posedge clk) + if(strobe_out) + $display("\t",sample_out); + +endmodule // hb_tb diff --git a/usrp2/fpga/sdr_lib/input.dat b/usrp2/fpga/sdr_lib/input.dat new file mode 100644 index 00000000..1e649ac2 --- /dev/null +++ b/usrp2/fpga/sdr_lib/input.dat @@ -0,0 +1,341 @@ +0 +0 +0 +0 +0 +0 +0 +0 +-131072 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +131071 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +131071 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +100000 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +-131072 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +-131072 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 diff --git a/usrp2/fpga/sdr_lib/output.dat b/usrp2/fpga/sdr_lib/output.dat new file mode 100644 index 00000000..15db3ced --- /dev/null +++ b/usrp2/fpga/sdr_lib/output.dat @@ -0,0 +1,130 @@ +-1390 +0 +1604 +0 +-1896 +0 +2317 +0 +-2979 +0 +4172 +0 +-6953 +0 +20860 +32768 +20860 +0 +-6953 +0 +4172 +0 +-2979 +0 +2317 +0 +-1896 +0 +1604 +0 +-1390 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 + diff --git a/usrp2/fpga/sdr_lib/round.v b/usrp2/fpga/sdr_lib/round.v new file mode 100644 index 00000000..bff08883 --- /dev/null +++ b/usrp2/fpga/sdr_lib/round.v @@ -0,0 +1,33 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2007 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + +// Rounding "macro" +// Keeps the topmost bits, does proper 2s comp rounding - round to zero + +module round + #(parameter bits_in=0, + parameter bits_out=0) + (input [bits_in-1:0] in, + output [bits_out-1:0] out); + + assign out = in[bits_in-1:bits_in-bits_out] + (in[bits_in-1] & |in[bits_in-bits_out-1:0]); + +endmodule // round diff --git a/usrp2/fpga/sdr_lib/round_reg.v b/usrp2/fpga/sdr_lib/round_reg.v new file mode 100644 index 00000000..aa0972da --- /dev/null +++ b/usrp2/fpga/sdr_lib/round_reg.v @@ -0,0 +1,39 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2008 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + +// Rounding "macro" +// Keeps the topmost bits, does proper 2s comp rounding (round-to-zero) + +module round_reg + #(parameter bits_in=0, + parameter bits_out=0) + (input clk, + input [bits_in-1:0] in, + output reg [bits_out-1:0] out); + + wire [bits_out-1:0] temp; + + round #(.bits_in(bits_in),.bits_out(bits_out)) round (.in(in),.out(temp)); + + always @(posedge clk) + out <= temp; + +endmodule // round diff --git a/usrp2/fpga/sdr_lib/rssi.v b/usrp2/fpga/sdr_lib/rssi.v new file mode 100644 index 00000000..e45e2148 --- /dev/null +++ b/usrp2/fpga/sdr_lib/rssi.v @@ -0,0 +1,30 @@ + + +module rssi (input clock, input reset, input enable, + input [11:0] adc, output [15:0] rssi, output [15:0] over_count); + + wire over_hi = (adc == 12'h7FF); + wire over_lo = (adc == 12'h800); + wire over = over_hi | over_lo; + + reg [25:0] over_count_int; + always @(posedge clock) + if(reset | ~enable) + over_count_int <= #1 26'd0; + else + over_count_int <= #1 over_count_int + (over ? 26'd65535 : 26'd0) - over_count_int[25:10]; + + assign over_count = over_count_int[25:10]; + + wire [11:0] abs_adc = adc[11] ? ~adc : adc; + + reg [25:0] rssi_int; + always @(posedge clock) + if(reset | ~enable) + rssi_int <= #1 26'd0; + else + rssi_int <= #1 rssi_int + abs_adc - rssi_int[25:10]; + + assign rssi = rssi_int[25:10]; + +endmodule // rssi diff --git a/usrp2/fpga/sdr_lib/rx_control.v b/usrp2/fpga/sdr_lib/rx_control.v new file mode 100644 index 00000000..d41a28bc --- /dev/null +++ b/usrp2/fpga/sdr_lib/rx_control.v @@ -0,0 +1,213 @@ + +`define DSP_CORE_RX_BASE 160 + +module rx_control + #(parameter FIFOSIZE = 10) + (input clk, input rst, + input set_stb, input [7:0] set_addr, input [31:0] set_data, + + input [31:0] master_time, + output overrun, + + // To Buffer interface + output [31:0] wr_dat_o, + output wr_write_o, + output wr_done_o, + output wr_error_o, + + input wr_ready_i, + input wr_full_i, + + // From DSP Core + input [31:0] sample, + output run, + input strobe, + + // FIFO Levels + output [15:0] fifo_occupied, + output fifo_full, + output fifo_empty, + + // Debug + output [31:0] debug_rx + ); + + wire [31:0] new_time, new_command; + wire sc_pre1, clear_overrun; + wire [31:0] rcvtime_pre; + reg [31:0] rcvtime; + wire [8:0] lines_per_frame; + wire [20:0] numlines; + wire send_imm_pre, chain_pre; + reg send_imm, chain; + wire full_ctrl, read_ctrl, empty_ctrl, write_ctrl; + + setting_reg #(.my_addr(`DSP_CORE_RX_BASE+3)) sr_3 + (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(new_time),.changed(sc_pre1)); + + setting_reg #(.my_addr(`DSP_CORE_RX_BASE+4)) sr_4 + (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(new_command),.changed()); + + setting_reg #(.my_addr(`DSP_CORE_RX_BASE+5)) sr_5 + (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(),.changed(clear_overrun)); + + reg sc_pre2; + always @(posedge clk) + sc_pre2 <= sc_pre1; + assign write_ctrl = sc_pre1 & ~sc_pre2; + + shortfifo #(.WIDTH(64)) commandfifo + (.clk(clk),.rst(rst),.clear(clear_overrun), + .datain({new_command,new_time}), .write(write_ctrl), .full(full_ctrl), + .dataout({send_imm_pre,chain_pre,numlines,lines_per_frame,rcvtime_pre}), + .read(read_ctrl), .empty(empty_ctrl) ); + + // Buffer interface to internal FIFO + wire write, full, read, empty; + wire sop_o, eop_o; + + reg xfer_state; + localparam XFER_IDLE = 1'b0; + localparam XFER_GO = 1'b1; + + always @(posedge clk) + if(rst) + xfer_state <= XFER_IDLE; + else + if(clear_overrun) + xfer_state <= XFER_IDLE; + else + case(xfer_state) + XFER_IDLE : + if(wr_ready_i) + xfer_state <= XFER_GO; + XFER_GO : + if((eop_o | wr_full_i) & wr_write_o) + xfer_state <= XFER_IDLE; + default : + xfer_state <= XFER_IDLE; + endcase // case(xfer_state) + + assign wr_write_o = (xfer_state == XFER_GO) & ~empty; + assign wr_done_o = (eop_o & wr_write_o); + assign wr_error_o = 0; // FIXME add check here for eop if we have wr_full_i once we have IBS + + assign read = wr_write_o | (~empty & ~sop_o); // FIXME what if there is junk between packets? + + wire [33:0] fifo_line; + + // Internal FIFO, size 9 is 2K, size 10 is 4K + cascadefifo2 #(.WIDTH(34),.SIZE(FIFOSIZE)) rxfifo + (.clk(clk),.rst(rst),.clear(clear_overrun), + .datain(fifo_line), .write(write), .full(full), + .dataout({sop_o,eop_o,wr_dat_o}), .read(read), .empty(empty), + .space(),.occupied(fifo_occupied) ); + assign fifo_full = full; + assign fifo_empty = empty; + + // Internal FIFO to DSP interface + reg [22:0] lines_left; + reg [8:0] lines_left_frame; + localparam IBS_IDLE = 0; + localparam IBS_WAITING = 1; + localparam IBS_FIRSTLINE = 2; + localparam IBS_RUNNING = 3; + localparam IBS_OVERRUN = 4; + + reg [2:0] ibs_state; + + wire [32:0] delta_time = {1'b0,rcvtime}-{1'b0,master_time}; + wire too_late = (delta_time[32:31] == 2'b11) & ~send_imm; + wire go_now = send_imm | ( master_time == rcvtime ); + + always @(posedge clk) + if(rst) + begin + ibs_state <= IBS_IDLE; + lines_left <= 0; + lines_left_frame <= 0; + rcvtime <= 0; + send_imm <= 0; + chain <= 0; + end + else + if(clear_overrun) + begin + ibs_state <= IBS_IDLE; + lines_left <= 0; + lines_left_frame <= 0; + rcvtime <= 0; + send_imm <= 0; + chain <= 0; + end + else + case(ibs_state) + IBS_IDLE : + if(~empty_ctrl) + begin + lines_left <= numlines; + lines_left_frame <= lines_per_frame; + rcvtime <= rcvtime_pre; + ibs_state <= IBS_WAITING; + send_imm <= send_imm_pre; + chain <= chain_pre; + end + IBS_WAITING : + if(go_now) + ibs_state <= IBS_FIRSTLINE; + else if(too_late) + ibs_state <= IBS_OVERRUN; + IBS_FIRSTLINE : + if(full | strobe) + ibs_state <= IBS_OVERRUN; + else + ibs_state <= IBS_RUNNING; + IBS_RUNNING : + if(strobe) + if(full) + ibs_state <= IBS_OVERRUN; + else + begin + lines_left <= lines_left - 1; + if(lines_left == 1) + if(~chain) + ibs_state <= IBS_IDLE; + else if(empty_ctrl) + ibs_state <= IBS_OVERRUN; + else + begin + lines_left <= numlines; + lines_left_frame <= lines_per_frame; + rcvtime <= rcvtime_pre; + ibs_state <= IBS_FIRSTLINE; + send_imm <= send_imm_pre; + chain <= chain_pre; + end + else if(lines_left_frame == 1) + begin + lines_left_frame <= lines_per_frame; + ibs_state <= IBS_FIRSTLINE; + end + else + lines_left_frame <= lines_left_frame - 1; + end // else: !if(full) + endcase // case(ibs_state) + + assign fifo_line = (ibs_state == IBS_FIRSTLINE) ? {1'b1,1'b0,master_time} : + {1'b0,((lines_left==1)|(lines_left_frame==1)),sample}; + + assign write = ((ibs_state == IBS_FIRSTLINE) | strobe) & ~full; // & (ibs_state == IBS_RUNNING) should strobe only when running + assign overrun = (ibs_state == IBS_OVERRUN); + assign run = (ibs_state == IBS_RUNNING) | (ibs_state == IBS_FIRSTLINE); + assign read_ctrl = ( (ibs_state == IBS_IDLE) | + ((ibs_state == IBS_RUNNING) & strobe & ~full & (lines_left==1) & chain) ) + & ~empty_ctrl; + + assign debug_rx = { 6'd0,send_imm,chain, + wr_write_o, wr_done_o, wr_ready_i, wr_full_i,xfer_state,eop_o, sop_o, run, + write,full,read,empty,write_ctrl,full_ctrl,read_ctrl,empty_ctrl, + sc_pre1, clear_overrun, go_now, too_late, overrun, ibs_state[2:0] }; +endmodule // rx_control diff --git a/usrp2/fpga/sdr_lib/rx_dcoffset.v b/usrp2/fpga/sdr_lib/rx_dcoffset.v new file mode 100644 index 00000000..bedbd40e --- /dev/null +++ b/usrp2/fpga/sdr_lib/rx_dcoffset.v @@ -0,0 +1,43 @@ + + +module rx_dcoffset + #(parameter WIDTH=14, + parameter ADDR=8'd0) + (input clk, input rst, + input set_stb, input [7:0] set_addr, input [31:0] set_data, + input signed [WIDTH-1:0] adc_in, output signed [WIDTH-1:0] adc_out); + + // Because of some extra delays to make timing easier, the transfer function is: + // (z-1)/(z^2-z-alpha) where alpha is 1/2^n + + wire set_now = set_stb & (ADDR == set_addr); + + reg fixed; // uses fixed offset + wire signed [WIDTH-1:0] fixed_dco; + reg signed [31:0] integrator; + + always @(posedge clk) + if(rst) + begin + fixed <= 0; + integrator <= 32'd0; + end + else if(set_now) + begin + integrator <= {set_data[WIDTH-1:0],{(32-WIDTH){1'b0}}}; + fixed <= set_data[31]; + end + else if(~fixed) + integrator <= integrator + adc_out; + + wire [WIDTH:0] scaled_integrator; + + round #(.bits_in(33),.bits_out(15)) round (.in({integrator[31],integrator}),.out(scaled_integrator)); + + wire [WIDTH:0] adc_out_int = {adc_in[WIDTH-1],adc_in} - scaled_integrator; + + clip_reg #(.bits_in(WIDTH+1),.bits_out(WIDTH)) clip_adc + (.clk(clk),.in(adc_out_int),.out(adc_out)); + + +endmodule // rx_dcoffset diff --git a/usrp2/fpga/sdr_lib/rx_dcoffset_tb.v b/usrp2/fpga/sdr_lib/rx_dcoffset_tb.v new file mode 100644 index 00000000..a8b4ec20 --- /dev/null +++ b/usrp2/fpga/sdr_lib/rx_dcoffset_tb.v @@ -0,0 +1,25 @@ + +`timescale 1ns/1ns +module rx_dcoffset_tb(); + + reg clk, rst; + + initial rst = 1; + initial #1000 rst = 0; + initial clk = 0; + always #5 clk = ~clk; + + initial $dumpfile("rx_dcoffset_tb.vcd"); + initial $dumpvars(0,rx_dcoffset_tb); + + reg [13:0] adc_in = 7; + wire [13:0] adc_out; + + always @(posedge clk) + $display("%d\t%d",adc_in,adc_out); + + rx_dcoffset #(.WIDTH(14),.ADDR(0)) + rx_dcoffset(.clk(clk),.rst(rst),.set_stb(0),.set_addr(0),.set_data(0), + .adc_in(adc_in),.adc_out(adc_out)); + +endmodule // longfifo_tb diff --git a/usrp2/fpga/sdr_lib/sign_extend.v b/usrp2/fpga/sdr_lib/sign_extend.v new file mode 100644 index 00000000..eae67faf --- /dev/null +++ b/usrp2/fpga/sdr_lib/sign_extend.v @@ -0,0 +1,35 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + + +// Sign extension "macro" +// bits_out should be greater than bits_in + +module sign_extend (in,out); + parameter bits_in=0; // FIXME Quartus insists on a default + parameter bits_out=0; + + input [bits_in-1:0] in; + output [bits_out-1:0] out; + + assign out = {{(bits_out-bits_in){in[bits_in-1]}},in}; + +endmodule diff --git a/usrp2/fpga/sdr_lib/small_hb_dec.v b/usrp2/fpga/sdr_lib/small_hb_dec.v new file mode 100644 index 00000000..9957de15 --- /dev/null +++ b/usrp2/fpga/sdr_lib/small_hb_dec.v @@ -0,0 +1,99 @@ +// Short halfband decimator (intended to be followed by another stage) +// Implements impulse responses of the form [A 0 B 0.5 B 0 A] +// +// These taps designed by halfgen4 from ldoolittle: +// 2 * 131072 * halfgen4(.75/8,2) +module small_hb_dec + #(parameter WIDTH=18) + (input clk, + input rst, + input bypass, + input stb_in, + input [WIDTH-1:0] data_in, + output reg stb_out, + output [WIDTH-1:0] data_out); + + reg stb_in_d1; + reg [WIDTH-1:0] data_in_d1; + always @(posedge clk) stb_in_d1 <= stb_in; + always @(posedge clk) data_in_d1 <= data_in; + + wire go; + reg phase, go_d1, go_d2, go_d3, go_d4; + always @(posedge clk) + if(rst) + phase <= 0; + else if(stb_in_d1) + phase <= ~phase; + assign go = stb_in_d1 & phase; + always @(posedge clk) go_d1 <= go; + always @(posedge clk) go_d2 <= go_d1; + always @(posedge clk) go_d3 <= go_d2; + always @(posedge clk) go_d4 <= go_d3; + + wire [17:0] coeff_a = -10690; + wire [17:0] coeff_b = 75809; + + reg [WIDTH-1:0] d1, d2, d3, d4 , d5, d6; + always @(posedge clk) + if(stb_in_d1 | rst) + begin + d1 <= data_in_d1; + d2 <= d1; + d3 <= d2; + d4 <= d3; + d5 <= d4; + d6 <= d5; + end + + reg [17:0] sum_a, sum_b, middle, middle_d1; + wire [17:0] sum_a_unreg, sum_b_unreg; + add2 #(.WIDTH(18)) add2_a (.in1(data_in_d1),.in2(d6),.sum(sum_a_unreg)); + add2 #(.WIDTH(18)) add2_b (.in1(d2),.in2(d4),.sum(sum_b_unreg)); + + always @(posedge clk) + if(go) + begin + sum_a <= sum_a_unreg; + sum_b <= sum_b_unreg; + middle <= d3; + end + + always @(posedge clk) + if(go_d1) + middle_d1 <= middle; + + wire [17:0] sum = go_d1 ? sum_b : sum_a; + wire [17:0] coeff = go_d1 ? coeff_b : coeff_a; + wire [35:0] prod; + MULT18X18S mult(.C(clk), .CE(go_d1 | go_d2), .R(rst), .P(prod), .A(coeff), .B(sum) ); + + reg [35:0] accum; + always @(posedge clk) + if(rst) + accum <= 0; + else if(go_d2) + accum <= {middle_d1[17],middle_d1[17],middle_d1,16'd0} + {prod}; + else if(go_d3) + accum <= accum + {prod}; + + wire [17:0] accum_rnd; + round #(.bits_in(36),.bits_out(18)) round_acc (.in(accum),.out(accum_rnd)); + + reg [17:0] final_sum; + always @(posedge clk) + if(bypass) + final_sum <= data_in_d1; + else if(go_d4) + final_sum <= accum_rnd; + + assign data_out = final_sum; + + always @(posedge clk) + if(rst) + stb_out <= 0; + else if(bypass) + stb_out <= stb_in_d1; + else + stb_out <= go_d4; +endmodule // small_hb_dec diff --git a/usrp2/fpga/sdr_lib/small_hb_dec_tb.v b/usrp2/fpga/sdr_lib/small_hb_dec_tb.v new file mode 100644 index 00000000..0d6a0689 --- /dev/null +++ b/usrp2/fpga/sdr_lib/small_hb_dec_tb.v @@ -0,0 +1,140 @@ +module hb_dec_tb( ) ; + + // Parameters for instantiation + parameter clocks = 9'd2 ; // Number of clocks per input + parameter decim = 1 ; // Sets the filter to decimate + parameter rate = 2 ; // Sets the decimation rate + + reg clock ; + reg reset ; + reg enable ; + reg strobe_in ; + reg signed [17:0] data_in ; + wire strobe_out ; + wire signed [17:0] data_out ; + + initial + begin + $dumpfile("hb_dec_tb.vcd"); + $dumpvars(0,hb_dec_tb); + end + + // Setup the clock + initial clock = 1'b0 ; + always #5 clock <= ~clock ; + + // Come out of reset after a while + initial reset = 1'b1 ; + initial #1000 reset = 1'b0 ; + + // Enable the entire system + initial enable = 1'b1 ; + + // Instantiate UUT + /* + halfband_ideal + #( + .decim ( decim ), + .rate ( rate ) + ) uut( + .clock ( clock ), + .reset ( reset ), + .enable ( enable ), + .strobe_in ( strobe_in ), + .data_in ( data_in ), + .strobe_out ( strobe_out ), + .data_out ( data_out ) + ) ; + */ + + + small_hb_dec #(.WIDTH(18)) uut + (.clk(clock),.rst(reset),.bypass(0),.stb_in(strobe_in),.data_in(data_in), + .stb_out(strobe_out),.data_out(data_out) ); + + integer i, ri, ro, infile, outfile ; + + always @(posedge clock) + begin + if(strobe_out) + $display(data_out); + end + + // Setup file IO + initial begin + infile = $fopen("input.dat","r") ; + outfile = $fopen("output.dat","r") ; + $timeformat(-9, 2, " ns", 10) ; + end + + reg endofsim ; + reg signed [17:0] compare ; + integer noe ; + initial noe = 0 ; + + initial begin + // Initialize inputs + strobe_in <= 1'd0 ; + data_in <= 18'd0 ; + + // Wait for reset to go away + @(negedge reset) #0 ; + + // While we're still simulating ... + while( !endofsim ) begin + + // Write the input from the file or 0 if EOF... + @( posedge clock ) begin + //#1 ; + strobe_in <= 1'b1 ; + if( !$feof(infile) ) + ri = $fscanf( infile, "%d", data_in ) ; + else + data_in <= 18'd0 ; + end + + // Clocked in - set the strobe to 0 if the number of + // clocks per sample is greater than 1 + if( clocks > 1 ) begin + @(posedge clock) begin + strobe_in <= 1'b0 ; + end + + // Wait for the specified number of cycles + for( i = 0 ; i < (clocks-2) ; i = i + 1 ) begin + @(posedge clock) #1 ; + end + end + end + + // Print out the number of errors that occured + if( noe ) + $display( "FAILED: %d errors during simulation", noe ) ; + else + $display( "PASSED: Simulation successful" ) ; + + $finish ; + end + + // Output comparison of simulated values versus known good values + always @ (posedge clock) begin + if( reset ) + endofsim <= 1'b0 ; + else begin + if( !$feof(outfile) ) begin + if( strobe_out ) begin + ro = $fscanf( outfile, "%d\n", compare ) ; + if( compare != data_out ) begin + //$display( "%t: %d != %d", $realtime, data_out, compare ) ; + noe = noe + 1 ; + end + end + end else begin + // Signal end of simulation when no more outputs + endofsim <= 1'b1 ; + end + end + end + +endmodule // hb_dec_tb + diff --git a/usrp2/fpga/sdr_lib/small_hb_int.v b/usrp2/fpga/sdr_lib/small_hb_int.v new file mode 100644 index 00000000..f80d3cac --- /dev/null +++ b/usrp2/fpga/sdr_lib/small_hb_int.v @@ -0,0 +1,85 @@ +// Short halfband decimator (intended to be followed by another stage) +// Implements impulse responses of the form [A 0 B 0.5 B 0 A] +// +// These taps designed by halfgen4 from ldoolittle: +// 2 * 131072 * halfgen4(.75/8,2) + +module small_hb_int + #(parameter WIDTH=18) + (input clk, + input rst, + input bypass, + input stb_in, + input [WIDTH-1:0] data_in, + input [7:0] output_rate, + input stb_out, + output reg [WIDTH-1:0] data_out); + + reg phase; + reg [WIDTH-1:0] d1, d2, d3, d4, d5, d6; + + localparam MWIDTH = 36; + wire [MWIDTH-1:0] prod; + + reg [6:0] stbin_d; + + always @(posedge clk) + stbin_d <= {stbin_d[5:0],stb_in}; + + always @(posedge clk) + if(stb_in) + begin + d1 <= data_in; + d2 <= d1; + d3 <= d2; + d4 <= d3; + d5 <= d4; + d6 <= d5; + end + + wire [WIDTH-1:0] sum_outer, sum_inner; + add2_and_round_reg #(.WIDTH(WIDTH)) add_outer (.clk(clk),.in1(d1),.in2(d4),.sum(sum_outer)); + add2_and_round_reg #(.WIDTH(WIDTH)) add_inner (.clk(clk),.in1(d2),.in2(d3),.sum(sum_inner)); + + wire [17:0] coeff_outer = -10690; + wire [17:0] coeff_inner = 75809; + + MULT18X18S mult(.C(clk), .CE(1), .R(rst), .P(prod), .A(stbin_d[1] ? coeff_outer : coeff_inner), + .B(stbin_d[1] ? sum_outer : sum_inner) ); + + wire [MWIDTH:0] accum; + acc #(.IWIDTH(MWIDTH),.OWIDTH(MWIDTH+1)) + acc (.clk(clk),.clear(stbin_d[2]),.acc(|stbin_d[3:2]),.in(prod),.out(accum)); + + wire [WIDTH+2:0] accum_rnd; + round_reg #(.bits_in(MWIDTH+1),.bits_out(WIDTH+3)) + final_round (.clk(clk),.in(accum),.out(accum_rnd)); + + wire [WIDTH-1:0] clipped; + clip_reg #(.bits_in(WIDTH+3),.bits_out(WIDTH)) + final_clip (.clk(clk),.in(accum_rnd),.out(clipped)); + + reg [WIDTH-1:0] saved, saved_d3; + always @(posedge clk) + if(stbin_d[6]) + saved <= clipped; + + always @(posedge clk) + if(stbin_d[3]) + saved_d3 <= d3; + + always @(posedge clk) + if(bypass) + data_out <= data_in; + else if(stb_in & stb_out) + case(output_rate) + 1 : data_out <= d6; + 2 : data_out <= d4; + 3, 4, 5, 6, 7 : data_out <= d3; + default : data_out <= d2; + endcase // case(output_rate) + else if(stb_out) + data_out <= saved; + +endmodule // small_hb_int + diff --git a/usrp2/fpga/sdr_lib/small_hb_int_tb.v b/usrp2/fpga/sdr_lib/small_hb_int_tb.v new file mode 100644 index 00000000..71d77f0a --- /dev/null +++ b/usrp2/fpga/sdr_lib/small_hb_int_tb.v @@ -0,0 +1,132 @@ +module small_hb_int_tb( ) ; + + // Parameters for instantiation + parameter clocks = 8'd1 ; // Number of clocks per output + parameter decim = 1 ; // Sets the filter to decimate + parameter rate = 2 ; // Sets the decimation rate + + reg clock ; + reg reset ; + reg enable ; + wire strobe_in ; + reg signed [17:0] data_in ; + wire strobe_out ; + wire signed [17:0] data_out ; + + initial + begin + $dumpfile("small_hb_int_tb.vcd"); + $dumpvars(0,small_hb_int_tb); + end + + // Setup the clock + initial clock = 1'b0 ; + always #5 clock <= ~clock ; + + // Come out of reset after a while + initial reset = 1'b1 ; + initial #1000 reset = 1'b0 ; + + always @(posedge clock) + enable <= ~reset; + + // Instantiate UUT + /* + halfband_ideal + #( + .decim ( decim ), + .rate ( rate ) + ) uut( + .clock ( clock ), + .reset ( reset ), + .enable ( enable ), + .strobe_in ( strobe_in ), + .data_in ( data_in ), + .strobe_out ( strobe_out ), + .data_out ( data_out ) + ) ; + */ + + cic_strober #(.WIDTH(8)) + out_strober(.clock(clock),.reset(reset),.enable(enable),.rate(clocks), + .strobe_fast(1),.strobe_slow(strobe_out) ); + + cic_strober #(.WIDTH(8)) + in_strober(.clock(clock),.reset(reset),.enable(enable),.rate(2), + .strobe_fast(strobe_out),.strobe_slow(strobe_in) ); + + small_hb_int #(.WIDTH(18)) uut + (.clk(clock),.rst(reset),.bypass(0),.stb_in(strobe_in),.data_in(data_in), + .stb_out(strobe_out),.output_rate(clocks),.data_out(data_out) ); + + integer i, ri, ro, infile, outfile ; + + always @(posedge clock) + begin + if(strobe_out) + $display(data_out); + end + + // Setup file IO + initial begin + infile = $fopen("input.dat","r") ; + outfile = $fopen("output.dat","r") ; + $timeformat(-9, 2, " ns", 10) ; + end + + reg endofsim ; + reg signed [17:0] compare ; + integer noe ; + initial noe = 0 ; + + initial begin + // Initialize inputs + data_in <= 18'd0 ; + + // Wait for reset to go away + @(negedge reset) #0 ; + + // While we're still simulating ... + while( !endofsim ) begin + + // Write the input from the file or 0 if EOF... + @( negedge clock ) begin + if(strobe_in) + if( !$feof(infile) ) + ri <= #1 $fscanf( infile, "%d", data_in ) ; + else + data_in <= 18'd0 ; + end + end + + // Print out the number of errors that occured + if( noe ) + $display( "FAILED: %d errors during simulation", noe ) ; + else + $display( "PASSED: Simulation successful" ) ; + + $finish ; + end + + // Output comparison of simulated values versus known good values + always @ (posedge clock) begin + if( reset ) + endofsim <= 1'b0 ; + else begin + if( !$feof(outfile) ) begin + if( strobe_out ) begin + ro = $fscanf( outfile, "%d\n", compare ) ; + if( compare != data_out ) begin + //$display( "%t: %d != %d", $realtime, data_out, compare ) ; + noe = noe + 1 ; + end + end + end else begin + // Signal end of simulation when no more outputs + if($feof(infile)) + endofsim <= 1'b1 ; + end + end + end + +endmodule // small_hb_int_tb diff --git a/usrp2/fpga/sdr_lib/tx_control.v b/usrp2/fpga/sdr_lib/tx_control.v new file mode 100644 index 00000000..0c4ab1a5 --- /dev/null +++ b/usrp2/fpga/sdr_lib/tx_control.v @@ -0,0 +1,160 @@ + +`define DSP_CORE_TX_BASE 128 + +module tx_control + #(parameter FIFOSIZE = 10) + (input clk, input rst, + input set_stb, input [7:0] set_addr, input [31:0] set_data, + + input [31:0] master_time, + output underrun, + + // To Buffer interface + input [31:0] rd_dat_i, + input rd_sop_i, + input rd_eop_i, + output rd_read_o, + output rd_done_o, + output rd_error_o, + + // To DSP Core + output [31:0] sample, + output run, + input strobe, + + // FIFO Levels + output [15:0] fifo_occupied, + output fifo_full, + output fifo_empty, + + // Debug + output [31:0] debug + ); + + // Buffer interface to internal FIFO + wire write_data, write_ctrl, full_data, full_ctrl; + wire read_data, read_ctrl, empty_data, empty_ctrl; + wire clear_state; + reg [1:0] xfer_state; + reg [2:0] held_flags; + + localparam XFER_IDLE = 0; + localparam XFER_1 = 1; + localparam XFER_2 = 2; + localparam XFER_DATA = 3; + + always @(posedge clk) + if(rst) + xfer_state <= XFER_IDLE; + else + if(clear_state) + xfer_state <= XFER_IDLE; + else + case(xfer_state) + XFER_IDLE : + if(rd_sop_i) + xfer_state <= XFER_1; + XFER_1 : + begin + xfer_state <= XFER_2; + held_flags <= rd_dat_i[2:0]; + end + XFER_2 : + if(~full_ctrl) + xfer_state <= XFER_DATA; + XFER_DATA : + if(rd_eop_i & ~full_data) + xfer_state <= XFER_IDLE; + endcase // case(xfer_state) + + assign write_data = (xfer_state == XFER_DATA) & ~full_data; + assign write_ctrl = (xfer_state == XFER_2) & ~full_ctrl; + + assign rd_read_o = (xfer_state == XFER_1) | write_data | write_ctrl; + assign rd_done_o = 0; // Always take everything we're given + assign rd_error_o = 0; // Should we indicate overruns here? + + wire [31:0] data_o; + wire sop_o, eop_o, eob, sob, send_imm; + wire [31:0] sendtime; + wire [4:0] occ_ctrl; + + cascadefifo2 #(.WIDTH(34),.SIZE(FIFOSIZE)) txctrlfifo + (.clk(clk),.rst(rst),.clear(clear_state), + .datain({rd_sop_i,rd_eop_i,rd_dat_i}), .write(write_data), .full(full_data), + .dataout({sop_o,eop_o,data_o}), .read(read_data), .empty(empty_data), + .space(), .occupied(fifo_occupied) ); + assign fifo_full = full_data; + assign fifo_empty = empty_data; + + shortfifo #(.WIDTH(35)) ctrlfifo + (.clk(clk),.rst(rst),.clear(clear_state), + .datain({held_flags[2:0],rd_dat_i}), .write(write_ctrl), .full(full_ctrl), + .dataout({send_imm,sob,eob,sendtime}), .read(read_ctrl), .empty(empty_ctrl), + .space(), .occupied(occ_ctrl) ); + + // Internal FIFO to DSP interface + reg [2:0] ibs_state; + + localparam IBS_IDLE = 0; + localparam IBS_WAIT = 1; + localparam IBS_RUNNING = 2; + localparam IBS_CONT_BURST = 3; + localparam IBS_UNDERRUN = 7; + + wire [32:0] delta_time = {1'b0,sendtime}-{1'b0,master_time}; + + wire too_late = (delta_time[32:31] == 2'b11); + wire go_now = ( master_time == sendtime ); + + always @(posedge clk) + if(rst) + ibs_state <= IBS_IDLE; + else + case(ibs_state) + IBS_IDLE : + if(~empty_ctrl & ~empty_data) + ibs_state <= IBS_WAIT; + IBS_WAIT : + if(send_imm) + ibs_state <= IBS_RUNNING; + else if(too_late) + ibs_state <= IBS_UNDERRUN; + else if(go_now) + ibs_state <= IBS_RUNNING; + IBS_RUNNING : + if(strobe) + if(empty_data) + ibs_state <= IBS_UNDERRUN; + else if(eop_o) + if(eob) + ibs_state <= IBS_IDLE; + else + ibs_state <= IBS_CONT_BURST; + IBS_CONT_BURST : + if(~empty_ctrl) // & ~empty_data) + ibs_state <= IBS_RUNNING; + else if(strobe) + ibs_state <= IBS_UNDERRUN; + IBS_UNDERRUN : // FIXME Should probably clean everything out + if(clear_state) + ibs_state <= IBS_IDLE; + endcase // case(ibs_state) + + assign read_ctrl = (ibs_state == IBS_RUNNING) & strobe & eop_o; // & ~empty_ctrl; + assign read_data = (ibs_state == IBS_RUNNING) & strobe & ~empty_data; + assign run = (ibs_state == IBS_RUNNING) | (ibs_state == IBS_CONT_BURST); + assign underrun = (ibs_state == IBS_UNDERRUN); + + wire [7:0] interp_rate; + setting_reg #(.my_addr(`DSP_CORE_TX_BASE+3)) sr_3 + (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(),.changed(clear_state)); + + assign sample = data_o; + + assign debug = { {16'b0}, + { read_data, write_data, read_ctrl, write_ctrl, xfer_state[1:0],full_ctrl,empty_ctrl }, + { occ_ctrl, eop_o, clear_state, underrun} }; + +endmodule // tx_control diff --git a/usrp2/fpga/serdes/serdes.v b/usrp2/fpga/serdes/serdes.v new file mode 100644 index 00000000..81e9f2b4 --- /dev/null +++ b/usrp2/fpga/serdes/serdes.v @@ -0,0 +1,65 @@ + +// SERDES TX and RX along with all flow control logic + +module serdes + #(parameter TXFIFOSIZE = 9, + parameter RXFIFOSIZE = 9) + (input clk, input rst, + // TX side + output ser_tx_clk, output [15:0] ser_t, output ser_tklsb, output ser_tkmsb, + input [31:0] rd_dat_i, output rd_read_o, output rd_done_o, output rd_error_o, + input rd_sop_i, input rd_eop_i, + // RX side + input ser_rx_clk, input [15:0] ser_r, input ser_rklsb, input ser_rkmsb, + output [31:0] wr_dat_o, output wr_write_o, output wr_done_o, output wr_error_o, + input wr_ready_i, input wr_full_i, + + output [15:0] tx_occupied, output tx_full, output tx_empty, + output [15:0] rx_occupied, output rx_full, output rx_empty, + + output [31:0] debug0, + output [31:0] debug1); + + wire [15:0] fifo_space; + wire xon_rcvd, xoff_rcvd, inhibit_tx, send_xon, send_xoff, sent; + wire [31:0] debug_rx, debug_tx; + + serdes_tx #(.FIFOSIZE(TXFIFOSIZE)) serdes_tx + (.clk(clk),.rst(rst), + .ser_tx_clk(ser_tx_clk),.ser_t(ser_t),.ser_tklsb(ser_tklsb),.ser_tkmsb(ser_tkmsb), + .rd_dat_i(rd_dat_i),.rd_read_o(rd_read_o),.rd_done_o(rd_done_o),.rd_error_o(rd_error_o), + .rd_sop_i(rd_sop_i),.rd_eop_i(rd_eop_i), + .inhibit_tx(inhibit_tx), .send_xon(send_xon), .send_xoff(send_xoff), .sent(sent), + .fifo_occupied(tx_occupied),.fifo_full(tx_full),.fifo_empty(tx_empty), + .debug(debug_tx) ); + + serdes_rx #(.FIFOSIZE(RXFIFOSIZE)) serdes_rx + (.clk(clk),.rst(rst), + .ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb), + .wr_dat_o(wr_dat_o),.wr_write_o(wr_write_o),.wr_done_o(wr_done_o),.wr_error_o(wr_error_o), + .wr_ready_i(wr_ready_i),.wr_full_i(wr_full_i), + .fifo_space(fifo_space), .xon_rcvd(xon_rcvd), .xoff_rcvd(xoff_rcvd), + .fifo_occupied(rx_occupied),.fifo_full(rx_full),.fifo_empty(rx_empty), + .debug(debug_rx) ); + + serdes_fc_tx serdes_fc_tx + (.clk(clk),.rst(rst), + .xon_rcvd(xon_rcvd),.xoff_rcvd(xoff_rcvd),.inhibit_tx(inhibit_tx) ); + + serdes_fc_rx #(.LWMARK(32),.HWMARK(128)) serdes_fc_rx + (.clk(clk),.rst(rst), + .fifo_space(fifo_space),.send_xon(send_xon),.send_xoff(send_xoff),.sent(sent) ); + + //assign debug = { fifo_space, send_xon, send_xoff, debug_rx[13:0] }; + //assign debug = debug_rx; + + assign debug0 = { { debug_tx[3:0] /* xfer_active,state[2:0] */, rd_read_o, rd_done_o, rd_sop_i, rd_eop_i }, + { debug_tx[5:4] /* full,empty */ , inhibit_tx, send_xon, send_xoff, sent, ser_tkmsb, ser_tklsb}, + { ser_t[15:8] }, + { ser_t[7:0] } }; + + assign debug1 = { { debug_rx[7:0] }, /* odd,xfer_active,sop_i,eop_i,error_i,state[2:0] */ + { wr_write_o, wr_error_o, wr_ready_i, wr_done_o, xon_rcvd, xoff_rcvd, ser_rkmsb, ser_rklsb }, + { ser_r[15:8] }, + { ser_r[7:0] } }; +endmodule // serdes diff --git a/usrp2/fpga/serdes/serdes_fc_rx.v b/usrp2/fpga/serdes/serdes_fc_rx.v new file mode 100644 index 00000000..4dd46e27 --- /dev/null +++ b/usrp2/fpga/serdes/serdes_fc_rx.v @@ -0,0 +1,62 @@ + + +module serdes_fc_rx + #(parameter LWMARK = 64, + parameter HWMARK = 320) + (input clk, input rst, + input [15:0] fifo_space, + output reg send_xon, + output reg send_xoff, + input sent); + + reg [15:0] countdown; + reg send_xon_int, send_xoff_int; + + always @(posedge clk) + if(rst) + begin + send_xon_int <= 0; + send_xoff_int <= 0; + countdown <= 0; + end + else + begin + send_xon_int <= 0; + send_xoff_int <= 0; + if(countdown == 0) + if(fifo_space < LWMARK) + begin + send_xoff_int <= 1; + countdown <= 240; + end + else + ; + else + if(fifo_space > HWMARK) + begin + send_xon_int <= 1; + countdown <= 0; + end + else + countdown <= countdown - 1; + end // else: !if(rst) + + // If we are between the high and low water marks, we let the countdown expire + + always @(posedge clk) + if(rst) + send_xon <= 0; + else if(send_xon_int) + send_xon <= 1; + else if(sent) + send_xon <= 0; + + always @(posedge clk) + if(rst) + send_xoff <= 0; + else if(send_xoff_int) + send_xoff <= 1; + else if(sent) + send_xoff <= 0; + +endmodule // serdes_fc_rx diff --git a/usrp2/fpga/serdes/serdes_fc_tx.v b/usrp2/fpga/serdes/serdes_fc_tx.v new file mode 100644 index 00000000..2fe967c8 --- /dev/null +++ b/usrp2/fpga/serdes/serdes_fc_tx.v @@ -0,0 +1,24 @@ + + +module serdes_fc_tx + (input clk, input rst, + input xon_rcvd, input xoff_rcvd, output reg inhibit_tx); + + // XOFF means stop sending, XON means start sending + // clock domain stuff happens elsewhere, everything here is on main clk + + reg [15:0] state; + always @(posedge clk) + if(rst) + state <= 0; + else if(xoff_rcvd) + state <= 255; + else if(xon_rcvd) + state <= 0; + else if(state !=0) + state <= state - 1; + + always @(posedge clk) + inhibit_tx <= (state != 0); + +endmodule // serdes_fc_tx diff --git a/usrp2/fpga/serdes/serdes_rx.v b/usrp2/fpga/serdes/serdes_rx.v new file mode 100644 index 00000000..bbe263b1 --- /dev/null +++ b/usrp2/fpga/serdes/serdes_rx.v @@ -0,0 +1,353 @@ + +// SERDES Interface + +// LS-Byte is sent first, MS-Byte is second +// Invalid K Codes +// K0.0 000-00000 Error detected +// K31.7 111-11111 Loss of input signal + +// Valid K Codes +// K28.0 000-11100 +// K28.1 001-11100 Alternate COMMA? +// K28.2 010-11100 +// K28.3 011-11100 +// K28.4 100-11100 +// K28.5 101-11100 Standard COMMA? +// K28.6 110-11100 +// K28.7 111-11100 Bad COMMA? +// K23.7 111-10111 +// K27.7 111-11011 +// K29.7 111-11101 +// K30.7 111-11110 + +module serdes_rx + #(parameter FIFOSIZE = 9) + (input clk, + input rst, + + // RX HW Interface + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + output [31:0] wr_dat_o, + output wr_write_o, + output wr_done_o, + output wr_error_o, + input wr_ready_i, + input wr_full_i, + + output [15:0] fifo_space, + output xon_rcvd, output xoff_rcvd, + + output [15:0] fifo_occupied, output fifo_full, output fifo_empty, + output [31:0] debug + ); + + localparam K_COMMA = 8'b101_11100; // 0xBC K28.5 + localparam K_IDLE = 8'b001_11100; // 0x3C K28.1 + localparam K_PKT_START = 8'b110_11100; // 0xDC K28.6 + localparam K_PKT_END = 8'b100_11100; // 0x9C K28.4 + localparam K_XON = 8'b010_11100; // 0x5C K28.2 + localparam K_XOFF = 8'b011_11100; // 0x7C K28.3 + localparam K_LOS = 8'b111_11111; // 0xFF K31.7 + localparam K_ERROR = 8'b000_00000; // 0x00 K00.0 + localparam D_56 = 8'b110_00101; // 0xC5 D05.6 + + localparam IDLE = 3'd0; + localparam FIRSTLINE1 = 3'd1; + localparam FIRSTLINE2 = 3'd2; + localparam PKT1 = 3'd3; + localparam PKT2 = 3'd4; + localparam CRC_CHECK = 3'd5; + localparam ERROR = 3'd6; + localparam DONE = 3'd7; + + wire [17:0] even_data; + reg [17:0] odd_data; + wire [17:0] chosen_data; + reg odd; + + reg [31:0] line_i; + reg sop_i, eop_i, error_i; + wire error_o, sop_o, eop_o, write, read, empty, full; + reg [15:0] halfline; + reg [8:0] holder; + wire [31:0] line_o; + + reg [2:0] state; + + reg [15:0] CRC; + wire [15:0] nextCRC; + reg write_d; + + oneshot_2clk rst_1s(.clk_in(clk),.in(rst),.clk_out(ser_rx_clk),.out(rst_rxclk)); + + /* + ss_rcvr #(.WIDTH(18)) ss_rcvr + (.rxclk(ser_rx_clk),.sysclk(clk),.rst(rst), + .data_in({ser_rkmsb,ser_rklsb,ser_r}),.data_out(even_data), + .clock_present()); + */ + assign even_data = {ser_rkmsb,ser_rklsb,ser_r}; + + always @(posedge ser_rx_clk) + if(rst_rxclk) + holder <= 9'd0; + else + holder <= {even_data[17],even_data[15:8]}; + + always @(posedge ser_rx_clk) + if(rst_rxclk) + odd_data <= 18'd0; + else + odd_data <= {even_data[16],holder[8],even_data[7:0],holder[7:0]}; + + assign chosen_data = odd ? odd_data : even_data; + + // Transfer xon and xoff info to the main system clock for flow control purposes + reg xon_rcvd_rxclk, xoff_rcvd_rxclk; + always @(posedge ser_rx_clk) + xon_rcvd_rxclk = ({1'b1,K_XON} == {ser_rkmsb,ser_r[15:8]}) | ({1'b1,K_XON} == {ser_rklsb,ser_r[7:0]} ); + always @(posedge ser_rx_clk) + xoff_rcvd_rxclk = ({1'b1,K_XOFF} == {ser_rkmsb,ser_r[15:8]}) | ({1'b1,K_XOFF} == {ser_rklsb,ser_r[7:0]} ); + + oneshot_2clk xon_1s(.clk_in(ser_rx_clk),.in(xon_rcvd_rxclk),.clk_out(clk),.out(xon_rcvd)); + oneshot_2clk xoff_1s(.clk_in(ser_rx_clk),.in(xoff_rcvd_rxclk),.clk_out(clk),.out(xoff_rcvd)); + + // If the other side is sending xon or xoff, or is flow controlled (b/c we told them to be), don't fill the fifos + wire wait_here = ((chosen_data == {2'b10,K_COMMA,D_56})|| + (chosen_data == {2'b11,K_XON,K_XON})|| + (chosen_data == {2'b11,K_XOFF,K_XOFF}) ); + + always @(posedge ser_rx_clk) + if(rst_rxclk) sop_i <= 0; + else if(state == FIRSTLINE1) sop_i <= 1; + else if(write_d) sop_i <= 0; + + reg write_pre; + always @(posedge ser_rx_clk) + if(rst_rxclk) + begin + state <= IDLE; + odd <= 0; + halfline <= 0; + line_i <= 0; + eop_i <= 0; + error_i <= 0; + write_pre <= 0; + end + else + case(state) + IDLE : + begin + error_i <= 0; + write_pre <= 0; + if(even_data == {2'b11,K_PKT_START,K_PKT_START}) + begin + state <= FIRSTLINE1; + odd <= 0; + end + else if(odd_data == {2'b11,K_PKT_START,K_PKT_START}) + begin + state <= FIRSTLINE1; + odd <= 1; + end + end + + FIRSTLINE1 : + if(chosen_data[17:16] == 0) + begin + halfline <= chosen_data[15:0]; + state <= FIRSTLINE2; + end + else if(wait_here) + ; // Flow Controlled, so wait here and do nothing + else + state <= ERROR; + + FIRSTLINE2 : + if(chosen_data[17:16] == 0) + begin + line_i <= {chosen_data[15:0],halfline}; + if(full) // No space to write to! Should have been avoided by flow control + state <= ERROR; + else + begin + state <= PKT1; + write_pre <= 1; + end + end // if (chosen_data[17:16] == 0) + else if(wait_here) + ; // Flow Controlled, so wait here and do nothing + else + state <= ERROR; + + PKT1 : + begin + write_pre <= 0; + if(chosen_data[17:16] == 0) + begin + halfline <= chosen_data[15:0]; + state <= PKT2; + end + else if(wait_here) + ; // Flow Controlled + else if(chosen_data == {2'b11,K_PKT_END,K_PKT_END}) + state <= CRC_CHECK; + else + state <= ERROR; + end // case: PKT1 + + PKT2 : + if(chosen_data[17:16] == 0) + begin + line_i <= {1'b0,1'b0,1'b0,chosen_data[15:0],halfline}; + if(full) // No space to write to! + state <= ERROR; + else + begin + state <= PKT1; + write_pre <= 1; + end + end // if (chosen_data[17:16] == 0) + else if(wait_here) + ; // Flow Controlled + else + state <= ERROR; + + CRC_CHECK : + if(chosen_data[17:0] == {2'b00,CRC}) + begin + if(full) + state <= ERROR; + else + begin + eop_i <= 1; + state <= DONE; + end + end + else if(wait_here) + ; + else + state <= ERROR; + + ERROR : + begin + error_i <= 1; + if(~full) + state <= IDLE; + end + DONE : + begin + state <= IDLE; + eop_i <= 0; + end + + endcase // case(state) + + + always @(posedge ser_rx_clk) + if(rst_rxclk) + CRC <= 16'hFFFF; + else if(state == IDLE) + CRC <= 16'hFFFF; + else if(chosen_data[17:16] == 2'b00) + CRC <= nextCRC; + + CRC16_D16 crc_blk(chosen_data[15:0],CRC,nextCRC); + + always @(posedge ser_rx_clk) + if(rst_rxclk) write_d <= 0; + else write_d <= write_pre; + + // Internal FIFO, size 9 is 2K, size 10 is 4K Bytes + assign write = eop_i | (error_i & ~full) | (write_d & (state != CRC_CHECK)); + + +//`define CASC 1 +`define MYFIFO 1 +//`define XILFIFO 1 + +`ifdef CASC + cascadefifo2 #(.WIDTH(35),.SIZE(FIFOSIZE)) serdes_rx_fifo + (.clk(clk),.rst(rst),.clear(0), + .datain({error_i,sop_i,eop_i,line_i}), .write(write), .full(full), + .dataout({error_o,sop_o,eop_o,line_o}), .read(read), .empty(empty), + .space(fifo_space),.occupied(fifo_occupied) ); + assign fifo_full = full; + assign fifo_empty = empty; +`endif + +`ifdef MYFIFO + wire [FIFOSIZE-1:0] level; + fifo_2clock_casc #(.DWIDTH(35),.AWIDTH(FIFOSIZE)) serdes_rx_fifo + (.arst(rst), + .wclk(ser_rx_clk),.datain({error_i,sop_i,eop_i,line_i}), .write(write), .full(full), + .rclk(clk),.dataout({error_o,sop_o,eop_o,line_o}), .read(read), .empty(empty), + .level_rclk(level) ); + assign fifo_space = {{(16-FIFOSIZE){1'b0}},{FIFOSIZE{1'b1}}} - + {{(16-FIFOSIZE){1'b0}},level}; + assign fifo_occupied = { {(16-FIFOSIZE){1'b0}} ,level}; + assign fifo_full = full; // Note -- fifo_full is in the wrong clock domain + assign fifo_empty = empty; +`endif + +`ifdef XILFIFO + wire [FIFOSIZE-1:0] level; + fifo_generator_v4_1 ser_rx_fifo + (.din({error_i,sop_i,eop_i,line_i}), + .rd_clk(clk), + .rd_en(read), + .rst(rst), + .wr_clk(ser_rx_clk), + .wr_en(write), + .dout({error_o,sop_o,eop_o,line_o}), + .empty(empty), + .full(full), + .rd_data_count(level), + .wr_data_count() ); + assign fifo_space = {{(16-FIFOSIZE){1'b0}},{FIFOSIZE{1'b1}}} - + {{(16-FIFOSIZE){1'b0}},level}; + assign fifo_occupied = { {(16-FIFOSIZE){1'b0}}, level }; + assign fifo_full = full; // Note -- fifo_full is in the wrong clock domain + assign fifo_empty = empty; +`endif // `ifdef XILFIFO + + + // Internal FIFO to Buffer interface + reg xfer_active; + + always @(posedge clk) + if(rst) + xfer_active <= 0; + else if(xfer_active & ~empty & (eop_o | wr_full_i | error_o)) + xfer_active <= 0; + else if(wr_ready_i & sop_o) + xfer_active <= 1; + + assign read = (xfer_active | ~sop_o) & ~empty; + + assign wr_write_o = xfer_active & ~empty; + assign wr_done_o = eop_o & ~empty & xfer_active; + //assign wr_error_o = xfer_active & ((wr_full_i & ~eop_o & ~empty)|error_o); + assign wr_error_o = xfer_active & ~empty & error_o; + + assign wr_dat_o = line_o; + + /* + assign debug = { { fifo_space[15:8] }, + { fifo_space[7:0] }, + { 2'd0, error_i, sop_i, eop_i, error_o, sop_o, eop_o }, + { full, empty, write, read, xfer_active, state[2:0] } }; + + assign debug = { { xoff_rcvd,xon_rcvd,sop_i,eop_i,error_i,state[2:0] }, + { odd, wait_here, write_pre, write_d, write, full, chosen_data[17:16]}, + { chosen_data[15:8] }, + { chosen_data[7:0] } }; + */ + + assign debug = { full, empty, odd, xfer_active, sop_i, eop_i, error_i, state[2:0] }; + +endmodule // serdes_rx diff --git a/usrp2/fpga/serdes/serdes_tb.v b/usrp2/fpga/serdes/serdes_tb.v new file mode 100644 index 00000000..eb8e019f --- /dev/null +++ b/usrp2/fpga/serdes/serdes_tb.v @@ -0,0 +1,328 @@ + +// FIXME need to add flow control + +module serdes_tb(); + + reg clk, rst; + wire ser_rx_clk, ser_tx_clk; + wire ser_rklsb, ser_rkmsb, ser_tklsb, ser_tkmsb; + wire [15:0] ser_r, ser_t; + + initial clk = 0; + initial rst = 1; + initial #1000 rst = 0; + always #100 clk = ~clk; + + // Wishbone + reg [31:0] wb_dat_i; + wire [31:0] wb_dat_o_rx, wb_dat_o_tx; + reg wb_we, wb_en_rx, wb_en_tx; + reg [8:0] wb_adr; + + // Buffer Control + reg go, clear, read, write; + reg [3:0] buf_num; + wire [31:0] ctrl_word = {buf_num,3'b0,clear,write,read,step,lastline,firstline}; + reg [8:0] firstline = 0, lastline = 0; + reg [3:0] step = 1; + reg first_tx = 1, first_rx = 1; // for verif + + // TX Side + reg wb_we_tx; + wire en_tx, we_tx; + wire [8:0] addr_tx; + wire [31:0] f2r_tx, r2f_tx; + wire [31:0] data_tx; + wire read_tx, done_tx, error_tx, sop_tx, eop_tx; + + wire fdone_tx, ferror_tx; + + reg even; + reg channel_error = 0; + + serdes_tx serdes_tx + (.clk(clk),.rst(rst), + .ser_tx_clk(ser_tx_clk),.ser_t(ser_t),.ser_tklsb(ser_tklsb),.ser_tkmsb(ser_tkmsb), + .rd_dat_i(data_tx),.rd_read_o(read_tx),.rd_done_o(done_tx), + .rd_error_o(error_tx),.rd_sop_i(sop_tx),.rd_eop_i(eop_tx) ); + + ram_2port #(.DWIDTH(32),.AWIDTH(9)) + ram_tx(.clka(clk),.ena(wb_en_tx),.wea(wb_we_tx),.addra(wb_adr),.dia(wb_dat_i),.doa(wb_dat_o_tx), + .clkb(clk),.enb(en_tx),.web(we_tx),.addrb(addr_tx),.dib(f2r_tx),.dob(r2f_tx)); + + buffer_int #(.BUFF_NUM(1)) buffer_int_tx + (.clk(clk),.rst(rst), + .ctrl_word(ctrl_word),.go(go), + .done(fdone_tx),.error(ferror_tx), + + .en_o(en_tx),.we_o(we_tx),.addr_o(addr_tx),.dat_to_buf(f2r_tx),.dat_from_buf(r2f_tx), + + .wr_dat_i(0),.wr_write_i(0),.wr_done_i(0), + .wr_error_i(0),.wr_ready_o(),.wr_full_o(), + + .rd_dat_o(data_tx),.rd_read_i(read_tx),.rd_done_i(done_tx), + .rd_error_i(error_tx),.rd_sop_o(sop_tx),.rd_eop_o(eop_tx) ); + + + // RX Side + reg wb_we_rx; + wire en_rx, we_rx; + wire [8:0] addr_rx; + wire [31:0] f2r_rx, r2f_rx; + wire [31:0] data_rx; + wire write_rx, done_rx, error_rx, ready_rx, empty_rx; + + wire fdone_rx, ferror_rx; + + serdes_rx serdes_rx + (.clk(clk),.rst(rst), + .ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb), + .wr_dat_o(data_rx),.wr_write_o(write_rx),.wr_done_o(done_rx), + .wr_error_o(error_rx),.wr_ready_i(ready_rx),.wr_full_i(full_rx) ); + + ram_2port #(.DWIDTH(32),.AWIDTH(9)) + ram_rx(.clka(clk),.ena(wb_en_rx),.wea(wb_we_rx),.addra(wb_adr),.dia(wb_dat_i),.doa(wb_dat_o_rx), + .clkb(clk),.enb(en_rx),.web(we_rx),.addrb(addr_rx),.dib(f2r_rx),.dob(r2f_rx) ); + + buffer_int #(.BUFF_NUM(0)) buffer_int_rx + (.clk(clk),.rst(rst), + .ctrl_word(ctrl_word),.go(go), + .done(fdone_rx),.error(ferror_rx), + + .en_o(en_rx),.we_o(we_rx),.addr_o(addr_rx),.dat_to_buf(f2r_rx),.dat_from_buf(r2f_rx), + + .wr_dat_i(data_rx),.wr_write_i(write_rx),.wr_done_i(done_rx), + .wr_error_i(error_rx),.wr_ready_o(ready_rx),.wr_full_o(full_rx), + + .rd_dat_o(),.rd_read_i(0),.rd_done_i(0), + .rd_error_i(0),.rd_sop_o(),.rd_eop_o() ); + + // Simulate the connection + serdes_model serdes_model + (.ser_tx_clk(ser_tx_clk), .ser_tkmsb(ser_tkmsb), .ser_tklsb(ser_tklsb), .ser_t(ser_t), + .ser_rx_clk(ser_rx_clk), .ser_rkmsb(ser_rkmsb), .ser_rklsb(ser_rklsb), .ser_r(ser_r), + .even(even), .error(channel_error) ); + + initial begin + wb_en_rx <= 0; + wb_en_tx <=0; + wb_we_tx <= 0; + wb_we_rx <= 0; + wb_adr <= 0; + wb_dat_i <= 0; + go <= 0; + even <= 0; + @(negedge rst); + @(posedge clk); + FillTXRAM; + ClearRXRAM; + ResetBuffer(0); + ResetBuffer(1); + + // receive a full buffer + ReceiveSERDES(0,10); + SendSERDES(0,10); + + // Receive a partial buffer + SendSERDES(11,20); + ReceiveSERDES(11,50); + + // Receive too many for buffer + SendSERDES(21,100); + ReceiveSERDES(21,30); + + // Send 3 packets, then wait to receive them, so they stack up in the rx fifo + SendSERDES(31,40); + SendSERDES(41,50); + SendSERDES(51,60); + repeat (10) + @(posedge clk); + ReceiveSERDES(31,40); + ReceiveSERDES(41,50); + repeat (1000) + @(posedge clk); + ReceiveSERDES(51,60); + + // Overfill the FIFO, should get an error on 3rd packet + SendSERDES(1,400); + SendSERDES(1,400); + + + WaitForTX; + //WaitForRX; + + + repeat(1000) + @(posedge clk); + ReceiveSERDES(101,500); + ReceiveSERDES(101,500); + ReadRAM(80); + $finish; + end // initial begin + + always @(posedge clk) + if(write_rx) + $display("SERDES RX, FIFO WRITE %x, FIFO RDY %d, FIFO FULL %d",data_rx, ready_rx, full_rx); + + always @(posedge clk) + if(read_tx) + $display("SERDES TX, FIFO READ %x, SOP %d, EOP %d",data_tx, sop_tx, eop_tx); + + initial begin + $dumpfile("serdes_tb.vcd"); + $dumpvars(0,serdes_tb); + end + + initial #10000000 $finish; + + initial #259300 channel_error <= 1; + initial #259500 channel_error <= 0; + + task FillTXRAM; + begin + wb_adr <= 0; + wb_dat_i <= 32'h10802000; + wb_we_tx <= 1; + wb_en_tx <= 1; + @(posedge clk); + repeat(511) begin + wb_dat_i <= wb_dat_i + 32'h00010001; + wb_adr <= wb_adr + 1; + @(posedge clk); + end // repeat (511) + wb_we_tx <= 0; + wb_en_tx <= 0; + @(posedge clk); + $display("Done entering Data into TX RAM\n"); + end + endtask // FillTXRAM + + task ClearRXRAM; + begin + wb_adr <= 0; + wb_dat_i <= 0; + wb_we_rx <= 1; + wb_en_rx <= 1; + wb_dat_i <= 0; + @(posedge clk); + repeat(511) begin + wb_adr <= wb_adr + 1; + @(posedge clk); + end // repeat (511) + wb_we_rx <= 0; + wb_en_rx <= 0; + @(posedge clk); + $display("Done clearing RX RAM\n"); + end + endtask // FillRAM + + task ReadRAM; + input [8:0] lastline; + begin + wb_en_rx <= 1; + wb_adr <= 0; + @(posedge clk); + @(posedge clk); + repeat(lastline) begin + $display("ADDR: %h DATA %h", wb_adr, wb_dat_o_rx); + wb_adr <= wb_adr + 1; + @(posedge clk); + @(posedge clk); + end // repeat (511) + $display("ADDR: %h DATA %h", wb_adr, wb_dat_o_rx); + wb_en_rx <= 0; + @(posedge clk); + $display("Done reading out RX RAM\n"); + end + endtask // FillRAM + + task ResetBuffer; + input [3:0] buffer_num; + begin + buf_num <= buffer_num; + clear <= 1; read <= 0; write <= 0; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Reset"); + end + endtask // ClearBuffer + + task SetBufferWrite; + input [3:0] buffer_num; + input [8:0] start; + input [8:0] stop; + begin + buf_num <= buffer_num; + clear <= 0; read <= 0; write <= 1; + firstline <= start; + lastline <= stop; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Set for Write"); + end + endtask // SetBufferWrite + + task SetBufferRead; + input [3:0] buffer_num; + input [8:0] start; + input [8:0] stop; + begin + buf_num <= buffer_num; + clear <= 0; read <= 1; write <= 0; + firstline <= start; + lastline <= stop; + go <= 1; + @(posedge clk); + go <= 0; + @(posedge clk); + $display("Buffer Set for Read"); + end + endtask // SetBufferRead + + task WaitForTX; + begin + while (!(fdone_tx | ferror_tx)) + @(posedge clk); + end + endtask // WaitForTX + + task WaitForRX; + begin + while (!(fdone_rx | ferror_rx)) + @(posedge clk); + end + endtask // WaitForRX + + task SendSERDES; + input [8:0] start; + input [8:0] stop; + begin + if(~first_tx) + WaitForTX; + else + first_tx <= 0; + ResetBuffer(1); + SetBufferRead(1,start,stop); + $display("Here"); + end + endtask // SendSERDES + + task ReceiveSERDES; + input [8:0] start; + input [8:0] stop; + begin + if(~first_rx) + WaitForRX; + else + first_rx <= 0; + ResetBuffer(0); + SetBufferWrite(0,start,stop); + $display("Here2"); + end + endtask // ReceiveSERDES + +endmodule // serdes_tb diff --git a/usrp2/fpga/serdes/serdes_tx.v b/usrp2/fpga/serdes/serdes_tx.v new file mode 100644 index 00000000..fa4abe5d --- /dev/null +++ b/usrp2/fpga/serdes/serdes_tx.v @@ -0,0 +1,199 @@ + +// SERDES Interface + +// LS-Byte is sent first, MS-Byte is second +// Invalid K Codes +// K0.0 000-00000 Error detected +// K31.7 111-11111 Loss of input signal + +// Valid K Codes +// K28.0 000-11100 +// K28.1 001-11100 Alternate COMMA? +// K28.2 010-11100 +// K28.3 011-11100 +// K28.4 100-11100 +// K28.5 101-11100 Standard COMMA? +// K28.6 110-11100 +// K28.7 111-11100 Bad COMMA? +// K23.7 111-10111 +// K27.7 111-11011 +// K29.7 111-11101 +// K30.7 111-11110 + +module serdes_tx + #(parameter FIFOSIZE = 9) + (input clk, + input rst, + + // TX HW Interface + output ser_tx_clk, + output reg [15:0] ser_t, + output reg ser_tklsb, + output reg ser_tkmsb, + + // TX Stream Interface + input [31:0] rd_dat_i, + output rd_read_o, + output rd_done_o, + output rd_error_o, + input rd_sop_i, + input rd_eop_i, + + // Flow control interface + input inhibit_tx, + input send_xon, + input send_xoff, + output sent, + + // FIFO Levels + output [15:0] fifo_occupied, + output fifo_full, + output fifo_empty, + + // DEBUG + output [31:0] debug + ); + + localparam K_COMMA = 8'b101_11100; // 0xBC K28.5 + localparam K_IDLE = 8'b001_11100; // 0x3C K28.1 + localparam K_PKT_START = 8'b110_11100; // 0xDC K28.6 + localparam K_PKT_END = 8'b100_11100; // 0x9C K28.4 + localparam K_XON = 8'b010_11100; // 0x5C K28.2 + localparam K_XOFF = 8'b011_11100; // 0x7C K28.3 + localparam K_LOS = 8'b111_11111; // 0xFF K31.7 + localparam K_ERROR = 8'b000_00000; // 0x00 K00.0 + localparam D_56 = 8'b110_00101; // 0xC5 D05.6 + assign ser_tx_clk = clk; + + localparam IDLE = 3'd0; + localparam RUN1 = 3'd1; + localparam RUN2 = 3'd2; + localparam DONE = 3'd3; + localparam SENDCRC = 3'd4; + localparam WAIT = 3'd5; + + reg [2:0] state; + + reg [15:0] CRC; + wire [15:0] nextCRC; + reg [3:0] wait_count; + + // Internal FIFO, size 9 is 2K, size 10 is 4K bytes + wire sop_o, eop_o, write, full, read, empty; + wire [31:0] data_o; + reg xfer_active; + + cascadefifo2 #(.WIDTH(34),.SIZE(FIFOSIZE)) serdes_tx_fifo + (.clk(clk),.rst(rst),.clear(0), + .datain({rd_sop_i,rd_eop_i,rd_dat_i}), .write(write), .full(full), + .dataout({sop_o,eop_o,data_o}), .read(read), .empty(empty), + .space(), .occupied(fifo_occupied) ); + assign fifo_full = full; + assign fifo_empty = empty; + + // Buffer interface to internal FIFO + always @(posedge clk) + if(rst) + xfer_active <= 0; + else if(rd_eop_i & ~full) // In case we can't store last line right away + xfer_active <= 0; + else if(rd_sop_i) + xfer_active <= 1; + + assign write = xfer_active & ~full; + + assign rd_read_o = write; + assign rd_done_o = 0; // Always take everything we're given + assign rd_error_o = 0; // No chance for errors anticipated + + + // FIXME Implement flow control + + reg [15:0] second_word; + reg [33:0] pipeline; + + assign read = (~send_xon & ~send_xoff & (state==RUN2)) | ((state==IDLE) & ~empty & ~sop_o); + assign sent = send_xon | send_xoff; + // 2nd half of above probably not necessary. Just in case we get junk between packets + + always @(posedge clk) + if(rst) + begin + state <= IDLE; + wait_count <= 0; + {ser_tkmsb,ser_tklsb,ser_t} <= 18'd0; + //{2'b10,K_COMMA,K_COMMA}; + // make tkmsb and tklsb different so they can go in IOFFs + end + else + if(send_xon) + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_XON,K_XON}; + else if(send_xoff) + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_XOFF,K_XOFF}; + else + case(state) + IDLE : + begin + if(sop_o & ~empty & ~inhibit_tx) + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_PKT_START,K_PKT_START}; + state <= RUN1; + end + else + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b10,K_COMMA,D_56}; + end + RUN1 : + begin + if(empty | inhibit_tx) + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b10,K_COMMA,D_56}; + else + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b00,data_o[15:0]}; + state <= RUN2; + end + end + RUN2 : + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b00,data_o[31:16]}; + if(eop_o) + state <= DONE; + else + state <= RUN1; + end + DONE : + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_PKT_END,K_PKT_END}; + state <= SENDCRC; + end + SENDCRC : + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b00,CRC}; + state <= WAIT; + wait_count <= 4'd15; + end + WAIT : + begin + {ser_tkmsb,ser_tklsb,ser_t} <= {2'b10,K_COMMA,D_56}; + if(wait_count == 0) + state <= IDLE; + else + wait_count <= wait_count - 1; + end + default + state <= IDLE; + endcase // case(state) + + always @(posedge clk) + if(rst) + CRC <= 16'hFFFF; + else if(state == IDLE) + CRC <= 16'hFFFF; + else if( (~empty & ~inhibit_tx & (state==RUN1)) || (state==RUN2) ) + CRC <= nextCRC; + + CRC16_D16 crc_blk( (state==RUN1) ? data_o[15:0] : data_o[31:16], CRC, nextCRC); + + assign debug = { 26'd0, full, empty, xfer_active, state[2:0] }; + +endmodule // serdes_tx + diff --git a/usrp2/fpga/testbench/BOOTSTRAP.sav b/usrp2/fpga/testbench/BOOTSTRAP.sav new file mode 100644 index 00000000..41501945 --- /dev/null +++ b/usrp2/fpga/testbench/BOOTSTRAP.sav @@ -0,0 +1,82 @@ +[size] 1400 971 +[pos] -1 -1 +*-26.028666 3485926000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +u2_sim_top.cpld_clk +u2_sim_top.cpld_detached +u2_sim_top.cpld_din +u2_sim_top.cpld_done +u2_sim_top.cpld_start +u2_sim_top.aux_clk +u2_sim_top.clk_fpga +u2_sim_top.clk_sel[1:0] +u2_sim_top.clk_en[1:0] +u2_sim_top.u2_basic.ram_loader_rst +u2_sim_top.u2_basic.wb_rst +u2_sim_top.u2_basic.sysctrl.POR +u2_sim_top.u2_basic.sysctrl.ram_loader_done_i +u2_sim_top.cpld_model.sclk +u2_sim_top.cpld_model.start +u2_sim_top.u2_basic.ram_loader.rst_i +u2_sim_top.sen_clk +u2_sim_top.sen_dac +u2_sim_top.sclk +@22 +u2_sim_top.u2_basic.shared_spi.wb_sel_i[3:0] +u2_sim_top.u2_basic.shared_spi.wb_adr_i[4:0] +u2_sim_top.u2_basic.shared_spi.wb_dat_i[31:0] +@28 +u2_sim_top.u2_basic.shared_spi.wb_we_i +u2_sim_top.u2_basic.shared_spi.wb_stb_i +u2_sim_top.u2_basic.shared_spi.wb_ack_o +@22 +u2_sim_top.u2_basic.shared_spi.ss_pad_o[7:0] +u2_sim_top.u2_basic.shared_spi.ctrl[13:0] +u2_sim_top.u2_basic.shared_spi.divider[15:0] +u2_sim_top.u2_basic.shared_spi.char_len[6:0] +u2_sim_top.u2_basic.shared_spi.ss[7:0] +u2_sim_top.u2_basic.shared_spi.wb_dat_o[31:0] +u2_sim_top.u2_basic.shared_spi.rx[127:0] +@28 +u2_sim_top.u2_basic.control_lines.wb_stb_i +u2_sim_top.u2_basic.control_lines.wb_we_i +@22 +u2_sim_top.u2_basic.control_lines.wb_dat_i[31:0] +u2_sim_top.u2_basic.control_lines.wb_dat_o[31:0] +u2_sim_top.u2_basic.control_lines.wb_sel_i[3:0] +@28 +u2_sim_top.u2_basic.control_lines.wb_cyc_i +@22 +u2_sim_top.u2_basic.control_lines.wb_sel_i[3:0] +@28 +u2_sim_top.clock_ready +u2_sim_top.u2_basic.ram_loader.done_o +u2_sim_top.u2_basic.dsp_rst +u2_sim_top.u2_basic.ram_loader_rst +u2_sim_top.u2_basic.wb_rst +@22 +u2_sim_top.u2_basic.ID_ram.dwb_adr_i[12:0] +@28 +u2_sim_top.u2_basic.aeMB.iwb_ack_i +u2_sim_top.u2_basic.ram_loader_done +@22 +u2_sim_top.u2_basic.iram_rd_adr[15:0] +u2_sim_top.u2_basic.iram_rd_dat[31:0] +@28 +u2_sim_top.u2_basic.iram_wr_we +u2_sim_top.u2_basic.iram_wr_stb +@22 +u2_sim_top.u2_basic.iram_wr_sel[3:0] +u2_sim_top.u2_basic.iram_wr_dat[31:0] +u2_sim_top.u2_basic.iram_wr_adr[15:0] +@28 +u2_sim_top.u2_basic.ram_loader.ram_loader_done_o +u2_sim_top.u2_basic.ID_ram.dwb_we_i +u2_sim_top.u2_basic.ID_ram.iwb_we_i +u2_sim_top.u2_basic.ram_loader.ram_we +u2_sim_top.u2_basic.ram_loader.ram_we_q +u2_sim_top.u2_basic.ram_loader.ram_we_s +u2_sim_top.u2_basic.ram_loader.wb_ack_i +u2_sim_top.u2_basic.ID_ram.iwb_ack_o +u2_sim_top.u2_basic.ID_ram.iwb_stb_i +u2_sim_top.u2_basic.ID_ram.wb_rst_i diff --git a/usrp2/fpga/testbench/Makefile b/usrp2/fpga/testbench/Makefile new file mode 100644 index 00000000..6032a012 --- /dev/null +++ b/usrp2/fpga/testbench/Makefile @@ -0,0 +1,10 @@ +all: single dual + +single: + iverilog -Wimplicit -Wportbind -c cmdfile ../top/single_u2_sim/single_u2_sim.v -o single_u2_sim + +dual: + iverilog -Wimplicit -Wportbind -c cmdfile ../top/dual_u2_sim/dual_u2_sim.v -o dual_u2_sim + +clean: + rm -f single_u2_sim dual_u2_sim *.vcd *.lxt diff --git a/usrp2/fpga/testbench/PAUSE.sav b/usrp2/fpga/testbench/PAUSE.sav new file mode 100644 index 00000000..f5e1ea1a --- /dev/null +++ b/usrp2/fpga/testbench/PAUSE.sav @@ -0,0 +1,62 @@ +[size] 1400 967 +[pos] -1 -1 +*-16.314999 5250420000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] u2_sim_top. +[treeopen] u2_sim_top.u2_basic. +[treeopen] u2_sim_top.u2_basic.MAC_top. +[treeopen] u2_sim_top.u2_basic.MAC_top.U_MAC_tx. +@22 +u2_sim_top.GMII_TXD[7:0] +@28 +u2_sim_top.GMII_TX_EN +@200 +- +@24 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.fc_hwmark[15:0] +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.fc_lwmark[15:0] +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.pause_frame_send_en +@22 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.pause_quanta_set[15:0] +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.rst +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.rx_clk +@24 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.rx_fifo_space[15:0] +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.tx_clk +@200 +- +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xoff_gen +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xoff_gen_complete +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xoff_int +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xoff_int_d1 +@200 +- +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xon_gen +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xon_gen_complete +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xon_int +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.xon_int_d1 +@200 +- +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_apply +@22 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_quanta[15:0] +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_quanta_counter[15:0] +@28 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_quanta_sub +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_quanta_val +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pqval_d1 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pqval_d2 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.rst +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.tx_clk +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.tx_pause_en +u2_sim_top.u2_basic.proc_int +@22 +u2_sim_top.u2_basic.MAC_top.flow_ctrl_rx.countdown[21:0] +u2_sim_top.u2_basic.MAC_top.flow_ctrl_tx.pause_quanta_counter[15:0] +@25 +u2_sim_top.u2_basic.MAC_top.U_MAC_tx.U_MAC_tx_ctrl.Current_state[3:0] diff --git a/usrp2/fpga/testbench/README b/usrp2/fpga/testbench/README new file mode 100644 index 00000000..14bbb68b --- /dev/null +++ b/usrp2/fpga/testbench/README @@ -0,0 +1,5 @@ +The path to happiness: + +make clean +make +./u2_sim +rom=../../firmware/eth_test.rom -lxt2 diff --git a/usrp2/fpga/testbench/SERDES.sav b/usrp2/fpga/testbench/SERDES.sav new file mode 100644 index 00000000..3bb6ba92 --- /dev/null +++ b/usrp2/fpga/testbench/SERDES.sav @@ -0,0 +1,35 @@ +[size] 1400 967 +[pos] -1 -1 +*-30.885946 6591910000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] u2_sim_top. +[treeopen] u2_sim_top.u2_basic. +[treeopen] u2_sim_top.u2_basic.serdes. +@22 +u2_sim_top.u2_basic.serdes.ser_t[15:0] +@28 +u2_sim_top.u2_basic.serdes.ser_tklsb +u2_sim_top.u2_basic.serdes.ser_tkmsb +u2_sim_top.u2_basic.ram_loader.ram_loader_done_o +u2_sim_top.u2_basic.proc_int +@22 +u2_sim_top.u2_basic.serdes.fifo_space[15:0] +@28 +u2_sim_top.u2_basic.serdes.inhibit_tx +u2_sim_top.u2_basic.serdes.send_xoff +u2_sim_top.u2_basic.serdes.send_xon +u2_sim_top.u2_basic.serdes.sent +u2_sim_top.u2_basic.serdes.xoff_rcvd +u2_sim_top.u2_basic.serdes.xon_rcvd +u2_sim_top.u2_basic.serdes.serdes_rx.wr_write_o +u2_sim_top.u2_basic.serdes.serdes_rx.wr_done_o +u2_sim_top.u2_basic.serdes.serdes_rx.write +@22 +u2_sim_top.u2_basic.serdes.serdes_rx.line_i[31:0] +@28 +(0)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] +(1)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] +@22 +#chosen_data[15:0] (2)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (3)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (4)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (5)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (6)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (7)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (8)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (9)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (10)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (11)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (12)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (13)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (14)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (15)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (16)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] (17)u2_sim_top.u2_basic.serdes.serdes_rx.chosen_data[17:0] +u2_sim_top.u2_basic.serdes.ser_t[15:0] +@28 +u2_sim_top.u2_basic.serdes.ser_tklsb diff --git a/usrp2/fpga/testbench/U2_SIM.sav b/usrp2/fpga/testbench/U2_SIM.sav new file mode 100644 index 00000000..d320c2b6 --- /dev/null +++ b/usrp2/fpga/testbench/U2_SIM.sav @@ -0,0 +1,95 @@ +[size] 1400 971 +[pos] -1 -1 +*-18.079937 3641000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +@28 +u2_sim_top.adc_oen_a +u2_sim_top.adc_oen_b +u2_sim_top.adc_pdn_a +u2_sim_top.adc_pdn_b +u2_sim_top.aux_clk +u2_sim_top.POR +u2_sim_top.clk_fpga +u2_sim_top.clk_en[1:0] +u2_sim_top.clk_sel[1:0] +u2_sim_top.led1 +u2_sim_top.led2 +u2_sim_top.sclk +u2_sim_top.u2_basic.wb_conbus_top.wb_conbus_arb.gnt[2:0] +u2_sim_top.sda_pad_o +u2_sim_top.sda_pad_oen_o +u2_sim_top.sdi +u2_sim_top.sdo +u2_sim_top.sen_clk +u2_sim_top.sen_dac +u2_sim_top.ser_enable +u2_sim_top.ser_loopen +u2_sim_top.ser_prbsen +u2_sim_top.ser_rx_en +u2_sim_top.u2_basic.sysctrl.start +u2_sim_top.u2_basic.sysctrl.POR +u2_sim_top.u2_basic.done +u2_sim_top.u2_basic.sysctrl.POR +u2_sim_top.u2_basic.sysctrl.aux_clk +u2_sim_top.u2_basic.sysctrl.clk_fpga +u2_sim_top.u2_basic.sysctrl.done +u2_sim_top.u2_basic.bus_writer.start +u2_sim_top.u2_basic.bus_writer.done +@22 +u2_sim_top.u2_basic.bus_writer.rom_addr[15:0] +u2_sim_top.u2_basic.bus_writer.rom_data[47:0] +u2_sim_top.u2_basic.bus_writer.state[3:0] +@29 +u2_sim_top.u2_basic.bus_writer.wb_ack_i +@22 +u2_sim_top.u2_basic.bus_writer.wb_adr_o[15:0] +@28 +u2_sim_top.u2_basic.bus_writer.wb_clk_i +u2_sim_top.u2_basic.bus_writer.wb_cyc_o +@22 +u2_sim_top.u2_basic.bus_writer.wb_dat_o[31:0] +u2_sim_top.u2_basic.bus_writer.wb_sel_o[3:0] +@28 +u2_sim_top.u2_basic.bus_writer.wb_stb_o +u2_sim_top.u2_basic.bus_writer.wb_we_o +u2_sim_top.u2_basic.bus_writer.wb_rst_i +u2_sim_top.u2_basic.wb_conbus_top.wb_conbus_arb.req[7:0] +u2_sim_top.sda_pad_i +u2_sim_top.u2_basic.wb_conbus_top.m0_cyc_i +u2_sim_top.u2_basic.wb_conbus_top.s0_cyc_o +@22 +u2_sim_top.u2_basic.wb_conbus_top.m0_adr_i[15:0] +u2_sim_top.u2_basic.wb_conbus_top.m1_adr_i[15:0] +@28 +u2_sim_top.u2_basic.wb_conbus_top.m0_stb_i +u2_sim_top.u2_basic.wb_conbus_top.m1_stb_i +u2_sim_top.u2_basic.wb_conbus_top.s0_stb_o +u2_sim_top.u2_basic.wb_conbus_top.s1_stb_o +u2_sim_top.u2_basic.wb_conbus_top.s2_stb_o +u2_sim_top.u2_basic.wb_conbus_top.s3_stb_o +u2_sim_top.u2_basic.wb_conbus_top.s0_ack_i +u2_sim_top.u2_basic.control_lines.wb_cyc_i +u2_sim_top.u2_basic.control_lines.wb_stb_i +u2_sim_top.u2_basic.control_lines.wb_we_i +u2_sim_top.u2_basic.control_lines.wb_ack_o +u2_sim_top.u2_basic.s0_ack +@22 +u2_sim_top.u2_basic.control_lines.internal_reg[31:0] +u2_sim_top.u2_basic.control_lines.port_output[31:0] +@28 +u2_sim_top.u2_basic.led1 +u2_sim_top.u2_basic.led2 +@22 +u2_sim_top.u2_basic.misc_outs[7:0] +u2_sim_top.u2_basic.clock_outs[7:0] +u2_sim_top.u2_basic.adc_outs[7:0] +u2_sim_top.u2_basic.serdes_outs[7:0] +@28 +u2_sim_top.u2_basic.shared_spi.miso_pad_i +u2_sim_top.u2_basic.shared_spi.mosi_pad_o +@22 +u2_sim_top.u2_basic.shared_spi.ss[7:0] +u2_sim_top.u2_basic.shared_spi.divider[15:0] +@28 +u2_sim_top.u2_basic.shared_spi.sclk_pad_o +@22 +u2_sim_top.u2_basic.shared_spi.ss_pad_o[7:0] diff --git a/usrp2/fpga/testbench/cmdfile b/usrp2/fpga/testbench/cmdfile new file mode 100644 index 00000000..d55567f7 --- /dev/null +++ b/usrp2/fpga/testbench/cmdfile @@ -0,0 +1,39 @@ + +# My stuff +-y . +-y ../top/u2_core +-y ../control_lib +-y ../serdes +-y ../sdr_lib +-y ../timing +-y ../coregen + +# Models +-y ../models +-y ../models/CY7C1356C + +# Open Cores +-y ../opencores/8b10b +-y ../opencores/spi/rtl/verilog ++incdir+../opencores/spi/rtl/verilog +-y ../opencores/wb_conbus/rtl/verilog ++incdir+../opencores/wb_conbus/rtl/verilog +-y ../opencores/i2c/rtl/verilog ++incdir+../opencores/i2c/rtl/verilog +-y ../opencores/aemb/rtl/verilog +-y ../opencores/simple_pic/rtl + +# Ethernet ++incdir+../eth/rtl/verilog +-y ../eth/rtl/verilog +-y ../eth/rtl/verilog/MAC_tx +-y ../eth/rtl/verilog/MAC_rx +-y ../eth/rtl/verilog/miim +-y ../eth/rtl/verilog/TECH +-y ../eth/rtl/verilog/TECH/xilinx +-y ../eth/rtl/verilog/RMON +-y ../eth +-y ../eth/bench/verilog + +# Ethernet Models +-y ../eth/bench/verilog diff --git a/usrp2/fpga/timing/time_receiver.v b/usrp2/fpga/timing/time_receiver.v new file mode 100644 index 00000000..8e7d3f1e --- /dev/null +++ b/usrp2/fpga/timing/time_receiver.v @@ -0,0 +1,94 @@ + +module time_receiver + (input clk, input rst, + output [31:0] master_time, + output sync_rcvd, + input exp_pps_in); + + wire code_err, disp_err, dispout, complete_word; + reg disp_reg; + reg [9:0] shiftreg; + reg [3:0] bit_count; + wire [8:0] dataout; + reg [8:0] dataout_reg; + + always @(posedge clk) + shiftreg <= {exp_pps_in, shiftreg[9:1]}; + + localparam COMMA_0 = 10'h283; + localparam COMMA_1 = 10'h17c; + + wire found_comma = (shiftreg == COMMA_0) | (shiftreg == COMMA_1); + wire set_disp = (shiftreg == COMMA_1); + + always @(posedge clk) + if(rst) + bit_count <= 0; + else if(found_comma | complete_word) + bit_count <= 0; + else + bit_count <= bit_count + 1; + assign complete_word = (bit_count == 9); + + always @(posedge clk) + if(set_disp) + disp_reg <= 1; + else if(complete_word) + disp_reg <= dispout; + + always @(posedge clk) + if(complete_word) + dataout_reg <= dataout; + + decode_8b10b decode_8b10b + (.datain(shiftreg),.dispin(disp_reg), + .dataout(dataout),.dispout(dispout), + .code_err(code_err),.disp_err(disp_err) ); + + reg error; + always @(posedge clk) + if(complete_word) + error <= code_err | disp_err; + + localparam STATE_IDLE = 0; + localparam STATE_T0 = 1; + localparam STATE_T1 = 2; + localparam STATE_T2 = 3; + localparam STATE_T3 = 4; + + localparam HEAD = 9'h13c; + + reg [7:0] clock_a, clock_b, clock_c; + reg [2:0] state; + + always @(posedge clk) + if(rst) + state <= STATE_IDLE; + else if(complete_word) + case(state) + STATE_IDLE : + if(dataout_reg == HEAD) + state <= STATE_T0; + STATE_T0 : + begin + clock_a <= dataout_reg[7:0]; + state <= STATE_T1; + end + STATE_T1 : + begin + clock_b <= dataout_reg[7:0]; + state <= STATE_T2; + end + STATE_T2 : + begin + clock_c <= dataout_reg[7:0]; + state <= STATE_T3; + end + STATE_T3 : + state <= STATE_IDLE; + endcase // case(state) + + assign master_time = {clock_a, clock_b, clock_c, dataout_reg[7:0]}; + assign sync_rcvd = (complete_word & (state == STATE_T3)); + +endmodule // time_sender diff --git a/usrp2/fpga/timing/time_sender.v b/usrp2/fpga/timing/time_sender.v new file mode 100644 index 00000000..aa2fcbbd --- /dev/null +++ b/usrp2/fpga/timing/time_sender.v @@ -0,0 +1,110 @@ + + +module time_sender + (input clk, input rst, + input [31:0] master_time, + input send_sync, + output exp_pps_out); + + reg [7:0] datain; + reg k; + wire [9:0] dataout; + reg [9:0] dataout_reg; + reg disp_reg; + wire disp, new_word; + + encode_8b10b encode_8b10b + (.datain({k,datain}),.dispin(disp_reg), + .dataout(dataout),.dispout(disp)); + + assign exp_pps_out = dataout_reg[0]; + + always @(posedge clk) + if(rst) + disp_reg <= 0; + else if(new_word) + disp_reg <= disp; + + always @(posedge clk) + if(rst) + dataout_reg <= 0; + else if(new_word) + dataout_reg <= dataout; + else + dataout_reg <= {1'b0,dataout_reg[9:1]}; + + reg [4:0] state; + reg [3:0] bit_count; + + assign new_word = (bit_count == 9); + + always @(posedge clk) + if(rst) + bit_count <= 0; + else if(new_word | send_sync) + bit_count <= 0; + else + bit_count <= bit_count + 1; + + localparam SEND_IDLE = 0; + localparam SEND_HEAD = 1; + localparam SEND_T0 = 2; + localparam SEND_T1 = 3; + localparam SEND_T2 = 4; + localparam SEND_T3 = 5; + + localparam COMMA = 8'hBC; + localparam HEAD = 8'h3C; + + reg [31:0] master_time_reg; + + always @(posedge clk) + if(rst) + master_time_reg <= 0; + else if(send_sync) + master_time_reg <= master_time; + + always @(posedge clk) + if(rst) + begin + {k,datain} <= 0; + state <= SEND_IDLE; + end + else + if(send_sync) + state <= SEND_HEAD; + else if(new_word) + case(state) + SEND_IDLE : + {k,datain} <= {1'b1,COMMA}; + SEND_HEAD : + begin + {k,datain} <= {1'b1, HEAD}; + state <= SEND_T0; + end + SEND_T0 : + begin + {k,datain} <= {1'b0, master_time_reg[31:24] }; + state <= SEND_T1; + end + SEND_T1 : + begin + {k,datain} <= {1'b0, master_time_reg[23:16]}; + state <= SEND_T2; + end + SEND_T2 : + begin + {k,datain} <= {1'b0, master_time_reg[15:8]}; + state <= SEND_T3; + end + SEND_T3 : + begin + {k,datain} <= {1'b0, master_time_reg[7:0]}; + state <= SEND_IDLE; + end + default : + state <= SEND_IDLE; + endcase // case(state) + + +endmodule // time_sender diff --git a/usrp2/fpga/timing/time_sync.v b/usrp2/fpga/timing/time_sync.v new file mode 100644 index 00000000..990674c6 --- /dev/null +++ b/usrp2/fpga/timing/time_sync.v @@ -0,0 +1,110 @@ + + +module time_sync + (input wb_clk_i, input rst_i, + input cyc_i, input stb_i, input [2:0] adr_i, + input we_i, input [31:0] dat_i, output [31:0] dat_o, output ack_o, + input sys_clk_i, output [31:0] master_time_o, + input pps_in, input exp_pps_in, output exp_pps_out, + output reg int_o ); + + wire [31:0] master_time_rcvd; + reg [31:0] master_time; + reg [31:0] delta_time; + + reg internal_tick; + wire sync_rcvd, pps_ext; + reg [31:0] tick_time, tick_time_wb; + wire tick_free_run; + reg tick_int_enable, tick_source, external_sync; + reg [31:0] tick_interval; + + // Generate master time + always @(posedge sys_clk_i) + if(rst_i) + master_time <= 0; + else if(external_sync & sync_rcvd) + master_time <= master_time_rcvd + delta_time; + else + master_time <= master_time + 1; + assign master_time_o = master_time; + + time_sender time_sender + (.clk(sys_clk_i),.rst(rst_i), + .master_time(master_time), + .send_sync(internal_tick), + .exp_pps_out(exp_pps_out) ); + + time_receiver time_receiver + (.clk(sys_clk_i),.rst(rst_i), + .master_time(master_time_rcvd), + .sync_rcvd(sync_rcvd), + .exp_pps_in(exp_pps_in) ); + + assign ack_o = stb_i; + + always @(posedge wb_clk_i) + if(rst_i) + begin + tick_source <= 0; + tick_int_enable <= 0; + external_sync <= 0; + tick_interval <= 100000-1; // default to 1K times per second + delta_time <= 0; + end + else if(stb_i & we_i) + if(adr_i[2:0] == 2) + delta_time <= dat_i; + else if(adr_i[2:0] == 1) + tick_interval <= dat_i; + else + begin + tick_source <= dat_i[0]; + tick_int_enable <= dat_i[1]; + external_sync <= dat_i[2]; + end + + always @(posedge sys_clk_i) + if(internal_tick) + tick_time <= master_time; + + always @(posedge wb_clk_i) + tick_time_wb <= tick_time; + + assign dat_o = tick_time_wb; + + always @(posedge sys_clk_i) + internal_tick <= (tick_source == 0) ? tick_free_run : pps_ext; + + reg [31:0] counter; + always @(posedge sys_clk_i) + if(rst_i) + counter <= 0; + else if(tick_free_run) + counter <= 0; + else + counter <= counter + 1; + assign tick_free_run = (counter >= tick_interval); + + // Properly Latch and edge detect External PPS input + reg pps_in_d1, pps_in_d2; + always @(posedge sys_clk_i) + begin + pps_in_d1 <= pps_in; + pps_in_d2 <= pps_in_d1; + end + assign pps_ext = pps_in_d1 & ~pps_in_d2; + + // Need to register this? + reg internal_tick_d1; + always @(posedge sys_clk_i) internal_tick_d1 <= internal_tick; + + always @(posedge wb_clk_i) + if(rst_i) + int_o <= 0; + else if(tick_int_enable & (internal_tick | internal_tick_d1)) + int_o <= 1; + else + int_o <= 0; + +endmodule // time_sync diff --git a/usrp2/fpga/timing/time_transfer_tb.v b/usrp2/fpga/timing/time_transfer_tb.v new file mode 100644 index 00000000..2b75c60b --- /dev/null +++ b/usrp2/fpga/timing/time_transfer_tb.v @@ -0,0 +1,50 @@ + +`timescale 1ns / 1ps + +module time_transfer_tb(); + + reg clk = 0, rst = 1; + always #5 clk = ~clk; + + initial + begin + @(negedge clk); + @(negedge clk); + rst <= 0; + end + + initial $dumpfile("time_transfer_tb.vcd"); + initial $dumpvars(0,time_transfer_tb); + + initial #100000000 $finish; + + wire exp_pps, pps, pps_rcv; + wire [31:0] master_clock_rcv; + reg [31:0] master_clock = 0; + reg [31:0] counter = 0; + + localparam PPS_PERIOD = 109; + always @(posedge clk) + if(counter == PPS_PERIOD) + counter <= 0; + else + counter <= counter + 1; + assign pps = (counter == (PPS_PERIOD-1)); + + always @(posedge clk) + master_clock <= master_clock + 1; + + time_sender time_sender + (.clk(clk),.rst(rst), + .master_clock(master_clock), + .pps(pps), + .exp_pps_out(exp_pps) ); + + time_receiver time_receiver + (.clk(clk),.rst(rst), + .master_clock(master_clock_rcv), + .pps(pps_rcv), + .exp_pps_in(exp_pps) ); + + wire [31:0] delta = master_clock - master_clock_rcv; +endmodule // time_transfer_tb diff --git a/usrp2/fpga/timing/timer.v b/usrp2/fpga/timing/timer.v new file mode 100644 index 00000000..70c9746b --- /dev/null +++ b/usrp2/fpga/timing/timer.v @@ -0,0 +1,40 @@ + + +module timer + (input wb_clk_i, input rst_i, + input cyc_i, input stb_i, input [2:0] adr_i, + input we_i, input [31:0] dat_i, output [31:0] dat_o, output ack_o, + input sys_clk_i, input [31:0] master_time_i, + output int_o ); + + reg [31:0] time_wb; + always @(posedge wb_clk_i) + time_wb <= master_time_i; + + assign ack_o = stb_i; + + reg [31:0] int_time; + reg int_reg; + + always @(posedge sys_clk_i) + if(rst_i) + begin + int_time <= 0; + int_reg <= 0; + end + else if(|int_time && (master_time_i == int_time)) + begin + int_time <= 0; + int_reg <= 1; + end + else if(stb_i & we_i) + begin + int_time <= dat_i; + int_reg <= 0; + end + + assign dat_o = time_wb; + assign int_o = int_reg; + +endmodule // timer + diff --git a/usrp2/fpga/top/eth_test/eth_sim_top.v b/usrp2/fpga/top/eth_test/eth_sim_top.v new file mode 100644 index 00000000..640a4e60 --- /dev/null +++ b/usrp2/fpga/top/eth_test/eth_sim_top.v @@ -0,0 +1,437 @@ +////////////////////////////////////////////////////////////////////////////////// +// Module Name: u2_basic +////////////////////////////////////////////////////////////////////////////////// + +module eth_sim_top + (// Clocks + input dsp_clk, + input wb_clk, + output clock_ready, + input clk_to_mac, + input pps_in, + + // Misc, debug + output led1, + output led2, + output [31:0] debug, + output [1:0] debug_clk, + + // Expansion + input exp_pps_in, + output exp_pps_out, + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output [7:0] GMII_TXD, + output GMII_TX_EN, + output GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + input PHY_RESETn, + input PHY_CLK, // possibly use on-board osc + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output [15:0] ser_t, + output ser_tklsb, + output ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // CPLD interface + output cpld_start, + output cpld_mode, + output cpld_done, + input cpld_din, + input cpld_clk, + input cpld_detached, + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_oen_a, + output adc_pdn_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_oen_b, + output adc_pdn_b, + + // DAC + output [15:0] dac_a, + output [15:0] dac_b, + + // I2C + input scl_pad_i, + output scl_pad_o, + output scl_pad_oen_o, + input sda_pad_i, + output sda_pad_o, + output sda_pad_oen_o, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Generic SPI + output sclk, + output mosi, + input miso, + output sen_clk, + output sen_dac, + output sen_tx_db, + output sen_tx_adc, + output sen_tx_dac, + output sen_rx_db, + output sen_rx_adc, + output sen_rx_dac, + + // GPIO to DBoards + inout [15:0] io_tx, + inout [15:0] io_rx + ); + + wire [7:0] set_addr; + wire [31:0] set_data; + wire set_stb; + + wire ram_loader_done; + wire ram_loader_rst, wb_rst, dsp_rst; + + wire [31:0] ser_debug; + + ////////////////////////////////////////////////////////////////////////////////////////////////// + // Wishbone Single Master INTERCON + parameter dw = 32; // Data bus width + parameter aw = 16; // Address bus width, for byte addressibility, 16 = 64K byte memory space + parameter sw = 4; // Select width -- 32-bit data bus with 8-bit granularity. + + wire [dw-1:0] m0_dat_o, m1_dat_o, m0_dat_i, m1_dat_i; + wire [dw-1:0] s0_dat_o, s1_dat_o, s0_dat_i, s1_dat_i, s2_dat_o, s3_dat_o, s2_dat_i, s3_dat_i, + s4_dat_o, s5_dat_o, s4_dat_i, s5_dat_i, s6_dat_o, s7_dat_o, s6_dat_i, s7_dat_i; + wire [aw-1:0] m0_adr, m1_adr, s0_adr,s1_adr,s2_adr,s3_adr,s4_adr,s5_adr,s6_adr,s7_adr; + wire [sw-1:0] m0_sel, m1_sel, s0_sel, s1_sel, s2_sel, s3_sel, s4_sel, s5_sel, s6_sel, s7_sel; + wire m0_ack, m1_ack, s0_ack, s1_ack, s2_ack, s3_ack, s4_ack, s5_ack, s6_ack, s7_ack; + wire m0_stb, m1_stb, s0_stb, s1_stb, s2_stb, s3_stb, s4_stb, s5_stb, s6_stb, s7_stb; + wire m0_cyc, m1_cyc, s0_cyc, s1_cyc, s2_cyc, s3_cyc, s4_cyc, s5_cyc, s6_cyc, s7_cyc; + wire m0_err, m1_err, s0_err, s1_err, s2_err, s3_err, s4_err, s5_err, s6_err, s7_err; + wire m0_rty, m1_rty, s0_rty, s1_rty, s2_rty, s3_rty, s4_rty, s5_rty, s6_rty, s7_rty; + wire m0_we, m1_we, s0_we, s1_we, s2_we, s3_we, s4_we, s5_we, s6_we, s7_we; + + wb_1master #(.s0_addr_w(2),.s0_addr(2'b00),.s1_addr_w(2),.s1_addr(2'b01), + .s27_addr_w(4),.s2_addr(4'b1000),.s3_addr(4'b1001),.s4_addr(4'b1010), + .s5_addr(4'b1011),.s6_addr(4'b1100),.s7_addr(4'b1101), + .dw(dw),.aw(aw),.sw(sw)) wb_1master + (.clk_i(wb_clk),.rst_i(wb_rst), + + .m0_dat_o(m0_dat_o),.m0_ack_o(m0_ack),.m0_err_o(m0_err),.m0_rty_o(m0_rty),.m0_dat_i(m0_dat_i), + .m0_adr_i(m0_adr),.m0_sel_i(m0_sel),.m0_we_i(m0_we),.m0_cyc_i(m0_cyc),.m0_stb_i(m0_stb), + .s0_dat_o(s0_dat_o),.s0_adr_o(s0_adr),.s0_sel_o(s0_sel),.s0_we_o (s0_we),.s0_cyc_o(s0_cyc),.s0_stb_o(s0_stb), + .s0_dat_i(s0_dat_i),.s0_ack_i(s0_ack),.s0_err_i(s0_err),.s0_rty_i(s0_rty), + .s1_dat_o(s1_dat_o),.s1_adr_o(s1_adr),.s1_sel_o(s1_sel),.s1_we_o (s1_we),.s1_cyc_o(s1_cyc),.s1_stb_o(s1_stb), + .s1_dat_i(s1_dat_i),.s1_ack_i(s1_ack),.s1_err_i(s1_err),.s1_rty_i(s1_rty), + .s2_dat_o(s2_dat_o),.s2_adr_o(s2_adr),.s2_sel_o(s2_sel),.s2_we_o (s2_we),.s2_cyc_o(s2_cyc),.s2_stb_o(s2_stb), + .s2_dat_i(s2_dat_i),.s2_ack_i(s2_ack),.s2_err_i(s2_err),.s2_rty_i(s2_rty), + .s3_dat_o(s3_dat_o),.s3_adr_o(s3_adr),.s3_sel_o(s3_sel),.s3_we_o (s3_we),.s3_cyc_o(s3_cyc),.s3_stb_o(s3_stb), + .s3_dat_i(s3_dat_i),.s3_ack_i(s3_ack),.s3_err_i(s3_err),.s3_rty_i(s3_rty), + .s4_dat_o(s4_dat_o),.s4_adr_o(s4_adr),.s4_sel_o(s4_sel),.s4_we_o (s4_we),.s4_cyc_o(s4_cyc),.s4_stb_o(s4_stb), + .s4_dat_i(s4_dat_i),.s4_ack_i(s4_ack),.s4_err_i(s4_err),.s4_rty_i(s4_rty), + .s5_dat_o(s5_dat_o),.s5_adr_o(s5_adr),.s5_sel_o(s5_sel),.s5_we_o (s5_we),.s5_cyc_o(s5_cyc),.s5_stb_o(s5_stb), + .s5_dat_i(s5_dat_i),.s5_ack_i(s5_ack),.s5_err_i(s5_err),.s5_rty_i(s5_rty), + .s6_dat_o(s6_dat_o),.s6_adr_o(s6_adr),.s6_sel_o(s6_sel),.s6_we_o (s6_we),.s6_cyc_o(s6_cyc),.s6_stb_o(s6_stb), + .s6_dat_i(s6_dat_i),.s6_ack_i(s6_ack),.s6_err_i(s6_err),.s6_rty_i(s6_rty), + .s7_dat_o(s7_dat_o),.s7_adr_o(s7_adr),.s7_sel_o(s7_sel),.s7_we_o (s7_we),.s7_cyc_o(s7_cyc),.s7_stb_o(s7_stb), + .s7_dat_i(s7_dat_i),.s7_ack_i(s7_ack),.s7_err_i(s7_err),.s7_rty_i(s7_rty) + ); + + ////////////////////////////////////////////////////////////////////////////////////////// + // Reset Controller + system_control sysctrl (.wb_clk_i(wb_clk), + .ram_loader_rst_o(ram_loader_rst), + .wb_rst_o(wb_rst), + .ram_loader_done_i(ram_loader_done)); + + // /////////////////////////////////////////////////////////////////// + // RAM Loader + wire iram_wr_stb, iram_rd_stb, iram_wr_ack, iram_rd_ack, iram_ack, iram_wr_we; + wire [3:0] iram_wr_sel; + wire [aw-1:0] iram_wr_adr, iram_rd_adr; + wire [dw-1:0] iram_wr_dat, iram_rd_dat; + + wire bus_error, proc_int; + + assign iram_rd_ack = ram_loader_done ? iram_ack : 1'b0; + assign iram_wr_ack = ram_loader_done ? 1'b0 : iram_ack; + + ram_loader #(.AWIDTH(16)) + ram_loader (.clk_i(wb_clk),.rst_i(ram_loader_rst), + // CPLD Interface + .cfg_clk_i(cpld_clk), + .cfg_data_i(cpld_din), + .start_o(cpld_start), + .mode_o(cpld_mode), + .done_o(cpld_done), + .detached_i(cpld_detached), + // Wishbone Interface + .wb_dat_o(iram_wr_dat),.wb_adr_o(iram_wr_adr), + .wb_stb_o(iram_wr_stb),.wb_cyc_o(),.wb_sel_o(iram_wr_sel), + .wb_we_o(iram_wr_we),.wb_ack_i(iram_wr_ack), + .ram_loader_done_o(ram_loader_done)); + + // Processor + aeMB_core_BE #(.ISIZ(16),.DSIZ(16)) + aeMB (.sys_clk_i(wb_clk), .sys_rst_i(wb_rst), + // Instruction Wishbone bus to I-RAM + .iwb_stb_o(iram_rd_stb),.iwb_adr_o(iram_rd_adr), + .iwb_dat_i(iram_rd_dat),.iwb_ack_i(iram_rd_ack), + // Data Wishbone bus to system bus fabric + .dwb_we_o(m0_we),.dwb_stb_o(m0_stb),.dwb_dat_o(m0_dat_i),.dwb_adr_o(m0_adr), + .dwb_dat_i(m0_dat_o),.dwb_ack_i(m0_ack),.dwb_sel_o(m0_sel),.dwb_cyc_o(m0_cyc), + // Interrupts and exceptions + .sys_int_i(proc_int),.sys_exc_i(bus_error) ); + + assign bus_error = m0_err | m0_rty; + assign proc_int = 1'b0; + + // Dual Ported RAM -- D-Port is Slave #0 on main Wishbone + // I-port connects directly to processor and ram loader + + ram_wb_harvard #(.AWIDTH(14)) + ID_ram (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst), + + .iwb_adr_i(ram_loader_done ? iram_rd_adr : iram_wr_adr),.iwb_dat_i(iram_wr_dat),.iwb_dat_o(iram_rd_dat), + .iwb_we_i(iram_wr_we),.iwb_ack_o(iram_ack),.iwb_stb_i(ram_loader_done ? iram_rd_stb : iram_wr_stb), + .iwb_sel_i(ram_loader_done ? 4'b1111 : iram_wr_sel), + + .dwb_adr_i(s0_adr),.dwb_dat_i(s0_dat_o),.dwb_dat_o(s0_dat_i), + .dwb_we_i(s0_we),.dwb_ack_o(s0_ack),.dwb_stb_i(s0_stb),.dwb_sel_i(s0_sel)); + + assign s0_err = 1'b0; + assign s0_rty = 1'b0; + + // Buffer Pool, slave #1 + wire rd0_read, rd0_ready, rd0_done, rd0_empty; + wire rd1_read, rd1_ready, rd1_done, rd1_empty; + wire rd2_read, rd2_ready, rd2_done, rd2_empty; + wire rd3_read, rd3_ready, rd3_done, rd3_empty; + wire [31:0] rd0_dat, rd1_dat, rd2_dat, rd3_dat; + + wire wr0_write, wr0_done, wr0_ready, wr0_full; + wire wr1_write, wr1_done, wr1_ready, wr1_full; + wire wr2_write, wr2_done, wr2_ready, wr2_full; + wire wr3_write, wr3_done, wr3_ready, wr3_full; + wire [31:0] wr0_dat, wr1_dat, wr2_dat, wr3_dat; + +/* + buffer_pool buffer_pool + (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst), + .wb_we_i(s1_we),.wb_stb_i(s1_stb),.wb_adr_i(s1_adr),.wb_dat_i(s1_dat_o), + .wb_dat_o(s1_dat_i),.wb_ack_o(s1_ack),.wb_err_o(s1_err),.wb_rty_o(s1_rty), + + .stream_clk(dsp_clk),.stream_rst(dsp_rst), + // Write Interfaces + .wr0_dat_i(),.wr0_write_i(),.wr0_done_i(),.wr0_ready_o(),.wr0_full_o(), + .wr1_dat_i(),.wr1_write_i(),.wr1_done_i(),.wr1_ready_o(),.wr1_full_o(), + .wr2_dat_i(),.wr2_write_i(),.wr2_done_i(),.wr2_ready_o(),.wr2_full_o(), + .wr3_dat_i(),.wr3_write_i(),.wr3_done_i(),.wr3_ready_o(),.wr3_full_o(), + // Read Interfaces + .rd0_dat_o(rd0_dat),.rd0_read_i(rd0_read),.rd0_done_i(),.rd0_ready_o(rd0_ready),.rd0_empty_o(rd0_empty), + .rd1_dat_o(rd1_dat),.rd1_read_i(rd1_read),.rd1_done_i(),.rd1_ready_o(rd1_ready),.rd1_empty_o(rd1_empty), + .rd2_dat_o(rd2_dat),.rd2_read_i(rd2_read),.rd2_done_i(),.rd2_ready_o(rd2_ready),.rd2_empty_o(rd2_empty), + .rd3_dat_o(rd3_dat),.rd3_read_i(rd3_read),.rd3_done_i(),.rd3_ready_o(rd3_ready),.rd3_empty_o(rd3_empty) + ); +*/ + // SPI -- Slave #2 + spi_top shared_spi + (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.wb_adr_i(s2_adr),.wb_dat_i(s2_dat_o),.wb_dat_o(s2_dat_i), + .wb_sel_i(s2_sel),.wb_we_i(s2_we),.wb_stb_i(s2_stb),.wb_cyc_i(s2_cyc),.wb_ack_o(s2_ack), + + .wb_err_o(s2_err),.wb_int_o(s2_int), + .ss_pad_o({sen_tx_db,sen_tx_adc,sen_tx_dac,sen_rx_db,sen_rx_adc,sen_rx_dac,sen_dac,sen_clk}), + .sclk_pad_o(sclk),.mosi_pad_o(mosi),.miso_pad_i(miso) ); + + assign s2_rty = 1'b0; + + // I2C -- Slave #3 + i2c_master_top #(.ARST_LVL(1)) + i2c (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.arst_i(1'b0), + .wb_adr_i(s3_adr),.wb_dat_i(s3_dat_o),.wb_dat_o(s3_dat_i), + .wb_we_i(s3_we),.wb_stb_i(s3_stb),.wb_cyc_i(s3_cyc), + .wb_ack_o(s3_ack),.wb_inta_o(st_int), + .scl_pad_i(scl_pad_i),.scl_pad_o(scl_pad_o),.scl_padoen_o(scl_pad_oen_o), + .sda_pad_i(sda_pad_i),.sda_pad_o(sda_pad_o),.sda_padoen_o(sda_pad_oen_o) ); + + assign s3_err = 1'b0; + assign s3_rty = 1'b0; + + // GPIOs -- Slave #4 + wire s4_ack_a, s4_ack_b, s4_ack_c, s4_ack_d; + assign s4_ack = s4_ack_a | s4_ack_b | s4_ack_c | s4_ack_d; + + simple_gpio gpio_a(.clk_i(wb_clk),.rst_i(~wb_rst), + .cyc_i(s4_cyc),.stb_i(s4_stb&s4_sel[0]),.adr_i(s4_adr[2]),.we_i(s4_we), + .dat_i(s4_dat_o[7:0]),.dat_o(s4_dat_i[7:0]),.ack_o(s4_ack_a), + .gpio(/* io_tx[7:0]*/) ); + + simple_gpio gpio_b(.clk_i(wb_clk),.rst_i(~wb_rst), + .cyc_i(s4_cyc),.stb_i(s4_stb&s4_sel[1]),.adr_i(s4_adr[2]),.we_i(s4_we), + .dat_i(s4_dat_o[15:8]),.dat_o(s4_dat_i[15:8]),.ack_o(s4_ack_b), + .gpio(/* io_tx[15:8] */) ); + + simple_gpio gpio_c(.clk_i(wb_clk),.rst_i(~wb_rst), + .cyc_i(s4_cyc),.stb_i(s4_stb&s4_sel[2]),.adr_i(s4_adr[2]),.we_i(s4_we), + .dat_i(s4_dat_o[23:16]),.dat_o(s4_dat_i[23:16]),.ack_o(s4_ack_c), + .gpio(/* io_rx[7:0] */) ); + + simple_gpio gpio_d(.clk_i(wb_clk),.rst_i(~wb_rst), + .cyc_i(s4_cyc),.stb_i(s4_stb&s4_sel[3]),.adr_i(s4_adr[2]),.we_i(s4_we), + .dat_i(s4_dat_o[31:24]),.dat_o(s4_dat_i[31:24]),.ack_o(s4_ack_d), + .gpio(/* io_rx[15:8]*/) ); + + assign s4_err = 1'b0; + assign s4_rty = 1'b0; + + // Output control lines, SLAVE #5 + wire [7:0] clock_outs, serdes_outs, adc_outs, misc_outs; + assign {clock_ready, clk_en[1:0], clk_sel[1:0]} = clock_outs[4:0]; + assign {ser_enable, ser_prbsen, ser_loopen, ser_rx_en} = serdes_outs[3:0]; + assign { adc_oen_a, adc_pdn_a, adc_oen_b, adc_pdn_b } = adc_outs[3:0]; + assign {led2, led1} = misc_outs[1:0]; + + wb_output_pins32 control_lines + (.wb_rst_i(wb_rst),.wb_clk_i(wb_clk),.wb_dat_i(s5_dat_o),.wb_dat_o(s5_dat_i), + .wb_we_i(s5_we),.wb_sel_i(s5_sel),.wb_stb_i(s5_stb),.wb_ack_o(s5_ack),.wb_cyc_i(s5_cyc), + .port_output( {clock_outs,serdes_outs,adc_outs,misc_outs} ) ); + + assign s5_err = 1'b0; + assign s5_rty = 1'b0; + + // Ethernet slave, #6 + eth_wrapper eth_wrapper + (.Reset(wb_rst),.Clk_125M(),.Clk_user(stream_clk),.Clk_reg(wb_clk),.Speed(), + .Gtx_clk(GMII_GTX_CLK),.Rx_clk(GMII_RX_CLK),.Tx_clk(GMII_TX_CLK),//used only in MII mode + .Tx_er(GMII_TX_ER),.Tx_en(GMII_TX_EN),.Txd(GMII_TXD),.Rx_er(GMII_RX_ER), + .Rx_dv(GMII_RX_DV),.Rxd(GMII_RXD),.Crs(GMII_CRS),.Col(GMII_COL), + .Mdio(MDIO),.Mdc(MDC), + // FIFO Interfaces + .wr_dat_o(),.wr_write_o(),.wr_done_o(),.wr_ready_i(),.wr_full_i(), + .rd_dat_i(),.rd_read_o(),.rd_done_o(),.rd_ready_i(),.rd_empty_i(), + // Wishbone + .wb_dat_i(s6_dat_o),.wb_dat_o(s6_dat_i),.wb_adr_i(s6_adr),.wb_stb_i(s6_stb),.wb_we_i(s6_we),.wb_ack_o(s6_ack) + ); + + assign s6_err = 1'b0; + assign s6_rty = 1'b0; + + // Settings Bus -- Slave #7 + settings_bus settings_bus + (.wb_clk(wb_clk),.wb_rst(wb_rst),.wb_adr_i(s7_adr),.wb_dat_i(s7_dat_o), + .wb_stb_i(s7_stb),.wb_we_i(s7_we),.wb_ack_o(s7_ack), + .sys_clk(dsp_clk),.strobe(set_stb),.addr(set_addr),.data(set_data)); + + assign s7_err = 1'b0; + assign s7_rty = 1'b0; + assign s7_dat_i = 32'd0; + + /////////////////////////////////////////////////////////////////////////// + // DSP + reg [13:0] adc_a_reg1, adc_b_reg1, adc_a_reg2, adc_b_reg2; + reg adc_ovf_a_reg1, adc_ovf_a_reg2, adc_ovf_b_reg1, adc_ovf_b_reg2; + + always @(posedge dsp_clk) + begin + adc_a_reg1 <= adc_a; + adc_a_reg2 <= adc_a_reg1; + adc_b_reg1 <= adc_b; + adc_b_reg2 <= adc_b_reg1; + adc_ovf_a_reg1 <= adc_ovf_a; + adc_ovf_a_reg2 <= adc_ovf_a_reg1; + adc_ovf_b_reg1 <= adc_ovf_b; + adc_ovf_b_reg2 <= adc_ovf_b_reg1; + end // always @ (posedge dsp_clk) + + dsp_core_rx dsp_core_rx + (.clk(dsp_clk),.rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .adc_a(adc_a),.adc_ovf_a(adc_ovf_a),.adc_b(adc_b),.adc_ovf_b(adc_ovf_b), + .rx_dat_o(wr1_dat),.rx_write_o(wr1_write),.rx_done_o(wr1_done), + .rx_ready_i(wr1_ready),.rx_full_i(wr1_full), + .overrun() ); + + dsp_core_tx dsp_core_tx + (.clk(dsp_clk),.rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .dac_a(dac_a),.dac_b(dac_b), + .tx_dat_i(rd1_dat),.tx_read_o(rd1_read),.tx_done_o(rd1_done), + .tx_ready_i(rd1_ready),.tx_empty_i(rd1_empty), + .underrun() ); + + assign dsp_rst = wb_rst; + + ///////////////////////////////////////////////////////////////////////////////////// + // SERDES + serdes_tx serdes_tx + (.clk(dsp_clk),.rst(dsp_rst), + .ser_tx_clk(ser_tx_clk),.ser_t(ser_t),.ser_tklsb(ser_tklsb),.ser_tkmsb(ser_tkmsb), + .fifo_data_i(rd0_dat),.fifo_read_o(rd0_read),.fifo_done_o(rd0_done), + .fifo_ready_i(rd0_ready),.fifo_empty_i(rd0_empty) + ); + + serdes_rx serdes_rx + (.clk(dsp_clk),.rst(dsp_rst), + .ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb), + .fifo_data_o(wr0_dat),.fifo_wr_o(wr0_write),.fifo_ready_i(wr0_ready),.fifo_done_i(wr0_done) + ); + + // Debug Pins + wire [31:0] debug1={{1'b0,ram_loader_done,clock_ready,dsp_clk,wb_clk,ram_loader_rst,wb_rst,dsp_rst}, + {1'b0,cpld_start,cpld_mode,cpld_done,1'b0,cpld_din,cpld_clk,cpld_detached}, + {8'hAF}, + {2'b0, clk_status, sen_dac, sen_clk, sclk, mosi, miso}}; + + wire [31:0] debug_wb={{iram_wr_we,ram_loader_done,clock_ready,iram_wr_ack,iram_wr_stb,ram_loader_rst,wb_rst,dsp_rst}, + {iram_rd_adr[15:8]}, + {iram_rd_adr[7:0]}, + {serdes_outs}}; + + assign io_rx = ser_debug[31:16]; + assign io_tx = ser_debug[15:0]; + + assign debug = debug_wb; + + assign debug_clk[0] = wb_clk; + assign debug_clk[1] = dsp_clk; + +endmodule // eth_test + + +// Local Variables: +// verilog-library-directories:("." "subdir" "subdir2") +// verilog-library-files:("/home/matt/u2f/opencores/wb_conbus/rtl/verilog/wb_conbus_top.v") +// verilog-library-extensions:(".v" ".h") +// End: diff --git a/usrp2/fpga/top/eth_test/eth_tb.v b/usrp2/fpga/top/eth_test/eth_tb.v new file mode 100644 index 00000000..451ce1e7 --- /dev/null +++ b/usrp2/fpga/top/eth_test/eth_tb.v @@ -0,0 +1,257 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +// Nearly everything is an input + +module eth_tb(); + // Misc, debug + wire led1; + wire led2; + wire [31:0] debug; + wire [1:0] debug_clk; + + // Expansion + wire exp_pps_in; + wire exp_pps_out; + + // GMII + // GMII-CTRL + wire GMII_COL; + wire GMII_CRS; + + // GMII-TX + wire [7:0] GMII_TXD; + wire GMII_TX_EN; + wire GMII_TX_ER; + wire GMII_GTX_CLK; + wire GMII_TX_CLK; // 100mbps clk + + // GMII-RX + wire [7:0] GMII_RXD; + wire GMII_RX_CLK; + wire GMII_RX_DV; + wire GMII_RX_ER; + + // GMII-Management + wire MDIO; + wire MDC; + wire PHY_INTn; // open drain + wire PHY_RESETn; + wire PHY_CLK; // possibly use on-board osc + + // RAM + wire [17:0] RAM_D; + wire [18:0] RAM_A; + wire RAM_CE1n; + wire RAM_CENn; + wire RAM_CLK; + wire RAM_WEn; + wire RAM_OEn; + wire RAM_LDn; + + // SERDES + wire ser_enable; + wire ser_prbsen; + wire ser_loopen; + wire ser_rx_en; + + wire ser_tx_clk; + wire [15:0] ser_t; + wire ser_tklsb; + wire ser_tkmsb; + + wire ser_rx_clk; + wire [15:0] ser_r; + wire ser_rklsb; + wire ser_rkmsb; + + // CPLD interface + wire cpld_din, cpld_clk, cpld_detached, cpld_start, cpld_mode, cpld_done; + + // ADC + wire [13:0] adc_a; + wire adc_ovf_a; + wire adc_oen_a; + wire adc_pdn_a; + + wire [13:0] adc_b; + wire adc_ovf_b; + wire adc_oen_b; + wire adc_pdn_b; + + // DAC + wire [15:0] dac_a; + wire [15:0] dac_b; + + // I2C + wire SCL; + wire SDA; + + // Clock Gen Control + wire [1:0] clk_en; + wire [1:0] clk_sel; + wire clk_func; // FIXME is an input to control the 9510 + wire clk_status; + + // Clocks + reg clk_fpga; + wire clk_to_mac; + wire pps_in; + + // Generic SPI + wire sclk, mosi, miso; + wire sen_clk; + wire sen_dac; + wire sen_tx_db; + wire sen_tx_adc; + wire sen_tx_dac; + wire sen_rx_db; + wire sen_rx_adc; + wire sen_rx_dac; + + // GPIO to DBoards + wire [15:0] io_tx; + wire [15:0] io_rx; + + wire wb_clk, wb_rst; + wire start, clock_ready; + + reg aux_clk; + + initial aux_clk= 1'b0; + always #25 aux_clk = ~aux_clk; + + initial clk_fpga = 1'bx; + initial #3007 clk_fpga = 1'b0; + always #7 clk_fpga = ~clk_fpga; + + + wire div_clk; + reg [2:0] div_ctr = 0; + + always @(posedge clk_fpga or negedge clk_fpga) + if(div_ctr==5) + div_ctr = 0; + else + div_ctr = div_ctr + 1; + assign div_clk = (div_ctr == 0) | (div_ctr == 1) | (div_ctr == 2); + + assign dsp_clk = clk_fpga; + assign wb_clk = clock_ready ? div_clk : aux_clk; + + initial + $monitor($time, ,clock_ready); + + initial begin + $dumpfile("eth_tb.vcd"); + $dumpvars(0,eth_tb); + end + + initial #10000000 $finish; + + cpld_model + cpld_model (.aux_clk(aux_clk),.start(cpld_start),.mode(cpld_mode),.done(cpld_done), + .dout(cpld_din),.sclk(cpld_clk),.detached(cpld_detached)); + + eth_sim_top eth_sim_top(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .led1 (led1), + .led2 (led2), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD[7:0]), + .GMII_TX_EN (GMII_TX_EN), + .GMII_TX_ER (GMII_TX_ER), + .GMII_GTX_CLK (GMII_GTX_CLK), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .PHY_CLK (PHY_CLK), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk), + .ser_t (ser_t[15:0]), + .ser_tklsb (ser_tklsb), + .ser_tkmsb (ser_tkmsb), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r[15:0]), + .ser_rklsb (ser_rklsb), + .ser_rkmsb (ser_rkmsb), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a[13:0]), + .adc_ovf_a (adc_ovf_a), + .adc_oen_a (adc_oen_a), + .adc_pdn_a (adc_pdn_a), + .adc_b (adc_b[13:0]), + .adc_ovf_b (adc_ovf_b), + .adc_oen_b (adc_oen_b), + .adc_pdn_b (adc_pdn_b), + .dac_a (dac_a[15:0]), + .dac_b (dac_b[15:0]), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0])); + + // Experimental printf-like function + always @(posedge wb_clk) + begin + if((eth_sim_top.m0_we == 1'd1)&&(eth_sim_top.m0_adr == 16'hC000)) + $write("%x",eth_sim_top.m0_dat_i); + if((eth_sim_top.m0_we == 1'd1)&&(eth_sim_top.m0_adr == 16'hC100)) + $display("%x",eth_sim_top.m0_dat_i); + if((eth_sim_top.m0_we == 1'd1)&&(eth_sim_top.m0_adr == 16'hC004)) + $write("%c",eth_sim_top.m0_dat_i); + if((eth_sim_top.m0_we == 1'd1)&&(eth_sim_top.m0_adr == 16'hC104)) + $display("%c",eth_sim_top.m0_dat_i); + if((eth_sim_top.m0_we == 1'd1)&&(eth_sim_top.m0_adr == 16'hC008)) + $display(""); + end + + +endmodule // u2_sim_top + +// Local Variables: +// verilog-library-directories:("." "subdir" "subdir2") +// verilog-library-files:("/home/matt/u2f/top/u2_basic/u2_basic.v") +// verilog-library-extensions:(".v" ".h") +// End: diff --git a/usrp2/fpga/top/single_u2_sim/single_u2_sim.v b/usrp2/fpga/top/single_u2_sim/single_u2_sim.v new file mode 100644 index 00000000..4e891d38 --- /dev/null +++ b/usrp2/fpga/top/single_u2_sim/single_u2_sim.v @@ -0,0 +1,322 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +module single_u2_sim(); + // Misc, debug + wire [7:0] leds; + wire [31:0] debug; + wire [1:0] debug_clk; + + // Expansion + wire exp_pps_in; + wire exp_pps_out; + + // GMII + // GMII-CTRL + wire GMII_COL; + wire GMII_CRS; + + // GMII-TX + wire [7:0] GMII_TXD; + wire GMII_TX_EN; + wire GMII_TX_ER; + wire GMII_GTX_CLK; + wire GMII_TX_CLK; // 100mbps clk + + // GMII-RX + wire [7:0] GMII_RXD; + wire GMII_RX_CLK; + wire GMII_RX_DV; + wire GMII_RX_ER; + + // GMII-Management + wire MDIO; + wire MDC; + wire PHY_INTn; // open drain + wire PHY_RESETn; + wire PHY_CLK; // possibly use on-board osc + + // RAM + wire [17:0] RAM_D; + wire [18:0] RAM_A; + wire RAM_CE1n; + wire RAM_CENn; + wire RAM_CLK; + wire RAM_WEn; + wire RAM_OEn; + wire RAM_LDn; + + // SERDES + wire ser_enable; + wire ser_prbsen; + wire ser_loopen; + wire ser_rx_en; + + wire ser_tx_clk; + wire [15:0] ser_t; + wire ser_tklsb; + wire ser_tkmsb; + + wire ser_rx_clk; + wire [15:0] ser_r; + wire ser_rklsb; + wire ser_rkmsb; + + // CPLD interface + wire cpld_din, cpld_clk, cpld_detached, cpld_start, cpld_mode, cpld_done; + + // ADC + wire [13:0] adc_a; + wire adc_ovf_a; + wire adc_on_a, adc_oe_a; + + wire [13:0] adc_b; + wire adc_ovf_b; + wire adc_on_b, adc_oe_b; + + // DAC + wire [15:0] dac_a; + wire [15:0] dac_b; + + // I2C + wire SCL; + wire SDA; + + // Clock Gen Control + wire [1:0] clk_en; + wire [1:0] clk_sel; + wire clk_func; // FIXME is an input to control the 9510 + wire clk_status; + + // Clocks + reg clk_fpga; + reg clk_to_mac; + wire pps_in; + + // Generic SPI + wire sclk, mosi, miso; + wire sen_clk; + wire sen_dac; + wire sen_tx_db; + wire sen_tx_adc; + wire sen_tx_dac; + wire sen_rx_db; + wire sen_rx_adc; + wire sen_rx_dac; + + // GPIO to DBoards + wire [15:0] io_tx; + wire [15:0] io_rx; + + wire wb_clk; + wire start, clock_ready; + + reg aux_clk; + + initial aux_clk= 1'b0; + always #6 aux_clk = ~aux_clk; + + initial clk_fpga = 1'bx; + initial #3007 clk_fpga = 1'b0; + always #5 clk_fpga = ~clk_fpga; + + initial clk_to_mac = 0; + always #4 clk_to_mac = ~clk_to_mac; + + wire div_clk, dsp_clk; + reg [7:0] div_ctr = 0; + + assign dsp_clk = clock_ready ? clk_fpga : aux_clk; + assign wb_clk = div_clk; + +`define CLK_DIV_2 1 +//`define CLK_DIV_3 + +`ifdef CLK_DIV_2 + localparam clock_divider = 4'd2; + always @(posedge dsp_clk) + div_ctr <= div_ctr + 1; + assign div_clk = div_ctr[0]; +`endif + +`ifdef CLK_DIV_3 + localparam clock_divider = 2; + always @(posedge dsp_clk or negedge dsp_clk) + if(div_ctr == 5) + div_ctr <= 0; + else + div_ctr <= div_ctr + 1; + assign div_clk = ((div_ctr == 0) | (div_ctr == 1) | (div_ctr == 2)); +`endif + + initial + $monitor($time, ,clock_ready); + + always #1000000 $monitor("Time in ns ",$time); + + initial begin + @(negedge cpld_done); + @(posedge cpld_done); + $dumpfile("single_u2_sim.lxt"); + $dumpvars(0,single_u2_sim); + end + + initial #10000000 $finish; + + cpld_model + cpld_model (.aux_clk(aux_clk),.start(cpld_start),.mode(cpld_mode),.done(cpld_done), + .dout(cpld_din),.sclk(cpld_clk),.detached(cpld_detached)); + + serdes_model serdes_model + (.ser_tx_clk(ser_tx_clk), .ser_tkmsb(ser_tkmsb), .ser_tklsb(ser_tklsb), .ser_t(ser_t), + .ser_rx_clk(ser_rx_clk), .ser_rkmsb(ser_rkmsb), .ser_rklsb(ser_rklsb), .ser_r(ser_r), + .even(0),.error(0) ); + + adc_model adc_model + (.clk(dsp_clk),.rst(0), + .adc_a(adc_a),.adc_ovf_a(adc_ovf_a),.adc_on_a(adc_on_a),.adc_oe_a(adc_oe_a), + .adc_b(adc_b),.adc_ovf_b(adc_ovf_b),.adc_on_b(adc_on_b),.adc_oe_b(adc_oe_b) ); + + wire [2:0] speed; + Phy_sim phy_model + (.Gtx_clk(GMII_GTX_CLK), . Rx_clk(GMII_RX_CLK), .Tx_clk(GMII_TX_CLK), + .Tx_er(GMII_TX_ER), .Tx_en(GMII_TX_EN), .Txd(GMII_TXD), + .Rx_er(GMII_RX_ER), .Rx_dv(GMII_RX_DV), .Rxd(GMII_RXD), + .Crs(GMII_CRS), .Col(GMII_COL), + .Speed(speed), .Done(0) ); + pullup p3(MDIO); + + miim_model miim_model + (.mdc_i(MDC),.mdio(MDIO),.phy_resetn_i(PHY_RESETn),.phy_clk_i(PHY_CLK), + .phy_intn_o(PHY_INTn),.speed_o(speed) ); + + xlnx_glbl glbl (.GSR(),.GTS()); + + wire RAM_MODE = 1'b0; + cy1356 ram_model(.d(RAM_D),.clk(RAM_CLK),.a(RAM_A), + .bws(2'b00),.we_b(RAM_WEn),.adv_lb(RAM_LDn), + .ce1b(RAM_CE1n),.ce2(1'b1),.ce3b(1'b0), + .oeb(RAM_OEn),.cenb(RAM_CENn),.mode(RAM_MODE) ); + + M24LC024B eeprom_model(.A0(0),.A1(0),.A2(0),.WP(0), + .SDA(SDA),.SCL(SCL),.RESET(0)); + + wire scl_pad_i, scl_pad_o, scl_pad_oen_o; + wire sda_pad_i, sda_pad_o, sda_pad_oen_o; + + pullup p1(SCL); + pullup p2(SDA); + + assign scl_pad_i = SCL; + assign sda_pad_i = SDA; + + assign SCL = scl_pad_oen_o ? 1'bz : scl_pad_o; + assign SDA = sda_pad_oen_o ? 1'bz : sda_pad_o; + + // printf output + wire uart_baud_o, uart_tx_o, uart_rx_i; + assign uart_rx_i = 1'b1; + + uart_rx uart_rx(.baudclk(uart_baud_o),.rxd(uart_tx_o)); + + // End the simulation + always @(posedge wb_clk) + if((u2_core.m0_we == 1'd1)&&(u2_core.m0_adr == 16'hC2F0)) + begin + $display($time, "Finish called.",); + $finish; + end + + u2_core #(.RAM_SIZE(24576)) + u2_core(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .leds (leds), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD[7:0]), + .GMII_TX_EN (GMII_TX_EN), + .GMII_TX_ER (GMII_TX_ER), + .GMII_GTX_CLK (GMII_GTX_CLK), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk), + .ser_t (ser_t[15:0]), + .ser_tklsb (ser_tklsb), + .ser_tkmsb (ser_tkmsb), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r[15:0]), + .ser_rklsb (ser_rklsb), + .ser_rkmsb (ser_rkmsb), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a[13:0]), + .adc_ovf_a (adc_ovf_a), + .adc_on_a (adc_on_a), + .adc_oe_a (adc_oe_a), + .adc_b (adc_b[13:0]), + .adc_ovf_b (adc_ovf_b), + .adc_on_b (adc_on_b), + .adc_oe_b (adc_oe_b), + .dac_a (dac_a[15:0]), + .dac_b (dac_b[15:0]), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0]), + .RAM_D (RAM_D), + .RAM_A (RAM_A), + .RAM_CE1n (RAM_CE1n), + .RAM_CENn (RAM_CENn), + .RAM_CLK (RAM_CLK), + .RAM_WEn (RAM_WEn), + .RAM_OEn (RAM_OEn), + .RAM_LDn (RAM_LDn), + .uart_tx_o (uart_tx_o), + .uart_rx_i (uart_rx_i), + .uart_baud_o (uart_baud_o), + .sim_mode (1'b1), + .clock_divider (clock_divider) + ); + +endmodule // single_u2_sim diff --git a/usrp2/fpga/top/tcl/ise_helper.tcl b/usrp2/fpga/top/tcl/ise_helper.tcl new file mode 100644 index 00000000..fe9db87a --- /dev/null +++ b/usrp2/fpga/top/tcl/ise_helper.tcl @@ -0,0 +1,89 @@ +# +# Copyright 2008 Ettus Research LLC +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +proc set_props {process options} { + if ![string compare $options ""] { + return + } + set state 1 + foreach opt $options { + if $state { + set key $opt + set state 0 + } else { + puts ">>> Setting: $process\[$key\] = $opt" + if ![string compare $process "Project"] { + project set $key $opt + } else { + project set $key $opt -process $process + } + set state 1 + } + } +} + +if [file isfile $env(PROJ_FILE)] { + puts ">>> Opening project: $env(PROJ_FILE)" + project open $env(PROJ_FILE) +} else { + puts ">>> Creating project: $env(PROJ_FILE)" + project new $env(PROJ_FILE) + + ################################################## + # Set the project properties + ################################################## + set_props "Project" $env(PROJECT_PROPERTIES) + + ################################################## + # Add the sources + ################################################## + foreach source $env(SOURCES) { + set source $env(SOURCE_ROOT)$source + puts ">>> Adding source to project: $source" + xfile add $source + } + + ################################################## + # Set the top level module + ################################################## + project set top $env(TOP_MODULE) + + ################################################## + # Set the process properties + ################################################## + set_props "Synthesize - XST" $env(SYNTHESIZE_PROPERTIES) + set_props "Translate" $env(TRANSLATE_PROPERTIES) + set_props "Map" $env(MAP_PROPERTIES) + set_props "Place & Route" $env(PLACE_ROUTE_PROPERTIES) + set_props "Generate Post-Place & Route Static Timing" $env(STATIC_TIMING_PROPERTIES) + set_props "Generate Programming File" $env(GEN_PROG_FILE_PROPERTIES) + set_props "Generate Post-Place & Route Simulation Model" $env(SIM_MODEL_PROPERTIES) +} + +if [string compare $env(PROCESS_RUN) ""] { + puts ">>> Running Process: $env(PROCESS_RUN)" + process run $env(PROCESS_RUN) +} + +project close +exit + + diff --git a/usrp2/fpga/top/u2_core/u2_core.v b/usrp2/fpga/top/u2_core/u2_core.v new file mode 100755 index 00000000..cc5a35c7 --- /dev/null +++ b/usrp2/fpga/top/u2_core/u2_core.v @@ -0,0 +1,678 @@ +// //////////////////////////////////////////////////////////////////////////////// +// Module Name: u2_core +// //////////////////////////////////////////////////////////////////////////////// + +module u2_core + #(parameter RAM_SIZE=16384) + (// Clocks + input dsp_clk, + input wb_clk, + output clock_ready, + input clk_to_mac, + input pps_in, + + // Misc, debug + output [7:0] leds, + output [31:0] debug, + output [1:0] debug_clk, + + // Expansion + input exp_pps_in, + output exp_pps_out, + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output [7:0] GMII_TXD, + output GMII_TX_EN, + output GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + output PHY_RESETn, + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output [15:0] ser_t, + output ser_tklsb, + output ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // CPLD interface + output cpld_start, + output cpld_mode, + output cpld_done, + input cpld_din, + input cpld_clk, + input cpld_detached, + //input por, + //output config_success, + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_on_a, + output adc_oe_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_on_b, + output adc_oe_b, + + // DAC + output [15:0] dac_a, + output [15:0] dac_b, + + // I2C + input scl_pad_i, + output scl_pad_o, + output scl_pad_oen_o, + input sda_pad_i, + output sda_pad_o, + output sda_pad_oen_o, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Generic SPI + output sclk, + output mosi, + input miso, + output sen_clk, + output sen_dac, + output sen_tx_db, + output sen_tx_adc, + output sen_tx_dac, + output sen_rx_db, + output sen_rx_adc, + output sen_rx_dac, + + // GPIO to DBoards + inout [15:0] io_tx, + inout [15:0] io_rx, + + // External RAM + inout [17:0] RAM_D, + output [18:0] RAM_A, + output RAM_CE1n, + output RAM_CENn, + input RAM_CLK, + output RAM_WEn, + output RAM_OEn, + output RAM_LDn, + + // Debug stuff + output uart_tx_o, + input uart_rx_i, + output uart_baud_o, + input sim_mode, + input [3:0] clock_divider + ); + + wire [7:0] set_addr; + wire [31:0] set_data; + wire set_stb; + + wire ram_loader_done; + wire ram_loader_rst, wb_rst, dsp_rst; + + wire [31:0] status, status_b0, status_b1, status_b2, status_b3, status_b4, status_b5, status_b6, status_b7; + wire bus_error, spi_int, i2c_int, pps_int, timer_int, buffer_int, proc_int, overrun, underrun, uart_tx_int, uart_rx_int; + + wire [31:0] debug_gpio_0, debug_gpio_1; + wire [31:0] atr_lines; + + wire [31:0] debug_rx, debug_mac0, debug_mac1, debug_tx_dsp, debug_txc, + debug_serdes0, debug_serdes1, debug_serdes2, debug_rx_dsp; + + wire [15:0] ser_rx_occ, ser_tx_occ, dsp_rx_occ, dsp_tx_occ, eth_rx_occ, eth_tx_occ, eth_rx_occ2; + wire ser_rx_full, ser_tx_full, dsp_rx_full, dsp_tx_full, eth_rx_full, eth_tx_full, eth_rx_full2; + wire ser_rx_empty, ser_tx_empty, dsp_rx_empty, dsp_tx_empty, eth_rx_empty, eth_tx_empty, eth_rx_empty2; + + // /////////////////////////////////////////////////////////////////////////////////////////////// + // Wishbone Single Master INTERCON + parameter dw = 32; // Data bus width + parameter aw = 16; // Address bus width, for byte addressibility, 16 = 64K byte memory space + parameter sw = 4; // Select width -- 32-bit data bus with 8-bit granularity. + + wire [dw-1:0] m0_dat_o, m1_dat_o, m0_dat_i, m1_dat_i; + wire [dw-1:0] s0_dat_o, s1_dat_o, s0_dat_i, s1_dat_i, s2_dat_o, s3_dat_o, s2_dat_i, s3_dat_i, + s4_dat_o, s5_dat_o, s4_dat_i, s5_dat_i, s6_dat_o, s7_dat_o, s6_dat_i, s7_dat_i, + s8_dat_o, s9_dat_o, s8_dat_i, s9_dat_i, s10_dat_o, s10_dat_i, s11_dat_i, s11_dat_o, + s12_dat_i, s12_dat_o; + wire [aw-1:0] m0_adr,m1_adr,s0_adr,s1_adr,s2_adr,s3_adr,s4_adr,s5_adr,s6_adr,s7_adr,s8_adr,s9_adr,s10_adr,s11_adr,s12_adr; + wire [sw-1:0] m0_sel,m1_sel,s0_sel,s1_sel,s2_sel,s3_sel,s4_sel,s5_sel,s6_sel,s7_sel,s8_sel,s9_sel,s10_sel,s11_sel,s12_sel; + wire m0_ack,m1_ack,s0_ack,s1_ack,s2_ack,s3_ack,s4_ack,s5_ack,s6_ack,s7_ack,s8_ack,s9_ack,s10_ack,s11_ack,s12_ack; + wire m0_stb,m1_stb,s0_stb,s1_stb,s2_stb,s3_stb,s4_stb,s5_stb,s6_stb,s7_stb,s8_stb,s9_stb,s10_stb,s11_stb,s12_stb; + wire m0_cyc,m1_cyc,s0_cyc,s1_cyc,s2_cyc,s3_cyc,s4_cyc,s5_cyc,s6_cyc,s7_cyc,s8_cyc,s9_cyc,s10_cyc,s11_cyc,s12_cyc; + wire m0_err,m1_err,s0_err,s1_err,s2_err,s3_err,s4_err,s5_err,s6_err,s7_err,s8_err,s9_err,s10_err,s11_err,s12_err; + wire m0_rty,m1_rty,s0_rty,s1_rty,s2_rty,s3_rty,s4_rty,s5_rty,s6_rty,s7_rty,s8_rty,s9_rty,s10_rty,s11_rty,s12_rty; + wire m0_we,m1_we,s0_we,s1_we,s2_we,s3_we,s4_we,s5_we,s6_we,s7_we,s8_we,s9_we,s10_we,s11_we,s12_we; + + wb_1master #(.s0_addr_w(1),.s0_addr(1'b0),.s1_addr_w(2),.s1_addr(2'b10), + .s215_addr_w(6),.s2_addr(6'b1100_00),.s3_addr(6'b1100_01),.s4_addr(6'b1100_10), + .s5_addr(6'b1100_11),.s6_addr(6'b1101_00),.s7_addr(6'b1101_01),.s8_addr(6'b1101_10), + .s9_addr(6'b1101_11),.s10_addr(6'b1110_00),.s11_addr(6'b1110_01),.s12_addr(6'b1110_10), + .s13_addr(6'b1110_11),.s14_addr(6'b1111_00),.s15_addr(6'b1111_01), + .dw(dw),.aw(aw),.sw(sw)) wb_1master + (.clk_i(wb_clk),.rst_i(wb_rst), + .m0_dat_o(m0_dat_o),.m0_ack_o(m0_ack),.m0_err_o(m0_err),.m0_rty_o(m0_rty),.m0_dat_i(m0_dat_i), + .m0_adr_i(m0_adr),.m0_sel_i(m0_sel),.m0_we_i(m0_we),.m0_cyc_i(m0_cyc),.m0_stb_i(m0_stb), + .s0_dat_o(s0_dat_o),.s0_adr_o(s0_adr),.s0_sel_o(s0_sel),.s0_we_o (s0_we),.s0_cyc_o(s0_cyc),.s0_stb_o(s0_stb), + .s0_dat_i(s0_dat_i),.s0_ack_i(s0_ack),.s0_err_i(s0_err),.s0_rty_i(s0_rty), + .s1_dat_o(s1_dat_o),.s1_adr_o(s1_adr),.s1_sel_o(s1_sel),.s1_we_o (s1_we),.s1_cyc_o(s1_cyc),.s1_stb_o(s1_stb), + .s1_dat_i(s1_dat_i),.s1_ack_i(s1_ack),.s1_err_i(s1_err),.s1_rty_i(s1_rty), + .s2_dat_o(s2_dat_o),.s2_adr_o(s2_adr),.s2_sel_o(s2_sel),.s2_we_o (s2_we),.s2_cyc_o(s2_cyc),.s2_stb_o(s2_stb), + .s2_dat_i(s2_dat_i),.s2_ack_i(s2_ack),.s2_err_i(s2_err),.s2_rty_i(s2_rty), + .s3_dat_o(s3_dat_o),.s3_adr_o(s3_adr),.s3_sel_o(s3_sel),.s3_we_o (s3_we),.s3_cyc_o(s3_cyc),.s3_stb_o(s3_stb), + .s3_dat_i(s3_dat_i),.s3_ack_i(s3_ack),.s3_err_i(s3_err),.s3_rty_i(s3_rty), + .s4_dat_o(s4_dat_o),.s4_adr_o(s4_adr),.s4_sel_o(s4_sel),.s4_we_o (s4_we),.s4_cyc_o(s4_cyc),.s4_stb_o(s4_stb), + .s4_dat_i(s4_dat_i),.s4_ack_i(s4_ack),.s4_err_i(s4_err),.s4_rty_i(s4_rty), + .s5_dat_o(s5_dat_o),.s5_adr_o(s5_adr),.s5_sel_o(s5_sel),.s5_we_o (s5_we),.s5_cyc_o(s5_cyc),.s5_stb_o(s5_stb), + .s5_dat_i(s5_dat_i),.s5_ack_i(s5_ack),.s5_err_i(s5_err),.s5_rty_i(s5_rty), + .s6_dat_o(s6_dat_o),.s6_adr_o(s6_adr),.s6_sel_o(s6_sel),.s6_we_o (s6_we),.s6_cyc_o(s6_cyc),.s6_stb_o(s6_stb), + .s6_dat_i(s6_dat_i),.s6_ack_i(s6_ack),.s6_err_i(s6_err),.s6_rty_i(s6_rty), + .s7_dat_o(s7_dat_o),.s7_adr_o(s7_adr),.s7_sel_o(s7_sel),.s7_we_o (s7_we),.s7_cyc_o(s7_cyc),.s7_stb_o(s7_stb), + .s7_dat_i(s7_dat_i),.s7_ack_i(s7_ack),.s7_err_i(s7_err),.s7_rty_i(s7_rty), + .s8_dat_o(s8_dat_o),.s8_adr_o(s8_adr),.s8_sel_o(s8_sel),.s8_we_o (s8_we),.s8_cyc_o(s8_cyc),.s8_stb_o(s8_stb), + .s8_dat_i(s8_dat_i),.s8_ack_i(s8_ack),.s8_err_i(s8_err),.s8_rty_i(s8_rty), + .s9_dat_o(s9_dat_o),.s9_adr_o(s9_adr),.s9_sel_o(s9_sel),.s9_we_o (s9_we),.s9_cyc_o(s9_cyc),.s9_stb_o(s9_stb), + .s9_dat_i(s9_dat_i),.s9_ack_i(s9_ack),.s9_err_i(s9_err),.s9_rty_i(s9_rty), + .s10_dat_o(s10_dat_o),.s10_adr_o(s10_adr),.s10_sel_o(s10_sel),.s10_we_o(s10_we),.s10_cyc_o(s10_cyc),.s10_stb_o(s10_stb), + .s10_dat_i(s10_dat_i),.s10_ack_i(s10_ack),.s10_err_i(s10_err),.s10_rty_i(s10_rty), + .s11_dat_o(s11_dat_o),.s11_adr_o(s11_adr),.s11_sel_o(s11_sel),.s11_we_o(s11_we),.s11_cyc_o(s11_cyc),.s11_stb_o(s11_stb), + .s11_dat_i(s11_dat_i),.s11_ack_i(s11_ack),.s11_err_i(s11_err),.s11_rty_i(s11_rty), + .s12_dat_o(s12_dat_o),.s12_adr_o(s12_adr),.s12_sel_o(s12_sel),.s12_we_o(s12_we),.s12_cyc_o(s12_cyc),.s12_stb_o(s12_stb), + .s12_dat_i(s12_dat_i),.s12_ack_i(s12_ack),.s12_err_i(s12_err),.s12_rty_i(s12_rty), + .s13_dat_i(0),.s13_ack_i(0),.s13_err_i(0),.s13_rty_i(0), + .s14_dat_i(0),.s14_ack_i(0),.s14_err_i(0),.s14_rty_i(0), + .s15_dat_i(0),.s15_ack_i(0),.s15_err_i(0),.s15_rty_i(0) ); + + ////////////////////////////////////////////////////////////////////////////////////////// + // Reset Controller + system_control sysctrl (.wb_clk_i(wb_clk), // .por_i(por), + .ram_loader_rst_o(ram_loader_rst), + .wb_rst_o(wb_rst), + .ram_loader_done_i(ram_loader_done)); + + //assign config_success = ram_loader_done; + + // /////////////////////////////////////////////////////////////////// + // RAM Loader + + wire [31:0] ram_loader_dat, iwb_dat; + wire [15:0] ram_loader_adr, iwb_adr; + wire [3:0] ram_loader_sel; + wire ram_loader_stb, ram_loader_we, ram_loader_ack; + wire iwb_ack, iwb_stb; + ram_loader #(.AWIDTH(16),.RAM_SIZE(RAM_SIZE)) + ram_loader (.clk_i(wb_clk),.rst_i(ram_loader_rst), + // CPLD Interface + .cfg_clk_i(cpld_clk), + .cfg_data_i(cpld_din), + .start_o(cpld_start), + .mode_o(cpld_mode), + .done_o(cpld_done), + .detached_i(cpld_detached), + // Wishbone Interface + .wb_dat_o(ram_loader_dat),.wb_adr_o(ram_loader_adr), + .wb_stb_o(ram_loader_stb),.wb_cyc_o(),.wb_sel_o(ram_loader_sel), + .wb_we_o(ram_loader_we),.wb_ack_i(ram_loader_ack), + .ram_loader_done_o(ram_loader_done)); + + // Processor + aeMB_core_BE #(.ISIZ(16),.DSIZ(16),.MUL(0),.BSF(1)) + aeMB (.sys_clk_i(wb_clk), .sys_rst_i(wb_rst), + // Instruction Wishbone bus to I-RAM + .iwb_stb_o(iwb_stb),.iwb_adr_o(iwb_adr), + .iwb_dat_i(iwb_dat),.iwb_ack_i(iwb_ack), + // Data Wishbone bus to system bus fabric + .dwb_we_o(m0_we),.dwb_stb_o(m0_stb),.dwb_dat_o(m0_dat_i),.dwb_adr_o(m0_adr), + .dwb_dat_i(m0_dat_o),.dwb_ack_i(m0_ack),.dwb_sel_o(m0_sel),.dwb_cyc_o(m0_cyc), + // Interrupts and exceptions + .sys_int_i(proc_int),.sys_exc_i(bus_error) ); + + assign bus_error = m0_err | m0_rty; + + // Dual Ported RAM -- D-Port is Slave #0 on main Wishbone + // I-port connects directly to processor and ram loader + + ram_harv_cache #(.AWIDTH(15),.RAM_SIZE(RAM_SIZE),.ICWIDTH(7),.DCWIDTH(6)) + sys_ram(.wb_clk_i(wb_clk),.wb_rst_i(wb_rst), + + .ram_loader_adr_i(ram_loader_adr[14:0]), .ram_loader_dat_i(ram_loader_dat), + .ram_loader_stb_i(ram_loader_stb), .ram_loader_sel_i(ram_loader_sel), + .ram_loader_we_i(ram_loader_we), .ram_loader_ack_o(ram_loader_ack), + .ram_loader_done_i(ram_loader_done), + + .iwb_adr_i(iwb_adr[14:0]), .iwb_stb_i(iwb_stb), + .iwb_dat_o(iwb_dat), .iwb_ack_o(iwb_ack), + + .dwb_adr_i(s0_adr[14:0]), .dwb_dat_i(s0_dat_o), .dwb_dat_o(s0_dat_i), + .dwb_we_i(s0_we), .dwb_ack_o(s0_ack), .dwb_stb_i(s0_stb), .dwb_sel_i(s0_sel)); + + assign s0_err = 1'b0; + assign s0_rty = 1'b0; + + // Buffer Pool, slave #1 + wire rd0_read, rd0_sop, rd0_error, rd0_done, rd0_eop; + wire rd1_read, rd1_sop, rd1_error, rd1_done, rd1_eop; + wire rd2_read, rd2_sop, rd2_error, rd2_done, rd2_eop; + wire rd3_read, rd3_sop, rd3_error, rd3_done, rd3_eop; + wire [31:0] rd0_dat, rd1_dat, rd2_dat, rd3_dat; + + wire wr0_write, wr0_done, wr0_error, wr0_ready, wr0_full; + wire wr1_write, wr1_done, wr1_error, wr1_ready, wr1_full; + wire wr2_write, wr2_done, wr2_error, wr2_ready, wr2_full; + wire wr3_write, wr3_done, wr3_error, wr3_ready, wr3_full; + wire [31:0] wr0_dat, wr1_dat, wr2_dat, wr3_dat; + + buffer_pool buffer_pool + (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst), + .wb_we_i(s1_we),.wb_stb_i(s1_stb),.wb_adr_i(s1_adr),.wb_dat_i(s1_dat_o), + .wb_dat_o(s1_dat_i),.wb_ack_o(s1_ack),.wb_err_o(s1_err),.wb_rty_o(s1_rty), + + .stream_clk(dsp_clk), .stream_rst(dsp_rst), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .status(status),.sys_int_o(buffer_int), + + .s0(status_b0),.s1(status_b1),.s2(status_b2),.s3(status_b3), + .s4(status_b4),.s5(status_b5),.s6(status_b6),.s7(status_b7), + + // Write Interfaces + .wr0_dat_i(wr0_dat), .wr0_write_i(wr0_write), .wr0_done_i(wr0_done), + .wr0_error_i(wr0_error), .wr0_ready_o(wr0_ready), .wr0_full_o(wr0_full), + .wr1_dat_i(wr1_dat), .wr1_write_i(wr1_write), .wr1_done_i(wr1_done), + .wr1_error_i(wr1_error), .wr1_ready_o(wr1_ready), .wr1_full_o(wr1_full), + .wr2_dat_i(wr2_dat), .wr2_write_i(wr2_write), .wr2_done_i(wr2_done), + .wr2_error_i(wr2_error), .wr2_ready_o(wr2_ready), .wr2_full_o(wr2_full), + .wr3_dat_i(wr3_dat), .wr3_write_i(wr3_write), .wr3_done_i(wr3_done), + .wr3_error_i(wr3_error), .wr3_ready_o(wr3_ready), .wr3_full_o(wr3_full), + // Read Interfaces + .rd0_dat_o(rd0_dat), .rd0_read_i(rd0_read), .rd0_done_i(rd0_done), + .rd0_error_i(rd0_error), .rd0_sop_o(rd0_sop), .rd0_eop_o(rd0_eop), + .rd1_dat_o(rd1_dat), .rd1_read_i(rd1_read), .rd1_done_i(rd1_done), + .rd1_error_i(rd1_error), .rd1_sop_o(rd1_sop), .rd1_eop_o(rd1_eop), + .rd2_dat_o(rd2_dat), .rd2_read_i(rd2_read), .rd2_done_i(rd2_done), + .rd2_error_i(rd2_error), .rd2_sop_o(rd2_sop), .rd2_eop_o(rd2_eop), + .rd3_dat_o(rd3_dat), .rd3_read_i(rd3_read), .rd3_done_i(rd3_done), + .rd3_error_i(rd3_error), .rd3_sop_o(rd3_sop), .rd3_eop_o(rd3_eop) + ); + + // SPI -- Slave #2 + spi_top shared_spi + (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.wb_adr_i(s2_adr[4:0]),.wb_dat_i(s2_dat_o), + .wb_dat_o(s2_dat_i),.wb_sel_i(s2_sel),.wb_we_i(s2_we),.wb_stb_i(s2_stb), + .wb_cyc_i(s2_cyc),.wb_ack_o(s2_ack),.wb_err_o(s2_err),.wb_int_o(spi_int), + .ss_pad_o({sen_tx_db,sen_tx_adc,sen_tx_dac,sen_rx_db,sen_rx_adc,sen_rx_dac,sen_dac,sen_clk}), + .sclk_pad_o(sclk),.mosi_pad_o(mosi),.miso_pad_i(miso) ); + + assign s2_rty = 1'b0; + + // I2C -- Slave #3 + i2c_master_top #(.ARST_LVL(1)) + i2c (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.arst_i(1'b0), + .wb_adr_i(s3_adr[4:2]),.wb_dat_i(s3_dat_o[7:0]),.wb_dat_o(s3_dat_i[7:0]), + .wb_we_i(s3_we),.wb_stb_i(s3_stb),.wb_cyc_i(s3_cyc), + .wb_ack_o(s3_ack),.wb_inta_o(i2c_int), + .scl_pad_i(scl_pad_i),.scl_pad_o(scl_pad_o),.scl_padoen_o(scl_pad_oen_o), + .sda_pad_i(sda_pad_i),.sda_pad_o(sda_pad_o),.sda_padoen_o(sda_pad_oen_o) ); + + assign s3_dat_i[31:8] = 24'd0; + assign s3_err = 1'b0; + assign s3_rty = 1'b0; + + // GPIOs -- Slave #4 + nsgpio nsgpio(.clk_i(wb_clk),.rst_i(wb_rst), + .cyc_i(s4_cyc),.stb_i(s4_stb),.adr_i(s4_adr[3:0]),.we_i(s4_we), + .dat_i(s4_dat_o),.dat_o(s4_dat_i),.ack_o(s4_ack), + .atr(atr_lines),.debug_0(debug_gpio_0),.debug_1(debug_gpio_1), + .gpio( {io_tx,io_rx} ) ); + assign s4_err = 1'b0; + assign s4_rty = 1'b0; + + // Buffer Pool Status -- Slave #5 + wb_readback_mux buff_pool_status + (.wb_clk_i(wb_clk), + .wb_rst_i(wb_rst), + .wb_stb_i(s5_stb), + .wb_adr_i(s5_adr), + .wb_dat_o(s5_dat_i), + .wb_ack_o(s5_ack), + + .word00(status_b0),.word01(status_b1),.word02(status_b2),.word03(status_b3), + .word04(status_b4),.word05(status_b5),.word06(status_b6),.word07(status_b7), + .word08(status),.word09({sim_mode,27'b0,clock_divider[3:0]}),.word10({30'b0,clk_func,clk_status}), + .word11(32'b0),.word12(32'b0),.word13(32'b0),.word14(32'b0),.word15(32'b0) + ); + + assign s5_err = 1'b0; + assign s5_rty = 1'b0; + + // Slave, #6 Ethernet MAC, see below + + // Settings Bus -- Slave #7 + settings_bus settings_bus + (.wb_clk(wb_clk),.wb_rst(wb_rst),.wb_adr_i(s7_adr),.wb_dat_i(s7_dat_o), + .wb_stb_i(s7_stb),.wb_we_i(s7_we),.wb_ack_o(s7_ack), + .sys_clk(dsp_clk),.strobe(set_stb),.addr(set_addr),.data(set_data)); + + assign s7_err = 1'b0; + assign s7_rty = 1'b0; + assign s7_dat_i = 32'd0; + + // Output control lines + wire [7:0] clock_outs, serdes_outs, adc_outs; + assign {clock_ready, clk_en[1:0], clk_sel[1:0]} = clock_outs[4:0]; + assign {ser_enable, ser_prbsen, ser_loopen, ser_rx_en} = serdes_outs[3:0]; + assign {adc_oe_a, adc_on_a, adc_oe_b, adc_on_b } = adc_outs[3:0]; + + wire phy_reset; + assign PHY_RESETn = ~phy_reset; + + setting_reg #(.my_addr(0)) sr_clk (.clk(wb_clk),.rst(wb_rst),.strobe(s7_ack),.addr(set_addr), + .in(set_data),.out(clock_outs),.changed()); + setting_reg #(.my_addr(1)) sr_ser (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(serdes_outs),.changed()); + setting_reg #(.my_addr(2)) sr_adc (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(adc_outs),.changed()); + setting_reg #(.my_addr(3)) sr_led (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(leds),.changed()); + setting_reg #(.my_addr(4)) sr_phy (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(phy_reset),.changed()); + + // ///////////////////////////////////////////////////////////////////////// + // Ethernet MAC Slave #6 + + wire Tx_mac_wa, Tx_mac_wr, Tx_mac_sop, Tx_mac_eop; + wire Rx_mac_empty, Rx_mac_rd, Rx_mac_sop, Rx_mac_eop, Rx_mac_err; + wire [31:0] Tx_mac_data, Rx_mac_data; + wire [1:0] Tx_mac_BE, Rx_mac_BE; + wire rst_mac; + + oneshot_2clk mac_rst_1shot (.clk_in(wb_clk),.in(wb_rst),.clk_out(clk_to_mac),.out(rst_mac)); + + MAC_top #(.TX_FF_DEPTH(9), .RX_FF_DEPTH(11)) + MAC_top + (.Clk_125M(clk_to_mac),.Clk_user(dsp_clk), + .rst_mac(rst_mac),.rst_user(dsp_rst), + .RST_I(wb_rst),.CLK_I(wb_clk),.STB_I(s6_stb),.CYC_I(s6_cyc),.ADR_I(s6_adr[8:2]), + .WE_I(s6_we),.DAT_I(s6_dat_o),.DAT_O(s6_dat_i),.ACK_O(s6_ack), + .Rx_mac_empty(Rx_mac_empty),.Rx_mac_rd(Rx_mac_rd),.Rx_mac_data(Rx_mac_data),.Rx_mac_BE(Rx_mac_BE), + .Rx_mac_sop(Rx_mac_sop),.Rx_mac_eop(Rx_mac_eop),.Rx_mac_err(Rx_mac_err), + .Tx_mac_wa(Tx_mac_wa),.Tx_mac_wr(Tx_mac_wr),.Tx_mac_data(Tx_mac_data), + .Tx_mac_BE(Tx_mac_BE),.Tx_mac_sop(Tx_mac_sop),.Tx_mac_eop(Tx_mac_eop), + .Gtx_clk(GMII_GTX_CLK),.Tx_clk(GMII_TX_CLK),.Tx_er(GMII_TX_ER),.Tx_en(GMII_TX_EN),.Txd(GMII_TXD), + .Rx_clk(GMII_RX_CLK),.Rx_er(GMII_RX_ER),.Rx_dv(GMII_RX_DV),.Rxd(GMII_RXD), + .Crs(GMII_CRS),.Col(GMII_COL), + .Mdio(MDIO),.Mdc(MDC), + .rx_fifo_occupied(eth_rx_occ2),.rx_fifo_full(eth_rx_full2),.rx_fifo_empty(eth_rx_empty2), + .tx_fifo_occupied(),.tx_fifo_full(),.tx_fifo_empty(), + .debug0(debug_mac0),.debug1(debug_mac1) ); + + assign s6_err = 1'b0; + assign s6_rty = 1'b0; + + mac_rxfifo_int mac_rxfifo_int + (.clk(dsp_clk),.rst(dsp_rst), + .Rx_mac_empty(Rx_mac_empty),.Rx_mac_rd(Rx_mac_rd),.Rx_mac_data(Rx_mac_data), + .Rx_mac_BE(Rx_mac_BE),.Rx_mac_sop(Rx_mac_sop), + .Rx_mac_eop(Rx_mac_eop),.Rx_mac_err(Rx_mac_err), + .wr_dat_o(wr2_dat),.wr_write_o(wr2_write),.wr_done_o(wr2_done), + .wr_error_o(wr2_error),.wr_ready_i(wr2_ready),.wr_full_i(wr2_full), + .fifo_occupied(eth_rx_occ),.fifo_full(eth_rx_full),.fifo_empty(eth_rx_empty) ); + + mac_txfifo_int mac_txfifo_int + (.clk(dsp_clk),.rst(dsp_rst),.mac_clk(clk_to_mac), + .Tx_mac_wa(Tx_mac_wa),.Tx_mac_wr(Tx_mac_wr),.Tx_mac_data(Tx_mac_data), + .Tx_mac_BE(Tx_mac_BE),.Tx_mac_sop(Tx_mac_sop),.Tx_mac_eop(Tx_mac_eop), + .rd_dat_i(rd2_dat),.rd_read_o(rd2_read),.rd_done_o(rd2_done), + .rd_error_o(rd2_error),.rd_sop_i(rd2_sop),.rd_eop_i(rd2_eop), + .fifo_occupied(eth_tx_occ),.fifo_full(eth_tx_full),.fifo_empty(eth_tx_empty) ); + + // ///////////////////////////////////////////////////////////////////////// + // Interrupt Controller, Slave #8 + + wire [8:0] irq={{6'b0,uart_tx_int, uart_rx_int}, + {pps_int,overrun,underrun,PHY_INTn,i2c_int,spi_int,timer_int,buffer_int}}; + + simple_pic #(.is(9),.dwidth(32)) simple_pic + (.clk_i(wb_clk),.rst_i(wb_rst),.cyc_i(s8_cyc),.stb_i(s8_stb),.adr_i(s8_adr[3:2]), + .we_i(s8_we),.dat_i(s8_dat_o),.dat_o(s8_dat_i),.ack_o(s8_ack),.int_o(proc_int), + .irq(irq) ); + assign s8_err = 0; + assign s8_rty = 0; + + // ///////////////////////////////////////////////////////////////////////// + // Master Timer, Slave #9 + + wire [31:0] master_time; + timer timer + (.wb_clk_i(wb_clk),.rst_i(wb_rst), + .cyc_i(s9_cyc),.stb_i(s9_stb),.adr_i(s9_adr[4:2]), + .we_i(s9_we),.dat_i(s9_dat_o),.dat_o(s9_dat_i),.ack_o(s9_ack), + .sys_clk_i(dsp_clk),.master_time_i(master_time),.int_o(timer_int) ); + assign s9_err = 0; + assign s9_rty = 0; + + // ///////////////////////////////////////////////////////////////////////// + // UART, Slave #10 + + simple_uart #(.TXDEPTH(3),.RXDEPTH(3)) uart // depth of 3 is 128 entries + (.clk_i(wb_clk),.rst_i(wb_rst), + .we_i(s10_we),.stb_i(s10_stb),.cyc_i(s10_cyc),.ack_o(s10_ack), + .adr_i(s10_adr[4:2]),.dat_i(s10_dat_o),.dat_o(s10_dat_i), + .rx_int_o(uart_rx_int),.tx_int_o(uart_tx_int), + .tx_o(uart_tx_o),.rx_i(uart_rx_i),.baud_o(uart_baud_o)); + + assign s10_err = 0; + assign s10_rty = 0; + + // ///////////////////////////////////////////////////////////////////////// + // ATR Controller, Slave #11 + + wire run_rx, run_tx; + reg run_rx_d1; + always @(posedge dsp_clk) + run_rx_d1 <= run_rx; + + atr_controller atr_controller + (.clk_i(wb_clk),.rst_i(wb_rst), + .adr_i(s11_adr[5:0]),.sel_i(s11_sel),.dat_i(s11_dat_o),.dat_o(s11_dat_i), + .we_i(s11_we),.stb_i(s11_stb),.cyc_i(s11_cyc),.ack_o(s11_ack), + .run_rx(run_rx_d1),.run_tx(run_tx),.ctrl_lines(atr_lines) ); + assign s11_err = 0; + assign s11_rty = 0; + + // ////////////////////////////////////////////////////////////////////////// + // Time Sync, Slave #12 + + time_sync time_sync + (.wb_clk_i(wb_clk),.rst_i(wb_rst), + .cyc_i(s12_cyc),.stb_i(s12_stb),.adr_i(s12_adr[4:2]), + .we_i(s12_we),.dat_i(s12_dat_o),.dat_o(s12_dat_i),.ack_o(s12_ack), + .sys_clk_i(dsp_clk),.master_time_o(master_time), + .pps_in(pps_in),.exp_pps_in(exp_pps_in),.exp_pps_out(exp_pps_out), + .int_o(pps_int) ); + assign s12_err = 0; + assign s12_rty = 0; + + // ///////////////////////////////////////////////////////////////////////// + // DSP + wire [31:0] sample_rx, sample_tx; + wire strobe_rx, strobe_tx; + + rx_control #(.FIFOSIZE(10)) rx_control + (.clk(dsp_clk), .rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .master_time(master_time),.overrun(overrun), + .wr_dat_o(wr1_dat), .wr_write_o(wr1_write), .wr_done_o(wr1_done), .wr_error_o(wr1_error), + .wr_ready_i(wr1_ready), .wr_full_i(wr1_full), + .sample(sample_rx), .run(run_rx), .strobe(strobe_rx), + .fifo_occupied(dsp_rx_occ),.fifo_full(dsp_rx_full),.fifo_empty(dsp_rx_empty), + .debug_rx(debug_rx) ); + + // dummy_rx dsp_core_rx + dsp_core_rx dsp_core_rx + (.clk(dsp_clk),.rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .adc_a(adc_a),.adc_ovf_a(adc_ovf_a),.adc_b(adc_b),.adc_ovf_b(adc_ovf_b), + .sample(sample_rx), .run(run_rx_d1), .strobe(strobe_rx), + .debug(debug_rx_dsp) ); + + tx_control #(.FIFOSIZE(10)) tx_control + (.clk(dsp_clk), .rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .master_time(master_time),.underrun(underrun), + .rd_dat_i(rd1_dat), .rd_sop_i(rd1_sop), .rd_eop_i(rd1_eop), + .rd_read_o(rd1_read), .rd_done_o(rd1_done), .rd_error_o(rd1_error), + .sample(sample_tx), .run(run_tx), .strobe(strobe_tx), + .fifo_occupied(dsp_tx_occ),.fifo_full(dsp_tx_full),.fifo_empty(dsp_tx_empty), + .debug(debug_txc) ); + + dsp_core_tx dsp_core_tx + (.clk(dsp_clk),.rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .dac_a(dac_a),.dac_b(dac_b), + .sample(sample_tx), .run(run_tx), .strobe(strobe_tx), .debug(debug_tx_dsp) ); + + assign dsp_rst = wb_rst; + + // /////////////////////////////////////////////////////////////////////////////////// + // SERDES + + serdes #(.TXFIFOSIZE(9),.RXFIFOSIZE(9)) serdes + (.clk(dsp_clk),.rst(dsp_rst), + .ser_tx_clk(ser_tx_clk),.ser_t(ser_t),.ser_tklsb(ser_tklsb),.ser_tkmsb(ser_tkmsb), + .rd_dat_i(rd0_dat),.rd_read_o(rd0_read),.rd_done_o(rd0_done),.rd_error_o(rd0_error), + .rd_sop_i(rd0_sop),.rd_eop_i(rd0_eop), + .ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb), + .wr_dat_o(wr0_dat),.wr_write_o(wr0_write),.wr_done_o(wr0_done),.wr_error_o(wr0_error), + .wr_ready_i(wr0_ready),.wr_full_i(wr0_full), + .tx_occupied(ser_tx_occ),.tx_full(ser_tx_full),.tx_empty(ser_tx_empty), + .rx_occupied(ser_rx_occ),.rx_full(ser_rx_full),.rx_empty(ser_rx_empty), + .debug0(debug_serdes0), .debug1(debug_serdes1) ); + + // /////////////////////////////////////////////////////////////////////////////////// + // External RAM Interface + + extram_interface extram_interface + (.clk(dsp_clk),.rst(dsp_rst), + .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data), + .rd_dat_i(rd3_dat),.rd_read_o(rd3_read),.rd_done_o(rd3_done),.rd_error_o(rd3_error), + .rd_sop_i(rd3_sop),.rd_eop_i(rd3_eop), + .wr_dat_o(wr3_dat),.wr_write_o(wr3_write),.wr_done_o(wr3_done),.wr_error_o(wr3_error), + .wr_ready_i(wr3_ready),.wr_full_i(wr3_full), + .RAM_D(RAM_D),.RAM_A(RAM_A),.RAM_CE1n(RAM_CE1n),.RAM_CENn(RAM_CENn), + .RAM_CLK(RAM_CLK),.RAM_WEn(RAM_WEn),.RAM_OEn(RAM_OEn),.RAM_LDn(RAM_LDn) ); + + + // ///////////////////////////////////////////////////////////////////////////////////////// + // Debug Pins + + // FIFO Level Debugging + reg [31:0] host_to_dsp_fifo, dsp_to_host_fifo, eth_mac_debug; + + always @(posedge dsp_clk) + host_to_dsp_fifo <= { {eth_rx_full,eth_rx_empty,eth_rx_occ[13:0]}, + {dsp_tx_full,dsp_tx_empty,dsp_tx_occ[13:0]} }; + + always @(posedge dsp_clk) + dsp_to_host_fifo <= { {eth_tx_full,eth_tx_empty,eth_tx_occ[13:0]}, + {dsp_rx_full,dsp_rx_empty,dsp_rx_occ[13:0]} }; + + always @(posedge dsp_clk) + eth_mac_debug <= { + // {eth_tx_full2, eth_tx_empty2, eth_tx_occ2[13:0]}, + // {underrun, overrun, debug_mac0[13:0] }, + {debug_txc[15:0]}, + {eth_rx_full2, eth_rx_empty2, eth_rx_occ2[13:0]} }; + + wire debug_mux; + setting_reg #(.my_addr(5)) sr_debug (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr), + .in(set_data),.out(debug_mux),.changed()); + + assign debug = debug_mux ? host_to_dsp_fifo : dsp_to_host_fifo; + + // Assign various commonly used debug buses. + /* + wire [31:0] debug_rx_1 = {uart_tx_o,GMII_TX_EN,strobe_rx,overrun,proc_int,buffer_int,timer_int,GMII_RX_DV, + irq[7:0], + GMII_RXD, + GMII_TXD}; + + wire [31:0] debug_rx_2 = { 5'd0, s8_we, s8_stb, s8_ack, debug_rx[23:0] }; + + wire [31:0] debug_time = {uart_tx_o, 7'b0, + irq[7:0], + 6'b0, GMII_RX_DV, GMII_TX_EN, + 4'b0, exp_pps_in, exp_pps_out, pps_in, pps_int}; + + wire [31:0] debug_irq = {uart_tx_o, iwb_adr, iwb_ack, + irq[7:0], + proc_int, 7'b0 }; + + wire [31:0] debug_eth = + {{uart_tx_o,proc_int,underrun,buffer_int,wr2_ready,wr2_error,wr2_done,wr2_write}, + {8'd0}, + {8'd0}, + {GMII_TX_EN,GMII_RX_DV,Rx_mac_empty,Rx_mac_rd,Rx_mac_err,Rx_mac_sop,Rx_mac_eop,wr2_full} }; + + assign debug_serdes0 = { { rd0_dat[7:0] }, + { ser_tx_clk, ser_tkmsb, ser_tklsb, rd0_sop, rd0_eop, rd0_read, rd0_error, rd0_done }, + { ser_t[15:8] }, + { ser_t[7:0] } }; + + assign debug_serdes1 = { {1'b0,proc_int,underrun,buffer_int,wr0_ready,wr0_error,wr0_done,wr0_write}, + { 1'b0, ser_rx_clk, ser_rkmsb, ser_rklsb, ser_enable, ser_prbsen, ser_loopen, ser_rx_en }, + { ser_r[15:8] }, + { ser_r[7:0] } }; + + assign debug_gpio_1 = {uart_tx_o,7'd0, + 3'd0,rd1_sop,rd1_eop,rd1_read,rd1_done,rd1_error, + debug_txc[15:0]}; + assign debug_gpio_1 = debug_rx; + assign debug_gpio_1 = debug_serdes1; + assign debug_gpio_1 = debug_eth; + + */ + + // Choose actual debug buses + assign debug_clk[0] = wb_clk; + assign debug_clk[1] = dsp_clk; + + //assign debug = {{strobe_rx,/*adc_ovf_a*/ 1'b0,adc_a}, + // {run_rx,/*adc_ovf_b*/ 1'b0,adc_b}}; + + //assign debug = debug_tx_dsp; + //assign debug = debug_serdes0; + + assign debug_gpio_0 = 0; // debug_serdes1; + assign debug_gpio_1 = eth_mac_debug; + +endmodule // u2_core diff --git a/usrp2/fpga/top/u2_fpga/Makefile b/usrp2/fpga/top/u2_fpga/Makefile new file mode 100644 index 00000000..b3245d88 --- /dev/null +++ b/usrp2/fpga/top/u2_fpga/Makefile @@ -0,0 +1,129 @@ +FILENAME=u2_fpga_top +PARTNUM=xc3s1500-5fg456 + +all: project command xst ngd ncd ncd2 bit + +xst: + xst -ifn ${FILENAME}.cmd -ofn xst.log + +ngd: + ngdbuild -nt timestamp -p ${PARTNUM} ${FILENAME} + +ncd: + rm -rf ${FILENAME}.ncd + map -detail -cm speed -k 8 -retiming on -equivalent_register_removal on -timing -ol high -pr b -p ${PARTNUM} ${FILENAME}.ngd -o ${FILENAME}.ncd ${FILENAME}.pcf + +# Place and route ncd file into new ncd file +ncd2: + par -ol high -xe n -w ${FILENAME}.ncd ${FILENAME} ${FILENAME}.pcf + +bit: + bitgen -w ${FILENAME}.ncd -b ${FILENAME}.bit + +clean: + @rm -rf ${FILENAME}.ngc *.lst *.bit *.lso *.xst *.stx *.syr \ + *.ngr *.cmd_log _ngc _xmsgs xst *.html *.srp \ + *.blc *.bld *.ise_ISE_Backup *~ \ + *.pad *.ngm *.ngd *.par *.pcf *.unroutes \ + *.xpi *.bgn *.drc *.bin *.mrp *.csv *.txt \ + *.rbt *.ncd ${FILENAME} *_cg templates/ tmp/ \ + output.dat coregen.log *.ngo *.log ${FILENAME}.map \ + ${FILENAME}_summary.xml ${FILENAME}_usage.xml ${FILENAME}.twr + +command: + rm -rf ${FILENAME}.cmd + @echo "identification" >> ${FILENAME}.cmd + @echo "status" >> ${FILENAME}.cmd + @echo "time short" >> ${FILENAME}.cmd + @echo "memory on" >> ${FILENAME}.cmd + @echo "run " >> ${FILENAME}.cmd + @echo "-top ${FILENAME}" >> ${FILENAME}.cmd + @echo "-ifn ${FILENAME}.prj" >> ${FILENAME}.cmd + @echo "-ifmt Verilog " >> ${FILENAME}.cmd + @echo "-ofn ${FILENAME} " >> ${FILENAME}.cmd + @echo "-p ${PARTNUM}" >> ${FILENAME}.cmd + @echo "-bufg 6" >> ${FILENAME}.cmd + @echo "-vlgincdir { ../../opencores/i2c/rtl/verilog ../../eth/rtl/verilog/ ../../opencores/spi/rtl/verilog}" >> ${FILENAME}.cmd + +project: + rm -f ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/TECH/duram.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/sign_extend.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cordic_stage.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cic_int_shifter.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cic_dec_shifter.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_regfile.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_fetch.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_decode.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_control.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_aslu.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/miim/eth_shiftreg.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/miim/eth_outputcontrol.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/miim/eth_clockgen.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/TECH/eth_clk_switch.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/TECH/eth_clk_div2.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/Reg_int.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/RMON/RMON_dpram.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/RMON/RMON_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/RMON/RMON_addr_gen.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/flow_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/Ramdon_gen.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/MAC_tx_FF.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx/CRC_gen.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx/MAC_rx_FF.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx/CRC_chk.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx/Broadcast_filter.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/ram_2port.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cordic.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cic_interp.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/cic_decim.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/spi/rtl/verilog/spi_shift.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/spi/rtl/verilog/spi_clgen.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_core.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/eth_miim.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/RMON.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/Phy_int.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_tx.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_rx.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/Clk_ctrl.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/strobe_gen.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/ss_rcvr.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/shortfifo.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/setting_reg.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/mux8.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/mux4.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/longfifo.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/decoder_3_8.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/buffer_int.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/CRC16_D16.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/tx_control.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/rx_control.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/dsp_core_tx.v" ' >> ${FILENAME}.prj + @echo '`include "../../sdr_lib/dsp_core_rx.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/spi/rtl/verilog/spi_top.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/simple_pic/rtl/simple_pic.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/i2c/rtl/verilog/i2c_master_top.v" ' >> ${FILENAME}.prj + @echo '`include "../../opencores/aemb/rtl/verilog/aeMB_core_BE.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/MAC_top.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/mac_txfifo_int.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/mac_rxfifo_int.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/wb_readback_mux.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/wb_1master.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/timer.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/system_control.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/settings_bus.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/serdes_tx.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/serdes_rx.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/ram_wb_harvard.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/ram_loader.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/nsgpio.v" ' >> ${FILENAME}.prj + @echo '`include "../../control_lib/buffer_pool.v" ' >> ${FILENAME}.prj + @echo '`include "../u2_basic/u2_basic.v" ' >> ${FILENAME}.prj + @echo '`include "u2_fpga_top.v" ' >> ${FILENAME}.prj + @echo '`include "../../eth/rtl/verilog/elastic_buffer.v" ' >> ${FILENAME}.prj diff --git a/usrp2/fpga/top/u2_fpga/u2_fpga.ise b/usrp2/fpga/top/u2_fpga/u2_fpga.ise new file mode 100644 index 0000000000000000000000000000000000000000..f90caf02470e7627836bd11f614661ae996639d9 GIT binary patch literal 477678 zcmdqK1$dRm);2sdj|*h);2zwATX1&>5L`k?LI}i2f_o|Mlv1Ebaf%cQl;Q-ZSaEmP zQrzKtl05ei1=@4|?|r|Y>(Fy|?KNxeS>tQg-u0|6h&oB?PYO%u?cKOqy=GpGo7VL9 zF5;Q2YMO+qy~F*(Lc=2y6sh+%U8{7KYV_X<$rY*X>)o_x)0pBd0{wgWhZnBiBRt$c zB(iYBP`@5Q{@%?Z!@W8MS;eFOsDzOo#l1U+1^9SJhK7;&9J4N%H??9mLZbiHw6xprwAh(|W;SqtMA#N4j@)mP1n%B)g#5dG0FeD&a z(A=w5;j(!vS0WW+JyN)*)usp(shFdfd&wMbm55t~F8)4#{^1sKt6b3}&s@Xz5E*(c1uVvbs#wW~Jk5ghCj-Y17!U`SYx$Yxea>x1SY-9kcpSq3@> z23h|ar_tUKvHI>^B7=i+fE+hcvv-(Jh+p-fP~UEi{39b!U38NyvXP;_g##n~gWW7b z(RLHHDbgoAz&|pH4~l%=Li5N#%jGeRcH>+U7)w$oIlG?z;hjUngMC7L{SzBZ^26jT z)$*~ZS);XjXh=k4xKCh6WQ65@yPZjXn4F~={*jg~e?Pa_=^MvP;!k32R45WXJYrlI zJ5ag=`uX{XpjVO|HnF3lj#tA5Up*|sFv=q0j|@KgY}QGS+hCtCjLEu%rJtZ_p^V;EzV3y zmKJJB#437<#CMf7hW{B6-_W4w8JO&hNtRUn*<$ydHRcl#@(l8^mfYx}(bSp({$Cn2od$_**QwFKI(`I)1zIyV=2(d1hgB-UXP>oWAJ^&x`iJ|3`*!K$ zRyD*YsE@VIT0`4D&{*Y@)%vXMXdX$Li~GSBEpY$DV-#(IfAU!yRsDKKANl-Z+`@~* zGnTZKcCh_@a?Rny?#rNGf?oarkWhr{tB{w!|1zLTWl%2RA z#Icaz!htozYER6K0u$p4yJXxM(de{yd0MX5)ef&b}~y7BH{Zct2)PpqF4 zU0NE|u2HRd-3B!TO{N!` z`TIsMDQ?9)++t64pIpj6ax46q@dvHq9&yfs=oS9qSD7f`;Z`@Kb7-7SLHQD2RlcN$ z)!N|Dp4Rkl5E>BZn|K9=y^>#LuT%niiCt6btL&7v+Lhoglh8q>zsgVYq6 ztBRNPaI4+JI!5y`k&s2%uQF54!>ww#zmHq(nCM3IRQxn|5={emyxdorE>_gTEhZ-8 z6OMV4ti@tQzs{sJvhBkk7NmsDE>`U8ELlU`x=(fv4CoPVM=B!2qpyb1gVqW)#NV3H z@M6W|7*8%z3{!|NSBcm0 znEJz$af0RQ$Y>YEDkZ-z#~hu%WF%H8c_Z`&gY z<;{FU!~H+wk7V`(Pk*0o*7-I#G`x>n^XQu}H<4ga#J%>QFXN7i__vHW%fEYMuQ*57 z=m?^(8*fW=xH;LgYjo_u3N`xsM| znSJ!I?^H05%<#9h>@)hO&b61B=o3kN6;3WY*(K9~_U$Ce zH8-ixlAGnWZldiap**(yXWh{|EXZ0Cc+BzhE=hL!dfR5)!^5nZYP+OWtZ6Vaz0o_&>Wb*dQ@rIchGX>jiayOJUKhWD+COn?d~&u+gMGr{In(<0#BPkC7&{;T zl>_6PC_H`ql3W_%lZ)HEVLpEDk-a|+KFh*C>AW~~|I5B}?-3Hyb=G2NkN@Vj;Qum@ zfbdVl8%O5Y>)SsV%wO$~WS0bYzaZ;f&vr%Ch&~~{UBW}HmxT!Ha2(`=t>def?EeB8 z-=J>Z;c+%xoTdVzN9sTD%RiY(@m*ujB&#j)W>REeu=Q9FoOm|Hl>Uzvq~2lCXR72w zBk}pgnN0361?{f$47J|r%G3TTe0FEMT=VhbMtKG_4(I& z`yD&MOa1?c5AJafQT!1-w*TWjw#U9;We<+cxd`zI_Rs!>m-XbH@;`sh`IIhzQ?-3S z`Sd~T=9Tz(YAeRM>ESl_>Dc=@o#gB$`Faj^Nq(4|r6ga^VJXQEle3ig0Uc%%e-djW z(bIaeuNr zXFstgUEQafH@3yt8+VLX{~vl#PjVD|dL)SJqIeg~*zpkWnKsr@Nxn_;Lk&i9%wab+ zL%=%xCH>Z)B(<-Y8}UfRPd$j96aV}@JxL;8m?@tjVUPCbnz>|JV_le?=zY8+LSU|1;0(aoxe6%D>n* z|H<=t>|zwX!pDn6CEg;F+J)le^5C$a)Kd8W#QS;7n`+EIa7?iN<$vc(`X{OuO28KH z?bau_1SUQP#(O-^@i}u?&?7!;FX{L57{hVsC9U;Y!%4iNgKiwdNoal6swWO&{QkvV z5XWjltr(r;eH!;|9UN`5ag4^*io-EBmdH`MKaPWdlA$8+Z-0WjFCcw6i%ew??)#47=`;yu+TVbvBTG#>XMGkN2m9`Td6 zY<-f@_<#DsAFr~6#{cbee>|i3mxRXubq)Xw#{K_<#{XenKwMLaaYEz&GHW2Q;b=)h z;KJUgG65cVzxot)hzy9{xd6CyeoXd%L&RQ z8^`+pZN9;$T?#p3pGNAZ&;H>K7C(FtJB%=f{^L}JXmc=zSNngK&JaTgCjMbgL)@_! z|1OF#l+=adi&+iH4ZQyiNe%z5$;qcRB-7UTDGQ&%yZ^hg8u*bnIu9X!L^EN&LhRux z@iSuV0QssshInDsBtte|5j#qbLHxjfbQmednEl>kEv#`88R8L1rUUIb{C_>GA?}2? z>);s=N&1B6G9*88zs@ILlg$v%GfC3HTVY%_i4Wn)F|ywaBY84I{BGmm@uj^tkt zzf3-H1kM^5F&TZIAKvj<{+oP;_-_hd?b)xRXM5Ee@I~S?wIqo>Y1#Zwd>9s;A{n>$ z<8zFgBhr|7k|v}nX-2$AbJBveB&{qhLRynH@qgH1W2ND9 zGg%)*|1D+x{mLJ9i2q9x$@`GTudRq{+qX}xTB83}`uf^pe|#a)&qyR+Eg{{$*mX|J zQI2H0F00jo%-NMOrU3u#>bX{S)^Bh*^6^!XTht+unV>-`a)f3x!1!FqT zkxb{MjqW;r2yRv2BM6mm8HipEEbW*jA^LOc4^|6OJMHbBv7&?>_5P379wn`hqW{*i z{>JO5M1D)iu1G>b!rUwwzuZE6e8Y>A2y1_c^a&|Jdi$0uRkUdDATIGqFo2xu&LVfN zP!jz&(dQ;Y0@6b-s9qk?I)5-c38E?xS+y$}CcNrJ^|Iz>4xGM(JE4N5n z*E+E{?5bMPH9Aera$fhcs)uX6SRDS1S{}IjHMIiA_1FQ|`nFD5(gt?AR-ivX-hCkR z0S;fMW`_N)lhhin4Pwhiq*pV*X1DWdb=QWr&6jFME-$ZEg?@NXH524r=c`q?eoM9= zb#)6>D?`8gB{~)IuBX*X(C@yDb%Z>~MXl!A$gX#wniFM%x~n;$ALOaJLEi08H5=sJ zR;gLJyo{QK%hRjbVYAz5(hB|wS*8|;A41-%MbMV8+GFR7q;0dGvNl>=V&P|EVVyfme0Y(gQE8jL z&4Je+*Gd7eT%x4`UbIeY0la>)Ru6b(fK~u_Q4g&f@cJfNE#Q@Tv^>CzN@@YX>m6Es z;9qWP1%Ven)q;S3|5K|C{L2C@FYvCMfAQ4{0Wa*X1q1)yP^$y{OAaj` z@WP^6An@;|))08bRjn}af=5~i@VWz9UEmczY59Q{{GxRQUN>6v0A7Ak%LqLGzSb7_ zx4l|<;N=;$Ou+N=Y3+c26SWG!%UWugf#>;a?Sa=;)hYrnouXv{o;y$L0K8_PYeiSj zn6WB2d%0HPxQAC}ym{6n zq5nFya|p+-&Tlw&xSG({9=qxsuXI&84tA9|F6ev;EUkAw;yA1G9paPEZ|5nFdpb{Z9O*p6ak%p=$6?NM9EUj1a~$Nnz;RdSMUJ~TFLB)2d6{EB z=M|1SIky7S;?8Xx7j;H)?C#voaUtghU=r%w$Z@c9rH$E#ADw*se30r}gt&L25m z?eqqIme$z-_(>jTLymJf8*!Y&S%>37&bk~IaMt5EpKC1}3$FE@nIV7R;aZ!^>pHV= zd0A&xj!QdJ0pIs?rslYlGY!Wb9j}4!?r^-}ILh&sdk4ldyWQ4-@yu?wcA&4>tyd28HM{k~ zaTRuMJ#*{eH>qP90I3g$x`Y6f$zWU&zGqJ^_77JogCbN8-6lKtB@C9YQv)A0@bPyj94~ z@n#_h#~X#59IqF0alB5*&GA|x567#8yd19*upSc6Uj(d&#B;e&fa@$33Ua(eD8%t1 zp)kh_1b2?-2`(I8aPakK&v*x4fA)-Z@bzcUXoqa;vppjn3dh48SWDTSZyg$!f8)?O z9_%nU9_YZD%=Ywmq~P+t4)9@n&Nv)ge#+tG_=I(-w#V?E3l7ThITt?ucVBS14f*c= zE_XQY>%zzU?%pnZ%Ae_ z?1DXo?e=qd#^s${o^#yMaN%phZZ8);XLnz5 z;d6HPCBfCULp;xsJN9{)wCW<1vd_b$)m)*teeNZ#Zwe(iZYY!lZnZ|J>e?h`uP3dC zFcrAfZJ`Emn+!rN`+Q5<^bu;>=UdXIolqU})>nmUkhk6?RN?Zv0`4`Wbpdmdea2ul zhL~Z%xOy0lr^Dq`=?g5#9k0A)*94v=J!{pUfR2{s5oM zy(~^a+vgV)zXzT-Mw|*f_c2>!-v!9LZLB=UxoJ4?d~b0!+BkokI16o`|C9J5muC}a za5)jDLq2bWI1Tc7oyD2<9f8cxtL(P<(>AA-jleC}D@TFb|E25#ZZlQc0Nk>das;^j z3Q`Si?{!N!gSPjsA)G`T`-~S(0r!3(oaVR%9f&seDZo|(_a4n^1NYe>Tt-{^<`OQU zEqw*yBIJEW3l|{o(@i)BdGC9|S;%`I5YD6Ry`PZk;M`+@a0r}xGGRZs^=cp-0PeX~ zILL8oItbi)-eGGvuEXj8_Zlpm0Eb@JgyZ1QYrAla%j*b7xjet{C*(bs2!|o>IZ8MJ z&OKL|-`hTCwMLndz!iTm*8w-~XHEsKRmbcBT(O1uJ8)wU!`+^1Od6y2x9g&JyBI}n z4oteQ_p>p*^N@akzDr%Bh^^10!+IZ-y>rCq2A!0@8il!?W=40&U9KC2c-hiM1autL zjRL^pCLWvm_s`R%1f2-kP&;Wnms zbR!7*DWB25!IQ4iyW4Vl`=Q|iIT@mdqU`N(BMap0svg4in;D3WFrm604EFeqmsQoLL+9ar4Rej| zUudKP2XbAf&X)+_p#yWt8Bh@PVBaeGC=cK3>%uL+9Ew!y9(Y4~ER`bk>^yUwdV= zhaK~(A#ppkbx+`H=M5419}en`fUk8l7<4|Y)Efd{tFG6F&eeU|A@JF^SFa15tIM?g zTwX*w0{n-!{sQ>j0}X2${bQT{gv-4&tZ(#>dHQR}-+!x*1ioKM!@5WR$g95vpZ7QP zVbHm^NW*$a|Iqa};P!s6J_b7XPiY5HcHad374Z88`Z(YRrL_YnyDwaS3H-i@J{I^v z2K_no->ub01K*#mKZVY_AM{bc_lM{sxQ?t3hy31M%Kh;AXZ;*_zUr&r0e*8%zmKwS zE9iGo_H7pZo;?@n+ba44d;HV4f%+}zze%Crfd18T_G4Rd|+Rw1LvyZj}Hg~qu7D2w_snOllhF1kO`aLmoI(#kxuZbtZsw_S>ox zI$a(bSle0l#s=TZattw^fJ2U}#$(i*V;9BzWkw<65!b0}U|nMR6yq2 zp`UGl%GdBNs>;{ufN?5clLJCjz7BVOs`9nB^C|ioW5YkA@&wrLTPE9>rRl4D(phcb z{fMQ$q42e_v%{DT{j{zMUl0AC8b6`EX~Pu02Kx6k=74|NW(r^T{M#C{pr7WP^1{Z{ z@0u|a`e`;P&umQnb{m+FEKN1K8-9NA+L+GubL$7W+($nK{PH_33V6>iI?l%Q-lyAWm%@B z+B)d$F0Sw7WosGRZ8^*2s;!57_hfwsx0Bb{3!Ti>wBI4$-9z6F{b$RKKWxl0&(nT` zeAgR&8}y$|F!tG)Wge!jg?!gV18rfMb!{~-+sQy%Sf+>CDz0DC0DqP#TpNXUZS^w1 znPqCO@$(f~8S5a=(9M8Y%Llw^0jnt(Q@g%i9=;+c5R2Q4Ml+7kvc#ifEJqmO~5=U}dIJ0XCI4 z^gZOxc1C&FQ7#&NAb0FB@czpbKVvZD&Syqhu3yvm1~y%r8fAdxgT@f(xRx?X1IsJv zecR9UZf;``^qrga-jLrJXAFeCbCy03^1IuN0bDQ5O%-uAI{Bgc zH{{cEOK)sU9_5ps0zb?xGvLQLrI)~eEs{`{JeV(ig#7Qhq f-(M2F5!;#SA+|#d z=ZE29d*G=L#10%cU{&lfLw;~$%Ydg2roDiF*eG_keMP2a6#Z>qksscQevtq0jp)ne zKB5ogQ?G~}A)mTk?1b3P)H}ojoX7tqRsrV;6~&6+HgS|#33$RIu`tPKv6vWT_7Vd4j|Cgc-`i8Z*~Ppk&{gzI8e$S3R)tAq1|`=lH=Ps%RV z2j@xs#5&+MrMp-c_&X-n1D-UFRRXu~s*yt9+ZgGJ;Oknh`x&A84lBHqx+ zTPik({JT-2C*+e$h>anioLX!G|4c4V8rlA#KZdApZ2!<7_o**!KhRmO>MP)x;p%JP zAJ4I^wjb!sMRYXBDVZ1WtXdkiInY^4G-7k0vqq>NxLj7>Lq7Aiiu((l8KS=B^2X{% zn=_r2()`i(6|3WE_64ro!Q2eoq#9{}cAfZHPlI-yc&)pF|ApszD&R98_0+&84b}ww z&-${>z$Zf~&T{l(YdyDJ7rn4n=eZ(hw(2}TOA-3{3@O2Ih@|8dvKivI?wAkAFA^_ zhtqv@o=0)nSLZn!=iT+JD0{lBUIF-W4&5F2+(SJZ@Tr$N&!f0>RWAbhx#hYW@Tqlr zCE!ax=|zFhbQJv?cU0kiJ7$?Vm(~Cpr>_uI|*f^o-#V{t%Zq{YUj|b>Ep?~tW zu0VclububWqUPd;LsAV2n&jsQMUQFp-RiCMY}?3@^=r-1zUd)q5W`Dx@bWd&?skt^k-jdt6~l^>-IcH7C7z7p;WOE2RPHmm*-yNfK{X38K9w>XKH93T`H(%>rQ1(`F-5)x)d+MEm zZ(Yy>IIck&qU@~YnuM~mC5=I6P7_T4p8cyPa-5EB!T6kglQrYGJ{<)-XP9PU9L~9^ z85oCi_Gmm_F{h@+V-$1pXbSXauh3-Z&mODk7<+RXlCL&v$Z17pF2Rq{!5omG$&##)&1TK1+FsR{1v$A2$CIbe>_BA ziMBsJt1m|zpBC0v06!U{{{sB@KFfplKH0`5ah#2|2Y%|K{|=r{x9jV`^XWW&EthB4 z*Kj$}e}(+XAf4ZNpLEn$qraYv(ANV${X_qa&TrLj=mT$^OfHV8bOMso-~z_?#4aL+kms6oHo=uk>@cHxWvXkTLGR{uq*)p~kK7Zbe;tormU1oJ5f00hk2%o>` zBjb&ayl5q-gZ%kvIW2tte7%g=GI?HEPR-?Ra(eW|^UI_bI6uB6W4|U(8p+tB$ zC=5ee0-P)a^5C4pQ0RA_NEbjJa$ooc`klKASO-W*xG)6z{;z}uc0ZEfF~VTz`=2HO zXnW{nVHtEnn+V^+hn=Shh?|knhQhD#L+A|wF*FkDE?~_k;SGeJQEy0RVI|tzJ*%(; z^@h|I__-_eg|Gn-$CAWi-4Rc5;jxdIZJ^TgiX*7|C^l! zpRUh^t!P*H0~X5Vsf8_QSNI{i5b|yp1jIZ^_pQQo*zD#jApS|Z&k?3V-nGBL&yZo$ zgjtXWRTKDmGOU*{2ONSb2^-)>6P5BI#CEn1-@ldka56ziUf@ z=SOw(R)*U3(gxX-{=oGzD`S8gWmJX(*Po^E{j2T_1#vUlaGE&E)**9dh**Ef?A+o6 z$meRJ2l$Nl6w86n_+ldBlVsc~5iva9O8DhU={74ZoSTg>eh`1{m|A#r-=Fe)6GY0@y`qA75>^aE% z3AlCx6aHb9+L+rR_pGEK9!u*^RS<)vb$ckI?KaZ-(@9FZjWqvY`H?-&Y5ptn1G_D> zU?KS-aDlNzg?`yw@?VhWf5MQvMGHhJ{QE~`N3v9Q+i8J33jZ!rxf2xo7cJP4bcD@X zMHT)XrE(=@{&rR{O5xvEDmz1dZucWCI9CY+=UP)3d``=Ck)PWAP7Asb2khsTlwfeK zeTA9eR`#&`gxjP_2-m4X5x1uvs{9x>3yhS{qTbxzN+|SeEm9CCrygD8zhSdLfQ&pn znr9h1f_iI5Du|y`kGk?R^h?2fGIIH79)op;eywv#X>cgFPd0j zqjbW!s@_ZSM*r4qqI3kV(N*yQu0Dz#Mc>t!#{z(>Z?{6A_MNfjC?yDOsd-=N2L3e< zDqXl-R01HcaZ^F=7Ojz6@#At)2}B!fnnVNVQdboI-M!LPh%5T^ZCZtYkFU&Fg@2E) z^aHsh`uc4j1$|9RH9o z37w*+8al74jl(tRHwf zHYlkeFV2_?A0_kiRr-B$ z1-p;PM^pCWWvR^H#XbbdYa!1$Nak;3?~jq%7#m(M<+0F7KY|Ug`-Ob?N%n_4MHF*# zxvbzWK%0BYzdX!A}o&gV48dl~QMWj(#Ole1+R9dxZQEML8I`8nCD*{5{irIu?^=1tU7&BiSM~#U2$K=Z zr8)X3I1|#g>1D*_Xu6>a@4J?-_Sv2%P=35(V5QwLBR(9aVT=w|FjhgWU8`4g$9?DC154yPp*=tZ&r@$ed^P(#j6#v~Hp_hfdXt^7oLZtwfxdvmX~Ie4Ml> zuC(C#)n&xTsL@L~jI!;zuwxj9)toXPOK#8QdYI!MKPbns2etEKU7%mJmyA0gP4ix^ zjk?$~4v$`;*yN-yt%oou197woXy3gTL{SuuGgbW-<{o52o~6~wq`Q%|`EbhK4+W7r|R+lqEmNh-1*yrRC=63szX}$?b&7dw{b(lySeNsh-QdAlKaGy}((QlN9hWi7x4Vhk5n<+-RURdMDDd7%*b2G*{;Gv&U}QM=K4&?&q`zKXe@?~cs(lq`1@ zp7Y?Y%GbC~9eEz?xIR{RE=1wG@^$Fs{YCBv9kqbWb0i9PVTiHQeEnsYOy{I_c=9GCB4tmeBQ zBW^>LB?{t%w27hM+(kW3$+uxY-xitgr&;nSh#ykVTQZbW!i`%auBlb>R-4(Zs_f99+TVxhFjaCRK+D%n&%0iS33s31m4J-4zz=#=O#FNGb)3I(xK>N$tb zfljG%GIC0(W4wZxDs6mOsfD&wJSHQ?PMzKgVy(3CR;4m@%Ks@N-cFrW6vSYu=N_dI zbSfW`@kT(UXG%_REA^v{Hv%dhS9nfHss8dX=*T}Q*?>!~mA^&X&TI?e#4KI9dLDVd;OVy#jSxMF9;4f-WFD>a~Bezd}W z>#2O8(f~RY#}RLg+d93Kei(0cjwrp+*A1>IeSqs-R{8?hxyJrPAJxyx{DJEhpg#gP zETHhaWP`cNVEDP-Y=xis8_iUPLEfOF!slka_R2WO8?{vs)28(=DSSPtdtMm}orY%> zynoS#Qxv>^(S|*gQSd|kNyetE4B~GzFksXoIm%ymulI*B7by~aLy!uRFrUrB!9R_7hvbEDxCzrElD?k?+emJ z;5);mQ^2=|N;iS;4UsMb->E5`0lrmDx($4<3dskaXG=*_!1L^n(j@RacU!{xOwQGo zu=bL(E7&xP)9vv|&Rr)3!1>gDX%sl0ZXyi_=QFb;{(gG;y~N*7Px~^g=j2Q=Rs@_+ z|3DE#CTEUH6T#tZF=+xgoOPAPL4IbEG#2tR;nEn$PrsH%Lw@>TIR7zSY6L&;CsKWIJM1bo z06ypx_|F03T{BH3mM=Kj%lH%X4>ax4Ho z@-qp7|Jw`@c&wn!6@jnM?FtBdeQrBh!2O-Hc|w=K&u#ax3moTR;lS-W2%KBHD1mcp zH%Gu3fV9gaV6P|b6ajZS(ssDe5N&B2ARxz#v>i__gY)@8(j1JL^XsLV7#kPzNV9;? zzmjHiyx+tfnN{Cv;-1Ak4w{HBu?DM1CD=*c9OnO!wc&w*b4E;5BwOABw96L!Y!sY2jcgV-Q77IZ>rngv-%Ug?u(Z6E` zlZxOxvWA!qoJURtYs;>x)@|M=qmyPa&fQu@&Gs@-nLiJUX422OLKC5p#pX z=vHDb$VXikb3#5UO3cpXl|?r$cN24f^QhXSGB^*NAR6F2^s1;Q4I{hBsLqD?(FO8v`-;d_Cg08_ zRp6gND+R<8$Y3fUhCsdv5D+&YL#hgh6_CNHDDGTj$N;tq{u$hu;Vq1OGhZa&KjfzH z7I^SBQ3M{ELPRbr8LElMWhLL-5e4uca#dvDKV*l1J3bk_M!@}@44xw3?o0-6B303@ zzWIdf==Z+8ge&OZ0j~wbB1r$50%8uN?7!3k%1M3zrcUMdV!z! z`isId$OnZ84}k~t68QPH-%jBvr(Z=W3;edNjfCH- zCEH6%JnuBBp~UC@wjhT4BZ(TuO2Tj37Eqo$w>?P84$e_erK}vAQf}bw$E3XAw*9b_ z2i&%=lyEmBQMV=B2T9aEiRYt6sS?je-F92T_XSAQBT^LOVPh6aL%TMPlw^#DEdwP5 zc(W$)^EWNPl9USa%_}8W$Tv@vQbN9|nv??aP1&W? z=w>`_~&;&k>nE^c@X7DLP{jYqhMwX_ z@L&I4e8+KGNdVq(L6X38!x>2g&kY+S#0JUw#}ZEYIYne$M-h2M()Le|yGCqg}tXp~1lGrjTo}zhHv+ z68zUa6JLP;x|8B!)Vtuc_>Aj#i3?EI!kXe!u3t)A$mQw8C(!?Gv$zQI1$RaK<^%cd zNAWq@yRIE64nDsQ6tAJ)U+;;RQFhHPk*|TPFN%B({Pi8XPI-Y|h_F zLm*%CPULIanxW!6)HVO9cpZJZdZsuB^)B!c??Jw{lZd!ISx`cJfHtn}AR<;z7D(cK z_+)Km5wT6Ork9AgC0Wx-yos`_r-?V9zq%K>3eJm~i-;?dHG@b=$d~^rt^(&pv&0qP zx!4d7L%t%Dh__&}=%UDfH_ZAeR=od`MJq-A8)CoA6c549io$FGeD%u(Rt|VYFbxA< z)kNg6g_TFdqwvo!ZABiV__dUG=-TjLQ#*7vbks)5J5-Sy@dy4f)EPB4VLr z#YquyPO@UHcot)IWj#^?{C^%UMuF$guf#2=YuPbzEAZ0$;x>+THXlA*mXnnQURsTY z0x#FaU1-;`N#b_krAtM;m5}9O;(qX79whz&{>vMRdmvvnOxz9mvd-d8$d@h>cR;>$ zn79}1S~iZ9Lc1216MsXy7S9t`ga4Ah#5Ei@64wGRUdtAO|B`Q*2k_!Mv^((6IYhis zlApg3@%~7D_7!<9?viUF&%a%=Q~VvAm(&y2f%B65BG0W|a*q@S=RLioO5nWbiiGzC zvagU-0X+APl_~=7dBTwEMfOIq;^4VA4@JzG?CU7i1c!Z5QVnp}H&^1{N8aZq@$Vz= zqf!;N7^I9;?)ayy7G^h0TF>>8#@3L<1M8 zhFvcWOsSf{U3!xXHV4`{wek^VJ2zC`K__66@*cSJOXUN{-sB?6`cVb@IQ6TfU>~Rc z0~PG!)bEypeVqDvlJh9rCBKRHL{|H-IRt*Fbiu^iH1mui?V;b{SLHN#dMnBa@acF~ z!Eazv?^g=Xt@X~p5Er5yi&Dh9sCN@~$Q~Qi$EjegrX8m#h#OPy-xb8hsm~yV=a>3K zC_Jas$4lXPq#dUyJa@EXgmTUvSJZora@HPK)O(6@)gC|8rypsDcKJjpH_@(6SrpuT zsIQO0-&H&9Rc@fYor*BT6=|op6me4r^s6-H=?8?n6o$Cq(3odZ&K+H4HKU;&H;xyF6Kbs%2~`P;3iaGU}O4sYyBtSM`1elQ2MxviMM`MOEHlX z!YZaQx57@N*XAPNntRM)z!grIdx0CRH`f9;2sOV0u0FsF2lg046wJYn#e{B{gB>Rb zT`=!_hY5keozeLdG$y&g`N|w=D>z>jrA^>=%~RS8e07z?&;M7`vMJzs^#;q& zaXs1*_}aJ9Zg9AEL)rxn*LF)gxV(n6oy&7eQIKCKJJ!IA3eP&fE5Bvp|Nk zH*GqSE{5F8Q^9zq%}y(PoHYGgS!VYa^;)O!90{-03eSb``cA=^q|FW}OKc9b*>Yu( z&5bsFpe%&E=>Y}bAEeEWORsGEsK7fP>z9|cN0miVx|DCVHFEsvr ztHYEfzml~99wexJ?J+igznIu%^oMAqS*W zu};!K!RipSaZsQ-7;PL>N9_;!z;bFo$OmRrdqX}TmD&sP{?F6_Xyd@D#1s8BW;SUC zJm`_S9(_0FsX7dJa1Hf0w0&Gf^&8-Cuc_;R$IeoR0)I12{T+C$q<#xLxCM)Xf5skV zJAuEsLB|4*Ek`E+51z+b0FUd=S_2PrSGS>!xMC{q>~x%~IvzeB zdP&`gvSX*K*dOVTDe4B;8QWL=0s6yps9WH-aphE;lj+b|D(-Z2NHcXB^oLzlCjt*` zr;Y?3;;Lfrro()|@)hUnjRexyzXx1p^_ zWAx*=)9Oz2-S`5eDdZ#as8Q&Pi4)cBz!N&Dh%3?&1JoUmkFTI2u1H7jW!vEY3A0ti z73s*lbPVLppVX@Y(k&m3+E2w@hE8j)E=S*uI;i4aL%%Q0w!@#Jr>VH( z(8<}XsbPPAa5P~XfxjQmS^|$LOZl2SxtF>Y{uwiaHHOZ#73v!JXG|aUSLlp>rLKZ} z^jQ_>Njkctit{8LT~+13%`%3lcweK_v#WStqtl6sdlsEaRNS-Z_gB@+sB7|F^%Clu zykET!KTlOjbNIH;5j7CL?VDfi3}5w&Py>Mb9#?V3qkYS>-DqP!g-!zQ8_L=O_gk#u zY)1QQD$ZuK-yJm=-1-HmLEzS}j@k|KzJID+A@93N4FTtVp~MTE`=?MN!MVSWIoTe= ztolc@J8=0F<{IDzkI4G`YpgW)-`l?6hVgd`qTn7vWnn?K_d5pQrhgvM4)alGqz% zXWSC;yHaFkQBnpvGY^VAQSXlv#a_TOUWr3dc2+*db6S4fB@TwpPnl_?-FM{2LgEm} zXD?LK=axv-*nIm&wdrtODdewG**N zlbMn@#_lgN=e)@C$9^0uVm~6YJBvJ*?8jhn67=WR6S0qznT5pBkk4r?;=V&>-4L+{ zk)QU7-+}*6yTr-h{L_39dkUG;P{f`>=D3UaJzg^FiZ}xLv$l&o4{%O%b3Vpf-Bac_ zz*TOTSbJI1edH4Q>w=fG0R3`doP<4sT>Mj-2mfCzBh3fCFvpw=o3#ydFmR;|<__S- z?};DmM}!MIU_T;C;O`ebOac2Hi3}I8-;s!8jK`287qJuQqez+ZH|QQ!gg@Z79zO~D z;D;VV1g!0(hbmxgCy}>>UC@v0EbN4SWNl%$J+Db*2&rpxroFyX`S)sjJy6@)ZKr)o ztL=b$&sN(5_xeDG**t0QV{8M*#aScZK3!FRn*;50Q1!Dp&_2slUoJ1McH;6>YDdU> zPgcDl?;WA~U@huB!#r$rU@h{Pe*!nJY#ssjYQZkr99Z*S4Ci*{HJ&2xh_&co;th^9 zziA#rKYD#I@h-+%95gT3d{~Pp^SsT6wU}$-{fjj}YvTQjHQz`w+Wut4`dmQsz(I>05}jJEc;VrDC|F671kHgNx9DQ2+I zXnV0ynRqv3MRpm_AkVpv;cm!^-ZY+bc~O=f{Zix| z#eIPlJ7`wMyeQVpEDu~X$VA+j756alPRfeAlRw~xr-w|unX=+8W>xe>vD*4(_~)5y zRzY7B%cpNZd!N@cD?-0$NAeqd<#t5h2%TrE%nB%5w6c!49DSDF#P6N5VvUVE@Ktur zxQX`WXlNjo!m_V1ZbP0u6WNZsln*2eaDk}?eg~VW6-g$@%N{iFrp&Z{rW@)i@YbjV zo9ayy=Qma^rtDlZhNuR&b?>Gajp!-^>R6f+&xLjw=g`Ki z&&=Fhr@C<(+}z5Vxww8V;|%24W}7*nUvR#0mdjGwdbF)x=qa^B; z^BKiZR_S6C2bPZ*B{=pl5!+<-rkg`CZmTXfaW7`g#+Wl9uj^&@1Fq7E{0=^u7nxfi zZ(7U1f18hGzHIDAU0HJ)2Y|DTG7bV~eoS`4j&OlV_FDkUvc)*eac(0w+9jqj55hkU ze2o*($=cG$0h>}w^8ozQpoVb@`dNQBazaPkW-f!gdUxX_^s~+|a&eu!=2FP3H#Ly= z!m?&FN29FAAOkrtEGscbLEoc;aTGdPhMCLJN7efnxZ|@dUC4U0J>wh$c`_{HK?8Ae zmN}(?JQH9k-KhKmcWa8|~%8Vr&Q8sO)&T|hwmD)%R0}Oc(aHhw#M=kvITWHYU@0Y;&l&}6TZ!tPRDvkU)7?BW3&9Xjb7m97;GYk zmgNsIB2lk1%5-AQ$v48lot-)JnHeB2(A>bCojE3($gyVy>YB*0X9WtF>EQGHoy@fG zdH(A9RgB?hf19bGldqqC4P*GZyXgx3d~HnpE-TC5m25@ZQx-Q6|6wV+8HoQdmz4(J z%UseJeE&*0fXFE8mBKs|CPsOl-({tD@^)1>csvg`OZl$1<}SO*IdTg`hxV5=5lQvqeCi=BqO1}?oS zms9Iqe&C#orQmzq&=-ydT%N{}3VkOwa`_(hZaF~~ga6Q@j#H6#gzDq|8>rh_^?ZQ4Hzki6lUi+s*leVhgGZ$I$)HN&0{@R$?w$|!bEG>UPLp2W< zI{a0BTea=>_fk)-nC4=M^ZGE`I4Qd^yeX^ipS6qujXd@E)Nodp_#fUimrJ{cmfJo_ z{?Z$?eNumw_F8d?q3Brq19`n(PTL@9>(Bu0xx8NIo<3a0YS>g~RjaKZS{kXpNENe^ z_4-l$u#Dlb{aR70ttw7=KiWg;Yq_QVz=~#isek=s{g}i*ysq&`=85kobA?s^Dzl)} zzkZ)>oy0smhgMM9Dst0!uEx+2b&OZvFEF|^3JfX#s_=~?XS4o|Ru9$^o{9oC% zy%J}Y%35Kmzi5E**s8yoDc_;n4|g$(OZ*$^=_91w!F!!!q|O_|ZG$D|!xe1fWqU(5 zeTl>{__3Bp+A0!g99N%*jwl_pv=VcX0NZETF5OLED*d{h&Um7>9X@rmoDzRveC?@h z*V(79mi%EIv|LtOi5+&yQ*D&-RQl*M!`v+SAC5CiSlgX-tM5ZrJ8N36*KjVD?W@tu zt}+h)H83;C_PUF<_0sntgS2cCL*doVxz_urpxIN#WpfrO_2E4H`lH4xz*Lbn$(kPzO6?A@e}}cz6Uy9baFoJ1lLL$gZcB zvU-qnl;pW_%^W3Tc%Z8BQ`%Y?Lzmy`+lOA&(^zHe*@nuv9Y|;Vk+Li2+BVATLpNzx zq}`Gg{PW6tBwJ}co$Qww-L>>GhJ_c}CP~?qJ+-*kcFl@mq@G#gw-2W9?9Vul4#QXC_tF{`0)E zuJw9%bEJ&bE=Hzpj@hNQ9$lW{)q$u?QGSM0HE zlI_7$^qf-G|FCncl)X_|%OK-ixVi1SY?peb#gpyg*|eh)Ly3-h9&3LUaxRf^yK+&> zEaPAIhIU`J>m<^)$##{fdOnFE#slX(nXA|A<}|72K^>!%jQR2w`Y72RlvS6{F^7L{ z=M0JedUms}^x%v$pLo=nDjiQ)5gU4DOJALP;ts@EO< zW6VI=7rTEOpJaPQa@%GZ+d*gbLRS7T+i-b(_XXP&*9g^WPED= zw!M)&<;vTB$abka+E&R^`Gq5fDr>8D(OJ(Lw*vMc$y4{Wc2BnJG}cGU_zbLU{y%>b zqqMxfFuOiM#$;e0-THr0r_$;btbSED>&uwmX=^N&{0kfE)*K#`(KsskeYg34lKMAh zH=;{_V`j5$kuez*Rj(*>$-k3vMz*6m%qmj*`fdMbR{rLOHP3HN&;#Z5 zK3|NJQom1pfBF4}z1eSlxxDV&sas?IuZA8+jf4HvRO6K7iPph3LdK-oIen$X;QXLB zR(b6IrWt3YUr|Qe-pZJiEn+N_IdHqJ-cq*1HaZ+KCe_;6{bajt1AVRfA7Kt>550rT z=e}#54W#S?&HtNh-+yYz?}+S+(%QC4oP9_5f0i+9x7RjRUSIe}ODM4wd!XyqSf#W5 zl-Elw(>6&wmAmS_R9g=FEBhyTy=Jg(NZIxkv^(;8-7^t=U`G0DUmKiIh?2_O9KP0y1 znf#+kJ!uo@u_XWF^2Q~}voxJDK?Pd)CCbCgXg6n*Sr|dth^C6L~%KoNgUIKbvV> zkvf;|^?x9J56tNtDly!tY=lTXOCQ^|OMe4(2_Xr)QDvXHkv&Qs@0%dRB?^X+z_# z?4xC)?Y*R)@+tLflIKN7*e&n@*l zf1urvdg}Do8_4Uo*Xem>OrD=G-dbZ)UwdKIxl3LTXb4`X4T){@RjL+!fs ztLj`WlWYerHvHr>U%#N2ka2iX-PmUBuM~Fc__JOuy@j-E_R&j8{-@K7EwaBB*R#Er z7|Pdj4wHKB`01r(PCox-?2z$aJi^}FiYH8OW!0ZlFC*jjw3x9|+PePM;j3P^Rj#GC zmi)#Ty{wf#y0J_0--v5}WYzy&U!!7hn7j0HR{m7RZi(~CY*WV8e!qybxYYB#u(3zx zz|~;?va(L)%tH}0?1jb(3zV_3*Uj=Lb$^W~&v!u+8?+(4Dt+uK=t@ZI|GiO2>t3Q5vS1H>!OuJ-_e;a3ZiRWi&eT>9E zu)I-L#%=yy{|xee%>3FmO5z-78Z)KN`H%fmNuEp_Z0{ttGFyx}Qs;th_V3bejUCP* z()T;VwO{gj-7&VgQvZTVcI!H1?cw@Z>B|)#%`Wwq%;dB_e?q(Jn#?Z2h|8cm%ACAJ?` z^sAEp@o;^vmA|)B)&l#z3r=h8_?|+S?*i#^6`7*CwO*5v;7|!2mnnzCGQJus#!#0emPkWk@xSD)Q0@#**@=$ZXG}WdT*OAeVKP! zw~n8m1Zz>O_~Yq2q+d5<>9?f*%Nw-w5_6TG{ugBKRqkk1kbcdL=08DRFE~aIm6*SF zGKNVX=jPCFOCP^fFs%7MFQrqitJr_!(8HwcxAV3I67$?YruBLCvaEhb;{3K%i)zKM z+pW1>BS@F`sr_pn?VJ_=U1vLq;a)%ep49WTx=~U3GAFBkU&ixe1*5yfKc~L_Kw|rN z#OR^69rj}SLwWt%EJJ=vZ=Y*-TJMpNU#5HpIvmk->pT6AS#1lYk8|!it?!1e^w*zA zogc%rXjUH`PHV1S-K<;Zo+Hy~!B!uSIA==PYxi~Qn0(A+qmuM-c3#_PX?ILRql5Hu zc5~Z%X{$^peWJ{PH`DCa_3(NzHS731FpJSu-Y;`9+J8t4H5TjEarNa%wnfs`oT$zY z*7!HpT@vTz9$Iv1x5N+qxx_got#(%GtbM>pCvi^MWmJ|tvuYX{CCpP3j@r|ky|MVwD zE~)c#U8APNKf`od*B^clHgZe-Uq%?UWc$@qhmX`>>5*Zbn}6DG)RsK2+t{B;p4zdD zywcXUenuS`=hsV|$tC~qmdHX?Tp!2#^Ly0|2FdZmj8@Xj@j&Y-x}6$PHf%H8!|q}9~<># zAH6#3-%QHp8*AQ^zPt!CtZNG&8W{Dh{F}^&GFMLwG_31&uWimLQug{)TQAw~CjyPe zl7HrCb+#%WcjBT5c!y^KSRpGE;0&o8YtKPg+{ zrrAWsWY12+I(GWBR68MMtA2KlmpDTn7{jH0#|^WEjL)9?Mta$vQcHg!b&ijst&{W0 zV$+<~HP0(e%r?@;z0HhjvOWEQ5l!kDpUu`=`n9)!ZH#PBUtsvioC$sITp;6ob-I>R z`c-tF?SpKWNoT~6{I{PuXGveKov^=>KGvF}m5}Wkmo@7<%DU-|xDwl>!rEr5FR#qD zQs><(hV?s;&{(!*66d5?W@{OTy{(Mmvb}Pl7DHkyvCxp?e}`?7*nN&c4dDYAEWc9aoYVxHW|)<^pFUnbKoW#2t9Qc9eY=V-~KUquI-4yote8AG1aYoC(RTrKn2 zx2sl6`cgBV8Aa-JHMT96wkH44j!VoXR-5Z(?nSF+1W7$wkl9_z-koPgmHqqZo8~X^ zlxS}BRrBAWJuy2=zYg~>qgioA)s9F!RfA2r{_Kd})EFr3+Ebg>cgII!n9-%J_w|f4 zRt$s8wUR&jBBP(xZf?{1?)dN$)5j{?(}*MSOe(J3mHw96V6KyTq6HXouH?`*n!9CA z9+_$STJ=me63D)obl28b#_&jI)6dFN#7Jo6>8vG}@hMu$jA7+jXC#vM)Xi&J5gC)3 znN5EykIhIXF-&e}|08*7RJ6a4?OLJc9&1dRo4S;J9AH}^u}v=J>}};KWA2i1I5O8X zto&ItUE(Z}*4!uYA1z^;lILwy?U1xv`I>3{?&8QvGp6Ku^U6qWwUxo#FRzE3G@Y{j zW|RI>Vi;G_Ke2qyXRKi!kg``wngQ0F*=T4I&$!P1^4wH=`Vn^PH;T1(ng?Y(ul6=$ zS!L@R@?0bPc$>4Y)PGku4@o@N)|uA#dhd$ZR?4`I3$y=~{?^E2%CiUUM}tl4yQ+67 zG{YLV#LoUw|D6xEep1iT6{htaitD9zQ0lC@NlPhZi(D|{NzASb`YRcqu}!oBl0R^q z8Q;pYP5&ihGIpGEs`Tah9`l41Lx^czH*n3;|H)h$SJWxL;k1XWx4)M9YxOseNxMfc zn)2HfyQ`mVmGos?0_Sq6|4Lf(l*DkXyO~I0cw164rC%iioC~e}(!e||`H%iG6H8y- z4$%%s43&2~XG=ZTCObz;TcO#^ixU5_pQd$f$5ldqEisRo?i?=p!_wROOU%cvnbvPk zTz>j{iDAq^EtSMsWV&e`o4sA2e~=hn@7MB4Y&A=m$*q`O`bWw0rm+2=wRu7Ma_p~}Qu4put$&u|iZ_9_YwEYh+S%j2 zH`H&BwX=VGt^4=SmGHHml^49u*ZS>uuxYz4Wlyj7m1nhSr(gP>Qoq^O&Svtp?(I4= z!`Hf>>+~;Mh?G4!+t<49>EvZ!>t3hR0lwBfO{e<%TK6%Xd}O;JWseW?-6Sy|Kj6Dw z+CA~tcZ0Rv#&@G^AHQe|m9hs5`O1CV+QHtwa__cwXs_=u$$u!h?{L{ZIM#Mm%AO1L zwf;Za`Gmd?CC~Y>zK^W!2ELE2?aa2DQub&oU-=&d+RF?q3zEdR6k@LP&t?k^t(`5VbLZ|gz*srAi>*ROx6>s~?GehhpUib&g z>$T3?>PlO^0)1*pTfMgURF^t?|M00{ZP)jyDcik{+E1z9`D?u=Y4VIXt=AX3+}o)2 z$>P&Mz3;R>Q+(>H-_mP+y7AjnaoG-o!5qi_$;vUwDgf@f@vd{`N)0x z+VDUhx%WyN{nY1$JeOXZ-@)gBmB;B5BHJ@Q_{g*9wfPx*9?I*p zFZsy*(b|lyKJrX^ZQcu?M^>K6J~w51MpqwsHoi7*jV)N_#+!nE(`0VE>FGCF=D^!M ze%73Po5atWlW#`Z&Pmx>S2p7WnI<$toM#< zub=hac|YIJdhfj3=4ZWkT*3AvGAG}?w2!s6?OJWwet*?(z09!>x&7A3-1`v6PkxV~ zy|3bDJ_`Aqop7~?1SkJ$Ylr7Q5f1hmEs^~vcwkyQ)?Desk@~S-<-7`ja&F#4=V-{%F6g?fQP!b3~uc@oObx z`1FfkOBs`=xBTQeVA|8Be)1eJ?P*azc@CKNQ`pYFpd2ZGlp@ zXJVi7QnqJLAFKYLgFa;?e^3&iaM}fPf!g_p6#ji+-GlWz5c;R&YiVhwS1~d z-+SfssVrj{w9TiIydE^&NA8c%f)e;tkk@$O# z-~8xFWIOkf=m}*zS7>zWS&?~`_{#qa2>;T`_q+7#@=)I&)^<(bpVoG2TNfFtDoT^i&o_j+rWs@a~avbLs` z9c|Qpw>6#RXsKehHFG#x$#&BaM{8?)O+X9vE$sjQ4AE@$#@TE~;yS)1YnHmKEs|5h zso+8Iz(}4N7V7%b+EZUCr_DAs#sSxb8a>Oo>rb^i+zZaS;!JoR(Vn>0WN$ZJ;O+Li zy*>Dlw+}A%wsX0+kFE7~qK)2O@}IZs9P@UTQ{FxoUI*L$fBfC{Z|Cj7ZM@wE>4VyP zr-#AG;o==U`4dHV$9K!Mxj?pvcnYp^$FtG3$??(4S)+Sgret{f27lLsCqE+6>l^6TExpiwLA`Z6rTS2uxsmyN)hSe zl9#+4{fNiOc82F)u)_7_RPBiTS<8fb!z$OPhIPH%3!VjUhEFI5BoD9e*GgBk&CNXV zD92S!w8Nc#+GU*a%zv3Li4%I}hoqN1@BMxm*~Q~T!^6wRIOB?Y(c{2~_fN3v`oo}z z`BNi`yZ&I;k((aJx)$yYw_Jr>`6ANA=39^D{no_K^L~pJem~ySuwa+|prTiOZ9~25 zj}zwYLwCI0{+_qvJn;4(#QWy4C%t~*@b(7;yP7`rxN|Izr)2FF5l>Y2=U>NQSBohl zyu5Fkw*zK++kcYB1>N&2MzHHe&s7oS#n*a!ET5-m$9Rwbt3KXNFu>cz2YY+LNN-=A z>2ckg;qC8V?P|ESQ^fp8oH^VZR=ZMF^?bgIbHOF^dZ*_r?&+wo`?RL8((u0EC?cZ|!JySGdJj7|0<@2FSu=nS~V|*U`P?q&D zb)@yoy*{RayTMml{QvXUo&P3$AASyhf`7sGmfrRIz;WQjaB4U^oDVJvSAYk>li}^~ zP1w=OyFanu#BeG&Bb)=y2N#8_z_sCKa9g+=JP;lMkB6ti^WkOi8hA6j3*HYOg|EW5 z;fL@m_yhb6j;4+Gm;%klPTpWG4afw+#J$Fe@;i@aX^+VW<6uxI_KcgwS$ zOupkeo);&7)wjj z@^b8p-cF@lr>eVr)0Z7%XNp)KGbavrp#v_TjCmrQHn%(d(yNfJ`6DdrV^ge;W&aiO zO0T0V$FnKMcpuMZhl?o(-Ep_~?nR}*wGs9CkMXSEbMjw}uzWuBi1hw)ubU0w{|!5kKQ5dEP6OwF^TV;5|9^dOe;)M2`@aD4r;c=e#GOAU(vu@SEz(mWJt@+Y zB0V0`6C*te(*I!m0+9a;(&HoD5A`KP`b(6Li}Z&`kB#)uNa^n5nW!pVuHS{=`dt!S zziWu=cWrU~t~;*ZU57{GdfrJ~&x?=idBM1zmkQVO*5Gmrr9@p=7vu13{jN8z z-yOpBw|nql_#!+RUaTy~8@X`(EDY!4AK>W7pAaq!*M*0}?crI(tcZqTRE)K5WrN;HUD!6_(5!dgM z;QHNdTn{^q>vyGa{VpD^-?hQ@yV$sX*9F(_665;aNnF3{hU<5Uas4jJBhU3Yx!(8* z*YDck`rT|?ziW@{cSmsjE&;CJ#lrQwces9c8`tly;QHN1T)#_!>v!dG{cZ)W-`&Rb zyOy|qmkHPF3c(d|{jM0U-_^wRyL;;TU4_8#vs**^*cHK55e)w zwMgrcdp&Q6^g3`=I20}e7lRAHIpMsKKEK`ZWI%duq^CssT{s~e8#Z7c_y>+>Kf!O{ ztcdS1((@zz4$>c@{p%_{U~KsQ9k9wZJ!DP9{uM7)mi>Di^&N!wz+2&U@CtY#JRP10 zkAkzK|AUd96X`vWo)+otk)9suEs&lO>2;Bw8R^xK{uKMCIMNHix!}xjH`JE~>0OYX z6zQFi9tY_ikRDZ~%lrwr?LD7_C&Off<{39{WKN{ftqYchKy5W4| z8axW;A184B5fA4dXL0_K66YVQasJT==O4Lo{&5xOAKh{OF#*3P$c*0;ti<`q37n6_ z;`v6T&lC6l>x}e~INx{#r-RGkd}JriM|R?TBoEFoR5^p`G}75kv}*e znS}F;LGU7YH+)`M&PNQKk0izUNL-wcq{8_~Rh*AZ!1+j0oR8eW`N$cZkCedqNE~%O zBA;hb)cZqzU(g!oCvk9o(iP_?NpOC03g;)?aek5n=OK4UyQDU)QmICBphuAM00ZtY2NRUR{Mp zV*NUf^(!vcuQOP`QegdBh4rf;)~{Sxzph~Y>VfrZJg%Ru!g_Ta>s0{PBdk}QkUj$I z(L*>bTo&uqF05BOuwLcHdi4zJRUfQZ2e97Ufd|6p;fe4s1)mt5aC7N@Bf=i|c2tus+4Y`qUZgQzEQSC$K(s#rl*8 z>yrcP(?_gNt+77M!ur$>>(gPZPw}xn1z>%8kM$`G>(eEyPw%llCBynu7VFb8tWUSF zKDEI5lmY8e0k}NYry^LNs$+e6fc5Dv)~AM%)+hJzyCc%)qxIqgX_YL;HenjUGQRf z9XuO;1%HJ9!Z9#@0dO+75*!F0LH&2(3-D>UkFxvvfHU8wjlO5Ec>O-4IP%9*?MwcP zmpu8!{;Iqbjsi!8qruT(AJ`Z6gJZz{unrrr z2|MAKZ~z<&jt$3wa~AKN>mJ$yHJiFcjPcRE*mcQxPn zJC4`So&U@B&6O9sRT}73+NLhYSDB6FL4>h$sH( z|Gz)n{aYC+-R(v23V1oZ1YQO&RhI920@ZpYt_RnL>nh9ptxI_P@4ilMd}Daoq7#=b zEpudX!>;cBN_{Pm|LrMHe2!5j{_^rE|qZA^BIF0mpM>3a`~2MzZu*VZUQ$} zmfx?3sr6fY2fhp6hM&XF;1}>y_z8R;ehfbfce%F9?_S$nspiy@^5S{VNR9AN);V^E)n`ox#v zYsyl880xzX-$DH$sP7Vd74?UrzFVm8CdyxducN-3sPBfd++UFw$Cvrw{BRz)1Y8_0 z1{Z}(!bRZ1a9TJWoE}aC=YX@r+2E{jPB;sk8Look^Qv$)xH4QDZU{GkYr*y5dT=AS z4jc&Ag$u%k;KFbLxCC4tE(aHf%fe;g3UEodG+YWUgY&Jja5=a%Tn(-a*M;lARpCl- zZMYU32v>)zz%}6-%5uL}6Lr2SZVESp8^i74c5nx{E!+lf4YyL3>n{m#{Usrs2#yb@ zhEu_5;FNF*I60gQ_J#f67_bi<8;%9Xfdk-}uoE_w<$lrAxc+qpJ`10MZ^GB%EAU15 z9()(R58r^V!I$9+@Ete|z6FQCSK&+WZ8#L(25*OVz+2({%6f_L@7vEUbuCY~BI5W* z_UAF=KMEg*|3iJtP~Q>cKMWs0`4uRC2>B1fbK!aLe0UDL99{)4fj7b%;7#x{cqP0T zUJtK>SHP>`rSMvK4LlYe2akuxz%$_K@Jx6bJQbb-Plo%#{owv^A9w^j93BY|gNMRH z;K9mrfBkp${et)h{1g5LJ5GD<&zJIcI0~%6HuxXv|Eny|3n+u{OUlCK;L>n)xEfpo zt_oLyE5nuG9r(UyC%g;Z4j+V%!2iLg;ZyJ#_yBwu-UpwAPr!%Zqws$CID8EDQ{RWl z{*M9s!@h7FI5r#?js*w6F=3~&+%H%X_Xn1OOT#6U<@%39T|bceav^_iI1ii?P7SAl z)558gC7x)Ar;qwRQ{w9j_k(-G!{K4@P$gU7?;;7Q67?{?Hb8u>@SW8pE%^8NN=_5HSZ3A_|u z1h0eF!fW8w@OpR^yi!?yFHjHn^VWwOz=6s#-^0{=m-;Foe?_lsz~$j`a8@`QoE^>r z=Yb2uh2Y$9LAU^11kMZRhx5U4;J9!+I5wONP6;Q5Gr;NLq;Lv25u6TA3ulCr!>QmT za2hx@Y=<3i6j+0OVJB?DKCl7na7@?__J?D@zw!ITKk#4p7yJf(3%lUg@HhA?{006D ze}_N8AK_>4bNB`P6utr9gm1wi@I&|kd>_6CKZ5VVca-J%GPl(8WyGQIZTP0L9FH|q z$7AyR$WZ(q_BI>_--2Jl&)~=K8~7dk75)OhfS`N2~G>A zfpe()dnDeRa4tBza@s@T&rkoVee=8RAMt&xSXWN{&Xev_B(YbzQ>DxIxl`5mr_z2g zv{w}E`Jz34v=<%inP_hc+AD(g3d6>2-n@aIQXZ>W7cvAI&j{-|)zvwvm}PwVA*%98(($}jupDB3%M_P(RN<7jUd z+MA2^4x+uoXzvhw4DJ6!`zO)<9JD_l?H@+_2hrXEWqICr1$DoZxFTE$E)NI7b>TX2 zZMYs>3$6*rRQGR5ya8}5*a;_tlfp^h#Bg#r5u8x0o|m3L-7hB3PfrLZg5$%f;Z$%+ zI0c*rP7WtimgjY6$Nix>;GA$axDZ?rE&%6;3&Z)~yl_3--&!AT00+V?;TCXnxEb6E zZVESnhv9zT;qVA}C_E9K0FQ^q!IR*z@ECYG?k8RWuY{Mu>)83tkIvhBv@F z;T`ZEcs;xo-UM%lx51rozjtT23)~Uz3HOHk!2{tT@Njq(JQkh+Pll(#GvPV#e0UMO z6kY+ZhS$Lx;VtlXco)1E-VYywkHRP5({M0+0luv4UN4S(wSP;0#Pk0X#F^l%%JTVr z5B1%JAHetF9&j(XFFXJq3=e}x!eij^@FaLDJOiE$&x04jOW@`3DtIlt0p1L6gLlGv z;Q!!*@Dcbpd)}oCR(J=z8{P*WfDgmR;FItf_#Au@zM?GiF$3mb zW;h$>;|oFpF8JhH?|4tar{NRuW%v?& z5xxLlfzQL|;8@GOdlWtfABNAt!SGr54169w z4WEKr;Q2!>;Z|^SxINqn?h5yV`@-$uj&K*a58NB>4|jk&!`rVEb&w+3~xDMPL zZU#4no4_sL#&9DzKAyLg08R+UgHypN;S_LkI5nIMP70sL^J^}^7vXd84fu|-`+ArA ze4xou@BMd8rRRCFRsLZ0_;t=Os~hroB>F!(xr8xDo< z!Z+Ys@J)D!`hS{oe6bVW1#efD=lMTW&m$ARfSyI0>}`*vr^|Nq~v+o8(RpEW4I8lDGFf~Uf>;TiA} zc%iby8-{pqA>LIezY<;xFMy}O)8V=BEO;5bSXtt~i}-IN{uL;{Tv`6#?hW<4LGexa z790ZKg`dEW;XCjn_#u1`egNNxpTe*4e8o5LTlf|H75)N$hCjg{;ScaPW%>VzY4QIM z)4}QCG|KXOq|@s6Nb>yp@!`+oFR^w~(0FH+K`USXsWy4>>1gif7fJdw^}K#5|4Th@ zO46s|`TkSj$?ybt5$CZ^5DP4fqlK5Pl3lfbYZi;JeE5|J2^8=Ru3#!yjN5{1g5G ze}})pzu>R%7ubg9S!=Kz{)hhhAw4=A6L!MBa5UJ24LAUf0sFu@><`Ds^S%?n3E_Bf zN;nOiUfKQrI}*+Dt-xo`|Kk%EhKni7e9DIUvcf6g)NndwX)iz8D+Cus`&m$5W;i>X z3Qh}WP?mTKqWvOpam14e^<{)R;d$5dphf~AJ;G}Q|{6Bz>a3{Du90YfV2f+Q| zo^Us~AKVun2={_}zP5{S;6T|V~ zxXSYXu9~a=Cn9bEw}hL)o#2je2e>`l8Eyx+g~zD>FC_7fg~!38;mOMG`#apUt>e{X`f!Ba3FqN9JY(0)od4V(;404Ijy!e!xVa6P!Wvdo8q*k7gK%5WVxA6y)+ z0M}HO`H%_m<$&|SMd0*sRya3YP+8`K6Z2ss_V)(mc((BM`qz?Iwc3=7`1}yphU>sJ zl_ReI{~Gf0=7UK8KR4X>l;!$zwaC|}!_)5~{|)#K90uQlZ^NO=^=^gtZ^JFu*r&xK z`X?R-kB7%7OZ;!u{oe9@#|HfW@9oNxzfI)+hX23tZOFeK-U@GlH^Q6YP0G^0Jx{#* zyBFRE?^c%n)x!USZUEPZYr^&5K)5zs7p|i${aK56*TL)IHOjI-Dq;UsRrO1HR_w1T zh^H)E8LkAEhbzJrl%;=N9((Vvu5dTFv$Dkh9{pR6?_bs_Oa8X#?^@(v2Csov!zVUkEM?7l1Rs8R1NDdS&U)D%GD@*F47)Me+Yhi^0X=B5(z`JX{ej2bYax_x`IK z$?oHwGH~fgcIU4Y$?p6C>i?@s|6;+h;h1m&I3HXPP7Ei5Q^INB^l&CPE1Uz)4VQq6 z!zJPPa9+3ooCr<|r+`z#>EMiT7C1Yc3oZs1jb!)yC>_b}`H>LL4;O-yz{%lMa9TJ6 zoEgpr=Y;dXMc~4b?Cx(V%$G;KxO&7xQz8J+3iT@N8#rK zX_Y0vKk}zR{-khfI2D{6P6?+_mi~?N@$T<pes)FSm_w`7rFSp7s?L|}LCF!|PUmiFooCD4ZXNR*X#|wX+&eTMq zu2m0nc+QuVSHo-ImC6$T1?=zW*guA{;I`aF&F<>9q5B61-{>?`GbKtq~Ec9E)f_jkipuHB&pBkmuO`nDkdW_T;g??U-a$iFd?-RsGAl;4B$ z8zSX*&&TzV>@L4eIZ<@?dUVURtw6Sj&l~Y}cn7={J^=5B55oV!`y$yL-{DAh$F~>W z6UpxUhm_s_pV?-Fx$qo#COjLSr7Zn>(BFIiJ%k^@_mw5y z^5|b4Tpug0Ecvsbe{|e&23SWaSqkj!B{u$6-c4Zm=Tp0gs$e$k03TJ^c!kOVr%F^Fs=+ANZ z1bh_zJ%#=zL3^o{rN0f(-&Dw-5>5dpg_Fa{l%;YK-)_Wr8t;`@v8QIPI{ZLl5Il%>Cu(4Wch6nLVte4c(nd_UnI@OStd z{2BfVe^HkB2P3{A@KAUV;(w0%UGQ7@8T0eC59{|UKoys!b65)D&N@eL!GxR3~@+X9o z!^z;pa8fvlvh?p$U+?|*8U6x)RF?P?VgEVMo}aShPm2EfBEJtD9gYG=gQF@-|DGWJ zr|>iQG5U7`@qRnGrrh9^_h;5VQL^%~0-IXysC6-Q!ZI%(cig8)|4yw`S;w$Ju9EvvNTtwL|3vTh}_>L;pvh4 z`y2gTtkR{wi{ORuJa_>-Us?KF3H_-ISAi>{zlYG@iQ)DCTv9rFi;k83CYQWlFvY6S zZ;|^uL$xpa_Xqkr9q~?sr^1uqDez=v>FcqhCK-T`k{mj13rf7ZZj z;Z@4A|5l>@4e)w+1-uSk3$KFLz^j#|KXVZ8TzDQl8(sh}SC;*iKwx$>!kysu%JTkdf%@AkOMhykKkbmeE!+lf z3Act@DNBD!b@2YYFAbN0OTty*Dv^97uH##cNOoV}tPEFztHBlF3X$xtzj`FQ>%Z6D zyFd5g2k>3^Df|Y09m($Y-$k;!{U`7%_$B-neg?mQpGUI0eODyA+aC%KgNMUI;0f^f zNOre3Ig;J&jf2O+6X7xN=ty?gKPi&k^{0YU!)f4@a8@`=B)i+o5y|fMGQ*kRY;Z<6 zLnOQF&mPI{`rEhjo}V4yj&M7;8{7x(9m($Y`$w|7{jP8?I0)_wcZYkzJtEoNe!oa| zw{OBuI3{esap44TayVHeyW>d}$?kaK!13Xva1uBL91l(iCx#P6vOB(%k?fA|NL%mu zauhxWABNAt!IA84?_wmo+dB)NfzQLI;Zu?9uKz+LyXzm;#=Aem;SumqWx0Rh{%_Cy zSnlsD-QS;8+2Hy9Q|_P4yU}w$rTckI?(}CJRz-ZD=Dz>O?FY6B5!Sb}*86sC zBF-<}_e;9d6JPb*Z&}J2o<6|-?O*wx5$PSm|DTyV{qT{j5#$U z7>N1M3G-n;=EHN$hn<)YVVDmy)Og7F@56kkg87gK^PxKCLvhT9!DxN@DlT35%&MWNOpfdtcYaye6hhAY={4~_FkW&Bi(@Y zNOrd$Gm_oyM}z(07_bTZz<#iAB)i*pD$Dug#tWYB>)oH9IV&GRh9`=W!;V>+ShlyuC*~Z#2t@@ZZP$TI#AfcX`D6 zA#MQ=fF~&D`xIV2(SFywSDx$1^82TOn?1jOlKwxu>gm6DYq0ltlv4eX@vH(@$9R=T zdSzv)KL*CThAJ<=XNq6g`}a+i3VA!Qn76wX^!BKt-riNj+s{<}vVS_R@*e+Q!@NCj zn#c10xHqZ)N9#U*n7ZJqEABnd@q_$-(jqTC$0wD0yZ2wP>vUt!@t62VLyu)V&SCry zV*b{|e63=;Y8{`r=VMijcQtqb#=rG7@BLdH`D?&6;gXpD72q0h8oWQ!!gY~9J<>D4 zwGdBjI1BREL3&*{EAj^-y&jwm`Ln}~kUs~~n<2e9oD=z5AUzk-TOz#`+!}5Jw}tbg z{&q-j4;MiG4oEME^g?i9xCmSn?uhz3!JXkQa96k|Tnz06A-xye8}0-5g)^f5l5lCb z3|toOkNV50boqSAu0Aisb=3P`oZy`I=fN=4R~Db|1Cc&dS?UY<=v`kqlrImrL4P{H zZQ+h^1=QCS>D}P&a1S^Lu88`3BfSsY4sNL| zns6LA5u6(?2p5MZq5Wy_bY+RZF7|H;)VHIR=lD{NM>3zy8*w}!5q{%f?K80{y4 z+hcx~M?9U7UIFP{ke(Fv_eFXo^e+jfJi2TuUei0YxudjN~=dGAe@sR)5b??t- zC(>`AzMZHqCi4G7eSSy}Lw$QuUkv20gY$uz_gQxwe4cUX_^Vm?Pj{uYS$i)t_Ej{AK2-HRH5YrWRH zm#9Ak^?z06Wq&n5{qK%IH? zg{g&By9)d(6fqv+s&HetZLsJ22+9BAucy3>PX>Ixcf#lIJ;eVM4u$V3OZ`3=uV={r z9DafFVTjLA>xa}o4Dr51eQ!};6vT5M>3vb(1Jw5f`CH)gcrV_cA$WiO#^>=q)6Pd{*qw+;Bm- z1Uv?w1kZpM!7JhQ@HTiadG-#GMt6Z+pD{a=OnLsb2#i-doF6#n~w0!uvqZ!mLW_j-H4WoFFdb^OsD?f*ji ztI>W*w7(ebUqt^(p#D*)e<|v(iuz|Fy%g5V#i(x{@)t#Ylaam$^Y0z%n}YlWQQugk zZ$*8dP~SM@kAwP3s&tvp<&@jb_5A+g#LkitzxR;zil{FS#(O5#{~D;jBU~A-j{1`z z-cHEh8SaAePY_>X?9X+GHwg80g?pm@oQSU%^7n@Oz}72h|NYT^C;ZCN-8Tn;V|SAegfz0ydps4U}g5#wuqZ zeJSdzhx%&4fheC5_18!K25>{T5!@JVqAcUF7vr%V@wYidWIvLk;ZoPXWH@$X&u9(*5u06&Bu!H?l5@Kg91{2YD(zl2}G zui-ba3w{f~gWtm+;E(Vp_%r+k{tADCzr#P^pYSjEH~a_w3;%;{YJSP_f`<844Ck9o zalRQH<>SH0;dHPaj;1W17t8VfNssgfc>i=qd>K%G7L<>L>**KWXcl%WW?ix{7Y1OG9P@Az82}55noNj*BS8z zpuV_>Z=yQhllDtvzLtT@Dog*WUGx6_qZoWxS?Vv0^0|;d@T~Xedm*%61TF!WMEzrN zJlhxZD?8e|fc5k`+!e=P{qTL(5R7LC*5lr2zYpej7}~o5-$s2S(B2*7zl;0>Fy711 z{$rGnit$Yj-$VXKXm2^bpGtx9DY3uaA-)OlBgC5?^`(N-q5h+YCj;_lgfqdJ;Vf`g zWqE&8#Qsi(HM7)a;Z#1Oe z!2a|{ebM0&S1wChUX*;8@Bs9*Hp@6Jk6PpnPmNKI%J(_!A=kLww#mfuF+9 z;77_5e>TLQ8u2GW`^gaR8pQhq@BgQG|9?k&^Wcrx|F2QsGx!zizkqn&Apcv~1;2ye z!ylAo{9+;gHSliq=Nsz#2!BQWSJ9vE$o~WW5BqyR_IDb@w*fwgc>kgPpYUI08IPNY z*M|9$5$&Budm8fp_sM(xzlipSqrao!G4NP;96TPL2wy^f+u?X3J&qqPBi>G`J{hkh zD!+JQa?kIt#gpJf$lnL)ec^s^e|P{q5FP{%hKImI;bHJ_cmzBW9tDqv$G~IZaqxI} z0vsRX+Z*ZekRBTjLjGQ`6Zz94Jp-H(&ID(Mv%p#5Y;bls2b>cQK>S^i-VN@qEdQV3 z*KyDPSCHd>fA#)w|33it{fjT{`6I5Mi{HT?;7{-uWvPEG-hUI}@$hJPBzz3NM>z?f zfrqL0d&K`c_|^60Nr$I@5?^W@Z#9P-!vo<#Vs-!LqEi3A|I>Z{MjlC5_bcUvbHhXN zc{d*E6X1#PBzP!13LXa!h8w~C;o)!-cosYxUIV9tCty4VAblj<51s=LgU7%_;1Td> zcxdCHSl_P2fQ0T1RsSD zBc5aMDfldW4)tAx!{B@H3-~qcLVF+J_sIVV{tf?w|DZk_=EqdHKJxd1f59!_pKvzR z9|KMd=Z80=KOyip*bn97!TI1TDE}2Ukv}b52;PSBq3}=GK=~AKL3k_5--3U@I?5-9 z3&2}Y{s#PAS&r{F-|?K^WZV}1{D%w=O62Xe+w1oqDR8`%9NvKPwjl5Fi7$I!k4*^2 zgFjvJ&i@hK2X9r5(aUCY=G(NfY0FI!@r#=&OMiEx{0?{xydL#8M*U4t|4Ni!1doI# z!I%Dd_x~(>3-0j8JAYfaHQWkb53hk+D9d;rMgNb%$1xt;)cla~AA|Zw!6VS#Y1DrP zK8yAyp}ujjU)j)UcoQn7w~iV8T=G}0zZZy!4KgF@LgruKU>k? zZSZz@2fP#B1@DIUD9igTo%(#0czPp$AGj~v5ALrl^{2+?cNoSa6ut%Dgm1th@HO}< zd;yH=>j>E^`qwrz)KlmWL zAKnM=h4;X_;hpdfcssle-U@GlH^ZCYjqnC|J-iNH3$KAc!=K>Q@G5u(ya-+hFM#L6 z^WeGgaJV--6z;Ap^LGvA>sojn=5I%oUy1yy;MFMK4e@k^+rh2j+Hftn0bC!h2M5A+ z;X3eOcn~}go~HG9FQrjm zQMd?P7|spnf^)*f;bL$OI6IsTE(8~Z3&7>zQgBJQ1Y8ySJ1^4nz)jSAl>M_9`(p|A zM>EXd1gLL2&Znv&p7O}w4aa9);Vy7%R;;64E>YI)F=D>5|d1$X7>dyxkh6}*? z;k6%y1?+gR<<85g4D5@F;jRJO&;MkAug<6X1#PBzQ7B1)d5| zQAcn~}U?hp5d z`@%uWGJXv(o(m3p6|Nex2|h{-P3*Q)TvXaPF2tD%;vIZ^`M-;Us;3KpO1d*m0RYt{PMo-qt>?g zYfIg2d)vR3RsX@!9v}jSUMXiZ{lz%LJ`yHdo?s%(ZmuUSZ?^OP5M!Sn| zDSzSYQL8I1`DWgcX`dJF(EZVO7q!?|=J?*Py56|(`42kuSa)Duj~b25;F&j@46skQITqA zRvg?ZEvx5Q?{?pEQ-#0F|M2|A?(-jfeq(8;>#Vh(mTvJ=r;*3s=#=@_4^_IJe$zk8 zviELnG2prGU2c5f=gxf=mB0C;9j`?%sxWC~`_qnhX|?g;rWMbr`12KSoVEMk`rEpO zm)2-7s!ZdhlP?37YKv?;sd(1iz^)Og_TDW&gm^e5fhtiSf_W}~jp#5bw*=OFBw^>f&NXM)%g8diUwxt6fG$ogU-Ij>sCE-EH8c;X?;zj~!npBVz&% zS(zDQ2g!{I85v3$d^@Z$|Hg{wb*Nl}@-s?BR3Q~ru1ZsdRS{KG6;s94DXN4jsYI z-Js^G8`Vu}p1N7xqHa~Usrl-5b%(lBEl>;9U22iKTiv7XRg2Yq>VEZrTB06QOVvYa znR-|)S1Z&b>QS{)tx~Ji8ugfZTs@(lRBP2!>S z)HCW?wO&1^HmHs2d9_Kspk7p))l2GS^@@5`y{2AQZ>Trb7WI~T8{=?>dPi+l+tj-l zr}xzR@L^~8-~)JKJ4WwAwL^WRcB)-!x7wrjs*f?A;^R)ayxfs~zAWbHPt>RCGkQB6 zUOhGL@hcfAgAprUoD@c+6k)7%z-YE-RJ3DU>{I&@7ZMfcB08$71Bi`rh>oimA2k^v z=OacMs?RZEU#NqzNI9gwREH5O5;4sXEzJY*k{KIo8TCTyD~$Ol^|f{6H|pD%JLNV0 z2ZSyHV-<$R1((8Pg~2HdJ_dso2Bj#t0^zPOV8y|e2z!NLD*--^E-4IKDR3Td!|W7rf1=M1m~23V;urjX)rO917WXRs5(SmA0{a2Uc>A#}QflaU$}CT~x0DpG{PB<>Af zgH)j~nJ)&XW0Vvob3gEU1hm3b?hnpG3Q?HS`2QZ5-j{=SBAqBq>MOw|2y%rhEj@bXr$6%v4gXI}%)MUqg6j6L80qyUB9?gFnwDp82PkHE{3L=>Xr zZLl`dgF??ogT0VKuoz)tDooFD;7&|jg|=S-Dsjk!yh&82t#B^5rOqtHQJYGv_iO9oC$SFkT4`3t6 zDMaQGurVT1Au@jgFGMUVMCLKD8Ddc(GJgeIAR;C09|v0^BE>_0fUOac;R+&f22@8ahfdmnowWmY(IK#_4ujng6H0YQ9Kc(M1NZ}R0RJIM;1xuP zQhkt#l)6|K1^en^U_V_PyhNV@_SYrAOLa-`GF=M1T%QVFp-%&^)Te_Px->XIp8*bp zkKkj(nNovw888!Zrc{>SkQK{Yk*&!#WLvTw*`7=%JCGg8 zPGo1Y3)z+IMs_EAkUdFBrkcrAGnr~8Q_WeA@3y@llPJLlMj$f$Op-#Ct|C{HYskmQ$H^ziC&{(s zQ{>a+I`SFvS#mx39JztqNIp+)B3~e1BsY^UkuQ_4kgt-jk*||)kZ+P($hXM1$#=-D z@&j@^`60Q3{D|C1?jm=Sd&s@y$K)sEr{rhkK5{>Kfc%{Nf;>nbBEKXL zlV6cvli!fvlHZZvlRuC@l1Ip+lXV@;LcB`3LzY`4@SD{G0rT{8y*} zP!5_4pymRoxd3V|fSL=S<^rg>0BSCPnhT)j0;stFYA%493!vr#sJQ@YE`XW~pymRo zxd3V|fSL=S<^rg>0BSCPnhT)j0;stFYA%493!vr#sC5NeUXeV9tVC8OtB~iCRmo~( zb+QI|9$AyDMb;+kkafv=WPP#$c|O^YY(!o_HYS^p7m`iMW@K}+1$hzKl59n`Cfks0 z$#!IWGM(%|b|gEIoyjg_SF#(~o$NvOBzuv)$v))8WM8r$c?sE{yp+6*yqvs(ypqfy z2ap5FLF8aElguKAkVDB~*{UWAYR7Q}Q!%AGx1AKz>esK^`OzkzbOB z$*;(-$#2MS$?wST$sfoc$s^=Z@+b0V@)-FG`78Mwd7S*6{Db_H{EIw6{!RWv{wp*9 zs7ZODjYEdWFc~2Wkx|km)5yYP5wa**j4V!`LY5#)lBLK~$arjs4Wj$|jYGueggN_Hc=lRe0uWG}Ke z*@wKC>`V3|FCqJrmy(x}my=hJSCSdz0CFHXh#X92l3C;saws{B98QiPN0Ot+(c~C% zEIE$6iX2Z)AhXGd(GQlQqcm z$eLs=vNlyr)0^T~!}Bk}^WG1-K?kZejeBb$>g$cxC9WGk{Y*@kROwj0}48BiV`UOm-o=lHJJeWDl|@*^BH=_8~7O`;z_0OUVA@rQ~Jg<>VFQm1G7vfE-8; zA_tS1WEMGu97+x&hm#}7k>n_HG&zPGOO7M2BFB>x$ZT>VIfuAs-_jC!ZjnB-fHpkx!HB z$Y;oB$@S!OIae1UwC+)TbizD&MCzDmAEzD~YDzDaH&-y+{8-yye>+sJpx z_sI9j56JE0hvW|OBXTFXi`-4_A@`CWlb?{ElAn?L$o=F2@^kVF@*sJL{E|FOenoyw zenWmsen);!{y_dn9wCpCKaoF^$H-sEU&-Id9k;TbV$P#2pvJ`nLc^Y{-S(-e9Jd-?&EJKzh%aLc3 z<;e_zq_`;Zrt zeaU|0C1ii0{O%`oDPLbkUQS*?UP)$<1IU5oAaXF7NoJ8l$f4vgayU7H97&EMN0Vd7 zvE(@NDsntIfy^c+l9R~E

    r$c{OhKO%RMyU5+-9&#`F zG5HDkDft<>kK9ilAU`L+APj{z3jp{zaZ3|0e$-{}qM-P?Lsq$Pg*FbvQy6BBP{BrjdooB4kmr7+IV= zg)BjqBukN}lBbcUlcmWs$TP{a$TDPEvK)CfS)QywRwU0ME0LASD&)CjRk9jcovcBg zN7f{3k+sP>WL>fzS)Xh`o=-L;8<7`~jmakDg=AB*8QGj{L0&|*BwLZK$u?wLvK`r; zOeZ^#9m!5)XR-^~mFz}#Cwq`R$zEh{vJZJN*_Z4`UPAUKFC{M{FDI`cuOu_b0pvh( z5ILC4B(umNyqdg*oJLM3 zXOP#DGs#)xZ1OsC4tYI!138zxk-UkVN8U`{Lf%T=M$RX1C+{HdBo~ki$-Br!w zr@@&R%Q`5?KJe282|K1?nrSCEg8kCH3NRpe@N4fz=PIQazmB)OJ+ihP<} zM?OP7ORguMBR7y6$>+&UTLR@^$hJ@=bCJ`4;&$`3||2+(y1j zzDK@Ken4&~KO}dMACWuBUF2?Z54o58nEZtNl>Cg`NA4#Nke`!ZkO#>__c8m z_9gp~myrF*OUcW~%gHOqE6EIU06CBxL=Gl1$t-dRIg}hm4kt&DBgs+ZXmSiWmK;Z3 zMUE#YklEx!auPY2oI*||uO_b{r;*di8RWI(OmY@Eo4k&kLtanbK+Yv^ByS?;kvEgK zkhhYzk@Lyh$ven9$pz#>@-A`_c{h0vc`vz`ypOz}e1Kd+K1ePlA0n5L50lHu733r2 zqvT3*6}g&RLq0}6PCh|CNv_c8m_9gp~myrF*OUcW~%gHOqE6EIU06CBxL=Gl1 z$t-dRIg}hm4kt&DBgs+ZXmSiWmK;Z3MUE#YklEx!auPY2oI*||uO_b{r;*di8RWI( zOmY@Eo4k&kLtanbK+Yv^ByS?;kvEgKkhhYzk@Lyh$ven9$pz#>@-A`_c{h0vc`vz` zypOz}e1Kd+K1ePlA0n5L50lHu733r2qvT3*6}g&RLq0}6PCh|CNv(GQlQqcm$eLs=vNlyr)0^T~!}Bk}^WG1-K?kZeje zBb$>g$cxC9WGk{Y*@kROwj0}48BiV`UOm-o=lHJJeWDl|@*^BH=_8~7O`;z_0 zOUVA@rQ~Jg<>VFQm1G7vfE-8;A_tS1WEMGu97+x&hm#}7k>n_HG&zPGOO7M2BFB>x z$ZT>VIfuAs-_j7wYqr!BI|Y+B02C@pD7=YM!WT$Ewy*{NPcZDp?_2H&(Tt;wP8#Q?-7q zY6HcOKINzC`LU`E6+b(bpQ?>)RXFT|n3BedAI8d$k|wdL7b<;DKI%bKH;q+orgX)8 zR&5@u+Cu3H`K)?TtZGaDBYk<)pj)x(P~BR$(QS1*-Cn2b4!Wc6q&w>_x~uM{yXzjh zr|zYD>puEo-BPdRCo}#DftMxT{nx3v_=xg;%JxkBl*XcR>dVPbQt8dgd>3RBQ zeT%+T-=^p5+w~p#PQ5@c)OYDc`fh!XzE>~S_v!of1A2*mP%qUF>1Fz1y z+57Rc5A2!VF2C>3SJ5BFs_szyFl|06>yKhpcPf4wH$PQ(#j5UB{5WrZs_uzZ-K+R{ z;QUnmI9Bx&#Sawcr|PG%s-MYcW${R)43z*FVS?6XdJtAE_X$kLaWNC;hWN zreXb0`O=~EuT&D!zv<)pcm0RPcplBCioaqiPUye&Kl)$UWF5($3Vc{YYDM)4V~k@$ zCTt?6kck>sK4PD*V$!H;m?>Qin=YoS>1Mi{9;T=1WqO-F=3>*=^fQ;3 z{^nA1nYr9tVXib8W`G%J2ARPo(`1<;W~dovhMN&)q#0#Kn=xjr8E39C;|-n<5F`01 zCj0+VSK#sJ`}{aUDKjbNipge*nQE>!*O+N$x|w0FH8agDGuvEe=9ufv4Q4Jv@J4f! znP+Y`x0qYaZDzi?-P~dBGz-i^bC+3U?l$+Bd(C2VpSj;WV3wE%%~JD_S!NzK%gqY& zhzC(T;(lzG~$GtZc3&3f~k*R7tIr4fAYaH= zD%1xrMtsTlKGXy+!E+J5A%Y*e8l?Zic_t>JeEY+v;0&ZHd?N}no>C)`GL;&GoU7DT z`eX1~y$77BcY(98KH$4hkj$0J#tMP&NYS5zll1{`s(u^16B`Dl78;Q$Z;nV`4+5c#7_;31kU+JW>P~VR$X8uS2Z@0^coq-o=uD-;tw>GjQH8aP-7I-3(Z_Q{9cGnky-LU7CZ`o)B_QHbzzH7t$4u;Jiplf8E zEM&wpQF8)}n7_ed<{z-Q`4>FJ0aOWoaG{+e`LMksm&)*i3+1qr#`o%A+l23*!Bz_2 z?Ss7-zWYVL3@+9$f)8L5g71*QE=H;A^!wlq`U7x|eixjl-ve*d+rV4&R`53c4tTrX z1U{sn2OriO!4-M~_^4hFuEfq5-!G(}0w2?B!6)=)@IL(l_@I6oT#aoxzHvxD4nCzH z1J^lWFwKd8MV&%m5hn^3<}_T-Z#}}xoJEG|KT7^C>gZEZvB)cU?O+X2(Wkf-Y+vcP z)fX+cKsjkqbPD1Ln@FVXf2~IT4e&Z1KT7A4s`-EAX51s*NlMRng7M@3V4%cxdM7EZ z`Tt{_oanmfGcu*3&w6(9i0e*anOf0jog%mreKzOxa!=o_oT9c;bY0GSMUIzOPO&H+ z(NPh9p&6C@lDlZ9I4)`D6f`ec2)WRkx<$TksGJhG>eYXa_j970Q_?Broa&tBobHr% z&T!6j&T`5)Wu0=)*-m+Y5s$EoC0cB(k%I#r!&PIae-bDmSvspZHzrky&`4bhD` z?Jm@Ro^t9&ksqVaM>j=Zh`t!z9DOPJa`ctxtI^k@uSegAz8T#TeJlDl>b?`*8r>Fs zH~L=m{pbhL?a>dTJE9*&cSfH>Z)K$O>e$4YCTD-~Dq(Q`uSq72(7apmKcwcQ4JOt7 z|Iy9-8*bY+`|pxmp49)(2^HKA1+SSW>yxVg?==Jl&z|Nl zv*gKu|2d(g&NyjT@|Am&s!w|Jq-~${V!jMIsfPa{Lr$tY;l*%tms2mg8$WySvll-f zJM|!q>(Ni5yYaIJKYQ`>ar6^UOTIGzR%4qt5_u_yz^5nKti%O88|;y*yM5ouaq2q_ zob$1=;|)!e^zCnNomf9($he^cGqT5yt34rmid@#w-P&o06Q@yhUsS&HQ400Qx2W=h zHu9Kbi#A_)Ut#QA;52rcI2Srioo2YHqx-F#v_#=TQ&!?RQ;88U<3M!3a^%@EcM0Kw zc3Q|~2pxba7ezl$3$!Wr%u#%jbXtm-Z<*7|z6Q0~pV%@h7qF~4Eo&gPQ(4wJCUhWX zS)fh1W9@1qrvba#+Si~qpC_@4a=u-Y@1kAxrFJU2+Qozpcy{@1${lN0dpSk%f+F4N z;BbWtU99yW@p!#IAQ#_`gJ5k>SSdPt1~`(RGmp#mYSg+1JYo#q0~TDE3W?+1J}z;n`)z z+t*;SAUb5_e7n})L%Y&#lga(o#|n9V^IOE&@@u$l7+oB*#0#UoPCw@o^!h7aPhYur z>&e4tFunyH!BS_)@F8O*YO==5_oHV_IzOXcAb|Qqiw&R=xdUhfZfPTLso8=6I{xa| zDKew}BmaDD<@=;01I9427xRM8CEHRLyFN(+1F`R>*UN-=YkV7eJRmNCN0` z9Blwu`^LqUVW5)OM>*fVL3q2Yf^z!Vrjy%ug%$Gb^IOb0P*++@5JI#}KN7HPe*()) z^jjw*`n8n}SchK1y1vk$aX*Fs21rBEZ({ZZ+7}#2N3H%0ulSn6u&y!0h8WU;U>*O$JxP#G*9+8Xe zWTMG7CVTwYQ5mC#52}+jC42n9(HXZGH`HKV785f5_;K`W^f$Te zD;O;9^%NYB{%jST=hx+#ui*H9D7Y`6;P>cHRzanN3Vx6N!2q&OFC3Rk9B|_F@o@SC zI6WIqXC2?^_b#T>heMO&OmMOxo5JZ6rBUDMz6~lz_UBSBqQ18>t4mF5LBZU;GoYYA z?w%D;kY9Iei56S1@$=qf-Xe9A}y{-9bi={)tyiV7*SB zz{ZZt8Y4S~33VC|s#h0D46ib?GWa5pz^)ag+;0xaoxmikYa_c(TN2MD9sSG7`2%`; zTMj7Kp6H3_Up8G8^>oFKHeJn>SJ4wNgz3s}G3U&Y7)8M{VJ+KbUxSHLt5`-k-?I6u zY1wqCoyxM=R>-r=Z&8BGOQhF{1xTcGaCCh8^fIZ;l6YFcJ}VcnFOBw1lbWgQyWR@L z>|RqLAQt)N61qC}v-v zMX}F|pgU-TT(J>!r?bFWDF4=lMRtlO&q3M4M-Lw}vgaXzbD8ioee}Az2Q$Z3p+pr4omqbnsqukg|ae z;z7BBa_~%s4&IxpgV@UiJLtD7L+S;g?6@Qd@5hmT^1C_zS6qu)8}i*vy#keONgTz} z%?Dg#W&LhmxRTwx6V>H@ig8>bt;EMM(5`gTi{%HcDM)<&C}O@~MW>y4?jBUy$*|OU z$XSMV?I`DMGK|j}oHZP82L-0X!?3|lhhe#=gY1g5u^}hcCz}poXSo}(vc4PZ44@lf zCEU2&E#yY*bdU}Ni=7VigIma(4t~2ir^6#&&A1~ASNjInqPF$uzi!x0hx0ta*wLR3 z|3>k5Etn3rgL~p~$)-ctc@!O_tlz=fudsuXFE#F`=pcd$;}!3q-!5v$r^71h>fvCw zkZU>=uJ#_TMXe3_?xtRj%C;n%4q<1F8?my1ZkA^^m!i7H{S@7NOj?O|GtjPd)0+;D zi;$GSDE@<&Q7fP4?m?xU4o^5wI&0Bx)N0M!+Awv@;J{RP3RUe?7@B)348<*NMpL&S z@lU>#4}3# zv>twX4t}D1z)z>sPftRNah`)z3O{X-=6pZ-txLdp%gjc|$TIUhj@~je0O$CNw^bv+ zsI{VC-YOMPkY8_U$-uTGo|xjTP4LzWZec6$d#l0(dg}?OFwP5*O5v>+rLDNP0~w8k8d>?!~pJl`oi=+5{Bj)l0C+&hM9;mmOqVwfZ&%vywCf$)WOBdlutJ{S{1$UYwl^ca2-@lFa1Q~eV>Z(siut?5;{zz*H4-zH$ybU!q1?w@k87`dX^GWj&YpmPq%#P?9Gz38imwL@2=r z7yl;Vg+IJsCC-XkOWbm9Y2^&Ug&J}%P~wRYBIV?IK*3FT=_xqdJ;N$EH&9tleFbN` zXUm0vf(Cf$DJburX%$dN1Y^ZlP~I&s*L(#lWjc8ZD!6A^1*KyZy@Riyf?I)M%dykb zJ%J?CNyhFwjNSJbyB{!iKe}aXJ%8+WF5}pJ4s9XlN9PCUd(=oVc1NV?_}B$3k+Jip z*-_6vzr(9u$F-=HFW8uk2`DJgn2C2i3ko!5F9sCkcg#$CRJJ8?s*y4K31jv%#_Slz z>=(>8*7L{gqX@_B091vXUz}sk&!~}N%zl-2<6{=EMCI6?{U%~k0;49^qP9lCMr}zz zL4ih1mR!$*0*#tPtfwHaqlQ>EsOC7wo!^~5;Jk`>1;-?Ob09|=&bj^jQxx$RRxNX9 z;+D9j&05fw#F^M}&vE{8D>*0J%2qzU7)6d@Ggm>0m3WLh0jUaZWt)BfBb{hTseemb zl1}WK$A0TFgT3tg4`d|!{)=PI>|1z*JTOMB6>b&x9Gkj=YH&Z0Jgc}&tm(^M8zwhY z(Qp*>6jXI9Sq0eC=O}*etLj!`?rVwrT2G5hCEiNFTOs9E3Td~pl@EC940;P&KxIPs z&k8BL6_POUy%lI(ycNrLA$mvz<1?r5hy{2Vwekh>QV7pqaRvGHl4&Q_uq4hl;-wJ0 z6h=%@-uKeaQ|P7R&|pGgNF|S{5Ry{BOM%u=-+urM6{1Bzz)xHkY>fi>DU5vtqKO@F z^Xeyz9p`r_8gfHvFugi0OW)k|U>>$v8NtnYs4%M9`F)YXel=cBk$-rOC^7POlr4$p zcgU>~D&n3OD(cn@6?1FZI)2vQ2E`SWSgM>*u}~4nm3M0;ndPD4(xU7->@4?fkhqP_ z@(@gvSsp4OdZj{qT-<$7QyK!nTE;DpW$m4;jjXP+f4`VsEikTd6$v4#cRy(C; z&Er%rNSwE{ThGe*YsY)*Ig)%Ba?f__*(?=bB4bMVwp6Hsypo42o2C2~Wx{w_sv=}0 zOPzxw)F5fmK0Q?`vn1XLz&R*{Q#O*Sz?Q1ac zY!JUu&bRB`*|bZRvy^sKu|l5T{1$V@J~v)sM=WrvhN^|I(z*4ey1c1yX{>E!k` zu|hHX0xgPtUff)0tw7vZzqPWj!DMmM&`>U57vH)IF&U(?t7#6qtfpA2!MG87q`8(3Y^li z_EyLXX1_)8oEOaLB81|FOovd%5O)5q4f4FDn!y7n3?7)7C7&~@ABdjLP-~;7N$%*8 zd8W-OX_zH(^n~1|p)PK-P*=BksGHlu*6}CNW98`&Sd4vZw@??zRdZX|cyeRPy@QRX z?($NemTWxvHi!?rcrsw+>6|1${Bzf_>b8mm83*}6AKV{ z*69pt-bg$p#Ob}@^xklKA2^+Le5bFuo=)!wEe`(uVK2y~aQem4pzn0whMbe6FYN;S z&JNi zq5h$MkW1n2OQq4cy8|{zjC(WxGS9Mrvp3;d)XEpk*)kVA1qE^TAbF1}rXbJGMyF)E z;e;*^T@ktxJ+~`z-jdhA?C}|~ebcDmA6SMmL?z!iZkjuN$#SgC8qk)+=}WtPU`WgkFMfuGhJ}VhcUxYU_{kiHPx3XWUwg`gY`G?%UJQ-ENob_oE~@O3 zfbe5M_hJ|9>m;3nz)7T&e|j;u?j)a#j8BMBq0yl+=umrF6zovoD+oekao2tY!I#!@ zzeBML_HxP&<(5v~q1@7W>Cm{)RiW|dklnTfJ2Y;*jEfzi2{;L5yB(tHkhR?JQ0#)e zoU%i?rIU9kw{%`QG%++Ogz4bQVk)yR(MKb4Jle&Ak~=#27J!gE8rfXcICrj+rzou% zz0yLGE^D`wmGfuZJ@`8lY}7el-Og?&o2w*82aC;BQ{|G2%?)!^phdJ9Uv#dv^$`5D z%dCv+NtQ!9S-F5+XV5M>AeCL$!8F&&;_|8NS+?GmN{ih;s=(H+buN5?H;<`?Gd`c?P=@yUbzj{!Yd%w>Kj6HAlJ+7 zX=5tvX^$OkOwE;7ZZ9vUd>h0I-bO}th%D*NzIvA@W^p4Nqqcpwx7*!rk-f)bD~%N4 zoj|0woTv90_z$l+kIl!?Q_#rmW)<*RodOoVf=2SD1{B0Ckk13h74&g?SOt}Ay*PaZ zePrffTF}|%(YQq7X(7(O3C^AeXW#7hv~_%E--`4KXU~ErCvU6?hr778K0g z%L57u7NPHaHq7J7H#~RRx*HbQwACng+L8&WjckQ&Nj#|9 z?Q7-yft-!4Hf9g(gN41_zBWmT;lW~))ID-Z5{TWT`z_`i!h3B^%yDbkBXLcM=eSr# zxqxN(?HMe)%Ql+aGRZ*!%lsCDGx|Qr$c(-pN4xaVK0RJ4vm{RVu+Pf*_RT^)*tbw> zrt;haRw(AVK#O9Zm)@7q2DxJ6=E2a?&_mGOkJqv6^&XRzjjy+nm)xH(!%662_mZeO zND}y0vk{#Mfq?7Oda_dg?s|{(~>&x=ciV#M{#Umk#-xqu()VMmizD=d} zgv=o##Xiac5nAb98dYD&HPOfdt78}J>y&-WEuFlNxux^c$5o-#ASUa=*9?J8IUs8=?Zt3Jb%q^Xl9zGs=BJ?DBcsY$q(ZeyL{83zs3ZbXm zE28Ri+aJG=u?w+ors`vE>EwOPEuEJ>J{?*YdIo*u+ZK$}lm9Bg{~C#j1IK6AYt*n| z-5lR#CRZ~i4+^|Z{4Dxt2fAVI6y6ZGw7D?m1UXMMVYQoK<@`i61p8tnA{Y&`2e=tF zh0DGrSZoSkFPGc_u|yPTF=q;Y&ep^vvzD!}ufb#~Ji}1Vw=8QNEdx_qw!sQ{miaBB z&G@Elqga3x{ydI0h0{KLl~iU)mclcvT)@6kwC`!DnaXpUtWeCpK#O9Zm%?A54RXcc z%n7|1+8lZbx(D*QOql;7nDAXoVh8|Y>`j^m4H zUgLg>ZoVy6$GaJ5SGws%`a2>dCD6T3@G@%U^V~hCv{PkkXj=#mweC=>^}n1d`0i1z zuzb_#J*c!(x+PVNO1tPUu+${k@R>~9GbTqR2mCaiV0JdW9t zc*2UiKZ3h=!ri;zZr1VL-Rdyiy$x!d(5}!<$fj`jZfVhXw{L?)wKsY9Kt?9-UePNR z{4Vd3JQ}rj6wK*|0tyP`^!Wh=`E|PH2tt9xc%prfIQ?Td{S!F-Q+K4T6L5MPI(;j2 zIH6BNpFlQ+(?65;;!Y3PAWrvE$vzR15^y&1J5mX4E|9Z#l?*5-kh5h?@GQuyv(YJ= z%=U*4gs}N^@ra1>->&=e_XdG<_61bhbXGriI;)Rc+FT%wvLsGt#+?v4=w@44e~8b; zG{IgHwqvC@=nio)GrHi#0;?}hyW&ekD_M(e3iI2|nZmHh+7cgNz4S_4iQ3lPiS7iO zK)mgfCm-@oAcAy`1Y$e5%)5@xCVB#p4jx7aDI4fuGj{L*G-%vU(ZR2zA-{uuyAr=% z()e0*A!&SrW6q?Zm$t>VsI?*A-PFw5PyqD_r>u1ITQ}Rv`rWL8H#;zn`=u`Kr|9N) z(nh?Sfp(>v-ZcGQgro#UQQ|vl<@4MCyUbmc9KlMH>G6YgYn}g z1ZK)nX@`5`dbwwctd`m|l9pK#&lK%Wv2wn5OSYzWVLZ&A>Q1q9#BOG-I-#8-Kgp>( z)te)Ji#g}W&mzVUx0XF*UxSH5Tr8uUZ&`^)Y1xlbJC$X}tdM7!-=d7V7u>&y1qkk6 zaYS%q3erA3S}L<7j!oES6zpBI(Ktr56lgX;ItAE7^? z`)XeQFEj7>T$%STD7EoaGj}}I#4T;aH?|~>C*xidI^j;Uvc6~1*3&bv9W(ZXJKddT zqv~EyW9(?7>Th}FPWQ&nZ#QRD$v%%IK4;a@LbwpMt-CYaYi#CyD<n+%e)qF?GRM7Mt_2j##mi_+!42+At6+AlqId8W z+#o40hXUF3cnapav#kOrzN%CkEXwRQJW?|PCMEAB21cb@`xmw>xj$9MN( zBw4sy5{DBm5iSn76z(o5jr#7UKDmVA&)!n<3bXfA9CPlQ)Z|xiEo$v3nA1lG6cotm zX9N`F*Xeo`D%+Ace~8mhgVRrk(@VS8**XEIcc;@MP~(J4hfjlC3a5+3zS9FX1arxm z)~p=ybrjd4R=!})mh9{)D3G($0}Ar$Y($dHW@m-V$cJ;?8`-u0I-BMG0?KeX=(QQG zPVS6W2e-75cQtHDoY9PXQ}}Fmo|W}S`N5VPWy$6m_pf*7*(}EQ;9^SUV6#|xIhFik zvzXs*&Ma0z#H1hzb>vC0_Em6<+Sc8h-J6(0{^Q?$`#I!hS(A`MYzH5t5<%k4sC2L* zI!IZ+gG*MhgIN2~!HTImc#gE;chGMawd1RPCDDbvQ5i=sZ+P8I!?mcjA>ZB9BT?Cw z#IshqS;d`aWdq%W>yRSPLUoP%DaP?!X(isxK)cdSZ`H4AP02Be7vfsf%ICRzP-*8$ zwQ%)t4YYd;75|s>L_P&ECM&q`p9i&enp~B8nq1{|g~!B`1h)}$xup|5;h$VO(R*9c zwC9PM;acI^@Wich@xOiY%*+}zacIWyA^vk`SZ0lNMeW?KK(m-c4WwO`#Lm#}ZC1{Y zvgX)4Bg#aFa>uy0*<5kC)fA`A6|(w6$jcRei#c;e?8yOcx0Wr5t4Tc7#WKnTEX$x} zwQQrwEtBm`z%su@86aT<&lh$L2gF4kk!o zh;vIPpIN!3^D?uVhMR?(!wt97q=LHvf4(_<%#gtEIm0cW(K?}4ZYN08X~VE$vx(iH z-8-zD9~t#mGBRK^%%0@lVdqp|D)M3H)J0avn^S&^Ip!zIsU1$VN@2W-8CM zwL&rb0xbsTR6E)rSL}k-KAaxz0Nr=;I)bT3;4g)0j~>DRmA?`S%km%Yl=Wv&BS9EG2Es{>9`jdcxS)&K#_|uYsSzTLa zWMz)T$2BI27WpM-xEoIF{HU6He#lNj8|FR{PvV4#dsn!-yC~ely*u2~y(iquy*J$3 zU2N<6BVT<#M;_f!;c(Azk8t;JFUZ~JF1EAhbT;F|&YnJU!M)FmQQw}Nv*%*3Uit;Y zWk01<;T4a8QEP>Jzk9E82I0c{axYN)-Ru3Xol3rf-jMSYEOGC#3Z4yAmQ!EB68Ay5 z;9Ic#PrURLEOqa)3LX-nK=BnUb$KtKU>W2*17=5WjXZ~EOQ^03jqc4_Ohp7 zxqG)&FieC3#aFQ0#m*ZMZ3qAExJ=>{B!k}e+dS^KL-C&3_j}y1|L}v)4mHd zhQpVH`-S_4`$I0p;9n*N_=E4;Bh%em^kpX_3F-ZgxaLgB8ay$uHmxXXOI+Vey20tewhpV?=%2zCep&pEn)HS|f19M$)+ORpIfdwvyKizIz!yXkzez zc>>hgNIExnB*}Xv+Q^#=wj_(BRaP$UkI-Q}M#3Mk7&fnPS0#(2Y%AnNlHX#^NSbKP zz(`rk7TMQevPfEGDCb-Dev+DM{(dXTih zk}Q%|SvlXnLqF5LaZ)pt=cZbrn0ZY=6mKE|W^IMd0@giw9gd~!#!_h_( z?bFQ1q5z&t^rQpNSvlXn?HFO$ca2m`W#1et6tgeTqS)s}()A*Q;ziO8;kjXKtz3CX z_-|G>`Hu>L)$JxIvyoIIcO=!oEp22sW=pb2dfdwS{&;CV{UMt{-r=Z&Aj@i=s+K#Fs!8jn7TXgfi=-#5obQi?nDdxfuoyN!4imfe(Bx~6{aZSl0=}AL5-?9Z?&@#SplgcvtL>9NqZ&Aj@izK-! zktDk)8%eZJ#~&AxM$(g3E?{39+9%JHDLu!~j(aZ9qS)s}l9x%nNV-4#Kp1aqyKAKd z{DxuCQ^B{ch7Xbr4?o}*mNy#0OWmiUN}exKv^`)5Vi)XdbTH9I6#^%bPPDk4Tsl!g zm&UzLJrrIR#*A{GmW%(~rkrnA(_d&8CRb{^ znDm1F^4pZ^)~+Yz6vYdPwc)422nY8$UPZ=C@DERe-|8`D!q9QU1AovQUWdvyKUT?| zAFD`PhRKU9Bpa0tR?eU0Q!pwOl-LIgH@X{=?b)8OLNJ71K;*Y5ef6>shKyNgJ&y1P zMu+z4fl`?z+30Mra=v|&kJ7%Uq-HAn=wNqa%)UU2VxO0VHdrG(yNs;1wj`Tn8?0Qw zuI{vJtyE2A7c3GX&u@N!938lN$IOm-l4-WGNITk&?eW2YT%Y11jzvYaPcTeZ8{ z%K4LN0MaNt02^WDOYUYHJCV3Ddtzhf9V-;`K%m8(v9s0ILF`z|BrVyJEOs^<$^|Sd zNz1lK)l`;kvqGL_ev2{^UhKRJA&H&$aI~>Q`}8cS%#tj2Hd{I0zKpT7?@g(h%D(rl zP|UtSi(;P_J0H*nxng5yd-%if4(NWF*AcWcPyVa839)aD&7R_YfnFePK0;+1HlpeKowxeJ#A(eLcL#eIvZrebd(UXH%yJ^ayOm`|f+gJHxxf zyCA#8ebYu&DBhGku~GH0yl^qhc4_nN$r)9jc$L$OT#IcMO4^cdz^Jvtean4aIfHQF zcDWZQ@m3+iFb^oW3v!-Jroit)n}C9??kiS7SHCXLd<9!$-(u?h7nvekS_KqrbKkHEIwVxEO}>s2Q_~Lq zg1B7bsVRg1DF**D4E{b0{(cPp0SrFt`h(x$2nYW|XbguBgg*`M3x5XL6odb{7~l`S zZ;wm^Z{mId8JV~Tadf0YU<74mMXfCb3juj!%2QCF5RfM%PeFk~K-OSSL4iWx+<=1o zhJemMWm^(wKM8?D2!SsV0*4U-Um*m(cHgvh{SZjU;9xD;4t3%1*Wp9q!{IL>n<4~c z68j+#ut!3`%Z=Y!6LSpxKe!gP@&z0E)d2+s8hTk#JPQgm^!)+~3N-Y60}Apw^l+

    z1WhV8)+pf%y?t?E-U7?gi!?+|s71C}&Bwz`Pee;=Ug~>V6RZ z$=x3Q+5Iql%-vz@!Z67I&~*HM+0ed6_Vhc-cSNe zY=+gJxF6eP1s8J^KDR_%x#r@rgv&~x#b8*a*^0=Aw9Cj_bG9VThp@}a`F7dAXo^In zYAU-5TOn_`^IH_VVi_-jTq+qaQWQtbkGOrEr7}z6#ais6oNr%MWKj4mEHzWvSIi1| z_W3QU;Bp)(PAkM_8%w7|N<>OR`=`8~zgQY?V+s2ggi*%eVIu>fR0{fRD7DQUN^NmV z>zQm&kSvrwvvR&m%2lOHU^0x}=YEzfluormF_#2dlOO4eZ%3>ED%l3Z*_7D}I4 zIp40bx6-Z>QZ2^qHZYZ(kWcM@G=i z)b^ceg*^NG7ITKuS+qiIwxLueQZ`Z!+U+l}@*PU8$B!L||LQa$J7dW3Q8~WtEOItl zvcc3LcQAFpEv@IoOu>1QKTDsP2iP6@Oq4)B7hf(S8~ zXqSn-HIGZlg6V*j^X)2jH|;7bx>DIy(F%Ek<+mtydBJp!Sb$)vgrf~6YhPEX%#tja z4j9V$_7y!&`^reoRQ6T2LY{qoi#da-3at>EZ7`i1sT!#U?O*VE-h*lM@ZqEDVByOc zJ289Q#O&B_paO}hIuzPiDwR8yBxh+O6V8@oJ~?RRe4iA;N`zbun_=}K_n?iXJ~36^ z!LD&NtdQpuzr~zBInUO@oVAt(qdd`Xg|&OoP|mk3@)#{c;!JH>&779`Ee4~h7K9|4 zYU605iT3FpQkf;$EIerC0`|GI?_8;w%5!zBP|S0I7R5eq7S^Q=a>d3{y-58?1L*#e z*9#C!6NU{Rl8rw(3xv`6&}GA@WbQDMwMiSv-?k(Rqr+A%?hNN|tm6nHmK9Yx9c&nJ1Lc0@g^}N4&M@M3mr2Q5cAcjsX;M0DDCb*tBAb@gm)fZ;yTA&0miaBp zpm<5CF@z+Hi;6^hvxXi@C*!l)^2kSjKf znnjvNT0r;Lyk3AX8a!(3;F0($=Rg=;1YI_aisTL>?j;R36l^eA7=2^qd}sV}51j#X zVeq%^H#UrVQjrfEMlI!n`>i)vev3K7sFkgUY-BB)ZeN4R*0yg9<$TMI{Y}f7OYKya zwYEZ@Wqyk?C|($~5epDTZE>_=MEkVAZAlhJ-&nbTeR#0O1Z-xTPVTvOIqVCxDE4__ zBu^+(yf8|Sbcnz<_d8zAdl<>0CaYT@dOAUcjh+&@qen(j8}2mOPU7eZx!*@RyFWy_ zxIad^x<_ms-yh#$uD~DSO()Vh(iL(?-6J-h22r&S8&BQjf_u~(HQ$Du@f4rt?Y}y0 z0ChxG&A>5gEpUHwe^3ru(A#;_N60&c$RRloD3JBbQ}DC0yL-ajz2I)v@!kFHBD!0)XHKL? zq!;8;xVyJB>bu*wK|;=(B(e{YNpi91l?nl$W#VPj+EFm4%j)kbD3H@%4JgR3(>22l z3MAeW{XLsFy)T^J4^F?tJ!0$lPXFc@ot_RIPNZ+-63C@+dVgsz?(~2S;&gB3Un)XU z0?xh@FQZnzV9stCP*5Oe<3TWPL0+AWP8n2lB9}!jk6eMC|AJTfdF!cH7QQSY_?H(E zq}f>RDx5oa6~--NiW|(USV^3{s<^*KGTh%H1Ki`0f$r~-LGB-s!S0`tO!u!ymU|*H z#Qi%m)cq$i%>6eqJWWMLr0K}WG!q$>=0rxPg(73p!jZ9Qk;u5TLXoS|qLJ}wZe&7Q zS|mHIaAaayk;tU9qLImI#UfMEibtlVof5e^twiLSw33l&X{935(@u@dNINZZZQALP znQ5gXv(nBmr=*=}ii_2LbiGlR(FM2Rm+NPxondpQ3`4Nk+{xLSh9_(LOBLS(@QD9Q zmB@8oO}~}bABwk9DUuNx5E&R56d4@JjATWIM21F&MTSR4L`FtNMMg))M8-zOMXrjB zk4%VUMig9 zCJ?ms`q(whf~

    yyeX}My;RH%BGcOmQ}ZTg0W*f%R&Smk!ciH&aLmHozo09R~rbxg?4Kk8-UI00MuFlE!Fx0FsUR! z%Q^s?SpZw8CIXOnMhs*aY*7sG)yoz@E47{g*y{&j7=TuF0LEJYt<|~$a1OPyiUDX{ z2cQk)v=Q5=bp&97)tC+qK$~KKm9OKv2G~+H7J#!$0&H0a;A9J6E7eE2N4>eo$>|X)RF-0>Hv`2Yk=)kUI56u>|(mGT^#^> zKGnSz0G*2g zKC{<_F2NcBIH4p!mpTCUy0CljhX4#O39x$|fUT`A>=CRMfa6O7>`@Hx>BAPlp1~>s z7*-Ns&pH6)xq1}#3Vs)Wp(O$KA^>D-AtXHSbkEG+r5}z^>UsfE*GWiSFGT8k5mML5 zNL{BOb)AaT^^q^_4Cb)AmXbp}$`%aOWXfzkUXP6H?ckk-FZ3)b&=RuD2m|y&b9R9Y|g8MCy75 zQdeF!Oj5bvJ5DN(p?;T3UGEYhoemlc*!Q6{N6~%gZ0swjfu*Z&qN{c8g50Fsg}IAz zlXFvYQ*#&RF3C;HU7EWrH$68acX{rL+?Bbjax-&R=dQ_Jo4YPID|dbFhTM(0n{qej zZpq!6yDfKn?hf>+Oaglk5ikj?y=0m@=X)VTjPJvq8CzK>&5gd;EL$2&X{`pxl*TT! z05YYq)IH50QyP1e1&}F?r3FPZ$dty;u>dlqvDFqprZg5yUDAb2Y3!pGK&CX7B2*hO zQyOb`AyXRrn8hGd8avnm$dty?rw7^wnbO#K7C@#nR+es_M^vUXwz5u~w?B8`0vY3$=jW1m18`y|rXr;x@z zjWqTdq_K06#y*QQb{^8$`AB1*LmImPY3%bzV_!fT`y$fVg-ByxLK?dWY3$2LV_!iU z`zq4d#YkgcLmK-!(%2FZlWAW2`P&s2qCK7)8$DheK+ z-iSJ1RR{Bnm_Jd4m>vRhsQ`*|q0?3rMes2L}N?UoA zi9In>+G@`SnbKB#{+f(JrnD6kd;*XuZKaQOv>#+jTc5Q6GNr8}Er2X(>xxY+fJ|xY z%N9VUw3QyXYa?b!TbFNX0c1*BX-(7&GNr8(Er3jE>kbw`rnJ>w+A^iBQ!NIW($?KA zfJ|xYA`2i>+Dae1=wYmH+KQxyZW$_a@8sUiy@$+bZ=4}RyL;I4|NR61;-ANKA8}%J z;oIPH^ud;V!D`*&FDc+*FTQZHA*tX}`YQ^q>MC+ocgK&gy@OhCvIv&oxsU29AG7Z) zNXb?{X8%Bh;)K-Fh$qd~R{}niW}qd^%*U{$pFk5cmNU$%7BjPVMQJ*-j|!O?jg)}u zZytRNA$opFZjI-snxET~8gnUqYXN>DXZUr$iut`mEz4PsGAszima{b43G!zogrdD? zS#Ei51)6o^+WLPzug~$P7Jb8ovLkVxb&8#bKCE@U4Oj(j(wwKe$eBBh%T8kxfFx+{ ztGbKxP$py-aUM!5+A14hxF{-s{?I{C!ikzBtF!**oPY9lIyJ>Goa&=@263fB4;cy zaVcAZo^&~?N>z#v?V5nef%wo*BBV#kXe{)hpQR0aNSK|SfTj6RrN?p>GmwA}QBqr$ z*)JlbnHh~VB6(6gH|)iSn4fzPHRh7$LzNK0IzZ%%HELdE zYk)5pAE*vU^P|5+NRO7$Sm;N8lNc`)!t5+L$2QH64)9pcV%CY7{XwnEG5be^G&7@- zMn(J4|A+zn=wIx`kC>lJH$PI+{OACYGyG1P#{5=O(=z<>46mR_eiLgn62I7w@~)6T z4bMe+FJF;w0BrH|weutM9rJucz>4RnV$V^ysk@(z}xQ`qDxjta>>W z15sfQIW-_ETp+>^6Ai!>Pve3HI7IanfKjo$-Wh;HXyEAin`Usu2{_dNhpHX|aGY)# z?+w7A1b}IkI^%j+{NqwO9LU@u%pJkpjbLtWW6V9^95y$c80HT15oF4ko5$Fg+i)NU zi~}UkOLIk^Jg8ED6m*#;Utf4+Gx{wSKqjO2vH;fB=x!w%ODP@WWc2l5^d>O+`l`3I zF-GtI2^(EvbG>{M$doZUCu@n(Ee>|nCpRF*zpiWrs=ml)Gxib-Ad|5voHT>_8XKM@ z#@Q&paefoH`(bSHsz*LvS-<nyBUE3RxVBCp<$#l^JUygw)De2(GI|RquI9RNRsVUP z$?+PphcDVyKXo`y8{TtTFy5PKqn|pGrw!@gn~7ZMX@fer89KrKGTo#YGu^cGQaAOI+*+vMA%q!48gXCb>)ky-N@%pnZ<*f;dXHk@`mgD6XmmfM zdv!rxm?tNdzzB*1gO*s=qZ~&{>A-N+(IRJNyY-G?V}dK#9;1$ykoeCB9QkcTNQZ>c zSQrx93Jt6-!t7Kz$F{Ub6El`G%+^K3AYAE5V_9ZxMMyI<8flRAve%9nz?Zkf9=@#k zxpEIk2&G*S{6x;;2d4qQHq@}3<+c~0n4hJQ_-Q9>PeLf#hdShU$ae(xv0S^>J`}$_ zrtm>o9?5p>M}Dy%`J~&6SQ~B9{OCB5GuCJ@ovi`BU|g+^6F;H{ly;G+bw{dF)q1py z#zH^ZNm^o@gc-shjm%X0j76Cv$KMkDdle$<&cP>sw*UGlr<_W*W(uCID{%4ut} zOk0aH9?HbNb6m0SP*UuAgINP@O8ZVu4ao1M2IluxgYsR~;QT&nNWPmIDs7WVzQO-+ zOAf1mH~zByKKZ@!UGsZGZkQUHcDK=;bgN<7vkad?&r0lO^sSnWmcFo1$EzW{Xgl8% zo>dy?V|Bd$1szweT%U}L?-L(PnScfut_BLg6253Q24FZXy#z3f)>t%Mc?)f01}CUN z0`NRvG#dkO0=;|5X3%FWPBp-ZYJdPdVcPPM0XR`%?k@n?0;d{agc>XW=W{c&F#sbd zQV^ap_^%}*rNfg3e?JU5+DH=d?p@M-pFnBPCYU%o0|3Ar+Z zkDD)h@C_dd1s%L}lTE?f1A8n2Sn+j!*kIL6och8gn+uRDXn;&EFxdjg#fMx#&yR-+#e$)yDDg!;+tAbUq5(1u{agzm)6idN0c09_TKseu>O1r> zt1L2yy2koxC|Ue} zbvb5yU!g{8W=119x}NK3GN8GRrg_YDnxA_;Y2Z>iOu<%W^87ewP54xBG?`@@u-u6PR#V>u$R6&A62IY3k+)szzi@H_yb}jd4USgKnNs zuA3*4nxvbSUh1ay>=7{+#~Q_3QRj<%y}JiUnO;ZcN97Uiic-7`(<|Kz(%Y*G{)Aqh z;!J|0e{pd1$0gSrimjB=!QrZLB4;Lwho-SX!555AR^!s{1y2$o9TrAoVOZ1%4NPJE zapZbS!&XXZ zcZB6c&f?dbEys&!IeuVf@v}4%KkbO8Xcn;_jnAK&KMl>KzUt2RUs#(d*18uw9l+u{ z)y2NUf#f9<8Ahwr=iNCqK7WQfHGif$Eq|6eJ%6@3BR@f%DQ(T4$=arhtpeW21t;Xs z%Ab)x6S8NiGt=$`d4g1D>G3ms3O#FLY$2zrqM0nC{?BqN$1T*^>U5cKKhlEnKACXU z*}*4NSGlsu&3rBYMhEPD>4uu1P89%qMkF}{Fo9M|gmuE;$7MLx0OzRj0`LL}S;YXH z6Ho(-!BS$Y0Vb-`1c2^w?P35X(u`IBAh#l7gLBmx0>C!45DdV%6e$Q#8T{81nbM06 z4gR?p{PQsQ=VS0Mz~E29;B#9u_*>t~gMTUz8|EkF&(EKmKM%5H2A|dv#|*yVV+Zd= zkfGqEuF7JR48Tv{q0SdB*<4^l3m}sV%&`D6xxfMoAd?Hw+^lV|wl3gai^ft)&w%6t zQ{Vzq;Q|-K1ulULOjBn{TjK&-{lhLW9&iow)AASRr{t$Xwu}p0O4<__u=v>X<7Gn8 zj=i7vMV&A5*@nKG1(0Rvf67|`nTGx+3n0_b-(UgMcj&R~NU}0LKO=uRY=0g=$|Wl! z2Mofi&W8>kI=b*ndHE~QR2G;GiWis-aLM(W5;&LA3yi1E&tIu75LvU#o;-sc3LKH~ zU8yFi3uGZ7`gW1b{VJ+blk`Gj^g0E1ft00l!8$-Qi{s>8r##RX?dn2xzD%Oc_@ddE zN%TVc^B0&zrGw`uBBdu$>fqJrAj_H#j{AcgFtUfyi3j#6tpP?$goS#q~1d$hW;oH!Z!?O`R~2 z<8!gPcQz_~k*|0604c$6WB#W6%`mUHMEXrF1w+k$1;Z_1Ai;2AaWK&Ri0jc*EhQ}& zCg*QeQ$*I>{tTPKMg&I~@m4ieO-T!e+enL=s)NDkEewX+g$$zq?z8Xb@Ez7+Pq-cyEH?;y4(jgC8bxX~8f#e+N3qvZjMW;j-|On}FcrdYKO1Ns3Gdjb8E+ z9SnC77J`AE1W4v!x=EReFC5moo9-QGEF~=%Cg*3XDI#mSIpiMh=8b6X;(D2GLfGo2 zrI)&?gW+BhqGF9A&C9;X*SmXwlwi0oe}Db~=)FWx=P!)vfBP|Z^cd^@V-64`BC3ib z0(T3Dh#sthHl-s%scHEK)us7|)MfdH)%5%$YDWH1b$R|Vbw&Phb!GkubyfaJHB+#v z<}ajq8PocbmTYNs#0l~bgZanN_G&d#B4}%pwu&q#Pf?A!T1SxK zSr|c2>xP(!hV!v|5KlzHMqQ&W=LmAyVYM*!CW5Y^-<)z%uK~8hsUHJetF90Lnm+Af z0IsDMXW9S&bdO@by=VTSR5WjNJcxItaU0M6eeWR(O!-9R5`6aZX`Qw?yVx>NvOu^Q8% z0l1N};C0al)VkhdiCpQKlmg=!1jbwh#>&m%BiKwvPI35?g_ zN|J}-|2o>136D33RT3T+PYMscV!tWW?b+fWx(o0{K3iDqV*z9e ziyth2Okr`Y1&}E$4zK_+g@vR&>>Sy`!ZKpERpWQ73z@>=RtuoMVFA~Y%VXHD@ncnkoSnuqlVF)y_L4Ti^h_+9b25X(MN`^i@YcRkuhQ=4-@wFKO8ObQW}t(=bb~9Zr<)aH+g)0}3O@$#1Bz^+jIYs&1CW zw=G{Z8?*S{8h^W&yXOr`L`r9+)V&YYEh1}mZ!7NJ+dy#hAC~LhN2Dm}o~73*cxdsl z=3_dz0p)zYv{?HNF4lm^G)jFiLmm7C9b{S4!PbZ_WDjov!OeeCu7jVFBGW;mm&Q+L z3!f1dvV~>XSKz;6y1C)zIQ4}?y}Jo$35VtR75UE*4tzUY&u}$Y8d-KFq!;WM(wl9{4SU$OFH_o;*-H(D(Tt@;}1hcM^zGwRF*n zah55%u47kh=9sHYPOUAl@5!FILL2QtL_1j-_^a!(n$3jTzNdbqyqO~Rxa|L zxRjm@Ts2$d46Ey3V^)+klx4MAgkn~fMlv-X>(b@C^fuv@*SyqyY2h?mj45}@&*n&VXLNry#+);8BC&Ls38 zs8pzjl%sEN1&Kv%jfjIcA)P*cLN7skvAzphJ|{DSYLJegy1?xfY67SxzDI#Gt|4 zKt1C6jd0{QR*(A5hb(V7235HJI#Nx?DULB)<36V1^sLPW#5kz{{K|LWkN3pwzt86Ah zAkxeKQdt_QvvJPhw;&-N6z1ifLkPvZERDn~&Mp0x zkip9CZ-G4q3Oy8l{c$cOEySMi`je31=Um17no?~!eyv1E^XpHiak0La>bH*TwBxk# zxAeDy<2=dr^>Z8~s=HZUvo)HE*Bn{wHJBpcHFTdWCCzJ|61k-J?&=r0_h1KpPphZI zYuNu|INFKVY(t0Y>A3fnMj9RMHQSO9j|%hh7RfobXvFvK9x&l$ zX(V3SYuXV5cnxr4uMvLLq=8GC*F5F1oZ+`>GV^OgEz9xSUWByQ7>xy)wBMdt5NA$H z{0{yOen+4`!}ay{nw>1K>4c`@HT{acrXMc3?&Yyg9H-ph%oRD)`=9^h-h&PYakICb;tab`v4Z&uA?4n$FCEIE&YG@pt$40Qx+x zubaD6Y0T)Fv6kyl0xGU^bg}CkjZ3bJX)`Wqt}|ccj0wI&f`T;~6hZPxH9yUD z_7b7k1eQkXu6CWhg#}!Pd3m^3h)bI5%ojO}R}<#7JGCvxtE&j^eu_lIzM7J<^iqItxV3nBa?V*aV=6m3k|+KwM`N zI<<T_fMrcG9HWuCt6k?nX$IF}Uf#k4Ce3vgh@8c%5A&)NmFc_=5+OY_MkDdk zuG0fT!d`^M{zILzg!mMBCIfsc*Yy(Rp^;i4O;lcyH!@NA?QE^H0ofkyT@cL*B^E#N?mg7Z=Af(5} zXe3_Rci2so(u+^02CoacaI=b`z)^`0QTT+#+6qA!X9ccGbDo7B%Nbs8FJoS`?m=a`-S}Bb!b^}?W1;g5iR-lU4E2Zk z$HRFfeS`BH``=$|svTTYJ!1I44uggdqVKy_^B=4qV&9h!2eLTN;l<8FvF^H;#j4W> zXOYMmBP?CTMgU3Bd|53L=V_LJ$$_j|Cx}q&JeEd#a88sKn0c6&_d)`d=G2Qs&f*1k zhEop{mFc`j6!5Y%5-&aTjD!$5^(gGcsf8a8KLNn0OZ_0lRf{~9GyIl3%lw8?!*X^T zEkd!~1c|Cp?E7-ewOq9GoaEQ|wLq5yuHMcwq{jL}oIe(j;x~sC`wcB5u6wB{rQ?z- zR)u=iAEy@kC#%;)-q>N$Hf#s*bUgoLNWHFJ6Cc_*0hR;tp;PEYy{>)8XovnJz{l&R zm18GxKIJ%9eUCa{w8P%7Iu$fk@Pes@v2UP{A@VXETL3e0ssWa$#RAYyH;DHJV2N7F zQw&?llgxpHN_#O`=~P(hH1(Ru8!NqZF7*&KlBJ!jq&@l!Vgrvp z6MJ}cL1(cp;!{j!oy}U9vlD=|ww7K)$y%jiimY`OtaUc5#q!2l3sTeJ$`nb5v z@-Vn|O!c7AHAC>z2W#SE;6Z>4iR^9{uKWrf3kmpKMAcqQ146VH;C2gorL~WI#nO&&^N5e zsrA~9K#_t$YR^Xv@9K=bFD%rD>TQYKJz_b%OLRiyL#i|YcYc9W4e*hAO8|D&E#tib z_=qB}0AM;!HNeN}O#$c>H`F@=@UaG%dM{thb6{}L6^XT zron?Qg$G>*51I}SnxWp8_Qr#rd7M4yT%hLs8UAJdbpH~68d{a{pv#Fy;z1TG@*q7e zUI7`J7O%u!Pm9`)=V;-twgN*^?#$GUs3CU*livU**q4t1_N6 zi#QlhGOV0}CF6RbYR?6C(OrZuJhJ)F8Vewk58Yt_WbvV{G;ioGWbz@ppV0uBe2DH6 zVt{)45Mo@G%^Un1{hKg+p8=%4UplNk9uJTUzjf%}jMlPn9#Xt;9)e4*dkNulDZOyI zYMICxr@RmIW=n@CqLZuCGFcRfyIo{ayoIXhCr$Ds&}g(5#apEvV40Wo($Lb=F?flb z;dL*PZ}7TNRHpN~O@v}zmPUK3y&Xa{)sjPEs!jOO(;X?LgPi!WoZ)xR`^@hKYFf^2 zcZ!haXEYWD@LfV9ah<#U**;P>wVdm#mfqdD{0;fwA%p(ohMZP*ah`*VorfNmx$ecW zY8;omv2xW4k*iud?>m+cYxiLzP+sY%&((^w8}j=^C^mwnkp@X;RQEG34G#12=F2&@ zY0k4kA*ky-v>|25_DSu@~nNew3(4NpqeR9?KbiCqWCG z`)+Dl&TbEhkmhGJ7CO(vLIa#--g(4-)PD@NO$bDkR z=~L`DeQ?QjIZq}HsgCod$eHN5zAYO71VM4B`ZCRNFqR|~8^F>?1Ed}2NfP3yW?tSr zKBY~X<9sP{hSw}4`WTo;sbM)@Pl=Eon0a&>7vnfjqlO&k8SKSzgkN7R3Vx;TI$iap z$8v_>bw4maO0diFn=3+^pV3(8ICwV1MLW(sf4=`5&~aajQvN=V9s^?589vf-n+0eo z^G)w!x9N>bt~-UWxs;x7D%4m0^XhB=1@(={8#B!OlFa~`xaocYQs1g?#DzAFVf9WH zt{3T4eH)v?(r&xZLfxiv?AbEEc|HF@oiFl;)32P0fvBL{47(&ZA`tnUE?59G^=p77 z>T3bm-foR!1E3$|u$4SLG-*yuR(c6mTBN=adCN)%vXy8?^ZZ4SDq|&n2$fjL(oR;= zGvO;hBZqz!dpLAKXIJ3Vmv-5#MHz(#SZiz1BT^})LyD}m7}k0X)?#^Mt*bB_w{-k@ zfbjg+AXUa%uahogEu$Untp_WlttcA{BD1Ju_}7(-bZmilk_Z-Tn` zc=-TN9^};64|3iD1qty3i$ff4hY-I2TPdYOT&eHw#_t1W|S|yVK`)5po zb}|`!LWgR#o(v36a&x_&d`d!8st)S46CX5OP7gYL;iCRfKT9lAl4}4F8& z9H$y!jrvIdD3P#>0azmdm1C!1)<;oO=vk2l_*4BT06qAk*%*L7$vw&Q5`YVFssa8| zKL|jTY0F0j;IBFWJ6ixBs_zA$TSNiz z22OVYcVoW^KnuQTHc1!c*-!z%?FK-7qJ9;C(od(7$6Hf012VWa;-~5t0oceW=c9yy z;>TO-Iu3`07bhx^DV>;5SbT=CScb4zj<8sPu=pHd@dd)-ON7NrgvD2Cm0+2$m@2m< zZvZ>+zwp2GSNdQ1pZUxD<^Bq^FB2AD6YV4{ES?k=diMW@cw_b_zvAe!yn6uZd})_0 zJP1$&WC{;j=`=v5@EC0YWC{~4pAjCvAUu9Wc>IR&U@R*~5?KUx5p0)rkw z>Mmpoi~}ryOo2g$jTvMLj3Yt|AX8w_%&l#ZDKPq50QC(F%)K6(Rrss@Kj>2q^*7G= zw(P+Fei$@p^eB88Yy^KpqgKA!Q(HZ7T&;C?_9p@IPc?fLr*6G)$#o}_3NEEnH&^{5 zawfpe#Enr){z43R{!jfQNm#NF#*mVP{Y7W$e>w>>8fmrBNtpDNo(3^5Z*B|$nUX)u z1uu~^yw1QA1n~MpRHpNy8$QF!(n!4YDhS%V3jT{d7OjNeVbqvQ>B)=uv7E(kQ|3pB zO*y*-jGov{khrd38VcOFF7}?l3n~H(gd)cE^&Z^Rj~+avrh3O}>(>)OL$nv?=~?VN zJ#oqP-~@3=F+%8woH4@5@Q9WUk;EUOa1pE(lxC6d+l8Gc$Wc{@KJs&DOJkuC^3oE+ zBh2U-jFc4j0W+4fm@`4}aD4&TjW3#w0ccYPV2lN@Ww@~bP?BgD1F&TbkaXeU zEg`5GY!x;ZfcAE492;<;$wDvy+t&f$hZnIcw+}ZE0A36J6QF%@7v{9J7<33X z6#%_dY7E1mLovVu^w3M&V25yX1}Od3vv7wx023{Mj$tza;DukS$KEjLSOZ;40rakQ>{!?-+)MzzDhaSt9RPb2b`CcYfE6VH zb}k0E?>(yvyM)aJfbM(kVr;NW9e_y?)JEJj%nQIgyETptz^)1_Eat>yM!Jul2x@@c z!khrui#^F3fZd7#?pJf(@;S5(~7)dJW%Y$*W4N&@U1e@|`yd*~4xUgZ7squAvTb`vpaH~ zJ&^0{iCkwdr^7wsY0%^KXRP|kn0?XT<0L< zIz5o<^hB=H3%L&SvvM6QS4cA{L1__m40;8ff*pg-!LGq>!7jm0!Op>fL1l1IP!;qD z_78dn2L#=My@D=5_h9c}_h8?kYp_SKU$76@mC1E_lTA#nW2{6eYJ3M4^nnbe&FXWXgEx=0XR+AmbT`jAsxs zp25g?h9Kh^ii~F%GM?j+@eD`Ca{@A+6Or+ZK*lo?8P6zWJfp)cgrCWH#$XXd#zS*x zi{Ox8bZ}^JSa4L(FE}zdA~-zg8=M#n3q}OT2P1>w!KmPbU{FvU932b}`Ul4ZLxKUp zvBA(_AlQ}3c*a11$#^U)QO2Vm%AG_)RIE&=3r>BJ&z9-%EqM%(Dbt~F)C@9ZI(8Q_ zWjdc)3^HXp(=32YnGQV*(>BPI>3nVhWXg0dw*WF_I(#!2`$48m=Ld^Hrc7s+1&}Gz z+1mohl<9nJ0c6T_uCf3!Wjgy=0GTqKA1#1Pna*_cImkSWtyZ2@G;bZ)Z%GG#in&T1oO$#m{T`vf3UrgNVKkSWtS!ve^Z=^SPOWXg0t zvH0rI~(5xb;32K9}Sk(8y8GYV*Z?*l(OMXZ0kio;pj;?7xV)QT)p?9JN<8V+h z4Btm_=qW9VD?8jjP=y=| zLfsuF7$5GdES+?PAGQRi(jh;}5?-gtIku%gwsXOYvFu#iiHwhMkienUT4!Gigu3)!8rjw3J7V& zhkGo2<;3doBWs7%b{JbTXh?fLqzmj6=i(qZFRb((%GOYfQ&5E)P)aT(%_*uxj&!kb zG!+XToB}jJWdE=#%_%O3h4u%PN#Oy?(n(jOll1Wn3XCtjE|PO>)10CzU^$ByoE*H) zq1NSiO^$^UUPdQf5nfa1(Bd^!&aq8%imHI+46i9zUBPPtwJyi&;#er*WpvUN;dKce zqG+d>7F-(Ot774STnoiLW2YE1pr*(trb9%0f<6mDF+M>RZZLyu`AW(}agfMC72R0k z^MZE!a38?}JbHu&rTGLUog~yl`-IU+SEP^e(|GuV@Vb&NaY^%ug94T_yxJ~hUYAnK za=a)FE8t~x(iPz~lMdM@gxA$_j%}Jx92Bse#j7XtqFacvysn9b5_=h)bVYbwONS`h zC$0--1=j-`dQhOa%3ept3>e&gP%YvG4QTzi0SCd2VK3i#m+A7*+%0Jqbj#p@0^$2QF|dIv0Lc-?~tg~cctEz9f9SSaCT zbkY^!br&6?Xveram>t{$>_fN~iZ8MqV_fZkVYH5n;3ni6_u?qHFFe$D-r*LOYfyz7 z+%MI1oZ=dXiCopvrAM>;I&D7Wj)Drv93CE)<{A&gLWhIOoUpI5bkY^+=!0~~10cK} zl5=d+9OJNn?63AUbY^uBJ}qd3NwqRAtI~D+bnGP1XZ}f zGg3{*DL&CpR z%ke^n#6k%#qm!-(uQ%urMLWiu!CL`bH$0YW*)c{98{J{lkg+3%*N$yJqIN7x(*i=X zaqw<I?X32S0kbRpz?k=Kv_De zPx#x^BoKT+Tedzk3$R4RCCw|UMb0q$78Zo{*>}q^`-l`+%q*RBMR8GrSv;BQ0-MCgxCSQ@Fn`nxjULWsUAgUo{l999;7%@VZIc6P%d9?Mz$ z8Zy6?qB@=5_adbE8I6u({YE-$2)xJ0^ggh z!a=Y)Jl=PBrX~>9?&dY{Kv2=>l{|9zTKqcM!BUlssiEc6Z|LE4q#MJfQcZ0uh zATcmfV!(82WZWIum5u;h2hC#X^iCB^*QZl|2mb{BL#Iw)LiDTm@dHljbn=jz;dlaD z@D!F7GI)=9JUgkBk56 zRye{{gNrI39TFEksCb$_2$x)sZ&SF}rTgrLqeU*6WSl<-Ihdx2Avlf+ zN6R$55d)bGPtz(8ArRqd+GwO%AfBd`f)FMd6=E-wpz!OHpruXIqdk^0{MIaHemPN{ z&My)n&Ch5on5I=Dh!Mla*jo(UNz|N6+B7{{N=orGeBmPWE*JWZ>0 zAw&Gu!=4;Ho~Bh3wZ7T_%}+v|Ow;x8B+G|ry%ZnnQS3uKaLM(aCSWe5eW+1bqc#d_ z)yCmiwMjTmH4RTz&B9aErr~(iJUmrx7M`YBgr}>`!!uON@JzKuc$VNAi~ZV-Erz~0 zk=jT#Rn63-(zy>wK3(J&`_X?8%Z& z<*v8CPkYDtoF1e3!Yn*HM2mr_kZg*sOKG4FAc9dV05}w<8el?LD*%IZgLrQMCKLm# zpvMdv;GFPG0U#E3F#zY(0k{K#8en30h5!t(TjSUOOsoTNxCL--c)9@eF9~pN9RM<_ zW^i73ngCRn1URo4VEG&i;Qa7Z0XVKC!1;9m`da`OgyRK3?gI^qvB3p(0B9D_U6>S} zA^^vjwtQp&CKUrLyUPN&Fhmg35FT9;;KDip^oUC{xF{Sa07sPsxTp>QO^zC1a#$k( zc(PJZOcy2-045oU`GXUw((^LK{FaFMtq}8DBj&e3%x{aBZ;P03hnU|EF~2=xzCB{T z17dy$#607gnE&Mlj`{VWEmB*mZPd1^t!k&XQ`@Wds)O1=ZKbv@7xNv7s)>2S+_BSe z)d^A*^*dr;9Q9LC=L@%NQQyS^$Q1Q-E2|k~iuy||fJ{-prv;ED>X*~)n(jiTsGn&8 zWQzJq3m{9>FZ;~`$Q1RLS^$}%elH6kOVoe%tp%{QQSV-e#!^b>Iu!LgA?kNV)bE0* z-xX268=}55qP`2Fes@Iu9*Fuq5%qf^>h})M5?mAYKjT&t*<}-`iquYOSGAk!th%V( z)gEe3wU^pk?W}ew7xi6v zW{@f7Db>~hnPQ&qfi*yum|wAp1&}G`U$g)+#XLQx(_P3C^UGUV0GVQbt_6@O=0{in zSz>-!GYg=;F^?$m(5ymrSNp2{aQ`p`XZ#`jh1T=X_5+9W9V$KKQ8c}(s&K0B#Ge4T z+=P+_s&Li*a!$u756LeUIr>zW<^2tpI!;%INPxyA;l(Kr$<+a|5SBZ2U^q=#I_U}r zxuRQ3l!OdTuThpxx*}a1Nr#4)`<RcEz8%<({nHnSK*rvI}Op!CpzF))4hEVHr%ub4h zG&4&lT@j1b&>@O;idr>RjRW?zTnoix?L~5M4f`1{lJsm=of2N>JFl{={CERi!^{ICUg5hOt4`fyg7Q=A$LT@Na!g*PZmCtZ;)o=%5sdgkTQjf0dlrTOW@pDj znwelxB^R;Sg!oK5#W`xCIv3bCay==Izj!im_}F1XMiqYTM4bmI`P#`Vf@5Y2s&Eye zD=uk{ag)d;-SjWSbb;9dL_p={@TN4!xF8m~8C2-|NS02zBHfH1c*Fd{%f2ZrwGzAG zO^W3#UKmF3ni#80<;960$S1svPQz<59kRm;uPJhlZJJx$q*%`In)EaCI)_@9v)9yE zDB)#v(iO4S#dL_G-Qp58OxMaTz4k^zc^Sd6{Lcxq>QOHAAZD zIAyN5P2@}$z4y6`AORY;hqtA<1lC3tx*b%m2=7pqPP!spl(mo+Hst3|wLwwJgW$s#r+#vUHNE^;|*Ej8HT)g;_l=X-;vQ$Qfqtd(7+-YFmyOCcHw6 z8J%=REG7$uaf<8IEQQG>ypu%eQ|CVa{VC1pF+)bt-<7NFFkr~YfgNhbj_447ii?V# z-Q;i1b=%MS_~r)E$?v<|q&P|41eaXT#8PP|^1{2+jp5xQYaY}*`-$VUbci^d@y2j= zc(;7`;-kVYlB(WBRpD&V;SU0hUNVFJ@CBj7X(~kro2shIk#|!=r{c~=*!sdDxHh~? zo>;fyi)NENu@0^cU}cQFbaS&rq_j^`H*ZBZS=Q=i6?bzM5L{d@)6LsRk?E$F8XL!gyYX<}R+6>F8agCF!W8 z7p;>=Y&3Rs+ks&(?&h6apw5?e_3kF1J%UpAsC(6Y(0>okIAZGYUdEaMBdbS@9)S0H zS^;uD!Eu0WQ5+yd(Di54zak z3?ISXV(1=A&AF6zNmx+iEQT0qFys*~XTe7aK7_H^f|f?Ipnl%_7-Wdwlf(r(NDqJ;J&gnR1Fy@FH7MK%R zK59IV7Z{KLjR(UyX-o36u@L+~%?lq=mQK3DlZl#7hwLQG%Y9MKu}yR0IU;BA>dd^J zq}JtlJr@gUUY1U>(~U8+1teyespsV!+cf8xBXWjWBRE@EM?FEU%Q1T)7ShZtopePk zrY)qMVxf8of6Uqm$*KR#DQc*ior01c^-B1N@4Q5H)LAZY3aW7NH;{EnbBaerF6m-; z*G%prds_Hd_-L9_EG7*Qf{Uxy!pD`Rldeb?U#CMhJ@azeE?kx76pxCW#Vcf9+}U!x zmJqzf%hE}9(oV6ISK|ym;S6}T+$rlDUm}r zd$V*!Un~&teGmbar^Bbx9OJ`S2s4-ZD11gGI$ia#pwU(#lf(AYa4zLfhM229qYG53 z?l|;7Ioj@2Zfc}FwJiQSeIyWfQe@M%I#p8;qTH@A37cLXF;lGwpY_;Z*<9`~B)}!j zU!M{=1JoA^V6JzlWjS+w9t&x6B|2UHV{*xF`0!WTP!fY#Vk_wa6=Sg0n@QtD>i@`K zM->?if3r)Mv@m)~7e$O-2Op{1|%u=9ZNV}?^bPx(LLK>Zxf_nmmzFp*6a zF8(l%E@_edoX8o=H@KNC4-%lUAbc(@vVV<*7J$lc;q%JUNms<~ztbUyknmb1=h&u& z&~u9AEM9Gx*ALXX9Iw@}P{PaTq$|Sf4?47Xt&wwV(>(P##d3yM1#WMLG-cG^_|0DN83c=YHaav0Shc zF)#OLImb55FBXcN;WcFj^ZJKcm*dqi7Sg;don-I$$I*D9Fc-}XZz$F!%`+B?oW*QC zX7)EVF2~G|g)}ouCtVSX1$2lKdq$|j5HA9E!k4)gihJ6V1zuag!f*<_@f>1}!&iLg zd6oqP7j%&-T)dcGmo%q%Rpd+;+wi(ZB!Is-d^OD}po@hTW4m7Xno4xKcqKd)>E!x! z7;X^0PX1wtxp-%KTrf=^z=w^l`+=JpDKFdzZ@AaRc)G4Bv5#HRL2v#e4e+*X9BvXW z@p!IabGbhyKxw}Es>m60wLYIb0xxSuDQ7N(8w+W3B|2Tax7_yDO<7PI%o1x(7pNG6 z&8U^eiPZm%!P>l0WH7v^T$i*EdR62sgJEP~FdCP#25S)uX@ey?UA!rtiuhMcIyC;J ziMjX-5OFc)nzS=FbrY!nBXeCIn=6UzR(PLa8_<-S64;|)&c}Nvh$^K4;g&cEw+i3z zodrVQL^f5p;nq@3$0?5k-W0jS^6pYz)`$e~-wNMMi|lP;A>68j+lFr|ODA0sySJr7 z4k6*yPR_AS3!yhdma}-ZWRGY~t;_M+E*4678J%=Rcx_LI7O(blj%}K!z8SKd;kD#y z=GBT?m*dqT7D{*-opeQb?Ldbpda~~rb_#a{Hs3?gJ&OIS(DtJSiA$(Q+;Atf@*As1 zedjqwuqJz|aKoLYnvP4mgqkgKri*6{bextBkwCh451yy`Jf$aKa=?!h!(BuOM4G6_ zl%O?KevD!_9Vpf($GP7R&J2MA*5Fpm- zBInqqI0UT4auzQvso>R7RHj>NcM;OoG8(B~thEOnqG)&6Gu$iO8}7h&;KlAxJ#5_Y z!9xn1qAS{pQ_LqAdTPoA-J}XPepMRPr8~twB!X`CqPldTbdBFTR*qR;QUM{& z%+g6$#9~L#A&Pd4et2QYQE2ut*Fy2)YP@EGB`AeB8b=Lo3HtfYvn*hF0#&%-F;Y#( zrPqt_6OjWv)-{yIjplH_pc%S94L?cqgkxi&Pa%3-_?fbF(iQ1fH660Og;#$*rA?YA zd=j#p#S4p5SG*aA8kXY)r(vOlSAVKVitrjJ=ZGBPH7L2B<_DjIEN6H{k1(%()Vdrm zUhN^2@G?57x$qi7hbY<)hK9q!gmAg<%w<{2C8)xU zfALJ$(p+MN$R%BDbmwQ>MUVjg=i!PpmlzQXeGbu);TOu%Nmrzcqv()bf_b_BlXGm- zTw;aDS-cR+UE>!Cl;g#L10l`J(n+R{--04Pb~DW4U%wK)G^bc0a)#L*FEg{D)UX^g zzTdZ)Svsk?Sge)~QM6Nx4aecfe@^%%*A_bk-cZEC;Yt_3F@)Io@GIYWhGi|Mpb8g1 zgVZI(DX>_xoatge5NqiWiFjGW4>Ic($tzD6ArLtu{90KWsa`sGrnE&gGAs8VImb4| zC6HILoMCkY{12?gQEOhTQ&^oPLNO~#Bbiw{#Mvao4#B*_3499h`%)YN)?zustM8r6 zYb>=c$Lkys($-=ms^TKnni!vHcQ`jZFT_)d@EfkLTKZBydyW`2jD5@vzjeb4AQ4Uq zzw@1^1;dpc3{Mqqc%hurap`3P9b`Fl@Ku)2^~RlIONU744qn6;3OC{olSK$bri9-s zOC!}w2d7Fq&C1KmIku_pAaaIPuj`oAdDL3WUYga#A{4W-G?F`<7xTJ=gxDR#TGQmb ztUIur;ni~<^E#JWm*aJ*2x)6+jZ~|xb(x%ir$=?+^l%1!IX(P=>!J4LLU$NM^=|m1 z8(x8f@XGKf-+78<0l@`^rwTW`O3vvx)g4$a>7cWsId>2wbO&c9K;}T);c5{Ak!!-A zm8FsD#T~AdHky@}lXGm--GSu{tL3m|O9wxUKoPT-W(Ch7p_rAW5jqohm_NuM29&hT3HCi9w3t;_McL4>rmv_?@Z*1Aznz*F4eCVU_D7Bu*k>!J3Q_zT73 z@w&2tw;P7HLPWkz{G@;wf1nB%U;5M~#UH}oL@w!J?ziW7K?Do%_&xkBErqx}7WzH@ zHnF9Xu1Fv6phLF2@WT8WmlTfxFP5`-?Z>=srncpH-4zQZyo^q|BE0UVLyOmJImb4| zBfyL046k1?y>xZ(t!tEWyx^lOl<+b->5A~Wmkv?1Puv&YA3lI)tGE_-yCdu=V$jIJ z_`=&TZa_C1xF?`5%dGaDCs-aZTo8~{;f4>%IUSdtCc-~N&b-(&cMNwEM1a30{3FdR z9*%|9K=hIDPi5((E7HwJ>5$DYydINtY}4H05BmBG-F)j8v^M;hdEHO7<#;_F3njda zPP!tzhz&|&uQ<9;mF5dn0FBdl_~ctVFz!prES=E4hZ#zniuGvVA2w?pAy zTw8U=A;nPr zPxyD58(<<~AtZis&uMhh73tOjI<$B_FXz~%xxwEd%UQe>^O{So%kg?47D{*-opeQb zy-0@^uZ41sZJHbWjn8dS46k{}y1P2zGgMoS*GsWb!prESE5d6L9inJAcsYCp9}7C+ z|F{D@GkWJ6LyoPd)ZJJB`D{_WcGbFFz#VpJ5dV^F% z_!slCbdsrcPKm{z3OXzndrQu-O>>HWLzc6c<(b*b)VdtAw?!ynCRkL-MJ)DCe5Re^ z-SEBeePDZBPl|1)s6AC~GRP@DfJFFVRN*`Ed~BS8D%|j+7@E3R@~g*D1CdL*=-({y z2oj*tFv1_N-7^6)2MuuO%40JKVVuKHqMWjHlBjg?Q)va$GcS*tN=b2wsDa2CUN@b? zrhku`mgDtVETnl^Izc;eie)6mP9YXsF6Y>$I0Y=ma)#NBNDAPt?^5e>%vQugnwelx zB^R;S=kb|#iZ8-1!^P$j!VLQc$+R;?ai^o?u2)~Ye-+6@UsIy#Pe5!Ecn^&q! zbBaLZ%w+h~ZQMnW01Xx4k205bif>~f_)+*>gs)vGI~j)gQcODA0s zi~T}}DB3B0MM4Y5i)enKw5o9VurrEPxZdEzU_amC^o}67!1z?*hO6bAj#FleMk1H^ zef}r-9sC|7K%;TgD9tJUh=m%%Db_^mC`%_@kuLs8ha8X0%k$(M+cc+WByxt=k8n8f z`i)wbZ4j*&Z78zFN*f@M;b-9QxVXMi zw4r#~K{24-iKoHG(9w-Rhds^cE%Y?91X*eW?8#D2W$QmC)86q`pN_3BETWAeQ85q| z)9IR3l8gu<&F=3&b zn_yqu&HkwKg~M8R(>)4}rIhw~>SohuLym-lW+OCralOoVHX|*`cv^a?o7(R; zB`kEaIrhcfq)7IqUA?;rXw1l%n>UMEM4LlD*^dL~;Bs?w?U>;Ob2HAXYmXm3bgVTa zw*;0%%Vx#VvKcP9UMp;+l#UkIPUMVby_?yxAPmaQqNWlj+r?0NCvmcc2!RO4iP2aX zCsa?Cr8t6R;pW2dVvPp2CBMl6hAd|>#0=Wfi6~UcGHfG4nxWB1Bd=#0^IXPsR-vRT-Z5EISQ z9IHK{lLf`GjK)I8>OdS|m>sZ}ffI()s5zH3$7=4eoME{0OlH`QT9#vo&e#l%Mj9UN zSe+n4{C33N;^!Vsjk%;bR&$Xv{C4_<`L(5%<@oI+La_xcjl@qo*3J+je!F0AJJznz zZc%6G;1K(N%_hf=9%Fe|7gEJP>~3D{T{Q8!9?vN_qT^EDd@2Z=sLv2`RGCUf7e3XpujJ_KthOJZybo5w*e` z&x`!GsI-e&> z_aQ8Fvm5rs-Mk%jzHnIUZo2)@SW0PMr*3wST8gaIP556+C)yRwU0g5I&3#Er(oIV* zbyIu%euRZ?R$^b=&9_nKOS^h^6VM((MOD%M(E-rk8fV$(;=))tkdQf6nia#)21&xqHUrrIbvK&FzsR@W}AppH-uaaFyUheYJhE{HUc2G zBnH9&Y)gv>LQd=@$vMfD4mq+{AK2?)*o)?X^GJdyqM}oV|FOF!nOqp+5<{ zLx~Nnbr|-=)*{3B!Xlfs7FYmlYc01g8cQi1xn!-wVXeN=Rw8d%s}WnP3J@M-`j)fS z5u_`zmZhDnrQ?@o6S5YiX2sSb7xATCHfv#dO#td^EpU?w;Hc>6=ooaMEkJkzsK={M zYEQ-UmXUZr(5SIBqwU8n#{xnkziDygH^n8_la-k?m5zKjYA15Zm^A+F9v&0$1k>%J zb`tIU*n+C!BGG;vol$-%(QY(iJe`ToxnlpQ8d5YO{jtZ0Al8K8ML3sII@X9G%UKM? zYdAwH$8Z4Q8-_+B7$xyF5HiGX5cU>77js`+N_#i>iJam0>xpc^qo{2;euG6QwxFev z_-T(G0wLlz6npY$?N7s^iB3TZHa^-TIyKreIxX5OIvttH8By2h%xItJtf*UbHkR}W(Z10+(SFgy zs4_YiYv_4cMbD28h%Sf@j3!}8x-jY?{Lp_&QelsA@A3e^G)@a#KNosNp#5mz*Fx_o z=sF9SX99l$IFALNGk`e>yw3xlF~B|voNA(;Q4ewdh74>r;{NPS5tcl0|CZ)@f&0^> zPQy0^dm28cs^*&+w09b;;t5Ik`@cmy^IGNY%@@rkS*!fte139Wb@-~;d^ume3VUAw zg67d40?^5{<)Z{3XdX~z=~RwA^8mgQTrdLr7(jgx?IZwv&&dL^NdVLb@*axHpKqod z8c*yu{RUgjU?CEm3WSq2`LLAvyCnFdo(rj}YH0j5)QZt`AEFto#*GjIY$ENFtzocQ zt)^Owfn~(tt!Q@vpvPNwNdWNIBj2J6RW(a6bSVCnGy&)?L{Sw36#UMK#0)?bL{w3A zP%om6!EN>{wi=*2?lq|k?&o~bYz#p6pgUDpb?!ZeFXy{HgMADT^@n)I zL9;5HYJjVw_5yGXH!~XpaCQ8)z_vFG;>!&lyVd|iy`$~|U_bFBxdb5U?XRJV%4_es zm-bHMu3uvtGeGv&O#qlC3&_R*^r-`Ir3G+s)Kvgz0(w#}YTfs6ssZ}q zxc~!{oYpDvWq|$fxq|ji#T7eX8v}%`qD}%}=T{__FbG?Pt*D}EkCivl-pOA@Ym>IY zVbOj9;POSYNdSVw0=^Te?AY%nzU)>IpzgvEQ3nQael~6SC;^C$kZ({{wx4w#U&+tk z%K(J;MO_47St$U#C+zZCeFL+>=` zx&fHi1OFy)z5;w^0rO7qz72dX1NL-qno%z2xtnZaavozPN=x-aO`5bR3Tj|w zJneAm3;S#t&qoFzTgKDJ0A$N}mKuN{Q^rFc7TZ8&%6Q1rV}NWKkEBdIdO@a)=Y5Mo zri|xS1CTA_k@-cskR{{k^sQkKWXgCbebmF4CF9xTHwz$B#?#&c$dvI+GyvH$p4Tma zOc~Fa7C@$qhd%m>MzPhbF|Oc_rb1CTA_8EycwWjwPCK)ALUkIPS20f4pJEA_{A zDC4;o8P9#lc$|k3jDO&@~U3&jSBBaDEhg<^ppOc)tif4+8rkaC*30 z#`6kUB*}OzD^bRyAJM%^Rzt?K82jRk=Qz~)(k@fR(?2u-*)pC91|U<$(;V$}7qVqM z2N-~C8PAahAX~;m_jd6pWXgCp<>^6&G02qhykQt*%Xmf^fFMi8vtv^WAXCP3ngPi6 zjE5I%F`~+p@z8UCcok$o{@OUXRTgzRP=_LEwa!>E%6L*#etm0 zfCj$7@&^CKYy4}T- z!N+(h78Xc-j*{tz9w^u)(GC&Z=C12F($S9-q0&#qsH5+oqbzGWx_n>mDBUo+xK6La zOX=vlq{wvC=tb+~ITJl*rEb#0h~jR_Z6UW?>u$Q;NIRF(sW5f(1H1u+Wlc9f!vjV< zh2s`=| zTQ1RO*tr#y>p0P}=veXt7a!!)_CeZ4%dzE>xRiTkI|ex33%$r|(ydAxryq&#Wyj{KByv|-1Z+CAGZ%=P8Z*Q-ww~yD&>+bFA?dMf`Ro?#I z0p5Y$L0%89r`OBt?e*~v_73q5^$zn6_xgHAc>TO1y`#LNy<@y%z2m%UufI3I8|V%4 z275!iq24g>cyG9Of_I`f!W-$0@z()NpSKFj`#L2kjbk* zysiZ`xtkZr$Hqyk+yf7J^oY#p%FRCCJFK9_J!4bv;DQ?Gmm9o3g*9syduR9Lh1-`$ecnS=AwK(#cM?SWK1j8JI33% zu;!n}UgN@=fi1js3TvLfi9b`baDJQV^(c_>hP)nqT~JeTcem*K!kYVsM?Xl-(DP`IHy1tcb(fmnEotxM7OwJSQPFctZt+A9tEXj+ z+~<);&+v`Ka=(oCDhq4=y1?71pr+vsUA>(PYgS(2bu6gKsYktC3Tv8l^C(labZzyn z*SWB!+eB}-!kWV;cwGu>hW7M271T8Bbf>ptVa=Xvq93K^lv8N$Sb7yTqPdMr*l#tRE- z@>gHuZCg;&VC1=8vw|9TqlO;GaN;fQ!j)d%LYeD+@Hp=@GS95<(XE}~>|S-Z*RQbV zp7*^Y3TtlP-|JmiGqt04bYabsXT3cOYI0Yd?&0~Fr7L%FckhIPntYS*ywaap?$0{v{-(3;7xTSV1-cyXX0K&jvpMaZ#^0Y%_sfcPf5jJlLBhyR zcxG~fLu)H|1e2O*_2$v%1v2^Nk47sBYrgO69YZx{bUu8u?%x-T|GM@a(>WHM248gd zjxB8U`9pFZdsxFWE-E+=Z=Xo#4ded`dz$iT0+;D;>6=X6$-mbi`Z+qzL-zJdRE_M- z;fV!uZuBd*713|e?~=zwt8m_+BB+RvxU9yp6a5kOZ-Bkd= z7*>x=?*D&zoKuj$^^^Q9`T9`Qd6?vHmHN9d!QX-8ZHwjp^{Vm zf9$;ncos$1Fg%-3lCU$o8!2`Hdj&!W!AeL-L`pCTi1ii{5+fy;1Vk)=6~PYn3Wy-s z6??&s=wt7__uf0-IWxO^?=DmJdEdwX`~LTO_quXt_w;k7ot@pCsoZaF1E;Zeyj0{= zSvfhUikvD7q4)VYRY_(V=jzb$7m-s{?t2}to21jdH~BeL?w_kUP3|jW?BDUMs`ze^ ziCR1CVgC__^w`M$GYEGhGrAD6!+w626+k)-I?>Ov0!YX2*7#Xg27A&Wd)E<+i&D&Ss~=7-Tf>pfONS0XFtmdARTx7#Luz!^4ETT-W5PPEjW$l#ArG!|G>}0LYh|&@H4RhVqLp6&5dz&+~-d}Hw$Pw z^)2;tvjEcJ?m2#L7C<_!eaO$v0!Z$SbNt*afOLFywx62?kWO8T{M;;nbogYlpPL1c z+^3%QbF%=_>5P;7+$?}}T-4jo%>qaVHr~(81~&82l5|`@%g@aMNM5G9pPL1c{DGfS z?72u)2)VbXpREOug3&YmY%PFvVdwkVS_rwmg<>a5ssejD@7XBwifj)s>_L%Nz`JO3 zke1}$e5lAPD*t%9g+p?Veb~<{HhX^vhtRWb^Ye=Bwx7=-_GdfOPIy+e(`8q2NSEI( z7CA%ZZ*%Z28eW^-b_MN>CskGj9{~v2b2!aINN7)&N3IZgMfNStvknn?MP>V(Dx@Xk z;`t)400^%&gw$W+=N0zsO*l!x(c6l=qO$!gp3##ocRnZbipoz42XGqe_?tvt0dn}j zLvr7I#Lp{2ziwF|@(Q4-F^*TZzsNIR(y5=wpGu#(gUc$!r^^1QC6 zP}gqA;TryDW(Py5M+@mjv}>-L+l6+c-DwZHG2Mi2N;jjM(=F(hbSv7E_M*M%)^r=X zE!~c8Pj{d@!pzVw_J?#Qx-;E{?n-x~eQ00W&;E!O(f+iU4xlA;AT6bX= z?oIchgJ~HZLd$6d9ZD-{72TH(%eCo#bT}PBN77ML476D8gk1Ok=seSNYxun`EM`3U zf9ILPyM)f^=9&I$vr2w;vr=^8Bxm@R$~mO#o?3}5ny}gQAD=^d@NquBLpnX%GtG?A zzcD|w`XfGytPn_m01mGd&^A+U=AxJt57Do&Ntg)AQe&*I6~C>9AaHGPdR53 zavgM8&QVBR6}FV71v&g)EL^88f5Kn?7v^=se)Xsa|1ZqzL>^22%ayy}sd` z7UeUkS+3fPD9_|0kkyput`W%Vv=jwKAm7qKaY)@ZboT@VGM5BoEamq;Svhq-Z#c(B z`KVBJJzvEPmJhKd_s(h`+mCjO(a;rDV&V(> z-tQ4ZNWTHp!~Y={YkZXQrx}&|e9hZE$y>Xg^6{o>c+8p8p`j=B9ITLc;-3q#B)&|v2QD+`@|(r8B7N!AivSFIArSX zv^)XH(24|PHXWLPETfeP$U4gJ)3X*%{g#f5)3nS=HPd`3a)o)O<4zNG`!A%zu>MiLj1{T6uxN3d*S`h?%+*Mn?IO9L`3y&bSc+2hIIR{JGce|CsSNiB@vwbAq^Yh^c=q%wM zveP5^^(1~i*`5^j@Du>%(kXN*Z9z01+1hl>O|(Y9#4nxdUxglo_xyR?9z{Ycw5WbhjY;(t=G>_ghlA;FV1 zcI;p}BLT_KnF+|b^q2%>Ih~b&JVcL8K;Gi@ji_rC8GszwT2^=qUSq?-HW~DH$+mbS zJ>H&*ZSe%V1^b+DkO>ZpSMoeMo6ezg>523tdNMtQ@*50_omejPKl3PmbVujg(?t8k zmT8e2Ir{?m`h49rQv8uiZKHEc+<*`fR%udmx@>0G}m1L=p5HycX|$(R1y# zsE0Rj(({lEkI*{n@B)f4fHs=-5$4WXI2pz!<$)!JM_|9C7j=)N3+;CFVUax@ec)+5 z@L1dg&Q8zAYu|?p>={uXFisY|kki3W)`zjj(UTDudXP&mq8HOk{uwW3&`a&3(TmIM zndn6i-yn+{!7u3LXpZm274|VvFIFOoUgfy@lRNF)P3$bqz$7cwh|-YhUFr?L{1#^Y~1z7~jMweXGbJpno^tC-%wukS>==w0@l zXslLo)ZK`}BO9qb=TO9j$A8}P2LsFRvFD=Ye3$}#R#q{+mtWt9R?z$H6QlL|T8?@E zQFvr6-=Z%)5dhI1CVU(7pQ*D`=oHg{*{7rRPula*dVVJZ^cGjnSt+Jwf%X5g7euYcAw7pa zO`qYE@B{XL&d=Ft%P7x?0}OrIKMUJ;Hrv>hXF}QQzFQ%!Ca2x@J^HMDMh@2?dg)Hq z&(<&2uhwt*_vPOR%p23^XjlH+>3RABeUZLIU#73nS0S@~jlNFbpl{N*=-c!i`YwHs zzE3}(@jiPi>ld^D@>2ev6ny;fhFdxF?~JoFUFJV({pNH1ivJ0_;^}8wwWVx=RFU4sVw9uCmkfrpM1lLXU)i})=E9h%+ z$axRb*AtM}=o<++htoGXWU#nvCuG4|`c|B4VJUq(0hvJGNkHDB@5UjEy3_YKWbjKn z;eXQQ`d26)PO{NhG?aeGX~LSmXbN6uYwzZ~_GYhlc>wX)Y=V9S`w*Nr0H)yXaL1YS zBl}Eji67f%K}#gxA|4KlSMrYZ6Z$FrjDAkPKp_1RelUyvihj+nzp>92?Gjt0MXteL z8i>gDD&+Uuxd2PlZ=mW#zoXyNALx(tC;D^Pj>9&J!gWWAT+=S5zu4!XXTRF#Vjsgj z2ceem1;tZr3_&0ByM12Nmt~yv4{5t0Y>ceqds1ZC>BON>*J?K(9aMTmKWaj1ifuY4;0!1t;ZwqAk4|K z_0Qgk<=7X12Q1gV5IsN|JS=ViKV{rx--C|!MNtnHBPr{|>EI{p!JU2Sr-%zZ*pzi< zdC6>=T^$Kqn@fk6aL2kBzN{ACdTeTP2)I(JUE4M2dV;yb=e@s z3ndHr`c@{2aZuBqoU6;PpD;cEhBRc&0@fLE*;(zEM$si4610D(Pc6mr%l4Q~-+2@> zmk4B!b&`4RrWj5BEJ6>ALrz;y4~|1-_o0mmNE5}_XLaSzE20M_AdU2pIOO!r=+Xq_ z9F`x4%-@7AOF)Lv!{U&$-lLf~WZ}W|@C4*JIz9pUl3`Vh*u3Z-Iw1}@znnJ2A(w4R zCng}nDdtZRIg3wYc?hW*R4-ab-o6j9E^$Z&_p@qH;{^yO`JL(o-mg13zw$bSRXN(~ zgEo_F`P;HW`(kW28`+m&yWz@!*W%SahjnFq=w^8LVX+>lifwFPD%uWjH3Ul{hi$?( zMI;{C*7ItaU>9)W-<7e==&fuMA13_tFLeJ z#CB(Uuszvcu`#Hp^{sDHHU1|#Tc2Wm7l$lZSnzWUlG|Y$>-#ulY=QMd9CH0B+&1)9 zNbaz6nLnyWGxKj^T-Nc=b=f%%d9LS%8MUN8ZbPoh$P6vpi9^D2x~dQ3lCveZ>@&7Y zoaUPDjE^Q+nrjYayC!IEVY|g4%Xemd5|BSx-#BE&ZmeG%a&0$Olz{BZ`X?awvf?;o zW7ZdJ_c+a}-fWKqWF+JF71^ zx=3Vc!Lzr#y?8^zri3*dyLart9Qcdm&vq}ix4jhmm3{21p>>99D_Xp1IczX1V?$Uu zt6)P}C97ilvSDmLHk^%MBiSgF#juLOlQA}$U+r%%6Rj89twpZFUsi)CJhJ`H@(Ve; z#TU5EwH~z|%RdDO@>ngaV`JD@b^sg44rB*~?H=2-Z9R%oz~@Q$pLDsli}e_ugbfG=!UHUYC5Y$uB{wMa5{n!`|>Cln4KtjGefQ{vl;#YZ{ zBb_(dmmPqRY?kw-%lIgmm3-qBEXYp2Kw3g>yqg7iMgVyY;h}vU8p`}@;ibL!2)x$x zRe3kFgY9du6c4eNV;_qpjfW-52v6Cec7wa2etb z>~JwN9V-`PG9Fk9@j%;f^vaHcH$xo>eV>Muf6+Ew3@*b*E2% zisMgz6|d1p{0n@<*2YKh>>Weia@J^Hhdwsh*Q1X-@d7%Fn+PZ1BNlkzW3zoj)W^#a z#g0TWJhDEX!PsyBWPOBto|u=pjMt~CQn6GmDR}rkHW_79mFDppN$jsHRL_2Vp3V<_ zEI9mYdg=*yoyPAaY>Is&`aRXY3H|PjjBr@oY<|jG@Y=7V)9jn0eqY8(kK%Ojll6P< zH*7z|g>@7@IAg7>jkUAsYz8};&1A>0S?pMLTr3)aAnkwj3y+{YWp(xncD#KH`gMYR zEBb{QFdh~+i=VRDc~9!epM4;FrW=Gk{d<8uk%Ep|GmgP&}CT6Smq zA})+iM~IFn@%gbB1+3ctfmOPaUdR^MccLd}*mt2PXeJ&OH-w+EGx6H@QL&av-7KhCx9ML%$4!NcNa@KbgkUi*G5wC{`haS&GF7u}Z{+e#Cp(fI<5|h-pv`*n`5*7|i$O&1dJ^_oM9>*bkuXIleTDtL7K% zLiiWhev!QFjsV?e6EOHCEhvWvqg*Jvqk?*tC`D|*pHys zOYKL&Yx28qzr~HtVOO(d2w~Tt3v4+*UtvEcJm)?WtZO;!TDB6Acx20Mm-_{~fQNVb ztKd%mS|1jK*}qi(LzQ@7-3xpA?DN-0zGc_hkLSen!NUs90;c=_jsK!rFb@(neD!CG zIVZhw47=W5i}AX_egfn5w=dD+iY;~{UbCCv$6`10^IPn7B3c+Hi=59|mAMkpK(__BsQ$R1)3vq#vY>@oH@ zTg#q+_w1UuXF)Xnd!AKYpDP4(Ehcl0TEN8bf4+Y0AJngB?dPye{_xdVT(QNT!)x|D{8;P-e*U8U zys({H%{K?O*h`4QBWrv262UCsBp=G;W%detmA%GZw?AMnKn)8#4&&w1D*vHkJmNJh zd?(_Oq3jL&1uTa*?HAFTKLt71j(%9|ExcxL^YeH3`MdT@!W%xsk%b)f9-{EbdeghB zU>0!V@f!9%`v7X#hwLNvG1Rb6*r)6>QODRj;9U@t|DJb|TGqrqw_ir@zOY|G@BZ?Q z@%_RphHcGaU-9#=?N^0wJfR|IbJRD8!UKHsM~qFbW0==vM+}R7`_J_L$FuM3*U*FS z?bp$R-?$}cu}Cc8lKp_D_+#FW_8UcHDJ81i#_Pc2NFQM(InjZ{n zQ(*hw_Ipv==OKy(-F4P>_Gl#=j5r?mj@IYa7uJ^r^9n`)Y)5WnJ>M+M~T*q??osFEXPB*8!)5F==*~Hn@+05D8*}~b<*~;nZ^m2MT zTRYpt>f^2!R&$&q5qAQezdps{bvcCO8()BaL?H8y7xg8aYk|2S>x&po z=hrWBwnj+UTG&%(JKH26%hKFaImi@|^Vn2}_Y7Idk1cX|0vmzc@9=ay0{Ps*l8MN9 zypzLkSF$vZZ{hIU&In{*r*neqFei^g`rllL|B1EkVke(Nf_{NLevyO852?)3EOW5y zjcR||u@jIF986>)G;13jOb4Qn;~gH3tc7bYcDw}5Q;r*lJkiVHwr07WxWU;dLGyys zH39j}=@y5q+tTTtfDCeaBp^pR8z&&=IGZFOtDQ{~kk_5f5|D45&Et?KXE<9VAh$bP za!45GC-a=G5;Q+JJrgv{=@qAWs>11=fHdPZ)bGjopX8Oz#(&vXa@QevjTH*35LO5* za9%W#yR50sw)XqbdpO(KA7JnCJ<#A`vBB`$!P(x~!P(K-2|>=z@B{BuK(pV4U+-#v zD0&j!hmbP>XgRwf3Xg0_{&^f1V2PFus8sLMhkX)nNnE7ihc^|VK|isYV#Q4O+xcmy zkNpw)+t>aW{rwqe@UVoBc%n- zyaNCsfe%Uh*Es|1PtpDo`!lpZ7%(la8toy@K=={%m-6dD_UBRi=L67kc1IK*S^Ga( z>g)i3toPdb5xHC3^ECw+PKcL-^qghJV7FG6XoV z#9h=I93?C#OfjtSzm&Zpe@WrWchB}o_)j#9YL(abT*XYwQ`y2ELa~?b_ zZVo?n_Je=Ir{M^2M%dp9pH74;vHC^_XQVUAsSekWij-5`B)$X}a`MBe_D{mH2|u;! z{4yVPve&P^jI;>E)`GPRx{PqWke8j&_IEj4dhEL_XA}~`ff|h8Wj(n!l4ixel)-C- z&X+RI{`U7mCzA2Q8R?%$JQ8P8%C6?xO@MyJmH5i{8__D`6}ei;nQ&R94T>%bD{066a`R)2NI zIR_$*bCCVB$YKZ2All0{x|1(IZKzY2}u2`Rttiz1wZ z?O$^2U!6njU(xO_kkCJJokN|&gi;67Qh1-qIUI4$c>A}g%`*UIITH|tM|fXBAK$|{ zOu!Pqq0I^S2A3gWR_NLtoqGFsjCF(k2iR=!Ndw@txH8L`h*BIZF3V}+=SSFo3VXSw zGaHB zJj>>qnyevKxm95ewJNPDYhP=awVyTI8exsJMp@O?Xls9~#;UdItTEPD>i}zS zb+C1ab*Ocim9Y-D##jXf;|*))7{-b)+@Pnruz6rdlo5H0vm<)oQcat?AYb z>u77Hb&NI3I@UVQI^H_Lnr+Ro=2|COCs`+3r&y<2r&;r?)2;c|0_zOxOzSM`Z0j8B zTN+`7WL(z?o8Y%Q^tT31`ktZS_0)(Y+*{Die3 z2uXlY{^t{XfDK}X)t67-kq#fMcP80?VTn(+|HcyE%9kR_I@g)vOm$ii=1hYh%Q=dl zx7zDPsbhItWH$WfIBgELGk%1n&URcV*nLE<{eg27z13;+uT%RFE%$In1=NV%fDJj@ znNGn5ZmKVvgtaiWmT08>n$=n<#GOW+8Pvi^9ZhpEQg~aBhsBjz&P=>;j^U75{QOv& zE24z)vB(^bIu22IWMfqPl3*5a(go%^j%RC}6P(%39QL>~7h*OG<_m)8ruHU?Sr-r- z#w_x*r`0);c0i9#q8-trV75c}VmT+HLCz`gV>zet^V4W2;n5rflDQl;4^enzJsMmt zm<1f4{5q#Q^PL6G8P1vbeAEe|@SpOfWR-Ik?To&hP4mzfoC3qc_X1BH{wUNrm!F?U z^Mxm(2BSTevk*~uWIf4$!I>&x;`cvVr%wGls8fq*0b1S5S7mXfmUBK{I~Tx@w(!DSEW6Z-DT{au(yYvjl!DXDL6wnu-sWgfHX-j#`E&JhC2bb%bCRaD3Y9T;nWv zRyfx>E5Vzk;7t&R|CBc+lblu5L2s_3E_$<_uiWTC1>2$Z>9R zZbl>?p*Qrcr=2MR#(#r;@g^VkZ+n1!d)UT7jo&Ypjd5;HcFrVBG?5SYB4_T zyT`fLxzD*DS3Yp=fG;6#b?)-<|8~@3J!2$l70v^+drnL@2}?1xmJLUfY`Khd*3cdp zwFl|O7`5Jk3tXw?JcQTI!|-D{kMQ$H=_Vp-7%z+95R>CP<~)u_JhD;y={&(M;L!VG z)Ydvr_-jSn>OAISQhS1m@rcDMJCgLD@2sPn=ESs;usl<1(Zy>I=SjL5#_K7%ImT;i zVGu+IBV{@N!fWSge*O$Uf0k|`;)Rj2$gv#t9HQ_Dqs7Q7!7Si#L-9cv)6|wr;}fY* zJ2}tOEz$ZH=vHX`Hi5FNYUf2CMx2*uPhq*ZZz0nK)kP%3%tQpRGcrD(o zNG#DuR~!Pke5{P(lYiJ;>;t-q^FG~%TNnD32P;!LAHcGb2<~VR8jK-u86G*#ht5ZE zm4h;HVd{j8&SQ!5F)Rrf$Oy8vPyn2Cb3SoCbv|=GcfN4GbiQ)FcD`}Gb-r`HcYbhw zbbfMvc7Abwb$)YxckrDhE4PnW{5rYpwRF+z?dhIzi#mS=7X1l}`2`m36*a{_x17J= zEC+Tl`4AV)PDptFcH;bvOZ~xO+IxY|u%Nb}h^*yEob|A*U!Y@%Lig2qe3F1i<|3qa67u4+|F*Eo9`C5U0mD6`!RSSBfGpeEbcutT;98a zRtsx`r~j}z0&y9w4mFLIXv%dR*L6LV4AzdO`@6MU?0IUc!$Fhc!#lkcr`TxOX0z*d$5QO zE^@vZEKR-#ll3!tS?QeV zJOK^w4QP6=Lc@F0d4(Rt-e(U&f^xdE7GB?alsy88%5q46=fjI!k3q_E2E5qy5c`Zh z!5(%uf>*oNuoIoV;E^Ca5QHV&c7%o8j;6c7Cj_nVIl`@6;N0Jp>)=$5*J+}+(h+&$gB+`Zj>+`(>{ zJH##LOL4r%O1kvu(!Jn)x56C?3v|3q-@*?K-AcC#K13{r&kpybud{&#@4?Gm{osSd zBKS0M0Nac00!!2#3(M0T1Iu^33rp6`gatj`htCvuWqsg9oqTv@r!%~=WAoQ|3US$a z|8<>Ckr#G4!0S5OzzaJ);Z>d9@Y>Gi@LJDi_<9d~XphSxe9sp~_>O4+8s^!!(^a8-5D;D zVmLn@vSpqc!}$r2ZO#|;WWH18?(g&N@79o&K71v;fh_RP7dWN-79DMy?aU&peE2GQ zpNkK<0Pfbhb>h_tpgF@SB6ED2IdJE9oqv8Ez0)1z(~oh-irYV+pX;3K9^k_daL0*> zHh`b#jB^k4;Rm`0i48RYeiAHGi-|7Ua}%B99_-T`>>fhS@!{vdo7w03=jXB)TpZ}o z&O_b9#0#Oodmej7yiN({3*n7KK3u|iJItjm@_84*JIi<%g}Tm%cYaUy&rgPxHTiuN zz%PJzW>4|qr_gyW&d8yzjC;5{-ajAjPH^k}^Ln?zo#>xWbQ@iq2}AlO_XvXb$*Aj8 z`jv|lTnKM=k8~&b=abyYE++m6pW;q+al!)6Tij{xQU3W+ZY%79D&)+7dA8I1^V8s) z$Ho5nV%EdONfhL5bKCjE8=RA)VTNXjPqTz=OL&S6@R`mXE>9$Ye!4q@f6R{bOIc5s z-vR^tXm_T|lS?>1#+}6zbEG*2GEkmG!TBub8JDM0Ky$2noO`@)!|^WG&W@2fJv&kl z4vduFoJg!skHqV1;O`Wnn;R*s`UuZ8k@7h)%MZ&3WaGr66ydoFt&Gs-!F6s#*GUnX zCr9L5$@#Kv>K1;TErZSAIww+RS4HB1HL6RbA2>DA4_q2)@25rD<_VGZH7`>CUy0P` z)sgykdZf7Qw?%ZH9nps^IO_Mg z5q(!g^qm*cH#eehVMO2S5q)>yFxSVZ@HhBX(RAv13lej*BC9 zycV(Jj))zXMC`aUV#j?EJ1$Guae2g!%OZAM5wT--#EvT?cDx#~BwW}=+-18}CiF>VBnuR8C^o!e+xV*RSRO4>`K!W>1c(BiQYTU-21ot`TD;ROG630re zP~r;uysE^pZbv9_1-T%qLDBtDbZ>vzO#8IINcKJqyVqITXp$dB45~2=Ex_k+7ug684 zESf;kFPc=SythtNqHbQbAu0$IlyXv+kLvOGhNz%!Y^6f6l0#Lfg5CC4p;)&aRH%Yp z--W15JWvID?MU1kU~MY|cj7qFsV0AO+!N@nFxDI%=g+$uI_4Yc;hbMN)#Tpf-V6eB z_+-_#eBxznTuy7Ff3n!CL=W zkBICn|9w!5d=v(|Cj>qTyRChf5%*SD@5?Bg-Vl)u(|A6A@%LHTVwtb1@T=2@jwbGH zw4Td`j~Qu0vcUZ795x!JWniy@z&26+U=cRru7-8S5Ueh@+g*I%5Gyw>H*3eeH~Kb0 z79wm6ry&bEkBQsZ=-ZV1m*XA|Ha5~kx#eH;ucZH>?EDj!rj>n|RCeQ%tn4Npn`~y) zzM8mq(xx!BMCx^GR~yJ&OBf25Ls9`GMYC;9xr7sYF!CEraa z34VC%0H+URM=mehg9@&rlevU!bmlb@_Z~W#OURM2`Y;Q;4Aloqm$lZWRL|W<^}>Nr zeL-ILm;|K(xxC;Hf~FIMAPC_`FpQ)M0j#gzM6)(jt^Nela;USL-kVm!7+*q=UD|`x(YB1up-)Z6r9q$A0%w2~PApd0#xD#L@R=OK`r-XYZEagiQMK{z_cl zJ1{(lKJbEqit0bzoz>vup!Z7p{=zR2zC`<4d#oCF|8gZRZw;iup)GNldAC9iq{3ZO zr^YQRRpRn*K1YemJM~lv&X4tQO*xdw>XOel0Mf3|heWK8g%q0OqOtb*w%skl7sSQK zZ8}GdW33XLs1pST&y?VVOzRZ56^q*$-SW%FMeP(a^ACVgC(f6xOGouq@)eAd*&<}J zA(2roQ3ngkizE8taj`~yEy4LROQUr@;PY|o&s6di^dBg}`7zrYM#a$ggv?#l^^^VN zDf#j%;HE_-^NXF;xZaznaXsErqj~>fo+7r z;}P5t2u#7*beK`guE-8)+oJ$QmX1Jyv+Bts*L}==++7P`3!dGO(+n3C&=yN;faB76D(RxM9hzo3P~4yQ*C=lU|D|8m`bxlg3oh`kiZ@J9&uly3(%u8 zxT{r>z4(axGD0nSW`b*KhPbcLGZA%G0ySkYabKlpfwDGwb^c{s@D+;9-f;NTtnP9=(*_xpO;{U9qQaS>3QhP!UU=rqVpDo zcMUDND1kZxO8ITNNYod&5yWR&$s^Z&$9>m*55N{YcWsk;;Rdt6lAqRSGfBO&|zfv-zW zuSuXL!6e|1^ct`ddK9`miG|_zC%#-bU6DW?c>!^M#ueM?wRB}1NAHE2`wLx(rmTvi z*ehL$`z!3ogJ9XCggo~*_jmUX_fPjP_iuN-#|?v@9C#n25|mvRmzRIflf=Wli4cra z4|4;C$p4OBj+g6o@H%>^(Q@{JUhevYoV$k;uQT5TiogWQjkw(tP~k>Sx6#$~c6tZB zlio$|j&re3ZzWzHy_;(f-qngI4o@v-Pq6)-B=={;%cu8nZf(Em>_zCk0kg=UNaKgZ zE8rU%5qe(|cLVXd(EC6=y+4UsM?9O}kEjO{D0p!0QThNHP1huFH{MM=M%QrMgGt;K z#B=C_9QRNHw_-7YEgT<0kq;+OH@-$Zk3P)V9uc_k&8aV4;uX?I!crZAREZOhU}qo%V_lVdwYj&2wSE$;OJ7JQ_r(PBx^IcM z9c;6MV14@mqh3ldKRJVV+vAQrxW`cv_XF{E;5+fqR}-kGnu)g~ZpnjNA|-KW6K^Nn ziU*SEH!zHmm2{qD#M>F6xQS8{mq)x^5Q>{CC1oxn-mZKT9{O$q_2liu+l{^pY_JfOvb;A5+xc0z!XERGD>9E%rgEMSo7pJ&bsR>CdS0 zmn0XowlaiT^w%WUMZ_CIe?`=9Nz~KCE62@-Ec$x_wfs-wRnXss54zl!?P<|J63o{e zO1z=;53uu3`d1Qn3-K!HU%p`OhV;jReFNyRmonPm&oJ2qt4JF?G40|~SPiWxCidgs-@oHEu*V=*OvNwkt z-Xu0KL6hY__FUrCvJN1*BNI=pBDlfCtHWJEEY>-pc2RfYjbWV;m6t?KA>LS)hp7An z>e%JPJAmb*9|cL&L&O`$3eY*$C5Zzc4}_P>0A(jp8R8wpH#cH5fw~4vJQ#L4La+!Z z3g7U+TEwsrqG7%JEaDx)H$-CmtJM6n93l7=L*?f?BZ~@eYG6l290gZG*$| z@O?%+P${9eFfXC^TIdoFhb@&5tj#HrpA{y#?aD#dIlw{;mP`t3j|VGMoy2tO6LeSoZ|?z&^yA zi2DJ-c0h5Z?7Y{A*BERPl)&A6IPsd;#$2|=Hc4Pt?n1mHU{4?f8|%lW3FhTH6R#Pz zA4U)THB-;!e-JETa#I+J{659fBTe7X(2@uuMx zK5SdIT>`fRhKHk=`0OJ`H$1qd7TaDh2lXZIf=c4GvhBHH+WOL^2Poem$$bIw+Hes` zi|rVz6<+{)BkP;>I=>D@vfQ z?nk`iaEBY*gD8Pp0*&Z++}Q^ACW_jNbvV zJrcN^z<^W4&N`54p!RSK%fIm>;+@JnEVfqywR9%&PQ$HrEVg$7wfHaM&0~9mB(_fi zb=1bhJDu%=sKE);lDWj2&jtgEu(Aa1>Q9NcfRzCTw$w@DAVoL>7sZ8j(G#epdlK(V zTrC~<;7QBpP=1JoACf?b&Hvw$5kVI`wymQzvMD3SEbs*lkxOW9?%n?WB zP1~M$=izo8uvbYOm0t@3?LyqagwA6llekgDTZB8fz;-lAR1xvcXOKKutXiP<`5f~k z0KD)H@h)K1h#H;XS~8w^7xE2<*#1cr^cfei{ZRs|N#I(i67OQzj0nMy6^c!OkTP=Q zc$avW!Zmbu@Wo0kwDh{96zJ|Q6Waxm?bw(Eb~NN7m*dt!kim_OdCau>%7X+)%-ho4W_`7V{m5 z*g*+Y4z#l+d@CY$a1zy*cuU#ADDV(2Cu~XuKfFl1s}af$Wr#s>=Fo)C_LUrr+4ayA~%*@Oh{rxnCo!6pEvo;3*Ez?bJCDJf`x zTi=7as)Y@ZW?%{w3IDvE~Hxcu;*k6Yu*3DHtT#Tuj%99PSk9%#KX(XJE4B2G}78 z!PpgxSVb7&G{?KqgB}w);x=foli+W%kTN8*gj3S-Rm8iQ?+?VL2vqQ$VE$?AiFXT| zBD~WK>N)rgpT(vo*k{8SbSs5{i$YRqtD*KX7k1dF| znoZ-9;U0;>pd>wVD7>V@j$*BWXwh1%nO6|+c6bQ@!MX;DmNgO97O;z2oIigU@$O)4 zY1!Ko>~%jA?@rdv*|B??B(XimyUV*9;1=!0Cb8)W3HyIfynEPmD05z_Y(@hA97O(J zHUs6dqZ7CfpC;aY>}a7K@G~XaJ9zhd4|r?52hjrf_z`wiN=W(OJK{aW!~<1*K0Sl5 zSqbK6Apj4vS)d#@YdW5t019R&Xg)3_-XpM|5`u%qf#()10gK~taDaJmYOy&9{*O8n z?@=}f$=KWgCyJj;8%w;$*j%pv!~o})?Z=RkKaQIufo&%xaL;W*ytV8kjypMl`)E1w zo`5Zx5bWEV`zf5mHLRDOJuG${bl0DPj3!&_EHa}46$6-Pp@t$V$(Vhiy z6l;cj=^3^Fqkcvll|KQpgJ&5$a|O0D6S(^*@t%WylMoEmp3X(8#Nl|)doOq|dM|k| zd#`w}darq}dvADedT)7ed+&Jf0yTf8!_H!`w-Gy+oyQikMeKZb0lSc0#4cu+K!cde zE=_2@`&Ht-$1c@s?&Q7iec*lQedK-YeG=-qj9t#IfGu`V>QxD;uydC8DZ2`2+2S}V z@69#D`;0B-3T1 ze8+d{0gqNDko_R#`5rcMZ!c%768yW~LA)QCc+#l1>=VMSOEOmz z?&-qpXA*Wpg1G=55dFe#0LkpeI4bY6---7tY|?{Zt;O(u zJG&{t{AnBUe&ZVhv76(lf&<~f&hPN$tx+z6GP)(f+!t;m{$RJHV1_W>8fVV$@)+^{ zWVdo=9FlJX&t!+?EWpi(lHB0ZUu?Cnnj>Y*(E87`*zIxVyw9Mu{mpJqp?U?Vz9Y%q zLcI0tjufg_TI|j^bHTXxNFiZ&npML}1h=uflHBK#LW|vHR?XI0?Cu0}pHoR;4)Y&K z>jDmY*xbhMNphEx!d%$G2*KL<8up3B!Uxi#*UGzpTT<8oc5^~zY4=tL=_o%e=Lx9& z;X~_yzn&C!;`=~BlM5eP3uJ!5o}{odyI&(bFBEq%^X!ELu5KGr zxEXr^a4)i#61dveN#W+WDHPmZy_~>}?MDi?U@v2+*eeO#m|scZmh2UdOFVtful<%3 zZpB{ZxYv?=yOYA6>@|*iJ&Ajt6!v1Tqw8-ZP<6o8o4vu=-b~=eZb}NbW^aO#Z?U%% zxY~61e@VNa1$uJv8P0Br1m#ZqMEiDigBDj-0|B z3U~A&7Tp(Ae}J2DaY*)+x|O3z;Z6vJCvhJqG}QDUg*&s4fpZt=!jLhXp~zo&FewD6 zXg?h1t4jRC&s^B23FbxjkiuQrr-AAG_9MZ^FX4e0+(LYo;GPft!fxy{U$t5{sJ7VW z3Ffn4wCTe>7tAu9KFq&u{UX7B*>FEi9#SAl7_H6=nemN-| zz`hLyf2S1O#J*$SC%6}%MhZ*V_nbSp0c`*Y*6j7`*GDAf!16{AFVet%NJyAhL<$GO zre_G&CVB9o5Bo8}eEK=0u#|~+24Kc3yER%wHJ(~@G5d-A9OriSfG%|q`#EMjXWYQ} zCER$zeo08^Q%eeWXTPK~KJkiy({~;z+yk~uLz!qiyip)MEV_jK#(o#7!LL5p)>YRG z&1CwFZf!YoVtxCl@iUs6#<#b$_Q_=0;NQfCOv?mBv}H1x+VRYBvYneK6YI_?g8&y#>q~CD@bKC})A{9mf z@2`~Ae~3y}{~`TV(iHGwrIuo)mSUxr;vvNGp4e#T7{`9ADWRiwjpCG0jJPYRbE#!LWQdsp+t=w zGfu4ykvh4E*98^~1`?H3qg9C78WpClR)tZ(hgB;ODiw7KdKtL9Y;@fi=zevrAfVB; zg9MGdB_xS-25DMDAVyPcaS~~Zh?B@osi`?o+T6-3t455elZzcO9IC3cikEZLzS3qU za8fHq49`>y8{4mMO>Ko9uf-aeb-YCe%|+6R2p(~}i}aeM{awIYY|vb+)m*ICEH7E# z>Jo$I60PPEy=Lj?<@;<1wl>!KQ0pRX2(&iN`Y`LFYzVS8#`+NJB3!C3+EQJ~mTC)D znq`z%kE$G2C2e0d<^AP_Qd3?cjax-|xwfaSC?8fcw03BnOj||y$V^$qSQTnWS*^6w zLblP;Aqz3J(g6@KQZ=K>GNY?&n)J;Y3A^GFBBeHS&sASs@+n zDl3%bp(qV?0jk_k7Lvj}$e$GDEx)a(tN@>tEUIwS)T&wJb)iPdAg5OwrLswBlC;OD ztWX&wwP#pmbw6oGUWu5}On(hhC9r=mDQAu9GV#l zJ-Q~3txOO}Q_jjdMdwi`Z@+a?d#XlB+gnv#zrLA%eXE9Mq$5%mE$xW|bY;1o9q?-Y zvN1K)HD%*85&{a740-kAMhX!b>3AF^P)DJB49sLn2R_a}4AOv6!-l{_l*Wn(k=l|7 zk=mN-@_vJgG$9jsb-=32i%T_zadh2CYJ6v~{UpreaK)bT$OF%4Lq$@MQeDuV4+(itq$z zMR>HXWQNx5JG5rh&^lGoWC>K-LkTMVmBWU>_*}j(O!cW8jF70*L`YPMBF$2zC_Kz&>NHX+MjQw?Ap2=3 zMpV@4wV)kC$01P$Zgq5$Lc$b8{rjhs)?Ye%>qo$kQ3_FJA2X>;0xGR{qlcD{FzMnn zS~+8kg|9NADn->n8dKdt8hzE^6k(C;iXcv@vplG4`l5)+Q-?92GF03MnaMIk4GATg zY%>eHB~wxLvJX`Fxycv zwkXrjXbY#(1`f3$mDwhwGT5c*2uF;?u2xr3>gqITxD|rYN|_W?WdwmHAV5%3VvsP- zOriFm7P?HBx`93uVw!@?Op;EJUQSUegOJK7$7~LW(M#!{${M6H$}w95Vw6%;2;G3K z8?Q5lTL9y5&Iy!O83uD?R`Q0Uw0bDdgf#jNEJkNkXd=*zQGp^X~p%v=o*P{uF?|I&>f7+%04$BP>w%7fqLi(2n;r=MVBnV2D)GBe}I%8cUv zGr&q8w(>A!DlzhfFS3J1-PcsN<+hB?ERfcbF(Dl1VNhATo+k zr8!Y4*qNlIGb%I@D6_zbMcOnlNoQ1OB1qx7T11e-VKq)grKzzIS(>UFq0-dhkP05X zq_C@2{;*fH(1@%wcGb$C#>ZtDZ5jX()paVqQJl{bxwK2ul{P0! zqccruqp&nyrYUWdmBLF?+lB|QY1+0RGsc0F=~4($#ROR@(~RNbveFr53>U~s(*+2! zG&-SDq;Vic-QhaZ$x3HfieMNE$V32h*l8-4ATyfH$;|N-WT{Lu#*@oRXP7abAS+Gf z5@cy~rm0+9nz8%kRB3{dqGRH+QUpU+K$=M`f1omD$=YeGhz!L%R)j(`k(H#x&@JWR1ER%s_&A3Nxfon;6V1swdjGkdVwQ zNl&4jq6}3j=P08TdPUk9$WRPY8RcjQwD&anTN!;V*Jdr+L|0pi92s>r3(W9s0&a3x0i7YY*ePYQu-`m(kjGJ3UQT+!U7&Zsl-)Eiwk*5 zl-2=CDNbH4Aa0;q+(5NB>1ritU8!1Jsal*gog1ZI`9`3mt(K!?MS%##;x_`N6sK7J zhI6SjDHgy%9>oec0wwJ|1WMW&aFogj#VR<+qgVzYITjOP!Xe+CNEOpQRr6{XmyRMJQ1VPuPROC zkryUlWR_v-ZunxtCsBV45fFsmLTD7>+b#GpjeOD|B1!SuYm~wuL-UnEC`I#;fls6R z#z2s$yp;}{$WoUg;7Fs7IHxd%JW8dGS3so+dPriDVhFpCV~9HvnF0?qs>s)=UP<85 zSG|%Tkg7@G5Gx&y1FU?8Da5J`RyjS1ppW~-1) zn&fRD%v?SwE1gDj`KX_iCJa-}Fm6DW#>+INjh3bHGEHeK)4Mu&&cZ+S;%`BiP3L50 ztB@?6Fmq`uUzUhP9;wWwt$tY|AuLsCqqH<$rYUWdl_sufO4}F*{;q-i6`^Px1ewt) zPNqw4L=_Wcrf!E*87l>+N@rM_V5pv^C4!NrQh;TurwtoOGu9n0EKM*{)EzD>MKFv7 zWFmkE=lVQZR0lz3EJIFau3UmFm1)L!a#`sNGsY8SrKwzkERD`Im5WO=RxVDJCKxFy z7nhYH7`g&dzbp|n9MesJ?q!Ln49#6C42QuB4)V`*qjJ=r{UoX6FH0n;40dU5Y1N

    w?X!H%zr_mL*Ako%7L6X84ZM{P&rWWYa7*(0to{*z$c!I>}x1q@i zDJH+w@z=a8A?l0fWr>hL^|@C_pnO>(OQAL~d<#N6oHv{Xlz+Yyr_pB# z!6)j?5@6be(cU=)?YKTWO@HOlOtp@8|knR?>S*CnV-0pL`c^h7jaL6ycV zQ<%7OgxM&hXy;s3nkbkn3m26l3g*hfC7GjODis4BCVg}>3Vs*`m8sk~ zl`)KhDvepDFmg$$j5380B&DcKf+~eVs3s|FH&-UEFqNcKMwz3aDHWapD<45YJu#%n zrg=SIf_nPQCs2O^#gqQX)Su2>G!`YP=ky}#^foDH^gsf>1*3^6P5~KOpHHGIUqPa+ zaXyLB6kS~tGR%hP!j3Ww4b3Mp=`l1hAw`$D2nv(K`fggtFgUCXx?uuOw^|dg>Y8tz z0=#ziPPtkW=ME?3wD)cymD0j0#@?c$4(ah9I-GlX%YA zr{Wx4r}{dg8c<_~Nw@4!zE`b$ty=L`HNGG%{m4f7PPOutYQ-DX_;R#DgW`Q^Kgf=P*W~lT&!Ar8YpWD(8Y(%D?{IjmnBSxt9XG4@~f40#h%cY;-z$b{seFrEda;(&iF(XD) zR39)(g&QOF5Vk4mR|MY~Nlgim(9_AJhG-SC1mvn&5v$?UC^e@u6&#?;k5vO*aW7V8W_kmC8KH!w@mlUf!EGgD`$gv7L5vTT$ zW7V8W4~O(C8FGO9%ULK7fz8yyD%S9)5FaHPWTY_=z?NiYO-Ll)5G`Ue5}C{~2vOT= z4vC=9DbPC#*fa!M6En5ad_@F_D=^rXsg({>5doSAg94p(MJD%vKx;nW_2vVC)_lNg z&DUx-)j^YS#U}TGK<^$9Xx#(6);%rrAd`7Opf?W)wCXiTWwn7VX;nk=5Ox359}2pp z7`Go(3GNR&?2cCLl!&#R6R5fMtSUaN0@&S2b2!w>33S{hX29#&l~o*7YwDLkD@O!G zYZOCyXq^VU&M-hL>n>;pw9YV{WVBmX8i3bn2DDDIjui)3oo2x6M9Xp2dAC zO`%$3wsICs6y>E_J(A~p3N}cTRBV{F$hdIb5V4mu^fJ<&l50l~%aoOu!={Q-{+bc} zN)$_0)N-7XOP+jlCIwHqP8A~6d`e}&rsC>fBo|l*%dFt5GL@sN%F3(doAK5LME;^I z(zcK!>Myk^6rPYo zIyJx}7?Ma$Ik0wQwe%*pZpeu0a_LItb*g>E>xPXST2&(*g%MQ?4;N%M)G7NSz{nWJ z)YkY7To<1WsH7npDf|C`7g#VDNK{r$Qz6<~RhafR z6-EJXZc!jqD%utFGI0I)Y3YUW+v_>v)R{nv10Mrrx)!NUvGiJq5hQ z2F=A<&Bc1n@`CWKE-`2>(P}QyYnBc+zR!kWYh$eswJy?zKx^Zy53?@Hh9GNWtPim+ z!lnA6E!CB5skUIHNdc5A3^mdrN}!IA4wC{WZI&%l8=IS?nAZCK@=|WCFOe2RLw&ur zr*5ckZk^aRv0bLEp?*qce8UVCYQp$7snf_dO*&*DrcF8k0!FH4>iEpGmR7lSZPSWN zrRh@xV&tYFMy6=ul=1a4cw>V+PiSnYS0WUN46sOT0{AqgtZ8at)0EO?O7B`wkK9Ft z=Sq7)kV3aoMT1I*Qj)^fhE}!m)>e6iY;2H@tBnoH;40ixmXo@eRQ@U4YgN?*NVpWG zD!&hDY-nv$a!-K1w@3#*uA&*zfT_(BU@}7ENrXu4O@v78QA>TlK}8yW1YRBVmippSjiDT^ zHj$&%#!ahl#x@AKilS@b5sOl(RYj@P8fT85-mGzlqt!Y%S}9!C>cN428EuW|muZ+j zUOK0Nym)&trKw#d8qg|~9G=lPq5!Q3L4a0-KnQ0Z@M zo&ZB?{Sh#oCmmOt+A|Rnm6`~NN>QZgsT4&>REi=bDn+{bn$gu(fmDc{rm1tXdeaQ^ zb(!%^((!`h>f76ud<~P2fg6q^mH4Li$r-p|(c!^f1A&fPi94bVR6}Gt$L}lbN&`@JjUL8TC_I+T@>!@@nG~ zNVBvkfx6-e3Gz>>H-10YE2=o9q==a1WN5~ z&>6zbl)jw@L@B9^%CrPUDU1Ocvn@zu(xk=rPhknrm@PpflO`3up)E53np~Tvh6A#p zxv??RGF>?wBZ+=M28ziI?RqV~9lBu|#Tc#S6woN3@oL=msSFeoXQI(5L9VFk4sYq2WF(tyD07g2LY;tw6edH}{Q!!6(DXl++=OK4;?;A|2CK44$vRkER_4 zB>LsQT4p?R+=f|t%8M)2~EuA!k-J~07^Op#*Fx*3pCe;OuE9)FDL)xBnpmGZ#@V%1~i zX2dC;D>qM;KL2W-Dt%?5xkWcM%8{z{nj;$(3rz^QfR(-y6JX^F(}Y-QSbVkelJ${V zrSiOjbLwsu0s{5TLP(*uTXnO*S@kyy5fWv-9i!oCmd073PCbA|g{9IcPsyWV)PWJk zC{yx?5N%4Hq%$fs5vcJ&N}g$yuYxFQafC`!V-DurD}n+8Bc6?4N(pcK1=}McEnd5+D=Aa^#ISxpbPNO*vrRhqWlcmv_rnFI58ZXn7Hp)uj zrKz-GdNWPi7G%aaa57yAA$kfymdZ3^xVWrzh8e>JveI+`f-H?rs1#`&NKtpV&UCWU z8I~d##sV@Cz%5gn$|cB*W^*!gJOx=Q(~R-tveFr5j3>xSQ@I3L8l7n>7nf%2emPZ| zV5I1nxU3Yx&=rtoI?~TKOqsNp(o{@2L}Vy#up<BuCN)@!sFWtnZ#Oh*P9<@fbI zRyiH%<6%0|*erb-Z8a1$`UdIK=n7kqXltJ!Nnwn(1W<~p1^P5bRi?HlMHY3a*JJML;zHEpSA6SHvs zTRCaULlTl{;^Mzvp{LN!fQPD-?=wUx^oq2T+o2fc>k3f{r6QQ#)lP1s5XGb?qTpjx zrRa$nb*C!*%!A))%f+c@5ZflUHcV{GOl>id$OIqRJ|3PBG~>5Xnz`7JO7rr6f=1f9 zk!IR7>3jZ@CQh6-u~lXwV&w2+GWC-uj+Zh`R=l@9S@rQ0AQWGwAV#Ji;EIoO5Th0* z|11Z26k6n9r2>Vq(vSKkHz-|Dd!TYaVZYS;>Fw}Fxe_CN*8;v_mcGRd@FJzGqKrzG zbRY#;@S2apuKr3{{S_R=N?FB9S<=xPzu#8M8laRVZG<4JL_U4OF)Hs%lv<=?<&=r7 zP12e;rFm+mrBT{xPMJQry(8x^~cDg3yUcLN?L_D zN+GUMQCPqOD3!QIX>lP>iPAbiDaFal1;hVFyw8nCj)V`KhRpBB=UKoH;$WoPTORHj8qp66II|>+u7FDUXw5kdfF=}b@ zA_X3WepP|Cw5rMzF)ID4(nKD4VFE^G8PBa1AKP%8;(;>9sb=s3q_pSqk@Crb0I4)p z@hrgS(lb}kWFhynJvP_kU6QziPxiWD{<|t@NMg1_^Zz6`YUH2$DB0>Hn zIzpg+5S=8_xvPH+osgursZA3!)iY>c0Y0@fv_79iSLptvG}dH`s=1pm*(T9IE~gK z-KR}LjLK5!b1YFr;O8~?dA{gg&JQHq%LHkL`{3}5q^=rEk$H$8dZc!)4D<`&4?edOEbd~ zqe^3!CLhSkGDcKCPZ|o+Pn5>Ul$lSAO4HZ*G1bnM#$=hxM?YH{5vEJ|Vq|H&OjFw0 z8Pk}?6kZxjn@@+DP3L6hI*4THgqcelWu?<-j)Q*cGz=;yOQSPQ+eTq&ywqg)F~d@L zX)0|#3#pz#4b%xTV;ne{F1ZoSR*)`)s?dxJ>NwTwYf4#og2J>qhV{-2d_RO;VSN(H; zcGpsOb@!dx?VqBn`raPh9g3`~?C#uKRau*vb-R0~$1fJPC0^hWgC&rK5I^S4mJAXP z$cuUM12V$m#j<$8LPE&$lPwv(bK)l>e3v)Cr+G*jFd1{oh?8r zwNHT5V5YJMh|0VXC}HMSHE-+*I+cEp0GZqFIrdyonb&T+{O3eo6L}kzb*G&Hs$#DU zP#Js`5Ox-;`>c8;U{HwEs5mUq}*7*vgLcR#q&WXed zhA7H9pY5txtfo`V&75egpfWEUn?lX4%nDE=BAd(&$udNBOjd(b*!@~jSw*NiEGwYq z#AOYjYOdx*WzQink!s6{YC0Tksljrd+IpOt3d(1{$dYY2OKm+$ zZ9Pd%1=c#sr#j0q>ZsgPh)UnK2&3|OIvU)S>brO~u&fBPHa_Q58gJ*KV3Oc77~ZJ2 zQT~mJ>1bs8J{uL;zRxxt4@zIb!ApT#>)Td}Tw21+A*!o2cEV0D6=+yXUo>Yovo@Ca;b$+DzmqcOYNA}7WOxGdNHoV$DajT zLzI7l480~HD)Hx50Dw6JO6Oi(eJ`HFUoS2-xdwq}l?+rBHs&=C0F~^cyqbLgRI-oq zO7<&j$9Lf7RA0^9*1YBeU@nEq=7B(E^D2>_&0`M$)$9SFvigd`{te#apEtlX(0oF6 zHDn^xl~qGo164Ix1I=S+4w0ymS$m z@2DBYRg6_pCcdkB1LajUqqwT(DpZ<*t7=Ah73uP_aDY=rrTq|=4~0;8w&f_~D3Edfs4wk1CepMDw+QLDja4Nw}y?BwxXQ?X%N%Z{L4==#7KX zUHkY1pLPC*H;5WkNdGZF+e3J=*Y$9POY)8QZh~*3#z9QQj)ut-Q5Q%N0R2~+P(@`0 z8jfbhDQk(Nm=LA6aq`lSg4Sd(nhpK^AnLssg?(?7!*zCN_!M%O1aEz}8HS^?*<|8J z4W}co#FF5%@%YXe&c+)~3glk2k9IfRX8UMAb}pLFw%6A$US^X=nWRjl6dE$e;DMrr?w0#OuyW>)%)CasEVC576!6R&y4`T(N8Thc>AEOb`M`^YW)CU;56Uu2 z^3b~W(fNzkN$&t3C{fQZd~`8sf+#u}4AmoP%qYCl$?M_B83p|h@I&Loxjzg>{{8*h zbvX9d#$J-F!Guj4YnRh2Z!HO@Ycm`SrDyruL*8nf+}_KoWX<;*jrFZ(n;YvJ&+eVW z*3m)lqSNhlx);tpLj;5S`y2%)pWZ*{oOe^aD#q|p;K@id(HlcY7ld^<@3F9|TE-j@KE z<^@2zKWrVI_Ff#E9GvZTPtSTU!RNh0CFsFI$%8bdH^x^_NPT+#Fn}ypp^BU_8X9 ztS`g+_&SUdesY$e2#11L2i5!7Pm*AA)r0;(8*H6h;Hi*t6O}WmR6LL16f%rvI=Y#T zLDxyyb_}+w)gzqx6B-@y+SC5VI(iMMq2J?#y~lPiRQ1p>QJhSo(uCz}9{LN{Y@#@s zL}ktJKGCIX3yYsniU3$9%5MdE^g#coIwTu4oAE zb;=aweI}9PUtx$A=v3`Cz{?seC41mY$?GS@MXpWrdQsyOPnq5{ddJXukqcPad-PPd z-ShfP83n2%V){`v?fk5JISOy^A=7Atxwk^Tm{jyAHc^~RqOw)?nxn;Qj&6;bk*KU$ z>^ZNApVCHd?rc4K^7Ki?0G9jKnV;U}pcmc3@bhiAB4Jma461gMk+hyrED2fjNO`C`Z=k==xF_Fa^h?u!vMFgMl=3dwsr!8VU)0 zcz{$g`Xt*M-Ihwl=HqBAC10W$_oBhuNdKnhL_;eH%Gw->vWW071}n`NX;oIsNv{`E zTOQ|DE3(rd%`4V1&qg6Kt^MKQz#Cl8^MX|s0@#s1)x!^85hQ;*3TKmHX$!WVH5$)0 zNy`*@hIPchmBh^kn7UtDk6tWT9VU~+eI_vqCs*vZ>j(Qt&md+J+AnU@S_x!uGYL&7 zLr00v1WkSMhNF0|R&GUGa{ zPkMvvH=VnQ3~lsI+XrXWax>YWHRrV#z3tVp6gD%RC32rj3WIVlf9yjnhn7p?lcz9c z_wwhVRN_0#9^Uv~%F#4W89_MN+8t3XBD9QNU%UdZ<`|Qe)E-O|KS5l{rk*>SGJ>+- zI)i4Oo_$!&wyFJsG9rLF;zyA_ir=ip!^^ofcJ>cHmAb5BgW9&Bm`WQn8O?9Z$w3!U zvEJE1`}Azi_)fzMGwjoC>}+qZX9AOy+q>!8)q&fk#=L}f)Nf^jYgLWl*d!hY368(c z;lVc2nHPi1eIbJ;RC0(#feedfnq;7hwwW0cT^3NPx})p08&T?NU8-(xhz&*RI*ft) zVILoxpv2Jc&#rpGB{Z=QJ2` zi=Rx2pN5pFx0aGKYl~X-OmQ%|j0$aK-USW{9_ixwK`Iio8_ zPsi}&@D!2Gd80c=#4u%o9Wx_c3&|=l8da@oSc+PmLgO5R(5Im0s@moHLy9OXFwz-{ z9f(}kw?e~ZD<$Zs&>jk~O#FH3RptEGnn;u-og=o4ZBRJNwUWZJ2qcXv7V~qRqIpe} z2BBu-YS~=VsWf+Z$Cp#97r8F|*5ku=U730Q7{Lf*3)u6I_pr z-gxX!2Q!i8r$x~zIxf)pX;Jim&Py^k{In=KOqE3Er$y0kebsh;O2>36AIEqkAd%)L zs!}BRiNq(8{6yjqNq(Z{i6lSOoO;TYfNGzk18TT3_ss25H4@K@)VO>bNu-O^$X&2h z8>yQXsgb*NsTxU77O9c-skCpH#6uniLa(Xg{#gR&}vi&U`PH`N;I8da721K@_W-@z-Bp3 zmUrRS`qOPXE2M+PDS~4>R#t8{5F_x?k7&JAUY|Yzq8gbZIL2dTeX)#zC$}n^T3#2m z?Mc-pQcB?7c%%`-&Qlr|wp(li&v?Yy48){s9VRibj7JdIT#f*CBKkg^e!7p-$3@$b z+-hN%QE)?DQl1^-F|Cr0sWj-HO-GRepnj~?&)7_oDpual&$hRoKCN;oQUdqJ;~*T5 zaZs&xR~x9AM<$RHk8L@XK~$}}U!b?G1h#6bi7T7? z`RAkPenAH1uL!Hs{)dz!6>d5$56<>b?q`c=kBbjybr(NZu$@%;rDNs*WjBl!?E z_M*^3DS%;uc=h#yzFC^V#O zf|S_z>i4|2-dkT^r*yM@zV9X8!pIxTAUBpl-dqNGYZ>J2WsrB4L4L9f^3!FIpDlsB zv5baoETdt#hxOsQy|IjjZ7ic<8_Q@IPM#DCmBn7h=2J)fK9RrM@^?%AZi?T=Gx;mw8xp>;Bl9I*L*g|QUPG2|$lrDG zyCLaqNO~KR-iD;NA?a;MdK;47hNQP4>1{}Q>yqBOq_-~VtxJ0AlHR(cw=U_eOM2^) z-un7tj$d>1hEK|uyU?3>(GoT=?G6R*=!bE>? zHIO0G{WQqv-VjXi8Y@*wDrJ#jXlh6vruoV_GvBbq223_Ob9h)PYkJ>wW1FJLHd)KK z%;DjE`ZV_F*mm#olFtQVQ?u8Y;(b12_-R-b*Eu|NGFW_Akg*=rDjfW`zN9Knf|`*P z2~DpH5Y~fglr5qjEtO=kRs%yxfv_J^dufgOW!yP^Cp!*fMYf+_)8P2>yk1W+b$=RK zurM~;3H+mI==8#rcw8CsovXiTOVSsUjn|b6nkzeu#;JZI8VN0i^jS3mIZC}W+{3yX zflQ)QKY}TtS-pX^xPqmjQ;V5sY_IP;t=y0y$slYh&4hTOI5X*)@fLUKtJJB1)KpX$ z7G`6iNktG)f#3xPE4bz!lUzKs_euw=cvGE@;8q!0903xQW3c94b2BnSKeEM|b$yU`qq{L?B0~MM}<$B~^KUAwT zVJRv~$)qmN8Bj$`92I9$Rj-_?y43G^Pp(ex)$bzwlD8^6?uD?#nwVDLw3=F|ooe?W z-SenlbNX5|3~DCv5E_tnN&|_k(LwJBX92(P7GxCQyr=j_rqU2RsuiN}|E0CT8<0vP zs&(2tuA$yxD^=+9WhmQhqtNg51KfCi3n}l)D**D?>$8V*^0U`44i(`G)&K4$Qb>q*AV{uFgZ!=d^*nK*@!gXcvizZ9W^6zPlu)FdD+_qrvpEI43yTwVpd8X44n50p>GEY%EVA zf3#AK6{9A{d=@P>bf))RGZE0CwGH}b&SrvXTlE^MuDMWGmDSTs>UCVjq=r&yCB=&# za#-9*gG#-sjZsuuZE7u)+zpI+RY*RoPBK-FI*rc*0xiV%i*ju*r0x1%Mok-)3RA@O zDjDNkQY}-g_0n=jOe)>EV+*SigPI!G^@n#3pPM7Cl@O_2WM;jYXX=Wcuu~7E!S|Ga z5B{xVrgH5N_}_gn{zJ@Mj)jMqxgv?YnOjsLQa>nr1Y8YUqvKL@i92qj0OfB$SM5=! z%HN<`&oeesxJYrYKdX2@F!RcTJTuQIxJ!s{V-$(X(Ph>Oc5$a64xIPuI_?IByjPI1 z>o-)sxG=>nzjl*ZdAFcJ;of`(Yw}7yW~pL0m{{hsio+?g)e#gE(|o3dM{nrCKf9;L z2k^lZqTvv?r7lgxk0RXXx+E)zD=bOkCi)awa}#|Ut+|PUWI4G$?cvH%>fv?j;inJl zVNGP?(;(9LG>B|2OT-VSON#_FmX-);EG-bwSXv(Oryvi|SXv&Sv9vruV>x-?Lf%V! zEO0pmkwli0h$OO{NFy|B4*JY6s93_O5fy`jd^uCLJv*3&rQ}X*a|2g);dHGF_ivH$>mN9miMk zS`y)sc79X=dS*sk1W&sLTR1T*BJ&r=ofmlNS~HuX;%*d0MaJ$W?1IeTlNi;!tb>=7 z0S)e?Pqo`W6CYCGO`WMXTph&vo{F3VHlL}FD71I?dj}WIgCl(znHEL~xlE7AD%565 zflysZnf1(K?}|EaWb3*e)pf59_PV=A-!f!ZN+-jyrY?ErFB7zy3u_qNSy!cC>wK@g z7NiEpZoNcYxXQ`Zus;h%!|Hl*{M`^p7RSjYYpYdnauu%O_P$XNC#$2(f@k z_>xH)t*bkXZ@rrauJNaD!Bl;OgTtS7X=dco^P-#YtsgW^{x_cB=qpz6Bwgv=_qGM1SbUw-W{in2$@)*#yNG_!elZqn)wlxugln} zNL8$SGq@Qfyqjk>=V3H9J_Q=flV~hYVsm*CTg#K!UY^9x@+6)tPvYtFB%b9-WJhFX zEaF`qQaKPbDbm%ZE_ z1T%TA*lV2_^RY3B61M3eaazpFdo8}9_PEtqz&#rJZ-ar~V>gRTP6Cf17{sgBNvT7( zxzl*I{uI~PFqa>6kB_R&bBPQprUOk~pE!^$CmhvkeikjBJ3EwA&nG7PL74}V?*h{$ z%637bm{HWEcZx1pSRPDb7!6AN2WDt1)d}w3{WEm0cGXP2KqSK#r8BC*s8zG6DKEJ+ zgQ%`>w?viiyhR><%94rUWD=G0s#&+$s{U1-;gY$Wxl}r!laUUkGtyb?YUlE6=3qMf z-rj-TE7++zlFK*GNfPpcKtWF=_##8|F+G`MV#*uz1ZK55np;(L;A(_hUTQngDFVOw zByg+iv-NRuWBYbsy72F|j$0=$dKbsl>)ut+%Jeg(ML(BNs5=-;g-mWD+gR063RzY6 zqFcF02eVO**(l4bN#Oy^_GrbQ+}f;NHmG3MRW^haF_UARCqzuK34=@*^VmKn@ftm3jn{YcVTW+zrTvz7uqTMo#lG%a4Hk+_YX~90@JV4B=vk5k;6Tj@n zK;kNu^ZCiy>3R3Sj9{6pDLNVcbf#c3CGikW5u~zdFU7TZUT^Q7RjVzCWH_X>QUHx= zc7Bxae39{>&WB1{T9AsF^iViLWs1BC5;^{dntz4(5aE`eFy30HPd+_>Uhira4E@zl zn<|C0#yI~c7u_tOG}OwGFjj-(-FBrK@S$oDGDWHLggTfc>I#5@S2o^ouZJgM@>fmZ{Cw+Rz@Lr{F$CiKm zmZ!2!wa#iDSLvIilF>PpC-hF^*Ht;&cbRq?Tezae7=ZvJA=H<+sm$dcRYKEYg2+uS z9bG`mw3O1KmGp7xTHjx?DPVl3)je+$GheV)3x!htKqSK-rBnVCYTb@7#Wjk{%wl6h zb_$#9qt2;CZotm)MQOno<2;0+axIzDf21vDt0=AIQ>{noy~065TqrDq*K0^);-TPw z4-3vYw~p{?RfU{NFrBiz%JE%~u{#`!qE~z?7KgNswuOvMt`w>J(o7bC{<2#JMhXYj zlnFqQ%}79JX35s=s(2b?uH;8r93-z0gL%<~hIi7Znw?Qr^WbyMa}zG{Z&s>M^y zs?jf*RvY~U>r>J6ni>EiX1`Lh&mC3X?WzyU`yJS3k5e*7Y?;mmxr+9Zylom!9ZoG% zzuW_y5>NFp`6^Gw6i=!8OWNGS)Nn4xHJ;Ft>PDNP6MPRY@$u1iA$)slGy9KOB>JYD zL8H2EFiNk3_PrPvtE-37YS|d$x<$LF6#Bh>P_I|3-$igK4wa2uErgxyit# zfeteD=;#v1v}f^s?zP*6iD70Ta_ZnRKQGcW<9vJOMTrN|z#H3|9>2Y98$r5H^#k4| zp|~AR7WvVoH}L5_w`|5D9Ko#R&Cnv~)%PkaN-5FTJml}2ScH=Jz`?!zSp+kUhw@A3 zA|ASsqR|~4!iJbv!!N>v`1UbQ_tBTO7pmKQzd@Z25qk@l!`okWqsry~|)fG%tws0PsI^%g}R;G^H!(~(wPB5Nr+5!AQVD_{gD*VTmsa8)FQK5#Ug6x9kyTWrV_G1Wd(%!bb4op zGHhjL))DL~>}Xw2Ws_TT599VM!nz z3#v*8Pj;Zn@gb(MHwu!w6LCs3?Y;|cTE4iXx*`c~i>jie(kiXtYD+Xmk@L%txx%b; z6<9ay?!BT>l+(}wj_)Tv;c$&zKTh`i$>2IwEE~NnF`*c!g49|wnS~J+DGQPEmxV16 za27xiLuA~dCkUSxOPFeDi6GZxX>*Wb|2((s5@1 z%pY+8wvD$8ovh+>6KOEhNCRB9ROp#1Q!PZBGebWkrfiW`C*m$_d+?tMbEqmRst-U@ zcu@=X!Ua<#*1Y~?vQn;4ZHWRA0hF{O__PWB3bwkeP!KJkaF>BwQ_aXL%4TIaGC?(Z zM#U5XGc!xVED=&cWUU?E_|ZN-O(O4*c6Z?t4)*<#cPGesNbisC3dB;l3R)Gu9x5%d z8pu@x(KIzesu0!T{w}k~{!McSsgWm}WT+u}aPg4TX@*6&Sq? zuCR8brB^xuQL70KTXnRdn-(zb0;04Wc!!B>-#*rwSCjLYT*2#L8bf+=G*kgFWobQ! zf`lY{KEu$g#k(Xuh5s&Q6a7QFK&mJjIHX z+AT;DZWfSx+(J@G6k?zg@q#L1kRaKP!Yc&D$Vcwd+t3AJY8JaqdMik3P|W($<)Msps0!oT&2qDP-Rv~u80hnf;6dcWoDsmI-?`6d;Yce zHV6^$q4|twkF>2>B3Z-CEYM7n@o7Z|iR^Be5*K!tdPdJHX<_vQmckjv8#QF}=UDI* zm@6yJUnxK;N--Ihh%?(}(HSLT;MpoATw4ZW0OS#wk8I{*jn0#;(NLNXu!h7ulx-e$ zq(ysRFbK=0L>7u-1HOM-z>KrU}87*U7w5_1!QJ zkoBc(Or_k|sZ_CHD5+*371Y7))E}V#(!Q1wD`(CME<|80PMM&YUYkhlbd1dyDHRUF z@$@L@M_v^8u@TP{uypLIeb|G~u;1#oPEU4^92}o(_n?3HYC5*@j=ag$4AFH*zGN(l zW%CfxXmt);opRrM`N96tngo5{IYmS|a*u;sY)yz~MMb6n?YwMuR*o+M38qpCP^VMS z45XU{bcq4yq`3l~B%DkrIF%$gwoP#`Ub#5XbTWAvp!R{Js6mjU$Y$~~Xb_N)fCfQM z0gdtqjis+A;2!%Ln0E7$Ww35I?H&1V{ZS93XK?kpcktGTN=gczOlRst{I#lZyQX98Yzk)oKA8FQ)3qQ(8ULrmk2|#HFA!z zIXW9VXxe1xMMi@GCxYYqQScTaK&*hVo^n12uC4{Y05}S-f&rR>?TGIgg8?NRf{B_? zsV+5AV;s=wB~ia~g4-M&EaQ@3GV|SV;$lG+-st{a@uK50zZjv-f zC_^xAe>NQjV%;t(#8&HhOk2eR%HiV~q5 z22l=&x#gbmMjiUny&tjE2eP4D&IRD1SaLkOWvvmlFt7wdiF15@(fpA9J=9!aNpC@* z#s1z1u^ML|ZHpuytlsF!4)&!$k_{LWE1Y(&cK@xqk5*s zEDdL}sne@LJfN8yKMvkqN%Qeq3+3a-`QYFp&A;|46k8GMoX{)l^X{BtC zsZ#~81mmfwN@X3wM6|s`+F%p-d@=~%mKO=H67i65gdqqQcOrQYK$q!ay5xNgZWt}>(WVkUML`*xqC{|+N=M`t_!8MAZ0Q2gh~^AEHc5C( zb_&y(6|wRGG3m+}x)gEsMI5E{?5aULN;Seu>OJpFrz{w8U?YheyH0C%*;LU=c|Ui2eQ*daaXg9eM zhO7ZYjs;!`ptCBP@CoiP91Typ99@7gu%^^gh&m_-m-y(kS#*LhP(c;8oSkT&YfmYo zsEZkr%=cu{36vKKBvB{qe*8gz@2p}4C)e1dpQ7;mEF~m!CjRYoZ}i4!k|=1M;_3;R zD|!VUm)r{1%|xGK#P5ZZp+9WN!3$ut%;MppkhT&}Fu3TB#Z={F0oDgtF2%VZLADTH zAG{;c5Lwdpq89p?*FKWxG3{w$|FRo4v70HNDUVLVic2*RR)EVzFlD7NiZP8C#W?U( zIdUNkp-j2u7I@_25oi7o=T@QBnsp2mwH_CFw}a_uco-yb5MPGi>*!_k__VX}v``8a zU_ABLvjMYA#~o<{Tj)kzaAc1CrJZVi=^tP}3g;gN>eK z31?-5nsO%C3^AlqKo5|s`T}w+)Yci{NH@86qJ-GE3=%{#{qKr(S|^;*`8(!PEoROq z!81f1^;$;db07?@6VUCESksvcaC2=#!eofV8NC(r77> zDqM_4+AeU8!W)Be^bJlYu=&QK?8duOCX^UQA+0R2^7j+iG#%W?l!@^sgj|ZuD^gja zdTO`tk97BuWoLhd?0~lVMz?5yi_0h?-R8!{&0a5iBh$xAgcbkb#ykkzXXJFQnAz7VWnR9dX7=uKM_ zlsrj=VFk2EMHSc|LU?(Mbqn4yIEHuSLCvJvN(hnb_b?dHEL&;Qo8nakISPdY#3~Io zYYbm&**rj z=1dly%*Of2T$W&SlpU%Q8BS7@CxU)B#DPFF1QTQRfmq{>N2Xe^q`)ZDAV6P?`ZBc8 znq(pc3KZJSCW*#W>ZGVru#Kpd9&e%+iVW~rR8WO|fLI-9$p_=1Y%t7mFm6rcCRede zz<@ACGD?6DH0^koJ|aycR$AF^st;ki176I??%~10T`G=pVmwLoTP}8hN zq&o05+f+chM3~c(0z$#{cO@kV-3vx&DVApgUC|HW0u@+5C~5xy z5|(l$>`}X`0O(Ny(4zq$iE)zz!+YLr=xjgP!N%z3Q|zKT8_%$X>O7+i0oD&<{_I24 zxejmOv5@r{$*!Z#L}BsBx(9GS;cc@Qoc2AzK!wY}L>&Zz`9PSk67E0lrtE&G{Y!0Y>brwc99A| z#fnlU3dGYQErbH?6sChktq&n%or$xKUD`~=vkx5v~lk$lSci7|I63;0Biv2j?4gLs99>%L@eb@qu9I*AJxSM z1xp=L)zVVwO=w+Y-G=>|uLlL7Qc|jG<-ARjt%RGyS)u)sxHQwlFb$&o~lH#Qrb}vcE=4SZn}%0>F^RA;SuNEix$lJ z#oE^qQs>h~KfCtzSag3F{cI|k^H~C)Ct+vg+}OE0z|Q(xEVlBxPh-`Liu#D;81!(Q9ab^=<0C^q8&Le!0y;+QRD9CL`Y~t&Z8RTn^spCWH9!1R5 z)ECo&f+9HnS@$U8k^#>?&7lypFnx%Dr&T!*AeX7(Lhd%|!An5!3uOy=D;iEUpDZ~- z3`*xqLYQx4Bp76{?n|c*q+f;68V1xVRScuYYTU_8?4#6(xfN>D_ZJTPB$&U)?Bvu?H--5T_h*h)W%Q~KSGLBDxT zXRY)pH|9#L?wJ`*W85S>)noaFvlA2~r`51vFk8rO0fqX?3R&@T1dpFe}A zAK=`oCn7~uh}@T)AH?qu;`fpB=bRsMe%SdD=g&KT!TC|-W???#{6)sVKa9uBR6l2h zLWt@=7Y`YsD6arV#&F1!lp{Bc6ej)t>?{EP)-ZneMqd%yJaLm<=NllnwH z*q6PWAHI*A4QDGmykM3OF50_zNp0W3^WoXc?lSsCAo8J;oeD^tnbUr-kesIuf zb<8oGQ^D>}{ik2}IWSCr>knWY-Cxi_XdnMazobN;!T(4}KIeQ+6@9_^yw=(;=%+90 zrytNyU(!!ZlmGb~{|k-GYR+#x{-dvb9Yyr_xAB+J9NG6hTvms5>aZ8~$sqR<+W+eH z&I)6V_#o=iVSOA7yGnJ|n08WI^M+7pT^V%=AvgMZVGB!XP|iRah<@ZbE}AO48r z(BH;jM2kr|$X~jPL z;h2o$$DbhIbzSUYxR>~coCNnVyQO|YA4zy@mXhD-KIW`BFIiT$bj`1#|n0a2Z$NkW$bT5e3o zZ3%!Jy)a^w;L`p0HR!@GLk&2R7s1Mpuzdt}pSbTne@?Qa9m`7u=HF8f@4Jt0(1!3P ze*BU9jc>T?QWjDi%){512h3EA>IT?Mbzcc2_bnDo-UN+`Lw6PvPvc-^5RSqLGxdp* zoahvm9auq|-xJHb_Xz;3zYZpsq3hpby%M_u;P^uc@)5}i-9(`Hk7Q$zd;Ogda(_*` z?bJ#ltuftqQ^1ehchQ=UhzN7_j(ZZ`xVVPk4fUJv4X#=IZg|PvLS5m`aAtVq-ca|V zpFI}~=7H!S2$4}h{{SIr_jQcZ3}7IwPgIxT8ZU(p-Uu0dED=9hdsnHP(YzG!HTs&n zbnH#tkKJ#7+g)=%aoUnZ5;}Ap=Tu#205?SP?qZlncj@3&#zk0)n``QrkKHf7_Mu-aHj`OWX&5cveT{cE zVp%RbfCA{Z=zCeuH{M(Q%KOj&24{VAV_8?)f$z9o*decvoql&1`tjsvU{2^jE~z^i z2x+OPO{;*VAsxpvm{ByzOQ1|9EsQ?&5%m*-fJuNY7}a6iXn4tAWl4;%SC8ZMK3NE| zgY<~8a|8R38iTaxw!Wyj6Ze}QKBhqgsUH;l>&zs}!a0vx`S zYO~IxP}*f`jh@V0j82u<*?O$_lC+=zQb#A@WaWE)1UVocplp9|`Bf&2F~;YHaGW_q zK*Sfg`Iv#n0p2CSluq@t*$OW&U5bTzeOg3nnV@bK7EW=CR}?VNO~rOsOk&>3jqHz4 zT0~QC0C?t|U!T4RD%GT+8gP8`+W%9EgKEcc+VZ@X4uCLd)JU=)AsQ zH~IPVW{|X>KPO`cuavfZ$%|6lfuGj%=dEMWtNWv?);`-LQjkoCD;{3IYt+F+72~z3 zvc1bS?aLX@RMh^Cd+gCimZ6rRi+K}DM5YF_4wV8}`T1{t|CWL_^!GQcIPiMk_;)y^8DKO^6%#umhwxb+$?r3%D#S;c9>tIB z?1_N7(UP~(2>_jiBn?mq&H{zPon=-Co%$ubtiJw!%3 zxNxJ_JUiI!9_;sCwYncXdbYX|K()>Cqg{N`sy9gsC3=q)B$_oI!~O=N49)9ek-Zl88q*pm8C@d|hs|;;|0fKaW}&yHP(<{cwvOny7-nVo-8njM{^iN9wn2&hL}0Nl9oY}E zu9a*pE3ohsbsauJfAWhz^V7hgzrQsXw`Abh z2Zmii3kC?+BKK#(XqaQ}A0PbI|Fjw)jZ;sI3cQ94#fFff#1lR+kYmpOm7Skkg~aHO ze1nX3NQ|1SG5H#d(Uw`JnoBrZIkvvM^Zn0%6>#)dP+Eqs#}t9qV5uN0@j_ybsek(~ zc7D_DF)ImZ6rkG)^CGA1$VF(!a(Fjlb6@-2rPXaC_hI{(e;7Acf^ ztV!sH@HYPpM8Tvg6v><23Vb=Q&3RLWRas6bz|jBEW?N=3l%daMqA;WqoK4Bq`o~}T zzmKd#is9?^1A*4)go1C1b747-e*D+}(VtpGRNTQ z`S<@x)f6Xi>hXsuj=^iNSLjpR_m%I{KmDP9`UloNW%zn*5oisT3Tlt|a86kN`geZ( zi&nKK@OlgZvIakH-oDH|-2nvsU%{a+!Pdt*a?Sjm-~a93p5g=n{gL8ktXw4>)qWgc z!Tl0P*x#aC`X9k3ZW>u9@>P#VYBBGwAS&g~U%bd;T(}Gd8{G(qiA`}%4Tmm${o7rM zhi>*o6nBM!gNQlt`|9q>Y(R&{XkoNE8(bm;@9K|6^MSCHZBNaQ5GU@g-|$0 zudsE2$5ru@q6>%;^78b{qj%QQvpDKljd3!DG{@Ta1-a1vsGqlK|LTXPPplrO#HiP0 z0@%`FfAX8Z^YrH-ditX?eR30qK7w5mUC=YD8H*^5TbMDDVhqJBwJj9e-}=HA!I1v% J_gci?{|DX-JthDE literal 0 HcmV?d00001 diff --git a/usrp2/fpga/top/u2_fpga/u2_fpga.ucf b/usrp2/fpga/top/u2_fpga/u2_fpga.ucf new file mode 100755 index 00000000..5d212481 --- /dev/null +++ b/usrp2/fpga/top/u2_fpga/u2_fpga.ucf @@ -0,0 +1,341 @@ +NET "adc_a[0]" LOC = "A14" ; +NET "adc_a[10]" LOC = "D20" ; +NET "adc_a[11]" LOC = "D19" ; +NET "adc_a[12]" LOC = "D21" ; +NET "adc_a[13]" LOC = "E18" ; +NET "adc_a[1]" LOC = "B14" ; +NET "adc_a[2]" LOC = "C13" ; +NET "adc_a[3]" LOC = "D13" ; +NET "adc_a[4]" LOC = "A13" ; +NET "adc_a[5]" LOC = "B13" ; +NET "adc_a[6]" LOC = "E12" ; +NET "adc_a[7]" LOC = "C22" ; +NET "adc_a[8]" LOC = "C20" ; +NET "adc_a[9]" LOC = "C21" ; +NET "adc_b[0]" LOC = "A12" ; +NET "adc_b[10]" LOC = "D18" ; +NET "adc_b[11]" LOC = "B18" ; +NET "adc_b[12]" LOC = "D17" ; +NET "adc_b[13]" LOC = "E17" ; +NET "adc_b[1]" LOC = "E16" ; +NET "adc_b[2]" LOC = "F12" ; +NET "adc_b[3]" LOC = "F13" ; +NET "adc_b[4]" LOC = "F16" ; +NET "adc_b[5]" LOC = "F17" ; +NET "adc_b[6]" LOC = "C19" ; +NET "adc_b[7]" LOC = "B20" ; +NET "adc_b[8]" LOC = "B19" ; +NET "adc_b[9]" LOC = "C18" ; +NET "clk_en[0]" LOC = "C4" ; +NET "clk_en[1]" LOC = "D1" ; +NET "clk_sel[0]" LOC = "C3" ; +NET "clk_sel[1]" LOC = "C2" ; +NET "dac_a[0]" LOC = "A5" ; +NET "dac_a[10]" LOC = "L2" ; +NET "dac_a[11]" LOC = "L4" ; +NET "dac_a[12]" LOC = "L3" ; +NET "dac_a[13]" LOC = "L6" ; +NET "dac_a[14]" LOC = "L5" ; +NET "dac_a[15]" LOC = "K2" ; +NET "dac_a[1]" LOC = "B5" ; +NET "dac_a[2]" LOC = "C5" ; +NET "dac_a[3]" LOC = "D5" ; +NET "dac_a[4]" LOC = "A4" ; +NET "dac_a[5]" LOC = "B4" ; +NET "dac_a[6]" LOC = "F6" ; +NET "dac_a[7]" LOC = "D10" ; +NET "dac_a[8]" LOC = "D9" ; +NET "dac_a[9]" LOC = "A10" ; +NET "dac_b[0]" LOC = "D11" ; +NET "dac_b[10]" LOC = "F9" ; +NET "dac_b[11]" LOC = "A8" ; +NET "dac_b[12]" LOC = "B8" ; +NET "dac_b[13]" LOC = "D7" ; +NET "dac_b[14]" LOC = "E7" ; +NET "dac_b[15]" LOC = "B6" ; +NET "dac_b[1]" LOC = "E11" ; +NET "dac_b[2]" LOC = "F11" ; +NET "dac_b[3]" LOC = "B10" ; +NET "dac_b[4]" LOC = "C10" ; +NET "dac_b[5]" LOC = "E10" ; +NET "dac_b[6]" LOC = "F10" ; +NET "dac_b[7]" LOC = "A9" ; +NET "dac_b[8]" LOC = "B9" ; +NET "dac_b[9]" LOC = "E9" ; +NET "debug[0]" LOC = "N5" ; +NET "debug[10]" LOC = "R4" ; +NET "debug[11]" LOC = "T3" ; +NET "debug[12]" LOC = "U3" ; +NET "debug[13]" LOC = "M2" ; +NET "debug[14]" LOC = "M3" ; +NET "debug[15]" LOC = "M4" ; +NET "debug[16]" LOC = "M5" ; +NET "debug[17]" LOC = "M6" ; +NET "debug[18]" LOC = "N1" ; +NET "debug[19]" LOC = "N2" ; +NET "debug[1]" LOC = "N6" ; +NET "debug[20]" LOC = "N3" ; +NET "debug[21]" LOC = "T1" ; +NET "debug[22]" LOC = "T2" ; +NET "debug[23]" LOC = "U2" ; +NET "debug[24]" LOC = "T4" ; +NET "debug[25]" LOC = "U4" ; +NET "debug[26]" LOC = "T5" ; +NET "debug[27]" LOC = "T6" ; +NET "debug[28]" LOC = "U5" ; +NET "debug[29]" LOC = "V5" ; +NET "debug[2]" LOC = "P1" ; +NET "debug[30]" LOC = "W2" ; +NET "debug[31]" LOC = "W3" ; +NET "debug[3]" LOC = "P2" ; +NET "debug[4]" LOC = "P4" ; +NET "debug[5]" LOC = "P5" ; +NET "debug[6]" LOC = "R1" ; +NET "debug[7]" LOC = "R2" ; +NET "debug[8]" LOC = "P6" ; +NET "debug[9]" LOC = "R5" ; +NET "debug_clk[0]" LOC = "N4" ; +NET "debug_clk[1]" LOC = "M1" ; +NET "GMII_RXD[0]" LOC = "AA15" ; +NET "GMII_RXD[1]" LOC = "AB15" ; +NET "GMII_RXD[2]" LOC = "U14" ; +NET "GMII_RXD[3]" LOC = "V14" ; +NET "GMII_RXD[4]" LOC = "U13" ; +NET "GMII_RXD[5]" LOC = "V13" ; +NET "GMII_RXD[6]" LOC = "Y13" ; +NET "GMII_RXD[7]" LOC = "AA13" ; +NET "GMII_TXD[0]" LOC = "W14" ; +NET "GMII_TXD[1]" LOC = "AA20" ; +NET "GMII_TXD[2]" LOC = "AB20" ; +NET "GMII_TXD[3]" LOC = "Y18" ; +NET "GMII_TXD[4]" LOC = "AA18" ; +NET "GMII_TXD[5]" LOC = "AB18" ; +NET "GMII_TXD[6]" LOC = "V17" ; +NET "GMII_TXD[7]" LOC = "W17" ; +NET "io_rx[0]" LOC = "L21" ; +NET "io_rx[10]" LOC = "F21" ; +NET "io_rx[11]" LOC = "F20" ; +NET "io_rx[12]" LOC = "G19" ; +NET "io_rx[13]" LOC = "G18" ; +NET "io_rx[14]" LOC = "G17" ; +NET "io_rx[15]" LOC = "E22" ; +NET "io_rx[1]" LOC = "L20" ; +NET "io_rx[2]" LOC = "L19" ; +NET "io_rx[3]" LOC = "L18" ; +NET "io_rx[4]" LOC = "L17" ; +NET "io_rx[5]" LOC = "K22" ; +NET "io_rx[6]" LOC = "K21" ; +NET "io_rx[7]" LOC = "K20" ; +NET "io_rx[8]" LOC = "G22" ; +NET "io_rx[9]" LOC = "G21" ; +NET "io_tx[0]" LOC = "K4" ; +NET "io_tx[10]" LOC = "E1" ; +NET "io_tx[11]" LOC = "E3" ; +NET "io_tx[12]" LOC = "F4" ; +NET "io_tx[13]" LOC = "D2" ; +NET "io_tx[14]" LOC = "D4" ; +NET "io_tx[15]" LOC = "E4" ; +NET "io_tx[1]" LOC = "K3" ; +NET "io_tx[2]" LOC = "G1" ; +NET "io_tx[3]" LOC = "G5" ; +NET "io_tx[4]" LOC = "H5" ; +NET "io_tx[5]" LOC = "F3" ; +NET "io_tx[6]" LOC = "F2" ; +NET "io_tx[7]" LOC = "F5" ; +NET "io_tx[8]" LOC = "G6" ; +NET "io_tx[9]" LOC = "E2" ; +NET "RAM_A[0]" LOC = "N22" ; +NET "RAM_A[10]" LOC = "P18" ; +NET "RAM_A[11]" LOC = "R19" ; +NET "RAM_A[12]" LOC = "P19" ; +NET "RAM_A[13]" LOC = "R21" ; +NET "RAM_A[14]" LOC = "R22" ; +NET "RAM_A[15]" LOC = "T19" ; +NET "RAM_A[16]" LOC = "T20" ; +NET "RAM_A[17]" LOC = "U20" ; +NET "RAM_A[18]" LOC = "W19" ; +NET "RAM_A[1]" LOC = "N20" ; +NET "RAM_A[2]" LOC = "T21" ; +NET "RAM_A[3]" LOC = "M22" ; +NET "RAM_A[4]" LOC = "N19" ; +NET "RAM_A[5]" LOC = "N17" ; +NET "RAM_A[6]" LOC = "N18" ; +NET "RAM_A[7]" LOC = "P21" ; +NET "RAM_A[8]" LOC = "P22" ; +NET "RAM_A[9]" LOC = "P17" ; +NET "RAM_D[0]" LOC = "Y21" ; +NET "RAM_D[10]" LOC = "V22" ; +NET "RAM_D[11]" LOC = "V21" ; +NET "RAM_D[12]" LOC = "T17" ; +NET "RAM_D[13]" LOC = "U18" ; +NET "RAM_D[14]" LOC = "U21" ; +NET "RAM_D[15]" LOC = "R18" ; +NET "RAM_D[16]" LOC = "T18" ; +NET "RAM_D[17]" LOC = "T22" ; +NET "RAM_D[1]" LOC = "Y20" ; +NET "RAM_D[2]" LOC = "Y19" ; +NET "RAM_D[3]" LOC = "W22" ; +NET "RAM_D[4]" LOC = "Y22" ; +NET "RAM_D[5]" LOC = "V19" ; +NET "RAM_D[6]" LOC = "W21" ; +NET "RAM_D[7]" LOC = "W20" ; +NET "RAM_D[8]" LOC = "U19" ; +NET "RAM_D[9]" LOC = "V20" ; +NET "ser_r[0]" LOC = "AB10" ; +NET "ser_r[10]" LOC = "W10" ; +NET "ser_r[11]" LOC = "Y1" ; +NET "ser_r[12]" LOC = "Y3" ; +NET "ser_r[13]" LOC = "Y2" ; +NET "ser_r[14]" LOC = "W4" ; +NET "ser_r[15]" LOC = "W1" ; +NET "ser_r[1]" LOC = "AA10" ; +NET "ser_r[2]" LOC = "U9" ; +NET "ser_r[3]" LOC = "U6" ; +NET "ser_r[4]" LOC = "AB11" ; +NET "ser_r[5]" LOC = "Y7" ; +NET "ser_r[6]" LOC = "W7" ; +NET "ser_r[7]" LOC = "AB7" ; +NET "ser_r[8]" LOC = "AA7" ; +NET "ser_r[9]" LOC = "W9" ; +NET "ser_t[0]" LOC = "V7" ; +NET "ser_t[10]" LOC = "AA6" ; +NET "ser_t[11]" LOC = "Y6" ; +NET "ser_t[12]" LOC = "W8" ; +NET "ser_t[13]" LOC = "V8" ; +NET "ser_t[14]" LOC = "AB8" ; +NET "ser_t[15]" LOC = "AA8" ; +NET "ser_t[1]" LOC = "V10" ; +NET "ser_t[2]" LOC = "AB4" ; +NET "ser_t[3]" LOC = "AA4" ; +NET "ser_t[4]" LOC = "Y5" ; +NET "ser_t[5]" LOC = "W5" ; +NET "ser_t[6]" LOC = "AB5" ; +NET "ser_t[7]" LOC = "AA5" ; +NET "ser_t[8]" LOC = "W6" ; +NET "ser_t[9]" LOC = "V6" ; +NET "clk_muxed" TNM_NET = "clk_muxed"; +TIMESPEC "TS_clk_muxed" = PERIOD "clk_muxed" 10 ns HIGH 50 %; +NET "clk_to_mac" TNM_NET = "clk_to_mac"; +TIMESPEC "TS_clk_to_mac" = PERIOD "clk_to_mac" 8 ns HIGH 50 %; +NET "cpld_clk" TNM_NET = "cpld_clk"; +TIMESPEC "TS_cpld_clk" = PERIOD "cpld_clk" 40 ns HIGH 50 %; +NET "GMII_RX_CLK" TNM_NET = "GMII_RX_CLK"; +TIMESPEC "TS_GMII_RX_CLK" = PERIOD "GMII_RX_CLK" 8 ns HIGH 50 %; +NET "ser_rx_clk" TNM_NET = "ser_rx_clk"; +TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %; +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "adc_oen_a" LOC = "E19" ; +NET "adc_oen_b" LOC = "C17" ; +NET "adc_ovf_a" LOC = "F18" ; +NET "adc_ovf_b" LOC = "B17" ; +NET "adc_pdn_a" LOC = "E20" ; +NET "adc_pdn_b" LOC = "D15" ; +NET "clk_fpga_n" LOC = "B11" ; +NET "clk_fpga_p" LOC = "A11" ; +NET "clk_func" LOC = "C12" ; +NET "clk_status" LOC = "B12" ; +NET "clk_to_mac" LOC = "AB12" ; +NET "cpld_clk" LOC = "AB14" ; +NET "cpld_din" LOC = "AA14" ; +NET "cpld_done" LOC = "V12" ; +NET "cpld_mode" LOC = "U12" ; +NET "cpld_start" LOC = "AA9" ; +NET "exp_pps_in_n" LOC = "V4" ; +NET "exp_pps_in_p" LOC = "V3" ; +NET "exp_pps_out_n" LOC = "V2" ; +NET "exp_pps_out_p" LOC = "V1" ; +NET "GMII_COL" LOC = "U16" ; +NET "GMII_CRS" LOC = "U17" ; +NET "GMII_GTX_CLK" LOC = "AA17" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_RX_CLK" LOC = "W16" ; +NET "GMII_RX_DV" LOC = "AB16" ; +NET "GMII_RX_ER" LOC = "AA16" ; +NET "GMII_TX_CLK" LOC = "W13" ; +NET "GMII_TX_EN" LOC = "Y17" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TX_ER" LOC = "V16" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<0>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<1>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<2>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<3>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<4>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<5>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<6>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD<7>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "led1" LOC = "V11" ; +NET "led2" LOC = "Y12" ; +NET "MDC" LOC = "V18" ; +NET "MDIO" LOC = "Y16" | PULLUP ; +NET "PHY_CLK" LOC = "V15" ; +NET "PHY_INTn" LOC = "AB13" ; +NET "PHY_RESETn" LOC = "AA19" ; +NET "pps_in" LOC = "Y11" ; +NET "RAM_CE1n" LOC = "N21" ; +NET "RAM_CENn" LOC = "M18" ; +NET "RAM_CLK" LOC = "M17" ; +NET "RAM_LDn" LOC = "M21" ; +NET "RAM_OEn" LOC = "M19" ; +NET "RAM_WEn" LOC = "M20" ; +NET "SCL" LOC = "A7" ; +NET "SCL_force" LOC = "E8" ; +NET "sclk" LOC = "K5" ; +NET "sclk_rx_adc" LOC = "J17" ; +NET "sclk_rx_dac" LOC = "J19" ; +NET "sclk_rx_db" LOC = "F19" ; +NET "sclk_tx_adc" LOC = "H1" ; +NET "sclk_tx_dac" LOC = "J5" ; +NET "sclk_tx_db" LOC = "D3" ; +NET "SDA" LOC = "D8" ; +NET "SDA_force" LOC = "C11" ; +NET "sdi" LOC = "J1" ; +NET "sdi_rx_adc" LOC = "H22" ; +NET "sdi_rx_dac" LOC = "J21" ; +NET "sdi_rx_db" LOC = "H19" ; +NET "sdi_tx_adc" LOC = "J4" ; +NET "sdi_tx_dac" LOC = "J6" ; +NET "sdi_tx_db" LOC = "G4" ; +NET "sdo" LOC = "J2" ; +NET "sdo_rx_adc" LOC = "H21" ; +NET "sdo_rx_db" LOC = "G20" ; +NET "sdo_tx_adc" LOC = "H2" ; +NET "sdo_tx_db" LOC = "G3" ; +NET "sen_clk" LOC = "K6" ; +NET "sen_dac" LOC = "L1" ; +NET "sen_rx_adc" LOC = "H18" ; +NET "sen_rx_dac" LOC = "J18" ; +NET "sen_rx_db" LOC = "D22" ; +NET "sen_tx_adc" LOC = "G2" ; +NET "sen_tx_dac" LOC = "H4" ; +NET "sen_tx_db" LOC = "C1" ; +NET "ser_enable" LOC = "W11" ; +NET "ser_loopen" LOC = "Y4" ; +NET "ser_prbsen" LOC = "AA3" ; +NET "ser_rklsb" LOC = "V9" ; +NET "ser_rkmsb" LOC = "Y10" ; +NET "ser_rx_clk" LOC = "AA11" ; +NET "ser_rx_en" LOC = "AB9" ; +NET "ser_tklsb" LOC = "U10" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_tkmsb" LOC = "U11" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_tx_clk" LOC = "U7" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<0>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<1>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<2>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<3>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<4>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<5>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<6>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<7>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<8>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<9>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<10>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<11>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<12>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<13>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<14>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t<15>" IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/usrp2/fpga/top/u2_fpga/u2_fpga_top.prj b/usrp2/fpga/top/u2_fpga/u2_fpga_top.prj new file mode 100644 index 00000000..544415f4 --- /dev/null +++ b/usrp2/fpga/top/u2_fpga/u2_fpga_top.prj @@ -0,0 +1,102 @@ +verilog work "../../opencores/uart16550/rtl/verilog/raminfr.v" +verilog work "../../control_lib/ram_2port.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_tfifo.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_rfifo.v" +verilog work "../../coregen/fifo_generator_v4_1.v" +verilog work "../../control_lib/shortfifo.v" +verilog work "../../control_lib/longfifo.v" +verilog work "../../sdr_lib/sign_extend.v" +verilog work "../../sdr_lib/cordic_stage.v" +verilog work "../../sdr_lib/cic_int_shifter.v" +verilog work "../../sdr_lib/cic_dec_shifter.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_transmitter.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_sync_flops.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_receiver.v" +verilog work "../../opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_xecu.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_regf.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_ibuf.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_ctrl.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_bpcu.v" +verilog work "../../opencores/8b10b/encode_8b10b.v" +verilog work "../../opencores/8b10b/decode_8b10b.v" +verilog work "../../eth/rtl/verilog/miim/eth_shiftreg.v" +verilog work "../../eth/rtl/verilog/miim/eth_outputcontrol.v" +verilog work "../../eth/rtl/verilog/miim/eth_clockgen.v" +verilog work "../../eth/rtl/verilog/Reg_int.v" +verilog work "../../eth/rtl/verilog/RMON/RMON_ctrl.v" +verilog work "../../eth/rtl/verilog/RMON/RMON_addr_gen.v" +verilog work "../../eth/rtl/verilog/MAC_tx/Random_gen.v" +verilog work "../../eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v" +verilog work "../../eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v" +verilog work "../../eth/rtl/verilog/MAC_tx/MAC_tx_FF.v" +verilog work "../../eth/rtl/verilog/MAC_tx/CRC_gen.v" +verilog work "../../eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v" +verilog work "../../eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v" +verilog work "../../eth/rtl/verilog/MAC_rx/MAC_rx_FF.v" +verilog work "../../eth/rtl/verilog/MAC_rx/CRC_chk.v" +verilog work "../../eth/rtl/verilog/MAC_rx/Broadcast_filter.v" +verilog work "../../control_lib/ss_rcvr.v" +verilog work "../../control_lib/cascadefifo2.v" +verilog work "../../control_lib/CRC16_D16.v" +verilog work "../../timing/time_sender.v" +verilog work "../../timing/time_receiver.v" +verilog work "../../serdes/serdes_tx.v" +verilog work "../../serdes/serdes_rx.v" +verilog work "../../serdes/serdes_fc_tx.v" +verilog work "../../serdes/serdes_fc_rx.v" +verilog work "../../sdr_lib/round.v" +verilog work "../../sdr_lib/cordic.v" +verilog work "../../sdr_lib/cic_interp.v" +verilog work "../../sdr_lib/cic_decim.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_wb.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_regs.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_debug_if.v" +verilog work "../../opencores/spi/rtl/verilog/spi_shift.v" +verilog work "../../opencores/spi/rtl/verilog/spi_clgen.v" +verilog work "../../opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_edk32.v" +verilog work "../../eth/rtl/verilog/flow_ctrl_tx.v" +verilog work "../../eth/rtl/verilog/flow_ctrl_rx.v" +verilog work "../../eth/rtl/verilog/eth_miim.v" +verilog work "../../eth/rtl/verilog/RMON.v" +verilog work "../../eth/rtl/verilog/Phy_int.v" +verilog work "../../eth/rtl/verilog/MAC_tx.v" +verilog work "../../eth/rtl/verilog/MAC_rx.v" +verilog work "../../eth/rtl/verilog/Clk_ctrl.v" +verilog work "../../control_lib/strobe_gen.v" +verilog work "../../control_lib/setting_reg.v" +verilog work "../../control_lib/mux8.v" +verilog work "../../control_lib/mux4.v" +verilog work "../../control_lib/icache.v" +verilog work "../../control_lib/dpram32.v" +verilog work "../../control_lib/decoder_3_8.v" +verilog work "../../control_lib/dcache.v" +verilog work "../../control_lib/buffer_int.v" +verilog work "../../timing/timer.v" +verilog work "../../timing/time_sync.v" +verilog work "../../serdes/serdes.v" +verilog work "../../sdr_lib/tx_control.v" +verilog work "../../sdr_lib/rx_control.v" +verilog work "../../sdr_lib/dsp_core_tx.v" +verilog work "../../sdr_lib/dsp_core_rx.v" +verilog work "../../opencores/uart16550/rtl/verilog/uart_top.v" +verilog work "../../opencores/spi/rtl/verilog/spi_top.v" +verilog work "../../opencores/simple_pic/rtl/simple_pic.v" +verilog work "../../opencores/i2c/rtl/verilog/i2c_master_top.v" +verilog work "../../opencores/aemb/rtl/verilog/aeMB_core_BE.v" +verilog work "../../eth/rtl/verilog/MAC_top.v" +verilog work "../../eth/mac_txfifo_int.v" +verilog work "../../eth/mac_rxfifo_int.v" +verilog work "../../control_lib/wb_readback_mux.v" +verilog work "../../control_lib/wb_1master.v" +verilog work "../../control_lib/system_control.v" +verilog work "../../control_lib/settings_bus.v" +verilog work "../../control_lib/ram_loader.v" +verilog work "../../control_lib/ram_harv_cache.v" +verilog work "../../control_lib/nsgpio.v" +verilog work "../../control_lib/extram_interface.v" +verilog work "../../control_lib/buffer_pool.v" +verilog work "../../control_lib/atr_controller.v" +verilog work "../u2_basic/u2_basic.v" +verilog work "u2_fpga_top.v" diff --git a/usrp2/fpga/top/u2_fpga/u2_fpga_top.v b/usrp2/fpga/top/u2_fpga/u2_fpga_top.v new file mode 100644 index 00000000..63798a0c --- /dev/null +++ b/usrp2/fpga/top/u2_fpga/u2_fpga_top.v @@ -0,0 +1,393 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +module u2_fpga_top + ( + // Misc, debug + output led1, + output led2, + output [31:0] debug, + output [1:0] debug_clk, + + // Expansion + input exp_pps_in_p, // Diff + input exp_pps_in_n, // Diff + output exp_pps_out_p, // Diff + output exp_pps_out_n, // Diff + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output reg [7:0] GMII_TXD, + output reg GMII_TX_EN, + output reg GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + output PHY_RESETn, + input PHY_CLK, // possibly use on-board osc + + // RAM + inout [17:0] RAM_D, + output [18:0] RAM_A, + output RAM_CE1n, + output RAM_CENn, + output RAM_CLK, + output RAM_WEn, + output RAM_OEn, + output RAM_LDn, + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output reg [15:0] ser_t, + output reg ser_tklsb, + output reg ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // CPLD interface + output cpld_start, // AA9 + output cpld_mode, // U12 + output cpld_done, // V12 + input cpld_din, // AA14 Now shared with CFG_Din + input cpld_clk, // AB14 serial clock + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_oen_a, + output adc_pdn_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_oen_b, + output adc_pdn_b, + + // DAC + output [15:0] dac_a, + output [15:0] dac_b, + + // I2C + inout SCL, + inout SDA, + input SCL_force, + input SDA_force, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Clocks + input clk_fpga_p, // Diff + input clk_fpga_n, // Diff + input clk_to_mac, + input pps_in, + + // Generic SPI + output sclk, + output sen_clk, + output sen_dac, + output sdi, + input sdo, + + // TX DBoard + output sen_tx_db, + output sclk_tx_db, + input sdo_tx_db, + output sdi_tx_db, + + output sen_tx_adc, + output sclk_tx_adc, + input sdo_tx_adc, + output sdi_tx_adc, + + output sen_tx_dac, + output sclk_tx_dac, + output sdi_tx_dac, + + inout [15:0] io_tx, + + // RX DBoard + output sen_rx_db, + output sclk_rx_db, + input sdo_rx_db, + output sdi_rx_db, + + output sen_rx_adc, + output sclk_rx_adc, + input sdo_rx_adc, + output sdi_rx_adc, + + output sen_rx_dac, + output sclk_rx_dac, + output sdi_rx_dac, + + inout [15:0] io_rx + ); + + // FPGA-specific pins connections + wire aux_clk = PHY_CLK; + //wire cpld_detached = RAM_A[14]; // FIXME Hacked on with Blue Wire + wire cpld_detached = SDA_force; // FIXME Hacked on with Blue Wire + + wire clk_fpga, dsp_clk, clk_div, dcm_out, wb_clk, clock_ready; + + IBUFGDS clk_fpga_pin (.O(clk_fpga),.I(clk_fpga_p),.IB(clk_fpga_n)); + defparam clk_fpga_pin.IOSTANDARD = "LVPECL_25"; + + wire exp_pps_in; + IBUFDS exp_pps_in_pin (.O(exp_pps_in),.I(exp_pps_in_p),.IB(exp_pps_in_n)); + defparam exp_pps_in_pin.IOSTANDARD = "LVDS_25"; + + wire exp_pps_out; + OBUFDS exp_pps_out_pin (.O(exp_pps_out_p),.OB(exp_pps_out_n),.I(exp_pps_out)); + defparam exp_pps_out_pin.IOSTANDARD = "LVDS_25"; + + reg [5:0] clock_ready_d; + always @(posedge aux_clk) + clock_ready_d[5:0] <= {clock_ready_d[4:0],clock_ready}; + + wire dcm_rst = ~&clock_ready_d & |clock_ready_d; + wire clk_muxed = clock_ready ? clk_fpga : aux_clk; + + wire adc_on_a, adc_on_b, adc_oe_a, adc_oe_b; + assign adc_oen_a = ~adc_oe_a; + assign adc_oen_b = ~adc_oe_b; + assign adc_pdn_a = ~adc_on_a; + assign adc_pdn_b = ~adc_on_b; + + // Handle Clocks + DCM DCM_INST (.CLKFB(dsp_clk), + .CLKIN(clk_muxed), + .DSSEN(0), + .PSCLK(0), + .PSEN(0), + .PSINCDEC(0), + .RST(dcm_rst), + .CLKDV(clk_div), + .CLKFX(), + .CLKFX180(), + .CLK0(dcm_out), + .CLK2X(), + .CLK2X180(), + .CLK90(), + .CLK180(), + .CLK270(), + .LOCKED(LOCKED_OUT), + .PSDONE(), + .STATUS()); + defparam DCM_INST.CLK_FEEDBACK = "1X"; + defparam DCM_INST.CLKDV_DIVIDE = 2.0; + defparam DCM_INST.CLKFX_DIVIDE = 1; + defparam DCM_INST.CLKFX_MULTIPLY = 4; + defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE"; + defparam DCM_INST.CLKIN_PERIOD = 10.000; + defparam DCM_INST.CLKOUT_PHASE_SHIFT = "NONE"; + defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS"; + defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE"; + defparam DCM_INST.FACTORY_JF = 16'h8080; + defparam DCM_INST.PHASE_SHIFT = 0; + defparam DCM_INST.STARTUP_WAIT = "FALSE"; + + BUFG dspclk_BUFG (.I(dcm_out), .O(dsp_clk)); + BUFG wbclk_BUFG (.I(clk_div), .O(wb_clk)); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl_pin(.O(scl_pad_i), .IO(SCL), .I(scl_pad_o), .T(scl_pad_oen_o)); + IOBUF sda_pin(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_pad_oen_o)); + + // LEDs are active low outputs + wire led1_int, led2_int; + assign led1 = ~led1_int; + assign led2 = ~led2_int; + + // SPI + wire miso, mosi, sclk_int; + assign {sclk,sdi} = (~sen_clk | ~sen_dac) ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_db,sdi_tx_db} = ~sen_tx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_dac,sdi_tx_dac} = ~sen_tx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_adc,sdi_tx_adc} = ~sen_tx_adc ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_db,sdi_rx_db} = ~sen_rx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_dac,sdi_rx_dac} = ~sen_rx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_adc,sdi_rx_adc} = ~sen_rx_adc ? {sclk_int,mosi} : 2'b0; + + assign miso = (~sen_clk & sdo) | (~sen_dac & sdo) | + (~sen_tx_db & sdo_tx_db) | (~sen_tx_adc & sdo_tx_adc) | + (~sen_rx_db & sdo_rx_db) | (~sen_rx_adc & sdo_rx_adc); + + wire GMII_TX_EN_unreg, GMII_TX_ER_unreg; + wire [7:0] GMII_TXD_unreg; + wire GMII_GTX_CLK_int; + + always @(posedge GMII_GTX_CLK_int) + begin + GMII_TX_EN <= GMII_TX_EN_unreg; + GMII_TX_ER <= GMII_TX_ER_unreg; + GMII_TXD <= GMII_TXD_unreg; + end + + OFDDRRSE OFDDRRSE_gmii_inst + (.Q(GMII_GTX_CLK), // Data output (connect directly to top-level port) + .C0(GMII_GTX_CLK_int), // 0 degree clock input + .C1(~GMII_GTX_CLK_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + + wire ser_tklsb_unreg, ser_tkmsb_unreg; + wire [15:0] ser_t_unreg; + wire ser_tx_clk_int; + + always @(posedge ser_tx_clk_int) + begin + ser_tklsb <= ser_tklsb_unreg; + ser_tkmsb <= ser_tkmsb_unreg; + ser_t <= ser_t_unreg; + end + + assign ser_tx_clk = clk_fpga; + + reg [15:0] ser_r_int; + reg ser_rklsb_int, ser_rkmsb_int; + + always @(posedge ser_rx_clk) + begin + ser_r_int <= ser_r; + ser_rklsb_int <= ser_rklsb; + ser_rkmsb_int <= ser_rkmsb; + end + + /* + OFDDRRSE OFDDRRSE_serdes_inst + (.Q(ser_tx_clk), // Data output (connect directly to top-level port) + .C0(ser_tx_clk_int), // 0 degree clock input + .C1(~ser_tx_clk_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + */ + u2_basic u2_basic(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .led1 (led1_int), + .led2 (led2_int), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD_unreg[7:0]), + .GMII_TX_EN (GMII_TX_EN_unreg), + .GMII_TX_ER (GMII_TX_ER_unreg), + .GMII_GTX_CLK (GMII_GTX_CLK_int), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .PHY_CLK (PHY_CLK), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk_int), + .ser_t (ser_t_unreg[15:0]), + .ser_tklsb (ser_tklsb_unreg), + .ser_tkmsb (ser_tkmsb_unreg), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r_int[15:0]), + .ser_rklsb (ser_rklsb_int), + .ser_rkmsb (ser_rkmsb_int), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a[13:0]), + .adc_ovf_a (adc_ovf_a), + .adc_on_a (adc_on_a), + .adc_oe_a (adc_oe_a), + .adc_b (adc_b[13:0]), + .adc_ovf_b (adc_ovf_b), + .adc_on_b (adc_on_b), + .adc_oe_b (adc_oe_b), + .dac_a (dac_a[15:0]), + .dac_b (dac_b[15:0]), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk_int), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0]), + .RAM_D (RAM_D), + .RAM_A (RAM_A), + .RAM_CE1n (RAM_CE1n), + .RAM_CENn (RAM_CENn), + .RAM_CLK (RAM_CLK), + .RAM_WEn (RAM_WEn), + .RAM_OEn (RAM_OEn), + .RAM_LDn (RAM_LDn), + .uart_tx_o (), + .uart_rx_i (), + .uart_baud_o (), + .sim_mode (1'b0), + .clock_divider (2) + ); + +endmodule // u2_fpga_top diff --git a/usrp2/fpga/top/u2_rev2/Makefile b/usrp2/fpga/top/u2_rev2/Makefile new file mode 100644 index 00000000..a5969d62 --- /dev/null +++ b/usrp2/fpga/top/u2_rev2/Makefile @@ -0,0 +1,257 @@ +# +# Copyright 2008 Ettus Research LLC +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +################################################## +# xtclsh Shell and tcl Script Path +################################################## +#XTCLSH := /opt/Xilinx/10.1/ISE/bin/lin/xtclsh +XTCLSH := xtclsh +ISE_HELPER := ../tcl/ise_helper.tcl + +################################################## +# Project Setup +################################################## +BUILD_DIR := build/ +export TOP_MODULE := u2_rev2 +export PROJ_FILE := $(BUILD_DIR)$(TOP_MODULE).ise + +################################################## +# Project Properties +################################################## +export PROJECT_PROPERTIES := \ +family Spartan3 \ +device xc3s2000 \ +package fg456 \ +speed -5 \ +top_level_module_type "HDL" \ +synthesis_tool "XST (VHDL/Verilog)" \ +simulator "ISE Simulator (VHDL/Verilog)" \ +"Preferred Language" "Verilog" \ +"Enable Message Filtering" FALSE \ +"Display Incremental Messages" FALSE + +################################################## +# Sources +################################################## +export SOURCE_ROOT := ../../../ +export SOURCES := \ +control_lib/CRC16_D16.v \ +control_lib/atr_controller.v \ +control_lib/bin2gray.v \ +control_lib/buffer_int.v \ +control_lib/buffer_pool.v \ +control_lib/cascadefifo2.v \ +control_lib/dcache.v \ +control_lib/decoder_3_8.v \ +control_lib/dpram32.v \ +control_lib/extram_interface.v \ +control_lib/fifo_2clock.v \ +control_lib/fifo_2clock_casc.v \ +control_lib/gray2bin.v \ +control_lib/gray_send.v \ +control_lib/icache.v \ +control_lib/longfifo.v \ +control_lib/mux4.v \ +control_lib/mux8.v \ +control_lib/nsgpio.v \ +control_lib/ram_2port.v \ +control_lib/ram_harv_cache.v \ +control_lib/ram_loader.v \ +control_lib/setting_reg.v \ +control_lib/settings_bus.v \ +control_lib/shortfifo.v \ +control_lib/medfifo.v \ +control_lib/srl.v \ +control_lib/system_control.v \ +control_lib/wb_1master.v \ +control_lib/wb_readback_mux.v \ +control_lib/simple_uart.v \ +control_lib/simple_uart_tx.v \ +control_lib/simple_uart_rx.v \ +control_lib/oneshot_2clk.v \ +coregen/fifo_xlnx_2Kx36_2clk.v \ +coregen/fifo_xlnx_2Kx36_2clk.xco \ +coregen/fifo_xlnx_512x36_2clk.v \ +coregen/fifo_xlnx_512x36_2clk.xco \ +eth/mac_rxfifo_int.v \ +eth/mac_txfifo_int.v \ +eth/rtl/verilog/Clk_ctrl.v \ +eth/rtl/verilog/MAC_rx.v \ +eth/rtl/verilog/MAC_rx/Broadcast_filter.v \ +eth/rtl/verilog/MAC_rx/CRC_chk.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_FF.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v \ +eth/rtl/verilog/MAC_top.v \ +eth/rtl/verilog/MAC_tx.v \ +eth/rtl/verilog/MAC_tx/CRC_gen.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_FF.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v \ +eth/rtl/verilog/MAC_tx/Random_gen.v \ +eth/rtl/verilog/Phy_int.v \ +eth/rtl/verilog/RMON.v \ +eth/rtl/verilog/RMON/RMON_addr_gen.v \ +eth/rtl/verilog/RMON/RMON_ctrl.v \ +eth/rtl/verilog/Reg_int.v \ +eth/rtl/verilog/eth_miim.v \ +eth/rtl/verilog/flow_ctrl_rx.v \ +eth/rtl/verilog/flow_ctrl_tx.v \ +eth/rtl/verilog/miim/eth_clockgen.v \ +eth/rtl/verilog/miim/eth_outputcontrol.v \ +eth/rtl/verilog/miim/eth_shiftreg.v \ +opencores/8b10b/decode_8b10b.v \ +opencores/8b10b/encode_8b10b.v \ +opencores/aemb/rtl/verilog/aeMB_bpcu.v \ +opencores/aemb/rtl/verilog/aeMB_core_BE.v \ +opencores/aemb/rtl/verilog/aeMB_ctrl.v \ +opencores/aemb/rtl/verilog/aeMB_edk32.v \ +opencores/aemb/rtl/verilog/aeMB_ibuf.v \ +opencores/aemb/rtl/verilog/aeMB_regf.v \ +opencores/aemb/rtl/verilog/aeMB_xecu.v \ +opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v \ +opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v \ +opencores/i2c/rtl/verilog/i2c_master_defines.v \ +opencores/i2c/rtl/verilog/i2c_master_top.v \ +opencores/i2c/rtl/verilog/timescale.v \ +opencores/simple_pic/rtl/simple_pic.v \ +opencores/spi/rtl/verilog/spi_clgen.v \ +opencores/spi/rtl/verilog/spi_defines.v \ +opencores/spi/rtl/verilog/spi_shift.v \ +opencores/spi/rtl/verilog/spi_top.v \ +opencores/spi/rtl/verilog/timescale.v \ +sdr_lib/acc.v \ +sdr_lib/add2.v \ +sdr_lib/add2_and_round.v \ +sdr_lib/add2_and_round_reg.v \ +sdr_lib/add2_reg.v \ +sdr_lib/cic_dec_shifter.v \ +sdr_lib/cic_decim.v \ +sdr_lib/cic_int_shifter.v \ +sdr_lib/cic_interp.v \ +sdr_lib/cic_strober.v \ +sdr_lib/clip.v \ +sdr_lib/clip_reg.v \ +sdr_lib/cordic.v \ +sdr_lib/cordic_stage.v \ +sdr_lib/dsp_core_rx.v \ +sdr_lib/dsp_core_tx.v \ +sdr_lib/hb_dec.v \ +sdr_lib/hb_interp.v \ +sdr_lib/round.v \ +sdr_lib/round_reg.v \ +sdr_lib/rx_control.v \ +sdr_lib/rx_dcoffset.v \ +sdr_lib/sign_extend.v \ +sdr_lib/small_hb_dec.v \ +sdr_lib/small_hb_int.v \ +sdr_lib/tx_control.v \ +serdes/serdes.v \ +serdes/serdes_fc_rx.v \ +serdes/serdes_fc_tx.v \ +serdes/serdes_rx.v \ +serdes/serdes_tx.v \ +timing/time_receiver.v \ +timing/time_sender.v \ +timing/time_sync.v \ +timing/timer.v \ +top/u2_core/u2_core.v \ +top/u2_rev2/u2_rev2.ucf \ +top/u2_rev2/u2_rev2.v + +################################################## +# Process Properties +################################################## +export SYNTHESIZE_PROPERTIES := \ +"Number of Clock Buffers" 6 \ +"Pack I/O Registers into IOBs" Yes \ +"Optimization Effort" High \ +"Optimize Instantiated Primitives" TRUE \ +"Register Balancing" Yes \ +"Use Clock Enable" Auto \ +"Use Synchronous Reset" Auto \ +"Use Synchronous Set" Auto + +#export TRANSLATE_PROPERTIES := \ +#"Macro Search Path" "$(SOURCE_ROOT)coregen/" +#export TRANSLATE_PROPERTIES := \ +#"Macro Search Path" "$(shell pwd)/../../coregen/" +export TRANSLATE_PROPERTIES := \ +"Macro Search Path" "../../coregen/" + +QUICK_MAP_PROPERTIES := \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" + +export MAP_PROPERTIES := \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" \ +"Perform Timing-Driven Packing and Placement" TRUE \ +"Map Effort Level" High \ +"Extra Effort" Normal \ +"Combinatorial Logic Optimization" TRUE \ +"Register Duplication" TRUE + +export PLACE_ROUTE_PROPERTIES := \ +"Place & Route Effort Level (Overall)" High + +export STATIC_TIMING_PROPERTIES := \ +"Number of Paths in Error/Verbose Report" 10 \ +"Report Type" "Error Report" + +export GEN_PROG_FILE_PROPERTIES := \ +"Configuration Rate" 6 \ +"Create Binary Configuration File" TRUE \ +"Done (Output Events)" 5 \ +"Enable Bitstream Compression" TRUE \ +"Enable Outputs (Output Events)" 6 + +export SIM_MODEL_PROPERTIES := "" + +################################################## +# Make Options +################################################## +all: + @echo make proj, check, synth, bin, or clean + +proj: + PROCESS_RUN="" $(XTCLSH) $(ISE_HELPER) + +check: + PROCESS_RUN="Check Syntax" $(XTCLSH) $(ISE_HELPER) + +synth: + PROCESS_RUN="Synthesize - XST" $(XTCLSH) $(ISE_HELPER) + +bin: + PROCESS_RUN="Generate Programming File" $(XTCLSH) $(ISE_HELPER) + +quick: + PROCESS_RUN="Generate Programming File" MAP_PROPERTIES='$(QUICK_MAP_PROPERTIES)' $(XTCLSH) $(ISE_HELPER) + +clean: + rm -rf $(BUILD_DIR) + + diff --git a/usrp2/fpga/top/u2_rev2/u2_rev2.ucf b/usrp2/fpga/top/u2_rev2/u2_rev2.ucf new file mode 100644 index 00000000..e18dc6f1 --- /dev/null +++ b/usrp2/fpga/top/u2_rev2/u2_rev2.ucf @@ -0,0 +1,337 @@ +NET "leds[0]" LOC = "F7" ; +NET "leds[1]" LOC = "E5" ; +NET "leds[2]" LOC = "B7" ; +NET "leds[3]" LOC = "C11" ; +NET "leds[4]" LOC = "AB19" ; +NET "debug[0]" LOC = "N5" ; +NET "debug[1]" LOC = "N6" ; +NET "debug[2]" LOC = "P1" ; +NET "debug[3]" LOC = "P2" ; +NET "debug[4]" LOC = "P4" ; +NET "debug[5]" LOC = "P5" ; +NET "debug[6]" LOC = "R1" ; +NET "debug[7]" LOC = "R2" ; +NET "debug[8]" LOC = "P6" ; +NET "debug[9]" LOC = "R5" ; +NET "debug[10]" LOC = "R4" ; +NET "debug[11]" LOC = "T3" ; +NET "debug[12]" LOC = "U3" ; +NET "debug[13]" LOC = "M2" ; +NET "debug[14]" LOC = "M3" ; +NET "debug[15]" LOC = "M4" ; +NET "debug[16]" LOC = "M5" ; +NET "debug[17]" LOC = "M6" ; +NET "debug[18]" LOC = "N1" ; +NET "debug[19]" LOC = "N2" ; +NET "debug[20]" LOC = "N3" ; +NET "debug[21]" LOC = "T1" ; +NET "debug[22]" LOC = "T2" ; +NET "debug[23]" LOC = "U2" ; +NET "debug[24]" LOC = "T4" ; +NET "debug[25]" LOC = "U4" ; +NET "debug[26]" LOC = "T5" ; +NET "debug[27]" LOC = "T6" ; +NET "debug[28]" LOC = "U5" ; +NET "debug[29]" LOC = "V5" ; +NET "debug[30]" LOC = "W2" ; +NET "debug[31]" LOC = "W3" ; +NET "debug_clk[0]" LOC = "N4" ; +NET "debug_clk[1]" LOC = "M1" ; +NET "uart_tx_o" LOC = "C7" ; +NET "uart_rx_i" LOC = "A3" ; +NET "exp_pps_in_p" LOC = "V3" ; +NET "exp_pps_in_n" LOC = "V4" ; +NET "exp_pps_out_p" LOC = "V1" ; +NET "exp_pps_out_n" LOC = "V2" ; +NET "GMII_COL" LOC = "U16" ; +NET "GMII_CRS" LOC = "U17" ; +NET "GMII_TXD[0]" LOC = "W14" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[1]" LOC = "AA20" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[2]" LOC = "AB20" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[3]" LOC = "Y18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[4]" LOC = "AA18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[5]" LOC = "AB18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[6]" LOC = "V17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[7]" LOC = "W17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_EN" LOC = "Y17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_ER" LOC = "V16" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_GTX_CLK" LOC = "AA17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_CLK" LOC = "W13" ; +NET "GMII_RXD[0]" LOC = "AA15" ; +NET "GMII_RXD[1]" LOC = "AB15" ; +NET "GMII_RXD[2]" LOC = "U14" ; +NET "GMII_RXD[3]" LOC = "V14" ; +NET "GMII_RXD[4]" LOC = "U13" ; +NET "GMII_RXD[5]" LOC = "V13" ; +NET "GMII_RXD[6]" LOC = "Y13" ; +NET "GMII_RXD[7]" LOC = "AA13" ; +NET "GMII_RX_CLK" LOC = "W16" ; +NET "GMII_RX_DV" LOC = "AB16" ; +NET "GMII_RX_ER" LOC = "AA16" ; +NET "MDIO" LOC = "Y16" |PULLUP ; +NET "MDC" LOC = "V18" ; +NET "PHY_INTn" LOC = "AB13" ; +NET "PHY_RESETn" LOC = "AA19" ; +NET "PHY_CLK" LOC = "V15" ; +NET "RAM_D[0]" LOC = "N20" ; +NET "RAM_D[1]" LOC = "N21" ; +NET "RAM_D[2]" LOC = "N22" ; +NET "RAM_D[3]" LOC = "M17" ; +NET "RAM_D[4]" LOC = "M18" ; +NET "RAM_D[5]" LOC = "M19" ; +NET "RAM_D[6]" LOC = "M20" ; +NET "RAM_D[7]" LOC = "M21" ; +NET "RAM_D[8]" LOC = "M22" ; +NET "RAM_D[9]" LOC = "Y22" ; +NET "RAM_D[10]" LOC = "Y21" ; +NET "RAM_D[11]" LOC = "Y20" ; +NET "RAM_D[12]" LOC = "Y19" ; +NET "RAM_D[13]" LOC = "W22" ; +NET "RAM_D[14]" LOC = "W21" ; +NET "RAM_D[15]" LOC = "W20" ; +NET "RAM_D[16]" LOC = "W19" ; +NET "RAM_D[17]" LOC = "V22" ; +NET "RAM_A[0]" LOC = "U21" ; +NET "RAM_A[1]" LOC = "T19" ; +NET "RAM_A[2]" LOC = "V21" ; +NET "RAM_A[3]" LOC = "V20" ; +NET "RAM_A[4]" LOC = "T20" ; +NET "RAM_A[5]" LOC = "T21" ; +NET "RAM_A[6]" LOC = "T22" ; +NET "RAM_A[7]" LOC = "T18" ; +NET "RAM_A[8]" LOC = "R18" ; +NET "RAM_A[9]" LOC = "P19" ; +NET "RAM_A[10]" LOC = "P21" ; +NET "RAM_A[11]" LOC = "P22" ; +NET "RAM_A[12]" LOC = "N19" ; +NET "RAM_A[13]" LOC = "N17" ; +NET "RAM_A[14]" LOC = "N18" ; +NET "RAM_A[15]" LOC = "T17" ; +NET "RAM_A[16]" LOC = "U19" ; +NET "RAM_A[17]" LOC = "U18" ; +NET "RAM_A[18]" LOC = "V19" ; +NET "RAM_CE1n" LOC = "U20" ; +NET "RAM_CENn" LOC = "P18" ; +NET "RAM_CLK" LOC = "P17" ; +NET "RAM_WEn" LOC = "R22" ; +NET "RAM_OEn" LOC = "R21" ; +NET "RAM_LDn" LOC = "R19" ; +NET "ser_enable" LOC = "W11" ; +NET "ser_prbsen" LOC = "AA3" ; +NET "ser_loopen" LOC = "Y4" ; +NET "ser_rx_en" LOC = "AB9" ; +NET "ser_tx_clk" LOC = "U7" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[0]" LOC = "V7" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[1]" LOC = "V10" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[2]" LOC = "AB4" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[3]" LOC = "AA4" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[4]" LOC = "Y5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[5]" LOC = "W5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[6]" LOC = "AB5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[7]" LOC = "AA5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[8]" LOC = "W6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[9]" LOC = "V6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[10]" LOC = "AA6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[11]" LOC = "Y6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[12]" LOC = "W8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[13]" LOC = "V8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[14]" LOC = "AB8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[15]" LOC = "AA8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_tklsb" LOC = "U10" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_tkmsb" LOC = "U11" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_rx_clk" LOC = "AA11" ; +NET "ser_r[0]" LOC = "AB10" ; +NET "ser_r[1]" LOC = "AA10" ; +NET "ser_r[2]" LOC = "U9" ; +NET "ser_r[3]" LOC = "U6" ; +NET "ser_r[4]" LOC = "AB11" ; +NET "ser_r[5]" LOC = "Y7" ; +NET "ser_r[6]" LOC = "W7" ; +NET "ser_r[7]" LOC = "AB7" ; +NET "ser_r[8]" LOC = "AA7" ; +NET "ser_r[9]" LOC = "W9" ; +NET "ser_r[10]" LOC = "W10" ; +NET "ser_r[11]" LOC = "Y1" ; +NET "ser_r[12]" LOC = "Y3" ; +NET "ser_r[13]" LOC = "Y2" ; +NET "ser_r[14]" LOC = "W4" ; +NET "ser_r[15]" LOC = "W1" ; +NET "ser_rklsb" LOC = "V9" ; +NET "ser_rkmsb" LOC = "Y10" ; +NET "cpld_start" LOC = "AA9" ; +NET "cpld_mode" LOC = "U12" ; +NET "cpld_done" LOC = "V12" ; +NET "cpld_din" LOC = "AA14" ; +NET "cpld_clk" LOC = "AB14" ; +NET "cpld_detached" LOC = "V11" ; +NET "cpld_init_b" LOC = "W12" ; +NET "cpld_misc" LOC = "Y12" ; +NET "adc_a[0]" LOC = "A14" | IOBDELAY= "NONE" ; +NET "adc_a[1]" LOC = "B14" | IOBDELAY= "NONE" ; +NET "adc_a[2]" LOC = "C13" | IOBDELAY= "NONE" ; +NET "adc_a[3]" LOC = "D13" | IOBDELAY= "NONE" ; +NET "adc_a[4]" LOC = "A13" | IOBDELAY= "NONE" ; +NET "adc_a[5]" LOC = "B13" | IOBDELAY= "NONE" ; +NET "adc_a[6]" LOC = "E12" | IOBDELAY= "NONE" ; +NET "adc_a[7]" LOC = "C22" | IOBDELAY= "NONE" ; +NET "adc_a[8]" LOC = "C20" | IOBDELAY= "NONE" ; +NET "adc_a[9]" LOC = "C21" | IOBDELAY= "NONE" ; +NET "adc_a[10]" LOC = "D20" | IOBDELAY= "NONE" ; +NET "adc_a[11]" LOC = "D19" | IOBDELAY= "NONE" ; +NET "adc_a[12]" LOC = "D21" | IOBDELAY= "NONE" ; +NET "adc_a[13]" LOC = "E18" | IOBDELAY= "NONE" ; +NET "adc_ovf_a" LOC = "F18" ; +NET "adc_oen_a" LOC = "E19" ; +NET "adc_pdn_a" LOC = "E20" ; +NET "adc_b[0]" LOC = "A12" | IOBDELAY= "NONE"; +NET "adc_b[1]" LOC = "E16" | IOBDELAY= "NONE" ; +NET "adc_b[2]" LOC = "F12" | IOBDELAY= "NONE" ; +NET "adc_b[3]" LOC = "F13" | IOBDELAY= "NONE" ; +NET "adc_b[4]" LOC = "F16" | IOBDELAY= "NONE" ; +NET "adc_b[5]" LOC = "F17" | IOBDELAY= "NONE" ; +NET "adc_b[6]" LOC = "C19" | IOBDELAY= "NONE" ; +NET "adc_b[7]" LOC = "B20" | IOBDELAY= "NONE" ; +NET "adc_b[8]" LOC = "B19" | IOBDELAY= "NONE" ; +NET "adc_b[9]" LOC = "C18" | IOBDELAY= "NONE" ; +NET "adc_b[10]" LOC = "D18" | IOBDELAY= "NONE" ; +NET "adc_b[11]" LOC = "B18" | IOBDELAY= "NONE" ; +NET "adc_b[12]" LOC = "D17" | IOBDELAY= "NONE" ; +NET "adc_b[13]" LOC = "E17" | IOBDELAY= "NONE" ; +NET "adc_ovf_b" LOC = "B17" ; +NET "adc_oen_b" LOC = "C17" ; +NET "adc_pdn_b" LOC = "D15" ; +NET "dac_a[0]" LOC = "A5" ; +NET "dac_a[1]" LOC = "B5" ; +NET "dac_a[2]" LOC = "C5" ; +NET "dac_a[3]" LOC = "D5" ; +NET "dac_a[4]" LOC = "A4" ; +NET "dac_a[5]" LOC = "B4" ; +NET "dac_a[6]" LOC = "F6" ; +NET "dac_a[7]" LOC = "D10" ; +NET "dac_a[8]" LOC = "D9" ; +NET "dac_a[9]" LOC = "A10" ; +NET "dac_a[10]" LOC = "L2" ; +NET "dac_a[11]" LOC = "L4" ; +NET "dac_a[12]" LOC = "L3" ; +NET "dac_a[13]" LOC = "L6" ; +NET "dac_a[14]" LOC = "L5" ; +NET "dac_a[15]" LOC = "K2" ; +NET "dac_b[0]" LOC = "D11" ; +NET "dac_b[1]" LOC = "E11" ; +NET "dac_b[2]" LOC = "F11" ; +NET "dac_b[3]" LOC = "B10" ; +NET "dac_b[4]" LOC = "C10" ; +NET "dac_b[5]" LOC = "E10" ; +NET "dac_b[6]" LOC = "F10" ; +NET "dac_b[7]" LOC = "A9" ; +NET "dac_b[8]" LOC = "B9" ; +NET "dac_b[9]" LOC = "E9" ; +NET "dac_b[10]" LOC = "F9" ; +NET "dac_b[11]" LOC = "A8" ; +NET "dac_b[12]" LOC = "B8" ; +NET "dac_b[13]" LOC = "D7" ; +NET "dac_b[14]" LOC = "E7" ; +NET "dac_b[15]" LOC = "B6" ; +NET "dac_lock" LOC = "D6" ; +NET "SCL" LOC = "A7" ; +NET "SDA" LOC = "D8" ; +NET "clk_en[0]" LOC = "C4" ; +NET "clk_en[1]" LOC = "D1" ; +NET "clk_sel[0]" LOC = "C3" ; +NET "clk_sel[1]" LOC = "C2" ; +NET "clk_func" LOC = "C12" ; +NET "clk_status" LOC = "B12" ; +NET "clk_fpga_p" LOC = "A11" ; +NET "clk_fpga_n" LOC = "B11" ; +NET "clk_to_mac" LOC = "AB12" ; +NET "pps_in" LOC = "Y11" ; +NET "sclk" LOC = "K5" ; +NET "sen_clk" LOC = "K6" ; +NET "sen_dac" LOC = "L1" ; +NET "sdi" LOC = "J1" ; +NET "sdo" LOC = "J2" ; +NET "sen_tx_db" LOC = "C1" ; +NET "sclk_tx_db" LOC = "D3" ; +NET "sdo_tx_db" LOC = "G3" ; +NET "sdi_tx_db" LOC = "G4" ; +NET "sen_tx_adc" LOC = "G2" ; +NET "sclk_tx_adc" LOC = "H1" ; +NET "sdo_tx_adc" LOC = "H2" ; +NET "sdi_tx_adc" LOC = "J4" ; +NET "sen_tx_dac" LOC = "H4" ; +NET "sclk_tx_dac" LOC = "J5" ; +NET "sdi_tx_dac" LOC = "J6" ; +NET "io_tx[0]" LOC = "K4" ; +NET "io_tx[1]" LOC = "K3" ; +NET "io_tx[2]" LOC = "G1" ; +NET "io_tx[3]" LOC = "G5" ; +NET "io_tx[4]" LOC = "H5" ; +NET "io_tx[5]" LOC = "F3" ; +NET "io_tx[6]" LOC = "F2" ; +NET "io_tx[7]" LOC = "F5" ; +NET "io_tx[8]" LOC = "G6" ; +NET "io_tx[9]" LOC = "E2" ; +NET "io_tx[10]" LOC = "E1" ; +NET "io_tx[11]" LOC = "E3" ; +NET "io_tx[12]" LOC = "F4" ; +NET "io_tx[13]" LOC = "D2" ; +NET "io_tx[14]" LOC = "D4" ; +NET "io_tx[15]" LOC = "E4" ; +NET "sen_rx_db" LOC = "D22" ; +NET "sclk_rx_db" LOC = "F19" ; +NET "sdo_rx_db" LOC = "G20" ; +NET "sdi_rx_db" LOC = "H19" ; +NET "sen_rx_adc" LOC = "H18" ; +NET "sclk_rx_adc" LOC = "J17" ; +NET "sdo_rx_adc" LOC = "H21" ; +NET "sdi_rx_adc" LOC = "H22" ; +NET "sen_rx_dac" LOC = "J18" ; +NET "sclk_rx_dac" LOC = "J19" ; +NET "sdi_rx_dac" LOC = "J21" ; +NET "io_rx[0]" LOC = "L21" ; +NET "io_rx[1]" LOC = "L20" ; +NET "io_rx[2]" LOC = "L19" ; +NET "io_rx[3]" LOC = "L18" ; +NET "io_rx[4]" LOC = "L17" ; +NET "io_rx[5]" LOC = "K22" ; +NET "io_rx[6]" LOC = "K21" ; +NET "io_rx[7]" LOC = "K20" ; +NET "io_rx[8]" LOC = "G22" ; +NET "io_rx[9]" LOC = "G21" ; +NET "io_rx[10]" LOC = "F21" ; +NET "io_rx[11]" LOC = "F20" ; +NET "io_rx[12]" LOC = "G19" ; +NET "io_rx[13]" LOC = "G18" ; +NET "io_rx[14]" LOC = "G17" ; +NET "io_rx[15]" LOC = "E22" ; + +NET "clk_to_mac" TNM_NET = "clk_to_mac"; +TIMESPEC "TS_clk_to_mac" = PERIOD "clk_to_mac" 8 ns HIGH 50 %; + +#NET "dsp_clk" TNM_NET = "dsp_clk"; +#TIMESPEC "TS_dsp_clk" = PERIOD "dsp_clk" 10 ns HIGH 50 %; + +NET "clk_fpga_p" TNM_NET = "clk_fpga_p"; +TIMESPEC "TS_clk_fpga_p" = PERIOD "clk_fpga_p" 10 ns HIGH 50 %; + +NET "cpld_clk" TNM_NET = "cpld_clk"; +TIMESPEC "TS_cpld_clk" = PERIOD "cpld_clk" 40 ns HIGH 50 %; + +NET "GMII_RX_CLK" TNM_NET = "GMII_RX_CLK"; +TIMESPEC "TS_GMII_RX_CLK" = PERIOD "GMII_RX_CLK" 8 ns HIGH 50 %; + +NET "ser_rx_clk" TNM_NET = "ser_rx_clk"; +TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %; + +#NET "wb_clk" TNM_NET = "wb_clk"; +#TIMESPEC "TS_wb_clk" = PERIOD "wb_clk" 20 ns HIGH 50 %; + +NET "GMII_RX_CLK" CLOCK_DEDICATED_ROUTE = FALSE; +NET "cpld_clk" CLOCK_DEDICATED_ROUTE = FALSE; + +#NET "adc_a<*>" TNM_NET = ADC_DATA_GRP; +#NET "adc_b<*>" TNM_NET = ADC_DATA_GRP; +#TIMEGRP "ADC_DATA_GRP" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; + +#NET "adc_a<*>" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; +#NET "adc_b<*>" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; diff --git a/usrp2/fpga/top/u2_rev2/u2_rev2.v b/usrp2/fpga/top/u2_rev2/u2_rev2.v new file mode 100644 index 00000000..65d3ad65 --- /dev/null +++ b/usrp2/fpga/top/u2_rev2/u2_rev2.v @@ -0,0 +1,413 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +module u2_rev2 + ( + // Misc, debug + output [4:0] leds, + output [31:0] debug, + output [1:0] debug_clk, + output uart_tx_o, + input uart_rx_i, + + // Expansion + input exp_pps_in_p, // Diff + input exp_pps_in_n, // Diff + output exp_pps_out_p, // Diff + output exp_pps_out_n, // Diff + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output reg [7:0] GMII_TXD, + output reg GMII_TX_EN, + output reg GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + output PHY_RESETn, + input PHY_CLK, // possibly use on-board osc + + // RAM + inout [17:0] RAM_D, + output [18:0] RAM_A, + output RAM_CE1n, + output RAM_CENn, + output RAM_CLK, + output RAM_WEn, + output RAM_OEn, + output RAM_LDn, + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output reg [15:0] ser_t, + output reg ser_tklsb, + output reg ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // CPLD interface + output cpld_start, // AA9 + output cpld_mode, // U12 + output cpld_done, // V12 + input cpld_din, // AA14 Now shared with CFG_Din + input cpld_clk, // AB14 serial clock + input cpld_detached,// V11 unused + output cpld_init_b, // W12 unused dual purpose + input cpld_misc, // Y12 unused + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_oen_a, + output adc_pdn_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_oen_b, + output adc_pdn_b, + + // DAC + output reg [15:0] dac_a, + output reg [15:0] dac_b, + input dac_lock, // unused for now + + // I2C + inout SCL, + inout SDA, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Clocks + input clk_fpga_p, // Diff + input clk_fpga_n, // Diff + input clk_to_mac, + input pps_in, + + // Generic SPI + output sclk, + output sen_clk, + output sen_dac, + output sdi, + input sdo, + + // TX DBoard + output sen_tx_db, + output sclk_tx_db, + input sdo_tx_db, + output sdi_tx_db, + + output sen_tx_adc, + output sclk_tx_adc, + input sdo_tx_adc, + output sdi_tx_adc, + + output sen_tx_dac, + output sclk_tx_dac, + output sdi_tx_dac, + + inout [15:0] io_tx, + + // RX DBoard + output sen_rx_db, + output sclk_rx_db, + input sdo_rx_db, + output sdi_rx_db, + + output sen_rx_adc, + output sclk_rx_adc, + input sdo_rx_adc, + output sdi_rx_adc, + + output sen_rx_dac, + output sclk_rx_dac, + output sdi_rx_dac, + + inout [15:0] io_rx + ); + + assign cpld_init_b = 0; + // FPGA-specific pins connections + wire clk_fpga, dsp_clk, clk_div, dcm_out, wb_clk, clock_ready; + wire clk90, clk180, clk270; + + IBUFGDS clk_fpga_pin (.O(clk_fpga),.I(clk_fpga_p),.IB(clk_fpga_n)); + defparam clk_fpga_pin.IOSTANDARD = "LVPECL_25"; + + wire exp_pps_in; + IBUFDS exp_pps_in_pin (.O(exp_pps_in),.I(exp_pps_in_p),.IB(exp_pps_in_n)); + defparam exp_pps_in_pin.IOSTANDARD = "LVDS_25"; + + wire exp_pps_out; + OBUFDS exp_pps_out_pin (.O(exp_pps_out_p),.OB(exp_pps_out_n),.I(exp_pps_out)); + defparam exp_pps_out_pin.IOSTANDARD = "LVDS_25"; + + reg [5:0] clock_ready_d; + always @(posedge clk_fpga) + clock_ready_d[5:0] <= {clock_ready_d[4:0],clock_ready}; + wire dcm_rst = ~&clock_ready_d & |clock_ready_d; + + wire adc_on_a, adc_on_b, adc_oe_a, adc_oe_b; + assign adc_oen_a = ~adc_oe_a; + assign adc_oen_b = ~adc_oe_b; + assign adc_pdn_a = ~adc_on_a; + assign adc_pdn_b = ~adc_on_b; + + reg [13:0] adc_a_reg1, adc_b_reg1, adc_a_reg2, adc_b_reg2; + reg adc_ovf_a_reg1, adc_ovf_a_reg2, adc_ovf_b_reg1, adc_ovf_b_reg2; + + always @(posedge dsp_clk) + begin + adc_a_reg1 <= adc_a; + adc_b_reg1 <= adc_b; + adc_ovf_a_reg1 <= adc_ovf_a; + adc_ovf_b_reg1 <= adc_ovf_b; + end + + always @(posedge dsp_clk) + begin + adc_a_reg2 <= adc_a_reg1; + adc_b_reg2 <= adc_b_reg1; + adc_ovf_a_reg2 <= adc_ovf_a_reg1; + adc_ovf_b_reg2 <= adc_ovf_b_reg1; + end // always @ (posedge dsp_clk) + + // Handle Clocks + DCM DCM_INST (.CLKFB(dsp_clk), + .CLKIN(clk_fpga), + .DSSEN(0), + .PSCLK(0), + .PSEN(0), + .PSINCDEC(0), + .RST(dcm_rst), + .CLKDV(clk_div), + .CLKFX(), + .CLKFX180(), + .CLK0(dcm_out), + .CLK2X(), + .CLK2X180(), + .CLK90(clk90), + .CLK180(clk180), + .CLK270(clk270), + .LOCKED(LOCKED_OUT), + .PSDONE(), + .STATUS()); + defparam DCM_INST.CLK_FEEDBACK = "1X"; + defparam DCM_INST.CLKDV_DIVIDE = 2.0; + defparam DCM_INST.CLKFX_DIVIDE = 1; + defparam DCM_INST.CLKFX_MULTIPLY = 4; + defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE"; + defparam DCM_INST.CLKIN_PERIOD = 10.000; + defparam DCM_INST.CLKOUT_PHASE_SHIFT = "NONE"; + defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS"; + defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE"; + defparam DCM_INST.FACTORY_JF = 16'h8080; + defparam DCM_INST.PHASE_SHIFT = 0; + defparam DCM_INST.STARTUP_WAIT = "FALSE"; + + BUFG dspclk_BUFG (.I(dcm_out), .O(dsp_clk)); + BUFG wbclk_BUFG (.I(clk_div), .O(wb_clk)); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl_pin(.O(scl_pad_i), .IO(SCL), .I(scl_pad_o), .T(scl_pad_oen_o)); + IOBUF sda_pin(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_pad_oen_o)); + + // LEDs are active low outputs + wire [4:0] leds_int; + assign leds = 5'b01111 ^ leds_int; // all except eth are active-low + + // SPI + wire miso, mosi, sclk_int; + assign {sclk,sdi} = (~sen_clk | ~sen_dac) ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_db,sdi_tx_db} = ~sen_tx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_dac,sdi_tx_dac} = ~sen_tx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_adc,sdi_tx_adc} = ~sen_tx_adc ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_db,sdi_rx_db} = ~sen_rx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_dac,sdi_rx_dac} = ~sen_rx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_adc,sdi_rx_adc} = ~sen_rx_adc ? {sclk_int,mosi} : 2'b0; + + assign miso = (~sen_clk & sdo) | (~sen_dac & sdo) | + (~sen_tx_db & sdo_tx_db) | (~sen_tx_adc & sdo_tx_adc) | + (~sen_rx_db & sdo_rx_db) | (~sen_rx_adc & sdo_rx_adc); + + wire GMII_TX_EN_unreg, GMII_TX_ER_unreg; + wire [7:0] GMII_TXD_unreg; + wire GMII_GTX_CLK_int; + + always @(posedge GMII_GTX_CLK_int) + begin + GMII_TX_EN <= GMII_TX_EN_unreg; + GMII_TX_ER <= GMII_TX_ER_unreg; + GMII_TXD <= GMII_TXD_unreg; + end + + OFDDRRSE OFDDRRSE_gmii_inst + (.Q(GMII_GTX_CLK), // Data output (connect directly to top-level port) + .C0(GMII_GTX_CLK_int), // 0 degree clock input + .C1(~GMII_GTX_CLK_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + + wire ser_tklsb_unreg, ser_tkmsb_unreg; + wire [15:0] ser_t_unreg; + wire ser_tx_clk_int; + + always @(posedge ser_tx_clk_int) + begin + ser_tklsb <= ser_tklsb_unreg; + ser_tkmsb <= ser_tkmsb_unreg; + ser_t <= ser_t_unreg; + end + + assign ser_tx_clk = clk_fpga; + + reg [15:0] ser_r_int; + reg ser_rklsb_int, ser_rkmsb_int; + + always @(posedge ser_rx_clk) + begin + ser_r_int <= ser_r; + ser_rklsb_int <= ser_rklsb; + ser_rkmsb_int <= ser_rkmsb; + end + + wire [15:0] dac_a_int, dac_b_int; + always @(negedge dsp_clk) dac_a <= dac_a_int; + always @(negedge dsp_clk) dac_b <= dac_b_int; + + /* + OFDDRRSE OFDDRRSE_serdes_inst + (.Q(ser_tx_clk), // Data output (connect directly to top-level port) + .C0(ser_tx_clk_int), // 0 degree clock input + .C1(~ser_tx_clk_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + */ + u2_core #(.RAM_SIZE(32768)) + u2_core(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .leds (leds_int), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD_unreg[7:0]), + .GMII_TX_EN (GMII_TX_EN_unreg), + .GMII_TX_ER (GMII_TX_ER_unreg), + .GMII_GTX_CLK (GMII_GTX_CLK_int), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk_int), + .ser_t (ser_t_unreg[15:0]), + .ser_tklsb (ser_tklsb_unreg), + .ser_tkmsb (ser_tkmsb_unreg), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r_int[15:0]), + .ser_rklsb (ser_rklsb_int), + .ser_rkmsb (ser_rkmsb_int), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a_reg2), + .adc_ovf_a (adc_ovf_a_reg2), + .adc_on_a (adc_on_a), + .adc_oe_a (adc_oe_a), + .adc_b (adc_b_reg2), + .adc_ovf_b (adc_ovf_b_reg2), + .adc_on_b (adc_on_b), + .adc_oe_b (adc_oe_b), + .dac_a (dac_a_int), + .dac_b (dac_b_int), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk_int), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0]), + .RAM_D (RAM_D), + .RAM_A (RAM_A), + .RAM_CE1n (RAM_CE1n), + .RAM_CENn (RAM_CENn), + .RAM_CLK (RAM_CLK), + .RAM_WEn (RAM_WEn), + .RAM_OEn (RAM_OEn), + .RAM_LDn (RAM_LDn), + .uart_tx_o (uart_tx_o), + .uart_rx_i (uart_rx_i), + .uart_baud_o (), + .sim_mode (1'b0), + .clock_divider (2) + ); + +endmodule // u2_rev2 diff --git a/usrp2/fpga/top/u2_rev3/Makefile b/usrp2/fpga/top/u2_rev3/Makefile new file mode 100644 index 00000000..897b68d6 --- /dev/null +++ b/usrp2/fpga/top/u2_rev3/Makefile @@ -0,0 +1,244 @@ +# +# Copyright 2008 Ettus Research LLC +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +################################################## +# xtclsh Shell and tcl Script Path +################################################## +#XTCLSH := /opt/Xilinx/10.1/ISE/bin/lin/xtclsh +XTCLSH := xtclsh +ISE_HELPER := ../tcl/ise_helper.tcl + +################################################## +# Project Setup +################################################## +BUILD_DIR := build/ +export TOP_MODULE := u2_rev3 +export PROJ_FILE := $(BUILD_DIR)$(TOP_MODULE).ise + +################################################## +# Project Properties +################################################## +export PROJECT_PROPERTIES := \ +family Spartan3 \ +device xc3s2000 \ +package fg456 \ +speed -5 \ +top_level_module_type "HDL" \ +synthesis_tool "XST (VHDL/Verilog)" \ +simulator "ISE Simulator (VHDL/Verilog)" \ +"Preferred Language" "Verilog" \ +"Enable Message Filtering" FALSE \ +"Display Incremental Messages" FALSE + +################################################## +# Sources +################################################## +export SOURCE_ROOT := ../../../ +export SOURCES := \ +control_lib/CRC16_D16.v \ +control_lib/atr_controller.v \ +control_lib/bin2gray.v \ +control_lib/buffer_int.v \ +control_lib/buffer_pool.v \ +control_lib/cascadefifo2.v \ +control_lib/dcache.v \ +control_lib/decoder_3_8.v \ +control_lib/dpram32.v \ +control_lib/extram_interface.v \ +control_lib/fifo_2clock.v \ +control_lib/fifo_2clock_casc.v \ +control_lib/gray2bin.v \ +control_lib/gray_send.v \ +control_lib/icache.v \ +control_lib/longfifo.v \ +control_lib/mux4.v \ +control_lib/mux8.v \ +control_lib/nsgpio.v \ +control_lib/ram_2port.v \ +control_lib/ram_harv_cache.v \ +control_lib/ram_loader.v \ +control_lib/setting_reg.v \ +control_lib/settings_bus.v \ +control_lib/shortfifo.v \ +control_lib/medfifo.v \ +control_lib/srl.v \ +control_lib/system_control.v \ +control_lib/wb_1master.v \ +control_lib/wb_readback_mux.v \ +control_lib/simple_uart.v \ +control_lib/simple_uart_tx.v \ +control_lib/simple_uart_rx.v \ +control_lib/oneshot_2clk.v \ +coregen/fifo_xlnx_2Kx36_2clk.v \ +coregen/fifo_xlnx_2Kx36_2clk.xco \ +coregen/fifo_xlnx_512x36_2clk.v \ +coregen/fifo_xlnx_512x36_2clk.xco \ +eth/mac_rxfifo_int.v \ +eth/mac_txfifo_int.v \ +eth/rtl/verilog/Clk_ctrl.v \ +eth/rtl/verilog/MAC_rx.v \ +eth/rtl/verilog/MAC_rx/Broadcast_filter.v \ +eth/rtl/verilog/MAC_rx/CRC_chk.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_FF.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v \ +eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v \ +eth/rtl/verilog/MAC_top.v \ +eth/rtl/verilog/MAC_tx.v \ +eth/rtl/verilog/MAC_tx/CRC_gen.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_FF.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v \ +eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v \ +eth/rtl/verilog/MAC_tx/Random_gen.v \ +eth/rtl/verilog/Phy_int.v \ +eth/rtl/verilog/RMON.v \ +eth/rtl/verilog/RMON/RMON_addr_gen.v \ +eth/rtl/verilog/RMON/RMON_ctrl.v \ +eth/rtl/verilog/Reg_int.v \ +eth/rtl/verilog/eth_miim.v \ +eth/rtl/verilog/flow_ctrl_rx.v \ +eth/rtl/verilog/flow_ctrl_tx.v \ +eth/rtl/verilog/miim/eth_clockgen.v \ +eth/rtl/verilog/miim/eth_outputcontrol.v \ +eth/rtl/verilog/miim/eth_shiftreg.v \ +opencores/8b10b/decode_8b10b.v \ +opencores/8b10b/encode_8b10b.v \ +opencores/aemb/rtl/verilog/aeMB_bpcu.v \ +opencores/aemb/rtl/verilog/aeMB_core_BE.v \ +opencores/aemb/rtl/verilog/aeMB_ctrl.v \ +opencores/aemb/rtl/verilog/aeMB_edk32.v \ +opencores/aemb/rtl/verilog/aeMB_ibuf.v \ +opencores/aemb/rtl/verilog/aeMB_regf.v \ +opencores/aemb/rtl/verilog/aeMB_xecu.v \ +opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v \ +opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v \ +opencores/i2c/rtl/verilog/i2c_master_defines.v \ +opencores/i2c/rtl/verilog/i2c_master_top.v \ +opencores/i2c/rtl/verilog/timescale.v \ +opencores/simple_pic/rtl/simple_pic.v \ +opencores/spi/rtl/verilog/spi_clgen.v \ +opencores/spi/rtl/verilog/spi_defines.v \ +opencores/spi/rtl/verilog/spi_shift.v \ +opencores/spi/rtl/verilog/spi_top.v \ +opencores/spi/rtl/verilog/timescale.v \ +sdr_lib/acc.v \ +sdr_lib/add2.v \ +sdr_lib/add2_and_round.v \ +sdr_lib/add2_and_round_reg.v \ +sdr_lib/add2_reg.v \ +sdr_lib/cic_dec_shifter.v \ +sdr_lib/cic_decim.v \ +sdr_lib/cic_int_shifter.v \ +sdr_lib/cic_interp.v \ +sdr_lib/cic_strober.v \ +sdr_lib/clip.v \ +sdr_lib/clip_reg.v \ +sdr_lib/cordic.v \ +sdr_lib/cordic_stage.v \ +sdr_lib/dsp_core_rx.v \ +sdr_lib/dsp_core_tx.v \ +sdr_lib/hb_dec.v \ +sdr_lib/hb_interp.v \ +sdr_lib/round.v \ +sdr_lib/round_reg.v \ +sdr_lib/rx_control.v \ +sdr_lib/rx_dcoffset.v \ +sdr_lib/sign_extend.v \ +sdr_lib/small_hb_dec.v \ +sdr_lib/small_hb_int.v \ +sdr_lib/tx_control.v \ +serdes/serdes.v \ +serdes/serdes_fc_rx.v \ +serdes/serdes_fc_tx.v \ +serdes/serdes_rx.v \ +serdes/serdes_tx.v \ +timing/time_receiver.v \ +timing/time_sender.v \ +timing/time_sync.v \ +timing/timer.v \ +top/u2_core/u2_core.v \ +top/u2_rev3/u2_rev3.ucf \ +top/u2_rev3/u2_rev3.v + +################################################## +# Process Properties +################################################## +export SYNTHESIZE_PROPERTIES := \ +"Number of Clock Buffers" 6 \ +"Pack I/O Registers into IOBs" Yes \ +"Optimization Effort" High \ +"Optimize Instantiated Primitives" TRUE \ +"Register Balancing" Yes \ +"Use Clock Enable" Auto \ +"Use Synchronous Reset" Auto \ +"Use Synchronous Set" Auto + +export TRANSLATE_PROPERTIES := \ +"Macro Search Path" "$(shell pwd)/../../coregen/" + +export MAP_PROPERTIES := \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" \ +"Perform Timing-Driven Packing and Placement" TRUE \ +"Map Effort Level" High \ +"Extra Effort" Normal \ +"Combinatorial Logic Optimization" TRUE \ +"Register Duplication" TRUE + +export PLACE_ROUTE_PROPERTIES := \ +"Place & Route Effort Level (Overall)" High + +export STATIC_TIMING_PROPERTIES := \ +"Number of Paths in Error/Verbose Report" 10 \ +"Report Type" "Error Report" + +export GEN_PROG_FILE_PROPERTIES := \ +"Configuration Rate" 6 \ +"Create Binary Configuration File" TRUE \ +"Done (Output Events)" 5 \ +"Enable Bitstream Compression" TRUE \ +"Enable Outputs (Output Events)" 6 + +export SIM_MODEL_PROPERTIES := "" + +################################################## +# Make Options +################################################## +all: + @echo make proj, check, synth, bin, or clean + +proj: + PROCESS_RUN="" $(XTCLSH) $(ISE_HELPER) + +check: + PROCESS_RUN="Check Syntax" $(XTCLSH) $(ISE_HELPER) + +synth: + PROCESS_RUN="Synthesize - XST" $(XTCLSH) $(ISE_HELPER) + +bin: + PROCESS_RUN="Generate Programming File" $(XTCLSH) $(ISE_HELPER) + +clean: + rm -rf $(BUILD_DIR) + + diff --git a/usrp2/fpga/top/u2_rev3/u2_rev3.ucf b/usrp2/fpga/top/u2_rev3/u2_rev3.ucf new file mode 100644 index 00000000..255a298a --- /dev/null +++ b/usrp2/fpga/top/u2_rev3/u2_rev3.ucf @@ -0,0 +1,333 @@ +NET "leds[0]" LOC = "E8" ; +NET "leds[1]" LOC = "F7" ; +NET "leds[2]" LOC = "E5" ; +NET "leds[3]" LOC = "B7" ; +NET "leds[4]" LOC = "C11" ; +NET "leds[5]" LOC = "AB19" ; +NET "debug[0]" LOC = "N5" ; +NET "debug[1]" LOC = "N6" ; +NET "debug[2]" LOC = "P1" ; +NET "debug[3]" LOC = "P2" ; +NET "debug[4]" LOC = "P4" ; +NET "debug[5]" LOC = "P5" ; +NET "debug[6]" LOC = "R1" ; +NET "debug[7]" LOC = "R2" ; +NET "debug[8]" LOC = "P6" ; +NET "debug[9]" LOC = "R5" ; +NET "debug[10]" LOC = "R4" ; +NET "debug[11]" LOC = "T3" ; +NET "debug[12]" LOC = "U3" ; +NET "debug[13]" LOC = "M2" ; +NET "debug[14]" LOC = "M3" ; +NET "debug[15]" LOC = "M4" ; +NET "debug[16]" LOC = "M5" ; +NET "debug[17]" LOC = "M6" ; +NET "debug[18]" LOC = "N1" ; +NET "debug[19]" LOC = "N2" ; +NET "debug[20]" LOC = "N3" ; +NET "debug[21]" LOC = "T1" ; +NET "debug[22]" LOC = "T2" ; +NET "debug[23]" LOC = "U2" ; +NET "debug[24]" LOC = "T4" ; +NET "debug[25]" LOC = "U4" ; +NET "debug[26]" LOC = "T5" ; +NET "debug[27]" LOC = "T6" ; +NET "debug[28]" LOC = "U5" ; +NET "debug[29]" LOC = "V5" ; +NET "debug[30]" LOC = "W2" ; +NET "debug[31]" LOC = "W3" ; +NET "debug_clk[0]" LOC = "N4" ; +NET "debug_clk[1]" LOC = "M1" ; +NET "uart_tx_o" LOC = "C7" ; +NET "uart_rx_i" LOC = "A3" ; +NET "exp_pps_in_p" LOC = "V3" ; +NET "exp_pps_in_n" LOC = "V4" ; +NET "exp_pps_out_p" LOC = "V1" ; +NET "exp_pps_out_n" LOC = "V2" ; +NET "GMII_COL" LOC = "U16" ; +NET "GMII_CRS" LOC = "U17" ; +NET "GMII_TXD[0]" LOC = "W14" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[1]" LOC = "AA20" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[2]" LOC = "AB20" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[3]" LOC = "Y18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[4]" LOC = "AA18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[5]" LOC = "AB18" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[6]" LOC = "V17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TXD[7]" LOC = "W17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_EN" LOC = "Y17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_ER" LOC = "V16" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_GTX_CLK" LOC = "AA17" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "GMII_TX_CLK" LOC = "W13" ; +NET "GMII_RXD[0]" LOC = "AA15" ; +NET "GMII_RXD[1]" LOC = "AB15" ; +NET "GMII_RXD[2]" LOC = "U14" ; +NET "GMII_RXD[3]" LOC = "V14" ; +NET "GMII_RXD[4]" LOC = "U13" ; +NET "GMII_RXD[5]" LOC = "V13" ; +NET "GMII_RXD[6]" LOC = "Y13" ; +NET "GMII_RXD[7]" LOC = "AA13" ; +NET "GMII_RX_CLK" LOC = "AA12" ; +NET "GMII_RX_DV" LOC = "AB16" ; +NET "GMII_RX_ER" LOC = "AA16" ; +NET "MDIO" LOC = "Y16" |PULLUP ; +NET "MDC" LOC = "V18" ; +NET "PHY_INTn" LOC = "AB13" ; +NET "PHY_RESETn" LOC = "AA19" ; +NET "PHY_CLK" LOC = "V15" ; +NET "RAM_D[0]" LOC = "N20" ; +NET "RAM_D[1]" LOC = "N21" ; +NET "RAM_D[2]" LOC = "N22" ; +NET "RAM_D[3]" LOC = "M17" ; +NET "RAM_D[4]" LOC = "M18" ; +NET "RAM_D[5]" LOC = "M19" ; +NET "RAM_D[6]" LOC = "M20" ; +NET "RAM_D[7]" LOC = "M21" ; +NET "RAM_D[8]" LOC = "M22" ; +NET "RAM_D[9]" LOC = "Y22" ; +NET "RAM_D[10]" LOC = "Y21" ; +NET "RAM_D[11]" LOC = "Y20" ; +NET "RAM_D[12]" LOC = "Y19" ; +NET "RAM_D[13]" LOC = "W22" ; +NET "RAM_D[14]" LOC = "W21" ; +NET "RAM_D[15]" LOC = "W20" ; +NET "RAM_D[16]" LOC = "W19" ; +NET "RAM_D[17]" LOC = "V22" ; +NET "RAM_A[0]" LOC = "U21" ; +NET "RAM_A[1]" LOC = "T19" ; +NET "RAM_A[2]" LOC = "V21" ; +NET "RAM_A[3]" LOC = "V20" ; +NET "RAM_A[4]" LOC = "T20" ; +NET "RAM_A[5]" LOC = "T21" ; +NET "RAM_A[6]" LOC = "T22" ; +NET "RAM_A[7]" LOC = "T18" ; +NET "RAM_A[8]" LOC = "R18" ; +NET "RAM_A[9]" LOC = "P19" ; +NET "RAM_A[10]" LOC = "P21" ; +NET "RAM_A[11]" LOC = "P22" ; +NET "RAM_A[12]" LOC = "N19" ; +NET "RAM_A[13]" LOC = "N17" ; +NET "RAM_A[14]" LOC = "N18" ; +NET "RAM_A[15]" LOC = "T17" ; +NET "RAM_A[16]" LOC = "U19" ; +NET "RAM_A[17]" LOC = "U18" ; +NET "RAM_A[18]" LOC = "V19" ; +NET "RAM_CE1n" LOC = "U20" ; +NET "RAM_CENn" LOC = "P18" ; +NET "RAM_CLK" LOC = "P17" ; +NET "RAM_WEn" LOC = "R22" ; +NET "RAM_OEn" LOC = "R21" ; +NET "RAM_LDn" LOC = "R19" ; +NET "ser_enable" LOC = "W11" ; +NET "ser_prbsen" LOC = "AA3" ; +NET "ser_loopen" LOC = "Y4" ; +NET "ser_rx_en" LOC = "AB9" ; +NET "ser_tx_clk" LOC = "U7" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[0]" LOC = "V7" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[1]" LOC = "V10" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[2]" LOC = "AB4" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[3]" LOC = "AA4" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[4]" LOC = "Y5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[5]" LOC = "W5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[6]" LOC = "AB5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[7]" LOC = "AA5" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[8]" LOC = "W6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[9]" LOC = "V6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[10]" LOC = "AA6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[11]" LOC = "Y6" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[12]" LOC = "W8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[13]" LOC = "V8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[14]" LOC = "AB8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_t[15]" LOC = "AA8" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_tklsb" LOC = "U10" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_tkmsb" LOC = "U11" |IOSTANDARD = LVCMOS25 |DRIVE = 12 |SLEW = FAST ; +NET "ser_rx_clk" LOC = "AA11" ; +NET "ser_r[0]" LOC = "AB10" ; +NET "ser_r[1]" LOC = "AA10" ; +NET "ser_r[2]" LOC = "U9" ; +NET "ser_r[3]" LOC = "U6" ; +NET "ser_r[4]" LOC = "AB11" ; +NET "ser_r[5]" LOC = "Y7" ; +NET "ser_r[6]" LOC = "W7" ; +NET "ser_r[7]" LOC = "AB7" ; +NET "ser_r[8]" LOC = "AA7" ; +NET "ser_r[9]" LOC = "W9" ; +NET "ser_r[10]" LOC = "W10" ; +NET "ser_r[11]" LOC = "Y1" ; +NET "ser_r[12]" LOC = "Y3" ; +NET "ser_r[13]" LOC = "Y2" ; +NET "ser_r[14]" LOC = "W4" ; +NET "ser_r[15]" LOC = "W1" ; +NET "ser_rklsb" LOC = "V9" ; +NET "ser_rkmsb" LOC = "Y10" ; +NET "cpld_start" LOC = "AA9" ; +NET "cpld_mode" LOC = "U12" ; +NET "cpld_done" LOC = "V12" ; +NET "cpld_din" LOC = "AA14" ; +NET "cpld_clk" LOC = "AB14" ; +NET "cpld_detached" LOC = "V11" ; +NET "cpld_init_b" LOC = "W12" ; +NET "cpld_misc" LOC = "Y12" ; +NET "POR" LOC = "W18" ; +NET "WDI" LOC = "W15" ; +NET "adc_a[0]" LOC = "A14" | IOBDELAY= "NONE" ; +NET "adc_a[1]" LOC = "B14" | IOBDELAY= "NONE" ; +NET "adc_a[2]" LOC = "C13" | IOBDELAY= "NONE" ; +NET "adc_a[3]" LOC = "D13" | IOBDELAY= "NONE" ; +NET "adc_a[4]" LOC = "A13" | IOBDELAY= "NONE" ; +NET "adc_a[5]" LOC = "B13" | IOBDELAY= "NONE" ; +NET "adc_a[6]" LOC = "E12" | IOBDELAY= "NONE" ; +NET "adc_a[7]" LOC = "C22" | IOBDELAY= "NONE" ; +NET "adc_a[8]" LOC = "C20" | IOBDELAY= "NONE" ; +NET "adc_a[9]" LOC = "C21" | IOBDELAY= "NONE" ; +NET "adc_a[10]" LOC = "D20" | IOBDELAY= "NONE" ; +NET "adc_a[11]" LOC = "D19" | IOBDELAY= "NONE" ; +NET "adc_a[12]" LOC = "D21" | IOBDELAY= "NONE" ; +NET "adc_a[13]" LOC = "E18" | IOBDELAY= "NONE" ; +NET "adc_ovf_a" LOC = "F18" ; +NET "adc_oen_a" LOC = "E19" ; +NET "adc_pdn_a" LOC = "E20" ; +NET "adc_b[0]" LOC = "A12" | IOBDELAY= "NONE"; +NET "adc_b[1]" LOC = "E16" | IOBDELAY= "NONE" ; +NET "adc_b[2]" LOC = "F12" | IOBDELAY= "NONE" ; +NET "adc_b[3]" LOC = "F13" | IOBDELAY= "NONE" ; +NET "adc_b[4]" LOC = "F16" | IOBDELAY= "NONE" ; +NET "adc_b[5]" LOC = "F17" | IOBDELAY= "NONE" ; +NET "adc_b[6]" LOC = "C19" | IOBDELAY= "NONE" ; +NET "adc_b[7]" LOC = "B20" | IOBDELAY= "NONE" ; +NET "adc_b[8]" LOC = "B19" | IOBDELAY= "NONE" ; +NET "adc_b[9]" LOC = "C18" | IOBDELAY= "NONE" ; +NET "adc_b[10]" LOC = "D18" | IOBDELAY= "NONE" ; +NET "adc_b[11]" LOC = "B18" | IOBDELAY= "NONE" ; +NET "adc_b[12]" LOC = "D17" | IOBDELAY= "NONE" ; +NET "adc_b[13]" LOC = "E17" | IOBDELAY= "NONE" ; +NET "adc_ovf_b" LOC = "B17" ; +NET "adc_oen_b" LOC = "C17" ; +NET "adc_pdn_b" LOC = "D15" ; +NET "dac_a[0]" LOC = "A5" ; +NET "dac_a[1]" LOC = "B5" ; +NET "dac_a[2]" LOC = "C5" ; +NET "dac_a[3]" LOC = "D5" ; +NET "dac_a[4]" LOC = "A4" ; +NET "dac_a[5]" LOC = "B4" ; +NET "dac_a[6]" LOC = "F6" ; +NET "dac_a[7]" LOC = "D10" ; +NET "dac_a[8]" LOC = "D9" ; +NET "dac_a[9]" LOC = "A10" ; +NET "dac_a[10]" LOC = "L2" ; +NET "dac_a[11]" LOC = "L4" ; +NET "dac_a[12]" LOC = "L3" ; +NET "dac_a[13]" LOC = "L6" ; +NET "dac_a[14]" LOC = "L5" ; +NET "dac_a[15]" LOC = "K2" ; +NET "dac_b[0]" LOC = "D11" ; +NET "dac_b[1]" LOC = "E11" ; +NET "dac_b[2]" LOC = "F11" ; +NET "dac_b[3]" LOC = "B10" ; +NET "dac_b[4]" LOC = "C10" ; +NET "dac_b[5]" LOC = "E10" ; +NET "dac_b[6]" LOC = "F10" ; +NET "dac_b[7]" LOC = "A9" ; +NET "dac_b[8]" LOC = "B9" ; +NET "dac_b[9]" LOC = "E9" ; +NET "dac_b[10]" LOC = "F9" ; +NET "dac_b[11]" LOC = "A8" ; +NET "dac_b[12]" LOC = "B8" ; +NET "dac_b[13]" LOC = "D7" ; +NET "dac_b[14]" LOC = "E7" ; +NET "dac_b[15]" LOC = "B6" ; +NET "dac_lock" LOC = "D6" ; +NET "SCL" LOC = "A7" ; +NET "SDA" LOC = "D8" ; +NET "clk_en[0]" LOC = "C4" ; +NET "clk_en[1]" LOC = "D1" ; +NET "clk_sel[0]" LOC = "C3" ; +NET "clk_sel[1]" LOC = "C2" ; +NET "clk_func" LOC = "C12" ; +NET "clk_status" LOC = "B12" ; +NET "clk_fpga_p" LOC = "A11" ; +NET "clk_fpga_n" LOC = "B11" ; +NET "clk_to_mac" LOC = "AB12" ; +NET "pps_in" LOC = "K1" ; +NET "sclk" LOC = "K5" ; +NET "sen_clk" LOC = "K6" ; +NET "sen_dac" LOC = "L1" ; +NET "sdi" LOC = "J1" ; +NET "sdo" LOC = "J2" ; +NET "sen_tx_db" LOC = "C1" ; +NET "sclk_tx_db" LOC = "D3" ; +NET "sdo_tx_db" LOC = "G3" ; +NET "sdi_tx_db" LOC = "G4" ; +NET "sen_tx_adc" LOC = "G2" ; +NET "sclk_tx_adc" LOC = "H1" ; +NET "sdo_tx_adc" LOC = "H2" ; +NET "sdi_tx_adc" LOC = "J4" ; +NET "sen_tx_dac" LOC = "H4" ; +NET "sclk_tx_dac" LOC = "J5" ; +NET "sdi_tx_dac" LOC = "J6" ; +NET "io_tx[0]" LOC = "K4" ; +NET "io_tx[1]" LOC = "K3" ; +NET "io_tx[2]" LOC = "G1" ; +NET "io_tx[3]" LOC = "G5" ; +NET "io_tx[4]" LOC = "H5" ; +NET "io_tx[5]" LOC = "F3" ; +NET "io_tx[6]" LOC = "F2" ; +NET "io_tx[7]" LOC = "F5" ; +NET "io_tx[8]" LOC = "G6" ; +NET "io_tx[9]" LOC = "E2" ; +NET "io_tx[10]" LOC = "E1" ; +NET "io_tx[11]" LOC = "E3" ; +NET "io_tx[12]" LOC = "F4" ; +NET "io_tx[13]" LOC = "D2" ; +NET "io_tx[14]" LOC = "D4" ; +NET "io_tx[15]" LOC = "E4" ; +NET "sen_rx_db" LOC = "D22" ; +NET "sclk_rx_db" LOC = "F19" ; +NET "sdo_rx_db" LOC = "G20" ; +NET "sdi_rx_db" LOC = "H19" ; +NET "sen_rx_adc" LOC = "H18" ; +NET "sclk_rx_adc" LOC = "J17" ; +NET "sdo_rx_adc" LOC = "H21" ; +NET "sdi_rx_adc" LOC = "H22" ; +NET "sen_rx_dac" LOC = "J18" ; +NET "sclk_rx_dac" LOC = "J19" ; +NET "sdi_rx_dac" LOC = "J21" ; +NET "io_rx[0]" LOC = "L21" ; +NET "io_rx[1]" LOC = "L20" ; +NET "io_rx[2]" LOC = "L19" ; +NET "io_rx[3]" LOC = "L18" ; +NET "io_rx[4]" LOC = "L17" ; +NET "io_rx[5]" LOC = "K22" ; +NET "io_rx[6]" LOC = "K21" ; +NET "io_rx[7]" LOC = "K20" ; +NET "io_rx[8]" LOC = "G22" ; +NET "io_rx[9]" LOC = "G21" ; +NET "io_rx[10]" LOC = "F21" ; +NET "io_rx[11]" LOC = "F20" ; +NET "io_rx[12]" LOC = "G19" ; +NET "io_rx[13]" LOC = "G18" ; +NET "io_rx[14]" LOC = "G17" ; +NET "io_rx[15]" LOC = "E22" ; + +NET "clk_to_mac" TNM_NET = "clk_to_mac"; +TIMESPEC "TS_clk_to_mac" = PERIOD "clk_to_mac" 8 ns HIGH 50 %; + +NET "clk_fpga_p" TNM_NET = "clk_fpga_p"; +TIMESPEC "TS_clk_fpga_p" = PERIOD "clk_fpga_p" 10 ns HIGH 50 %; + +NET "cpld_clk" TNM_NET = "cpld_clk"; +TIMESPEC "TS_cpld_clk" = PERIOD "cpld_clk" 40 ns HIGH 50 %; + +NET "GMII_RX_CLK" TNM_NET = "GMII_RX_CLK"; +TIMESPEC "TS_GMII_RX_CLK" = PERIOD "GMII_RX_CLK" 8 ns HIGH 50 %; + +NET "ser_rx_clk" TNM_NET = "ser_rx_clk"; +TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %; + +NET "cpld_clk" CLOCK_DEDICATED_ROUTE = FALSE; + +#NET "adc_a<*>" TNM_NET = ADC_DATA_GRP; +#NET "adc_b<*>" TNM_NET = ADC_DATA_GRP; +#TIMEGRP "ADC_DATA_GRP" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; + +#NET "adc_a<*>" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; +#NET "adc_b<*>" OFFSET = IN 1 ns VALID 5 ns BEFORE "clk_fpga_p" RISING; diff --git a/usrp2/fpga/top/u2_rev3/u2_rev3.v b/usrp2/fpga/top/u2_rev3/u2_rev3.v new file mode 100644 index 00000000..c1a81a96 --- /dev/null +++ b/usrp2/fpga/top/u2_rev3/u2_rev3.v @@ -0,0 +1,426 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +module u2_rev3 + ( + // Misc, debug + output [5:0] leds, + output [31:0] debug, + output [1:0] debug_clk, + output uart_tx_o, + input uart_rx_i, + + // Expansion + input exp_pps_in_p, // Diff + input exp_pps_in_n, // Diff + output exp_pps_out_p, // Diff + output exp_pps_out_n, // Diff + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output reg [7:0] GMII_TXD, + output reg GMII_TX_EN, + output reg GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + output PHY_RESETn, + input PHY_CLK, // possibly use on-board osc + + // RAM + inout [17:0] RAM_D, + output [18:0] RAM_A, + output RAM_CE1n, + output RAM_CENn, + output RAM_CLK, + output RAM_WEn, + output RAM_OEn, + output RAM_LDn, + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output reg [15:0] ser_t, + output reg ser_tklsb, + output reg ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // CPLD interface + output cpld_start, // AA9 + output cpld_mode, // U12 + output cpld_done, // V12 + input cpld_din, // AA14 Now shared with CFG_Din + input cpld_clk, // AB14 serial clock + input cpld_detached,// V11 unused + output cpld_init_b, // W12 unused dual purpose + input cpld_misc, // Y12 unused + + // Watchdog interface + input POR, + output WDI, + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_oen_a, + output adc_pdn_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_oen_b, + output adc_pdn_b, + + // DAC + output reg [15:0] dac_a, + output reg [15:0] dac_b, + input dac_lock, // unused for now + + // I2C + inout SCL, + inout SDA, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Clocks + input clk_fpga_p, // Diff + input clk_fpga_n, // Diff + input clk_to_mac, + input pps_in, + + // Generic SPI + output sclk, + output sen_clk, + output sen_dac, + output sdi, + input sdo, + + // TX DBoard + output sen_tx_db, + output sclk_tx_db, + input sdo_tx_db, + output sdi_tx_db, + + output sen_tx_adc, + output sclk_tx_adc, + input sdo_tx_adc, + output sdi_tx_adc, + + output sen_tx_dac, + output sclk_tx_dac, + output sdi_tx_dac, + + inout [15:0] io_tx, + + // RX DBoard + output sen_rx_db, + output sclk_rx_db, + input sdo_rx_db, + output sdi_rx_db, + + output sen_rx_adc, + output sclk_rx_adc, + input sdo_rx_adc, + output sdi_rx_adc, + + output sen_rx_dac, + output sclk_rx_dac, + output sdi_rx_dac, + + inout [15:0] io_rx + ); + + assign cpld_init_b = 0; + // FPGA-specific pins connections + wire clk_fpga, dsp_clk, clk_div, dcm_out, wb_clk, clock_ready; + wire clk90, clk180, clk270; + + // reset the watchdog continuously + reg [15:0] wd; + always @(posedge wb_clk) + if(POR) + wd <= 0; + else + wd <= wd + 1; + assign WDI = wd[15]; + + IBUFGDS clk_fpga_pin (.O(clk_fpga),.I(clk_fpga_p),.IB(clk_fpga_n)); + defparam clk_fpga_pin.IOSTANDARD = "LVPECL_25"; + + wire exp_pps_in; + IBUFDS exp_pps_in_pin (.O(exp_pps_in),.I(exp_pps_in_p),.IB(exp_pps_in_n)); + defparam exp_pps_in_pin.IOSTANDARD = "LVDS_25"; + + wire exp_pps_out; + OBUFDS exp_pps_out_pin (.O(exp_pps_out_p),.OB(exp_pps_out_n),.I(exp_pps_out)); + defparam exp_pps_out_pin.IOSTANDARD = "LVDS_25"; + + reg [5:0] clock_ready_d; + always @(posedge clk_fpga) + clock_ready_d[5:0] <= {clock_ready_d[4:0],clock_ready}; + wire dcm_rst = ~&clock_ready_d & |clock_ready_d; + + wire adc_on_a, adc_on_b, adc_oe_a, adc_oe_b; + assign adc_oen_a = ~adc_oe_a; + assign adc_oen_b = ~adc_oe_b; + assign adc_pdn_a = ~adc_on_a; + assign adc_pdn_b = ~adc_on_b; + + reg [13:0] adc_a_reg1, adc_b_reg1, adc_a_reg2, adc_b_reg2; + reg adc_ovf_a_reg1, adc_ovf_a_reg2, adc_ovf_b_reg1, adc_ovf_b_reg2; + + always @(posedge dsp_clk) + begin + adc_a_reg1 <= adc_a; + adc_b_reg1 <= adc_b; + adc_ovf_a_reg1 <= adc_ovf_a; + adc_ovf_b_reg1 <= adc_ovf_b; + end + + always @(posedge dsp_clk) + begin + adc_a_reg2 <= adc_a_reg1; + adc_b_reg2 <= adc_b_reg1; + adc_ovf_a_reg2 <= adc_ovf_a_reg1; + adc_ovf_b_reg2 <= adc_ovf_b_reg1; + end // always @ (posedge dsp_clk) + + // Handle Clocks + DCM DCM_INST (.CLKFB(dsp_clk), + .CLKIN(clk_fpga), + .DSSEN(0), + .PSCLK(0), + .PSEN(0), + .PSINCDEC(0), + .RST(dcm_rst), + .CLKDV(clk_div), + .CLKFX(), + .CLKFX180(), + .CLK0(dcm_out), + .CLK2X(), + .CLK2X180(), + .CLK90(clk90), + .CLK180(clk180), + .CLK270(clk270), + .LOCKED(LOCKED_OUT), + .PSDONE(), + .STATUS()); + defparam DCM_INST.CLK_FEEDBACK = "1X"; + defparam DCM_INST.CLKDV_DIVIDE = 2.0; + defparam DCM_INST.CLKFX_DIVIDE = 1; + defparam DCM_INST.CLKFX_MULTIPLY = 4; + defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE"; + defparam DCM_INST.CLKIN_PERIOD = 10.000; + defparam DCM_INST.CLKOUT_PHASE_SHIFT = "NONE"; + defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS"; + defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE"; + defparam DCM_INST.FACTORY_JF = 16'h8080; + defparam DCM_INST.PHASE_SHIFT = 0; + defparam DCM_INST.STARTUP_WAIT = "FALSE"; + + BUFG dspclk_BUFG (.I(dcm_out), .O(dsp_clk)); + BUFG wbclk_BUFG (.I(clk_div), .O(wb_clk)); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl_pin(.O(scl_pad_i), .IO(SCL), .I(scl_pad_o), .T(scl_pad_oen_o)); + IOBUF sda_pin(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_pad_oen_o)); + + // LEDs are active low outputs + wire [5:0] leds_int; + assign leds = 6'b011111 ^ leds_int; // all except eth are active-low + + // SPI + wire miso, mosi, sclk_int; + assign {sclk,sdi} = (~sen_clk | ~sen_dac) ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_db,sdi_tx_db} = ~sen_tx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_dac,sdi_tx_dac} = ~sen_tx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_adc,sdi_tx_adc} = ~sen_tx_adc ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_db,sdi_rx_db} = ~sen_rx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_dac,sdi_rx_dac} = ~sen_rx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_adc,sdi_rx_adc} = ~sen_rx_adc ? {sclk_int,mosi} : 2'b0; + + assign miso = (~sen_clk & sdo) | (~sen_dac & sdo) | + (~sen_tx_db & sdo_tx_db) | (~sen_tx_adc & sdo_tx_adc) | + (~sen_rx_db & sdo_rx_db) | (~sen_rx_adc & sdo_rx_adc); + + wire GMII_TX_EN_unreg, GMII_TX_ER_unreg; + wire [7:0] GMII_TXD_unreg; + wire GMII_GTX_CLK_int; + + always @(posedge GMII_GTX_CLK_int) + begin + GMII_TX_EN <= GMII_TX_EN_unreg; + GMII_TX_ER <= GMII_TX_ER_unreg; + GMII_TXD <= GMII_TXD_unreg; + end + + OFDDRRSE OFDDRRSE_gmii_inst + (.Q(GMII_GTX_CLK), // Data output (connect directly to top-level port) + .C0(GMII_GTX_CLK_int), // 0 degree clock input + .C1(~GMII_GTX_CLK_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + + wire ser_tklsb_unreg, ser_tkmsb_unreg; + wire [15:0] ser_t_unreg; + wire ser_tx_clk_int; + + always @(posedge ser_tx_clk_int) + begin + ser_tklsb <= ser_tklsb_unreg; + ser_tkmsb <= ser_tkmsb_unreg; + ser_t <= ser_t_unreg; + end + + assign ser_tx_clk = clk_fpga; + + reg [15:0] ser_r_int; + reg ser_rklsb_int, ser_rkmsb_int; + + always @(posedge ser_rx_clk) + begin + ser_r_int <= ser_r; + ser_rklsb_int <= ser_rklsb; + ser_rkmsb_int <= ser_rkmsb; + end + + wire [15:0] dac_a_int, dac_b_int; + always @(negedge dsp_clk) dac_a <= dac_a_int; + always @(negedge dsp_clk) dac_b <= dac_b_int; + + /* + OFDDRRSE OFDDRRSE_serdes_inst + (.Q(ser_tx_clk), // Data output (connect directly to top-level port) + .C0(ser_tx_clk_int), // 0 degree clock input + .C1(~ser_tx_clk_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + */ + u2_core #(.RAM_SIZE(32768)) + u2_core(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .leds (leds_int), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD_unreg[7:0]), + .GMII_TX_EN (GMII_TX_EN_unreg), + .GMII_TX_ER (GMII_TX_ER_unreg), + .GMII_GTX_CLK (GMII_GTX_CLK_int), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk_int), + .ser_t (ser_t_unreg[15:0]), + .ser_tklsb (ser_tklsb_unreg), + .ser_tkmsb (ser_tkmsb_unreg), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r_int[15:0]), + .ser_rklsb (ser_rklsb_int), + .ser_rkmsb (ser_rkmsb_int), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a_reg2), + .adc_ovf_a (adc_ovf_a_reg2), + .adc_on_a (adc_on_a), + .adc_oe_a (adc_oe_a), + .adc_b (adc_b_reg2), + .adc_ovf_b (adc_ovf_b_reg2), + .adc_on_b (adc_on_b), + .adc_oe_b (adc_oe_b), + .dac_a (dac_a_int), + .dac_b (dac_b_int), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk_int), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0]), + .RAM_D (RAM_D), + .RAM_A (RAM_A), + .RAM_CE1n (RAM_CE1n), + .RAM_CENn (RAM_CENn), + .RAM_CLK (RAM_CLK), + .RAM_WEn (RAM_WEn), + .RAM_OEn (RAM_OEn), + .RAM_LDn (RAM_LDn), + .uart_tx_o (uart_tx_o), + .uart_rx_i (uart_rx_i), + .uart_baud_o (), + .sim_mode (1'b0), + .clock_divider (2) + ); + +endmodule // u2_rev2 diff --git a/usrp2/fpga/top/u2plus/u2plus.ucf b/usrp2/fpga/top/u2plus/u2plus.ucf new file mode 100755 index 00000000..3f71d0b1 --- /dev/null +++ b/usrp2/fpga/top/u2plus/u2plus.ucf @@ -0,0 +1,280 @@ +NET "leds[0]" LOC = "A17" ; +NET "leds[1]" LOC = "B20" ; +NET "leds[2]" LOC = "D13" ; +NET "leds[3]" LOC = "A14" ; +NET "leds[4]" LOC = "W15" ; +NET "dipsw[0]" LOC = "C11" ; +NET "dipsw[1]" LOC = "F12" ; +NET "dipsw[2]" LOC = "E17" ; +NET "dipsw[3]" LOC = "E10" ; +NET "debug[0]" LOC = "AB19" ; +NET "debug[1]" LOC = "AA19" ; +NET "debug[2]" LOC = "U14" ; +NET "debug[3]" LOC = "U15" ; +NET "debug[4]" LOC = "AB17" ; +NET "debug[5]" LOC = "AB18" ; +NET "debug[6]" LOC = "Y13" ; +NET "debug[7]" LOC = "W14" ; +NET "debug[8]" LOC = "U13" ; +NET "debug[9]" LOC = "AA15" ; +NET "debug[10]" LOC = "AB14" ; +NET "debug[11]" LOC = "Y8" ; +NET "debug[12]" LOC = "Y9" ; +NET "debug[13]" LOC = "V7" ; +NET "debug[14]" LOC = "U8" ; +NET "debug[15]" LOC = "V10" ; +NET "debug[16]" LOC = "U9" ; +NET "debug[17]" LOC = "AB7" ; +NET "debug[18]" LOC = "AA8" ; +NET "debug[19]" LOC = "W8" ; +NET "debug[20]" LOC = "V8" ; +NET "debug[21]" LOC = "AB5" ; +NET "debug[22]" LOC = "AB6" ; +NET "debug[23]" LOC = "AB4" ; +NET "debug[24]" LOC = "AA4" ; +NET "debug[25]" LOC = "W5" ; +NET "debug[26]" LOC = "Y4" ; +NET "debug[27]" LOC = "V11" ; +NET "debug[28]" LOC = "U10" ; +NET "debug[29]" LOC = "AB10" ; +NET "debug[30]" LOC = "AA10" ; +NET "debug[31]" LOC = "Y5" ; +NET "debug_clk[0]" LOC = "V16" ; +NET "debug_clk[1]" LOC = "U16" ; +NET "uart_tx_o" LOC = "C19" ; +NET "uart_rx_i" LOC = "A20" ; +NET "exp_pps_in_p" LOC = "AA17" ; +NET "exp_pps_in_n" LOC = "AB16" ; +NET "exp_pps_out_p" LOC = "Y18" ; +NET "exp_pps_out_n" LOC = "Y19" ; +NET "GMII_COL" LOC = "J19" ; +NET "GMII_CRS" LOC = "E22" ; +NET "GMII_TXD[0]" LOC = "F22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[1]" LOC = "G18" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[2]" LOC = "G17" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[3]" LOC = "E20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[4]" LOC = "F21" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[5]" LOC = "E19" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[6]" LOC = "D20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TXD[7]" LOC = "D22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TX_EN" LOC = "D21" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TX_ER" LOC = "F19" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_GTX_CLK" LOC = "F18" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "GMII_TX_CLK" LOC = "L20" ; +NET "GMII_RXD[0]" LOC = "K17" ; +NET "GMII_RXD[1]" LOC = "L18" ; +NET "GMII_RXD[2]" LOC = "J22" ; +NET "GMII_RXD[3]" LOC = "J21" ; +NET "GMII_RXD[4]" LOC = "G20" ; +NET "GMII_RXD[5]" LOC = "H21" ; +NET "GMII_RXD[6]" LOC = "C21" ; +NET "GMII_RXD[7]" LOC = "C22" ; +NET "GMII_RX_CLK" LOC = "L21" ; +NET "GMII_RX_DV" LOC = "G19" ; +NET "GMII_RX_ER" LOC = "F20" ; +NET "MDIO" LOC = "H22" | PULLUP ; +NET "MDC" LOC = "G22" ; +NET "PHY_INTn" LOC = "H20" ; +NET "PHY_RESETn" LOC = "J17" ; +NET "PHY_CLK" LOC = "M18" ; +NET "clk_to_mac" LOC = "L17" ; +NET "eth_led" LOC = "K16" ; +NET "ser_enable" LOC = "Y21" ; +NET "ser_prbsen" LOC = "U19" ; +NET "ser_loopen" LOC = "U18" ; +NET "ser_rx_en" LOC = "AA22" ; +NET "ser_tx_clk" LOC = "J20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[0]" LOC = "U20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[1]" LOC = "R18" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[2]" LOC = "P19" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[3]" LOC = "U22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[4]" LOC = "P16" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[5]" LOC = "N17" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[6]" LOC = "P22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[7]" LOC = "R22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[8]" LOC = "N19" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[9]" LOC = "N20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[10]" LOC = "M22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[11]" LOC = "N22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[12]" LOC = "K22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[13]" LOC = "L22" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[14]" LOC = "K18" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_t[15]" LOC = "K19" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_tklsb" LOC = "K20" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_tkmsb" LOC = "H18" | IOSTANDARD = LVCMOS25 | DRIVE = 12 | SLEW = FAST ; +NET "ser_rx_clk" LOC = "N21" ; +NET "ser_r[0]" LOC = "T22" ; +NET "ser_r[1]" LOC = "W20" ; +NET "ser_r[2]" LOC = "W21" ; +NET "ser_r[3]" LOC = "U21" ; +NET "ser_r[4]" LOC = "V22" ; +NET "ser_r[5]" LOC = "P17" ; +NET "ser_r[6]" LOC = "R17" ; +NET "ser_r[7]" LOC = "P20" ; +NET "ser_r[8]" LOC = "R21" ; +NET "ser_r[9]" LOC = "V20" ; +NET "ser_r[10]" LOC = "W19" ; +NET "ser_r[11]" LOC = "T17" ; +NET "ser_r[12]" LOC = "T18" ; +NET "ser_r[13]" LOC = "Y22" ; +NET "ser_r[14]" LOC = "W22" ; +NET "ser_r[15]" LOC = "R20" ; +NET "ser_rklsb" LOC = "R19" ; +NET "ser_rkmsb" LOC = "T20" ; +NET "adc_a[0]" LOC = "P3" ; +NET "adc_a[1]" LOC = "N3" ; +NET "adc_a[2]" LOC = "AA1" ; +NET "adc_a[3]" LOC = "Y2" ; +NET "adc_a[4]" LOC = "C5" ; +NET "adc_a[5]" LOC = "E6" ; +NET "adc_a[6]" LOC = "C7" ; +NET "adc_a[7]" LOC = "E8" ; +NET "adc_a[8]" LOC = "F8" ; +NET "adc_a[9]" LOC = "A4" ; +NET "adc_a[10]" LOC = "B4" ; +NET "adc_a[11]" LOC = "C4" ; +NET "adc_a[12]" LOC = "D5" ; +NET "adc_a[13]" LOC = "A3" ; +NET "adc_ovf_a" LOC = "B3" ; +NET "adc_oen_a" LOC = "A6" ; +NET "adc_pdn_a" LOC = "D7" ; +NET "adc_b[0]" LOC = "J1" ; +NET "adc_b[1]" LOC = "M1" ; +NET "adc_b[2]" LOC = "P4" ; +NET "adc_b[3]" LOC = "E1" ; +NET "adc_b[4]" LOC = "D1" ; +NET "adc_b[5]" LOC = "D4" ; +NET "adc_b[6]" LOC = "D3" ; +NET "adc_b[7]" LOC = "J7" ; +NET "adc_b[8]" LOC = "J6" ; +NET "adc_b[9]" LOC = "J4" ; +NET "adc_b[10]" LOC = "J3" ; +NET "adc_b[11]" LOC = "N4" ; +NET "adc_b[12]" LOC = "M3" ; +NET "adc_b[13]" LOC = "U3" ; +NET "adc_ovf_b" LOC = "T3" ; +NET "adc_oen_b" LOC = "B6" ; +NET "adc_pdn_b" LOC = "A5" ; +NET "dac_a[0]" LOC = "N5" ; +NET "dac_a[1]" LOC = "N1" ; +NET "dac_a[2]" LOC = "K2" ; +NET "dac_a[3]" LOC = "K3" ; +NET "dac_a[4]" LOC = "K6" ; +NET "dac_a[5]" LOC = "L5" ; +NET "dac_a[6]" LOC = "H2" ; +NET "dac_a[7]" LOC = "K4" ; +NET "dac_a[8]" LOC = "K5" ; +NET "dac_a[9]" LOC = "G1" ; +NET "dac_a[10]" LOC = "H1" ; +NET "dac_a[11]" LOC = "H5" ; +NET "dac_a[12]" LOC = "H6" ; +NET "dac_a[13]" LOC = "E3" ; +NET "dac_a[14]" LOC = "E4" ; +NET "dac_a[15]" LOC = "G5" ; +NET "dac_b[0]" LOC = "G6" ; +NET "dac_b[1]" LOC = "F2" ; +NET "dac_b[2]" LOC = "F1" ; +NET "dac_b[3]" LOC = "H3" ; +NET "dac_b[4]" LOC = "H4" ; +NET "dac_b[5]" LOC = "F4" ; +NET "dac_b[6]" LOC = "F5" ; +NET "dac_b[7]" LOC = "C2" ; +NET "dac_b[8]" LOC = "C1" ; +NET "dac_b[9]" LOC = "F3" ; +NET "dac_b[10]" LOC = "G3" ; +NET "dac_b[11]" LOC = "M6" ; +NET "dac_b[12]" LOC = "N7" ; +NET "dac_b[13]" LOC = "L3" ; +NET "dac_b[14]" LOC = "M2" ; +NET "dac_b[15]" LOC = "K1" ; +NET "dac_lock" LOC = "L1" ; +NET "SCL" LOC = "B19" ; +NET "SDA" LOC = "B17" ; +NET "clk_en[0]" LOC = "AB20" ; +NET "clk_en[1]" LOC = "AA20" ; +NET "clk_sel[0]" LOC = "Y17" ; +NET "clk_sel[1]" LOC = "Y16" ; +NET "clk_func" LOC = "W13" ; +NET "clk_status" LOC = "W18" ; +NET "clk_fpga_p" LOC = "AA12" ; +NET "clk_fpga_n" LOC = "AB12" ; +NET "pps_in" LOC = "Y14" ; +NET "POR" LOC = "AB15" ; +NET "sclk" LOC = "AA14" ; +NET "sen_clk" LOC = "AB13" ; +NET "sdi" LOC = "V12" ; +NET "sdo" LOC = "U12" ; +NET "sen_dac" LOC = "W2" ; +NET "sen_tx_db" LOC = "W3" ; +NET "sen_tx_adc" LOC = "U5" ; +NET "sen_tx_dac" LOC = "U4" ; +NET "mosi_tx" LOC = "V4" ; +NET "miso_dac" LOC = "M5" ; +NET "miso_tx_db" LOC = "W1" ; +NET "miso_tx_adc" LOC = "Y1" ; +NET "sclk_tx" LOC = "V3" ; +NET "sen_rx_db" LOC = "B9" ; +NET "sclk_rx_db" LOC = "B8" ; +NET "sdo_rx_db" LOC = "A10" ; +NET "sdi_rx_db" LOC = "E12" ; +NET "sen_rx_adc" LOC = "A9" ; +NET "sclk_rx_adc" LOC = "A8" ; +NET "sdo_rx_adc" LOC = "A12" ; +NET "sdi_rx_adc" LOC = "A7" ; +NET "sen_rx_dac" LOC = "E11" ; +NET "sclk_rx_dac" LOC = "F10" ; +NET "sdi_rx_dac" LOC = "E7" ; +NET "io_tx[0]" LOC = "R3" ; +NET "io_tx[1]" LOC = "T4" ; +NET "io_tx[2]" LOC = "U2" ; +NET "io_tx[3]" LOC = "V1" ; +NET "io_tx[4]" LOC = "R5" ; +NET "io_tx[5]" LOC = "T1" ; +NET "io_tx[6]" LOC = "U1" ; +NET "io_tx[7]" LOC = "T6" ; +NET "io_tx[8]" LOC = "T5" ; +NET "io_tx[9]" LOC = "R2" ; +NET "io_tx[10]" LOC = "R1" ; +NET "io_tx[11]" LOC = "P6" ; +NET "io_tx[12]" LOC = "R6" ; +NET "io_tx[13]" LOC = "P1" ; +NET "io_tx[14]" LOC = "P2" ; +NET "io_tx[15]" LOC = "N6" ; + +NET "io_rx[0]" LOC = "G8" ; +NET "io_rx[1]" LOC = "F9" ; +NET "io_rx[2]" LOC = "C8" ; +NET "io_rx[3]" LOC = "D9" ; +NET "io_rx[4]" LOC = "C6" ; +NET "io_rx[5]" LOC = "D6" ; +NET "io_rx[6]" LOC = "C9" ; +NET "io_rx[7]" LOC = "D10" ; +NET "io_rx[8]" LOC = "B11" ; +NET "io_rx[9]" LOC = "A11" ; +NET "io_rx[10]" LOC = "C13" ; +NET "io_rx[11]" LOC = "C12" ; +NET "io_rx[12]" LOC = "F14" ; +NET "io_rx[13]" LOC = "F13" ; +NET "io_rx[14]" LOC = "D14" ; +NET "io_rx[15]" LOC = "A13" ; +NET "flash_cs" LOC = "U7" ; +NET "flash_clk" LOC = "V17" ; +NET "flash_mosi" LOC = "V13" ; +NET "flash_miso" LOC = "W17" ; + + +NET "clk_muxed" TNM_NET = "clk_muxed"; +TIMESPEC "TS_clk_muxed" = PERIOD "clk_muxed" 10 ns HIGH 50 %; + +NET "clk_to_mac" TNM_NET = "clk_to_mac"; +TIMESPEC "TS_clk_to_mac" = PERIOD "clk_to_mac" 8 ns HIGH 50 %; + +NET "cpld_clk" TNM_NET = "cpld_clk"; +TIMESPEC "TS_cpld_clk" = PERIOD "cpld_clk" 40 ns HIGH 50 %; + +NET "GMII_RX_CLK" TNM_NET = "GMII_RX_CLK"; +TIMESPEC "TS_GMII_RX_CLK" = PERIOD "GMII_RX_CLK" 8 ns HIGH 50 %; + +NET "ser_rx_clk" TNM_NET = "ser_rx_clk"; +TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %; + diff --git a/usrp2/fpga/top/u2plus/u2plus.v b/usrp2/fpga/top/u2plus/u2plus.v new file mode 100644 index 00000000..e9544586 --- /dev/null +++ b/usrp2/fpga/top/u2plus/u2plus.v @@ -0,0 +1,377 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// + +module u2plus + ( + // Misc, debug + output [4:0] leds, // LED4 is shared w/INIT_B + input [3:0] dipsw, + output [31:0] debug, + output [1:0] debug_clk, + output uart_tx_o, + input uart_rx_i, + + // Expansion + input exp_pps_in_p, // Diff + input exp_pps_in_n, // Diff + output exp_pps_out_p, // Diff + output exp_pps_out_n, // Diff + + // GMII + // GMII-CTRL + input GMII_COL, + input GMII_CRS, + + // GMII-TX + output reg [7:0] GMII_TXD, + output reg GMII_TX_EN, + output reg GMII_TX_ER, + output GMII_GTX_CLK, + input GMII_TX_CLK, // 100mbps clk + + // GMII-RX + input [7:0] GMII_RXD, + input GMII_RX_CLK, + input GMII_RX_DV, + input GMII_RX_ER, + + // GMII-Management + inout MDIO, + output MDC, + input PHY_INTn, // open drain + output PHY_RESETn, + input PHY_CLK, // possibly use on-board osc + input clk_to_mac, + output eth_led, + + // SERDES + output ser_enable, + output ser_prbsen, + output ser_loopen, + output ser_rx_en, + + output ser_tx_clk, + output reg [15:0] ser_t, + output reg ser_tklsb, + output reg ser_tkmsb, + + input ser_rx_clk, + input [15:0] ser_r, + input ser_rklsb, + input ser_rkmsb, + + // ADC + input [13:0] adc_a, + input adc_ovf_a, + output adc_oen_a, + output adc_pdn_a, + + input [13:0] adc_b, + input adc_ovf_b, + output adc_oen_b, + output adc_pdn_b, + + // DAC + output [15:0] dac_a, + output [15:0] dac_b, + input dac_lock, // unused for now + + // I2C + inout SCL, + inout SDA, + + // Clock Gen Control + output [1:0] clk_en, + output [1:0] clk_sel, + input clk_func, // FIXME is an input to control the 9510 + input clk_status, + + // Clocks + input clk_fpga_p, // Diff + input clk_fpga_n, // Diff + input pps_in, + input POR, + + // AD9510 SPI + output sclk, + output sen_clk, + output sdi, + input sdo, + + // TX side SPI -- tx_db, tx_adc, tx_dac, 9777 + output sen_dac, + output sen_tx_db, + output sen_tx_adc, + output sen_tx_dac, + output mosi_tx, + input miso_dac, + input miso_tx_db, + input miso_tx_adc, + output sclk_tx, + + // RX side SPI + output sen_rx_db, + output sclk_rx_db, + input sdo_rx_db, + output sdi_rx_db, + + output sen_rx_adc, + output sclk_rx_adc, + input sdo_rx_adc, + output sdi_rx_adc, + + output sen_rx_dac, + output sclk_rx_dac, + output sdi_rx_dac, + + // DB IO Pins + inout [15:0] io_tx, + inout [15:0] io_rx, + + // SPI Flash + output flash_cs, + output flash_clk, + output flash_mosi, + input flash_miso + ); + + // FPGA-specific pins connections + wire aux_clk = PHY_CLK; + + wire clk_fpga, dsp_clk, clk_div, dcm_out, wb_clk, clock_ready; + + IBUFGDS clk_fpga_pin (.O(clk_fpga),.I(clk_fpga_p),.IB(clk_fpga_n)); + defparam clk_fpga_pin.IOSTANDARD = "LVPECL_25"; + + wire exp_pps_in; + IBUFDS exp_pps_in_pin (.O(exp_pps_in),.I(exp_pps_in_p),.IB(exp_pps_in_n)); + defparam exp_pps_in_pin.IOSTANDARD = "LVDS_25"; + + wire exp_pps_out; + OBUFDS exp_pps_out_pin (.O(exp_pps_out_p),.OB(exp_pps_out_n),.I(exp_pps_out)); + defparam exp_pps_out_pin.IOSTANDARD = "LVDS_25"; + + reg [5:0] clock_ready_d; + always @(posedge aux_clk) + clock_ready_d[5:0] <= {clock_ready_d[4:0],clock_ready}; + + wire dcm_rst = ~&clock_ready_d & |clock_ready_d; + wire clk_muxed = clock_ready ? clk_fpga : aux_clk; + + wire adc_on_a, adc_on_b, adc_oe_a, adc_oe_b; + assign adc_oen_a = ~adc_oe_a; + assign adc_oen_b = ~adc_oe_b; + assign adc_pdn_a = ~adc_on_a; + assign adc_pdn_b = ~adc_on_b; + + // Handle Clocks + DCM DCM_INST (.CLKFB(dsp_clk), + .CLKIN(clk_muxed), + .DSSEN(0), + .PSCLK(0), + .PSEN(0), + .PSINCDEC(0), + .RST(dcm_rst), + .CLKDV(clk_div), + .CLKFX(), + .CLKFX180(), + .CLK0(dcm_out), + .CLK2X(), + .CLK2X180(), + .CLK90(), + .CLK180(), + .CLK270(), + .LOCKED(LOCKED_OUT), + .PSDONE(), + .STATUS()); + defparam DCM_INST.CLK_FEEDBACK = "1X"; + defparam DCM_INST.CLKDV_DIVIDE = 2.0; + defparam DCM_INST.CLKFX_DIVIDE = 1; + defparam DCM_INST.CLKFX_MULTIPLY = 4; + defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE"; + defparam DCM_INST.CLKIN_PERIOD = 10.000; + defparam DCM_INST.CLKOUT_PHASE_SHIFT = "NONE"; + defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS"; + defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW"; + defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE"; + defparam DCM_INST.FACTORY_JF = 16'h8080; + defparam DCM_INST.PHASE_SHIFT = 0; + defparam DCM_INST.STARTUP_WAIT = "FALSE"; + + BUFG dspclk_BUFG (.I(dcm_out), .O(dsp_clk)); + BUFG wbclk_BUFG (.I(clk_div), .O(wb_clk)); + + // I2C -- Don't use external transistors for open drain, the FPGA implements this + IOBUF scl_pin(.O(scl_pad_i), .IO(SCL), .I(scl_pad_o), .T(scl_pad_oen_o)); + IOBUF sda_pin(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_pad_oen_o)); + + // LEDs are active low outputs + wire [4:0] leds_int; + assign leds = ~leds_int; // drive low to turn on leds + + // SPI + wire miso, mosi, sclk_int; + assign {sclk,sdi} = (~sen_clk | ~sen_dac) ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_db,sdi_tx_db} = ~sen_tx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_dac,sdi_tx_dac} = ~sen_tx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_tx_adc,sdi_tx_adc} = ~sen_tx_adc ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_db,sdi_rx_db} = ~sen_rx_db ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_dac,sdi_rx_dac} = ~sen_rx_dac ? {sclk_int,mosi} : 2'b0; + assign {sclk_rx_adc,sdi_rx_adc} = ~sen_rx_adc ? {sclk_int,mosi} : 2'b0; + + assign miso = (~sen_clk & sdo) | (~sen_dac & sdo) | + (~sen_tx_db & sdo_tx_db) | (~sen_tx_adc & sdo_tx_adc) | + (~sen_rx_db & sdo_rx_db) | (~sen_rx_adc & sdo_rx_adc); + + wire GMII_TX_EN_unreg, GMII_TX_ER_unreg; + wire [7:0] GMII_TXD_unreg; + wire GMII_GTX_CLK_int; + + always @(posedge GMII_GTX_CLK_int) + begin + GMII_TX_EN <= GMII_TX_EN_unreg; + GMII_TX_ER <= GMII_TX_ER_unreg; + GMII_TXD <= GMII_TXD_unreg; + end + + OFDDRRSE OFDDRRSE_gmii_inst + (.Q(GMII_GTX_CLK), // Data output (connect directly to top-level port) + .C0(GMII_GTX_CLK_int), // 0 degree clock input + .C1(~GMII_GTX_CLK_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + + wire ser_tklsb_unreg, ser_tkmsb_unreg; + wire [15:0] ser_t_unreg; + wire ser_tx_clk_int; + + always @(posedge ser_tx_clk_int) + begin + ser_tklsb <= ser_tklsb_unreg; + ser_tkmsb <= ser_tkmsb_unreg; + ser_t <= ser_t_unreg; + end + + assign ser_tx_clk = clk_fpga; + + reg [15:0] ser_r_int; + reg ser_rklsb_int, ser_rkmsb_int; + + always @(posedge ser_rx_clk) + begin + ser_r_int <= ser_r; + ser_rklsb_int <= ser_rklsb; + ser_rkmsb_int <= ser_rkmsb; + end + + /* + OFDDRRSE OFDDRRSE_serdes_inst + (.Q(ser_tx_clk), // Data output (connect directly to top-level port) + .C0(ser_tx_clk_int), // 0 degree clock input + .C1(~ser_tx_clk_int), // 180 degree clock input + .CE(1), // Clock enable input + .D0(0), // Posedge data input + .D1(1), // Negedge data input + .R(0), // Synchronous reset input + .S(0) // Synchronous preset input + ); + */ + u2_core u2_core(.dsp_clk (dsp_clk), + .wb_clk (wb_clk), + .clock_ready (clock_ready), + .clk_to_mac (clk_to_mac), + .pps_in (pps_in), + .leds (leds_int), + .debug (debug[31:0]), + .debug_clk (debug_clk[1:0]), + .exp_pps_in (exp_pps_in), + .exp_pps_out (exp_pps_out), + .GMII_COL (GMII_COL), + .GMII_CRS (GMII_CRS), + .GMII_TXD (GMII_TXD_unreg[7:0]), + .GMII_TX_EN (GMII_TX_EN_unreg), + .GMII_TX_ER (GMII_TX_ER_unreg), + .GMII_GTX_CLK (GMII_GTX_CLK_int), + .GMII_TX_CLK (GMII_TX_CLK), + .GMII_RXD (GMII_RXD[7:0]), + .GMII_RX_CLK (GMII_RX_CLK), + .GMII_RX_DV (GMII_RX_DV), + .GMII_RX_ER (GMII_RX_ER), + .MDIO (MDIO), + .MDC (MDC), + .PHY_INTn (PHY_INTn), + .PHY_RESETn (PHY_RESETn), + .PHY_CLK (PHY_CLK), + .ser_enable (ser_enable), + .ser_prbsen (ser_prbsen), + .ser_loopen (ser_loopen), + .ser_rx_en (ser_rx_en), + .ser_tx_clk (ser_tx_clk_int), + .ser_t (ser_t_unreg[15:0]), + .ser_tklsb (ser_tklsb_unreg), + .ser_tkmsb (ser_tkmsb_unreg), + .ser_rx_clk (ser_rx_clk), + .ser_r (ser_r_int[15:0]), + .ser_rklsb (ser_rklsb_int), + .ser_rkmsb (ser_rkmsb_int), + .cpld_start (cpld_start), + .cpld_mode (cpld_mode), + .cpld_done (cpld_done), + .cpld_din (cpld_din), + .cpld_clk (cpld_clk), + .cpld_detached (cpld_detached), + .adc_a (adc_a[13:0]), + .adc_ovf_a (adc_ovf_a), + .adc_on_a (adc_on_a), + .adc_oe_a (adc_oe_a), + .adc_b (adc_b[13:0]), + .adc_ovf_b (adc_ovf_b), + .adc_on_b (adc_on_b), + .adc_oe_b (adc_oe_b), + .dac_a (dac_a[15:0]), + .dac_b (dac_b[15:0]), + .scl_pad_i (scl_pad_i), + .scl_pad_o (scl_pad_o), + .scl_pad_oen_o (scl_pad_oen_o), + .sda_pad_i (sda_pad_i), + .sda_pad_o (sda_pad_o), + .sda_pad_oen_o (sda_pad_oen_o), + .clk_en (clk_en[1:0]), + .clk_sel (clk_sel[1:0]), + .clk_func (clk_func), + .clk_status (clk_status), + .sclk (sclk_int), + .mosi (mosi), + .miso (miso), + .sen_clk (sen_clk), + .sen_dac (sen_dac), + .sen_tx_db (sen_tx_db), + .sen_tx_adc (sen_tx_adc), + .sen_tx_dac (sen_tx_dac), + .sen_rx_db (sen_rx_db), + .sen_rx_adc (sen_rx_adc), + .sen_rx_dac (sen_rx_dac), + .io_tx (io_tx[15:0]), + .io_rx (io_rx[15:0]), + .RAM_D (RAM_D), + .RAM_A (RAM_A), + .RAM_CE1n (RAM_CE1n), + .RAM_CENn (RAM_CENn), + .RAM_CLK (RAM_CLK), + .RAM_WEn (RAM_WEn), + .RAM_OEn (RAM_OEn), + .RAM_LDn (RAM_LDn), + .uart_tx_o (uart_tx_o), + //.uart_rx_i (uart_rx_i), + .uart_rx_i (), + .uart_baud_o (), + .sim_mode (1'b0), + .clock_divider (2) + ); + +endmodule // u2plus diff --git a/usrp2/host/Makefile.am b/usrp2/host/Makefile.am new file mode 100644 index 00000000..b6e30b5f --- /dev/null +++ b/usrp2/host/Makefile.am @@ -0,0 +1,29 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along +# with this program; if not, write to the Free Software Foundation, Inc., +# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +# + +include $(top_srcdir)/Makefile.common + +pkgconfigdir = $(libdir)/pkgconfig +pkgconfig_DATA = usrp2.pc + +EXTRA_DIST = \ + usrp2.pc.in + +SUBDIRS = include lib apps diff --git a/usrp2/host/apps/Makefile.am b/usrp2/host/apps/Makefile.am new file mode 100644 index 00000000..744dd570 --- /dev/null +++ b/usrp2/host/apps/Makefile.am @@ -0,0 +1,44 @@ +# +# Copyright 2007, 2008 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +AM_CPPFLAGS = \ + $(USRP2_INCLUDES) \ + $(STD_DEFINES_AND_INCLUDES) \ + $(CPPUNIT_INCLUDES) \ + $(GRUEL_INCLUDES) + +LDADD = \ + $(USRP2_LA) \ + $(GRUEL_LA) \ + $(OMNITHREAD_LA) + +bin_PROGRAMS = \ + find_usrps \ + usrp2_burn_mac_addr + +noinst_PROGRAMS = \ + gen_const \ + rx_streaming_samples \ + tx_samples + +find_usrps_SOURCES = find_usrps.cc +usrp2_burn_mac_addr_SOURCES = usrp2_burn_mac_addr.cc +rx_streaming_samples_SOURCES = rx_streaming_samples.cc +gen_const_SOURCES = gen_const.cc +tx_samples_SOURCES = tx_samples.cc diff --git a/usrp2/host/apps/find_usrps.cc b/usrp2/host/apps/find_usrps.cc new file mode 100644 index 00000000..1010c4af --- /dev/null +++ b/usrp2/host/apps/find_usrps.cc @@ -0,0 +1,70 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include +#include + +static void +usage(const char *progname) +{ + fprintf(stderr, "usage: %s [-e ethN]\n", + progname); +} + +int +main(int argc, char **argv) +{ + int ch; + const char *interface = "eth0"; + + while ((ch = getopt(argc, argv, "he:")) != EOF){ + switch (ch){ + case 'e': + interface = optarg; + break; + + case 'h': + default: + usage(argv[0]); + exit(1); + } + } + + if (argc - optind != 0){ + usage(argv[0]); + exit(1); + } + + usrp2::props_vector_t r = usrp2::find(interface); + + for (size_t i = 0; i < r.size(); i++){ + std::cout << r[i] << std::endl; + } + + if (r.size() == 0){ + std::cerr << "No USRP2 found.\n"; + return 1; + } + + return 0; +} diff --git a/usrp2/host/apps/gen_2tone.py b/usrp2/host/apps/gen_2tone.py new file mode 100755 index 00000000..ec681d3e --- /dev/null +++ b/usrp2/host/apps/gen_2tone.py @@ -0,0 +1,75 @@ +#!/usr/bin/env python +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +from gnuradio import gr, eng_notation +from gnuradio.eng_option import eng_option +from optparse import OptionParser +import sys + +master_clock = 100e6 + +class my_top_block(gr.top_block): + + def __init__(self): + gr.top_block.__init__(self) + + parser = OptionParser(option_class=eng_option) + parser.add_option("-f", "--freq1", type="eng_float", default=1e6, + help="set waveform frequency to FREQ [default=%default]") + parser.add_option("-g", "--freq2", type="eng_float", default=1e6, + help="set waveform frequency to FREQ [default=%default]") + parser.add_option ("-a", "--amplitude1", type="eng_float", default=16e3, + help="set waveform amplitude to AMPLITUDE [default=%default]", metavar="AMPL") + parser.add_option ("-b", "--amplitude2", type="eng_float", default=16e3, + help="set waveform amplitude to AMPLITUDE [default=%default]", metavar="AMPL") + + parser.add_option("-i", "--interp", type="int", default=32, + help="assume fgpa interpolation rate is INTERP [default=%default]") + + (options, args) = parser.parse_args () + if len(args) != 0: + parser.print_help() + raise SystemExit, 1 + + + src0 = gr.sig_source_c(master_clock/options.interp, + gr.GR_SIN_WAVE, + options.freq1, + options.amplitude1) + src1 = gr.sig_source_c(master_clock/options.interp, + gr.GR_SIN_WAVE, + options.freq2, + options.amplitude2) + + adder = gr.add_cc() + + + c2s = gr.complex_to_interleaved_short() + + stdout_sink = gr.file_descriptor_sink(gr.sizeof_short, 1) + + self.connect(src0, (adder,0)) + self.connect(src1, (adder,1)) + self.connect(adder, c2s, stdout_sink) + + +if __name__ == '__main__': + try: + my_top_block().run() + except KeyboardInterrupt: + pass diff --git a/usrp2/host/apps/gen_const.cc b/usrp2/host/apps/gen_const.cc new file mode 100644 index 00000000..d2c36ebb --- /dev/null +++ b/usrp2/host/apps/gen_const.cc @@ -0,0 +1,27 @@ +#include +#include +#include +#include + +int +main(int argc, char **argv) +{ + if (argc != 3){ + fprintf(stderr, "usage: %s i-val q-val\n", argv[0]); + return 1; + } + + int i_val = strtol(argv[1], 0, 0); + int q_val = strtol(argv[2], 0, 0); + + static const int NSAMPLES = 16384; + + uint32_t sample[NSAMPLES]; + sample[0] = ((i_val & 0xffff) << 16) | (q_val & 0xffff); + for (int i = 1; i < NSAMPLES; i++) + sample[i] = sample[0]; + + while(1){ + write(1, sample, sizeof(sample)); + } +} diff --git a/usrp2/host/apps/gen_sine.py b/usrp2/host/apps/gen_sine.py new file mode 100755 index 00000000..6a44dd11 --- /dev/null +++ b/usrp2/host/apps/gen_sine.py @@ -0,0 +1,63 @@ +#!/usr/bin/env python +# +# Copyright 2007 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +from gnuradio import gr, eng_notation +from gnuradio.eng_option import eng_option +from optparse import OptionParser +import sys + +master_clock = 100e6 + +class my_top_block(gr.top_block): + + def __init__(self): + gr.top_block.__init__(self) + + parser = OptionParser(option_class=eng_option) + parser.add_option("-f", "--freq", type="eng_float", default=1e6, + help="set waveform frequency to FREQ [default=%default]") + parser.add_option ("-a", "--amplitude", type="eng_float", default=16e3, + help="set waveform amplitude to AMPLITUDE [default=%default]", metavar="AMPL") + + parser.add_option("-i", "--interp", type="int", default=32, + help="assume fgpa interpolation rate is INTERP [default=%default]") + + (options, args) = parser.parse_args () + if len(args) != 0: + parser.print_help() + raise SystemExit, 1 + + + src0 = gr.sig_source_c(master_clock/options.interp, + gr.GR_SIN_WAVE, + options.freq, + options.amplitude) + + + c2s = gr.complex_to_interleaved_short() + + stdout_sink = gr.file_descriptor_sink(gr.sizeof_short, 1) + + self.connect(src0, c2s, stdout_sink) + + +if __name__ == '__main__': + try: + my_top_block().run() + except KeyboardInterrupt: + pass diff --git a/usrp2/host/apps/rx_samples.cc b/usrp2/host/apps/rx_samples.cc new file mode 100644 index 00000000..c1c3b591 --- /dev/null +++ b/usrp2/host/apps/rx_samples.cc @@ -0,0 +1,382 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include "usrp2_basic.h" +#include +#include +#include +#include +#include "strtod_si.h" +#include +#include +#include "gri_if_stats.h" +#include + + +typedef std::complex fcomplex; + +static volatile bool signaled = false; + +static void +sig_handler(int sig) +{ + signaled = true; +} + +static void +install_sig_handler(int signum, + void (*new_handler)(int)) +{ + struct sigaction new_action; + memset (&new_action, 0, sizeof (new_action)); + + new_action.sa_handler = new_handler; + sigemptyset (&new_action.sa_mask); + new_action.sa_flags = 0; + + if (sigaction (signum, &new_action, 0) < 0){ + perror ("sigaction (install new)"); + throw std::runtime_error ("sigaction"); + } +} + + +/* + * Vectorize me! + */ +void +convert_samples_to_complex(size_t nsamples, + uint32_t *i_samples, + fcomplex *c_samples) +{ + uint32_t *p = i_samples; + for (size_t i = 0; i < nsamples; i++){ + int16_t si = ((int16_t) (p[i] >> 16)); + int16_t sq = ((int16_t) (p[i] & 0xffff)); + c_samples[i] = fcomplex((float) si, (float) sq); + } +} + + +static void +usage(const char *progname) +{ + const char *p = strrchr(progname, '/'); // drop leading directory path + if (p) + p++; + + if (strncmp(p, "lt-", 3) == 0) // drop lt- libtool prefix + p += 3; + + fprintf(stderr, "Usage: %s [options]\n\n", p); + fprintf(stderr, "Options:\n"); + fprintf(stderr, " -h show this message and exit\n"); + fprintf(stderr, " -e ETH_INTERFACE specify ethernet interface [default=eth0]\n"); + fprintf(stderr, " -m MAC_ADDR mac address of USRP2 HH:HH [default=first one found]\n"); + fprintf(stderr, " -o OUTPUT_FILE set output filename [default=NONE]\n"); + fprintf(stderr, " -f FREQ set frequency to FREQ [default=0]\n"); + fprintf(stderr, " -d DECIM set decimation rate to DECIM [default=32]\n"); + fprintf(stderr, " -N NSAMPLES total number of samples to receive [default=2.5e6]\n"); + fprintf(stderr, " -F SAMPLES_PER_FRAME number of samples in each frame [default=371]\n"); + fprintf(stderr, " -S SCALE fpga scaling factor for I & Q [default=1024]\n"); + fprintf(stderr, " -M DONT_LOCK|LOCK_TO_SMA|LOCK_TO_MIMO specify MIMO clock source\n"); + fprintf(stderr, " -P provide clock to MIMO connector\n"); +} + +struct pkt_info { + int d_nsamples; + int d_timestamp; + unsigned int d_seqno; + + pkt_info(int nsamples, int timestamp, int seqno) + : d_nsamples(nsamples), + d_timestamp(timestamp), + d_seqno(seqno) {} +}; + +int +main(int argc, char **argv) +{ + + // options and their defaults + const char *interface = "eth0"; + const char *mac_addr_str = 0; + const char *output_filename = 0; + double freq = 0; + int32_t decim = 32; + int32_t nsamples = static_cast(2.5e6); + int32_t samples_per_frame = 371; + int32_t scale = 1024; + int mimo_config = MC_WE_DONT_LOCK; + bool provide_clock = false; + + int ch; + double tmp; + u2_mac_addr_t mac_addr; + + setvbuf(stdout, 0, _IOFBF, 64 * 1024); // make stdout fully buffered + + while ((ch = getopt(argc, argv, "he:m:o:f:d:N:F:S:M:P")) != EOF){ + switch (ch){ + + case 'e': + interface = optarg; + break; + + case 'm': + mac_addr_str = optarg; + if (!usrp2_basic::parse_mac_addr(optarg, &mac_addr)){ + std::cerr << "invalid mac addr: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + break; + + case 'o': + output_filename = optarg; + break; + + case 'f': + if (!strtod_si(optarg, &freq)){ + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + break; + + case 'N': + if (!strtod_si(optarg, &tmp)){ + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + nsamples = static_cast(tmp); + break; + + case 'F': + samples_per_frame = strtol(optarg, 0, 0); + break; + + case 'd': + decim = strtol(optarg, 0, 0); + break; + + case 'S': + if (!strtod_si(optarg, &tmp)){ + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + scale = static_cast(tmp); + break; + + case 'M': + if (strcmp(optarg, "DONT_LOCK") == 0) + mimo_config = MC_WE_DONT_LOCK; + else if (strcmp(optarg, "LOCK_TO_SMA") == 0) + mimo_config = MC_WE_LOCK_TO_SMA; + else if (strcmp(optarg, "LOCK_TO_MIMO") == 0) + mimo_config = MC_WE_LOCK_TO_MIMO; + else { + usage(argv[0]); + exit(1); + } + break; + + case 'P': + provide_clock = true; + break; + + case 'h': + default: + usage(argv[0]); + exit(1); + } + } + + if (argc - optind != 0){ + usage(argv[0]); + exit(1); + } + + FILE *of = 0; + if (output_filename) + of = fopen(output_filename, "wb"); + + usrp2_basic *u2 = new usrp2_basic(); + + if (!u2->open(interface)){ + std::cerr << "couldn't open " << interface << std::endl; + return 0; + } + + + install_sig_handler(SIGINT, sig_handler); + if (1){ + install_sig_handler(SIGALRM, sig_handler); + alarm(5); + } + + + std::vector r = u2->find_usrps(); + + for (size_t i = 0; i < r.size(); i++){ + std::cout << r[i] << std::endl; + } + + if (r.size() == 0){ + std::cerr << "No USRP2 found.\n"; + return 1; + } + + u2_mac_addr_t which = r[0].addr; // pick the first one + + + gr_rt_status_t rt = gr_enable_realtime_scheduling(); + if (rt != RT_OK) + std::cerr << "failed to enable realtime scheduling\n"; + + if (provide_clock) + mimo_config |= MC_PROVIDE_CLK_TO_MIMO; + + u2->config_mimo(which, mimo_confg); + + + gri_if_stats start, stop; + gri_get_if_stats(interface, &start); + + if (!u2->start_rx(which, freq, decim, nsamples, samples_per_frame, scale, scale)){ + std::cerr << "start_rx failed\n"; + return 1; + } + + + std::vector history; + history.reserve(64*1024); // preallocate 64K entries + + + long total_samples_recvd = 0; + + while (!signaled && total_samples_recvd < nsamples){ + u2_eth_samples_t pkt; + // fcomplex c_samples[U2_MAX_SAMPLES]; + + // read samples + int n = u2->read_samples(which, &pkt); + if (n <= 0) + break; + + total_samples_recvd += n; + + history.push_back(pkt_info(n, u2p_timestamp(&pkt.hdrs.fixed), pkt.hdrs.thdr.seqno)); + + // convert_samples_to_complex(n, pkt.samples, c_samples); + // size_t r = fwrite(c_samples, sizeof(fcomplex), n, of); + + if (of){ + fwrite(pkt.samples, sizeof(uint32_t), n, of); + fflush(of); + } + } + + + gri_get_if_stats(interface, &stop); + + if (!u2->stop_rx(which)){ + std::cerr << "stop_rx failed\n"; + return 1; + } + + + long expected_rx_packets = + (nsamples + samples_per_frame - 1)/samples_per_frame; + + long expected_rx_bytes = + expected_rx_packets * sizeof(u2_eth_packet_t) + nsamples * 4; + + + long total_pkts_recvd = 0; + total_samples_recvd = 0; + + int nbad_seqno = 0; + + for (unsigned i = 0; i < history.size(); i++){ + total_pkts_recvd++; + total_samples_recvd += history[i].d_nsamples; + + bool bad_seqno = history[i].d_seqno != (i & 0xff); + if (bad_seqno) + nbad_seqno++; + + printf("%3d %8d %8ld %8ld %3d %s\n", + history[i].d_nsamples, + history[i].d_timestamp, + total_pkts_recvd, total_samples_recvd, + history[i].d_seqno, + bad_seqno ? "BAD SEQNO" : "" + ); + } + + if (nbad_seqno == 0) + printf("\nAll sequence numbers are correct\n"); + else + printf("\n%d sequence numbers were INCORRECT\n", nbad_seqno); + + + printf("\nUser space statistics:\n"); + printf(" rx_samples: %8ld", total_samples_recvd); + printf(" expected %8d %s\n", + nsamples, + nsamples - total_samples_recvd == 0 ? "OK" : "NOT OK"); + + printf(" rx_packets: %8ld", total_pkts_recvd); + printf(" expected %8ld %s\n", + expected_rx_packets, + expected_rx_packets - total_pkts_recvd == 0 ? "OK" : "NOT OK"); + + + fflush(stdout); + + printf("\nKernel interface statistics:\n"); + + long long delta; + delta = stop.rx_bytes - start.rx_bytes; + printf(" rx_bytes: %8Ld", delta); + printf(" expected %8ld %s\n", + expected_rx_bytes, + expected_rx_bytes - delta == 0 ? "OK" : "NOT OK"); + + delta = stop.rx_packets - start.rx_packets; + printf(" rx_packets: %8Ld", delta); + printf(" expected %8ld %s\n", + expected_rx_packets, + expected_rx_packets - delta == 0 ? "OK" : "NOT OK"); + + printf(" rx_errs: %8Ld\n", stop.rx_errs - start.rx_errs); + printf(" rx_drop: %8Ld\n", stop.rx_drop - start.rx_drop); + printf(" tx_bytes: %8Ld\n", stop.tx_bytes - start.tx_bytes); + printf(" tx_packets: %8Ld\n", stop.tx_packets - start.tx_packets); + printf(" tx_errs: %8Ld\n", stop.tx_errs - start.tx_errs); + printf(" tx_drop: %8Ld\n", stop.tx_drop - start.tx_drop); + + + return 0; +} diff --git a/usrp2/host/apps/rx_streaming_samples.cc b/usrp2/host/apps/rx_streaming_samples.cc new file mode 100644 index 00000000..70f2c5d7 --- /dev/null +++ b/usrp2/host/apps/rx_streaming_samples.cc @@ -0,0 +1,372 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +static volatile bool signaled = false; + +static void +sig_handler(int sig) +{ + signaled = true; +} + +static void +install_sig_handler(int signum, + void (*new_handler)(int)) +{ + struct sigaction new_action; + memset (&new_action, 0, sizeof (new_action)); + + new_action.sa_handler = new_handler; + sigemptyset (&new_action.sa_mask); + new_action.sa_flags = 0; + + if (sigaction (signum, &new_action, 0) < 0){ + perror ("sigaction (install new)"); + throw std::runtime_error ("sigaction"); + } +} + +// ------------------------------------------------------------------------ + +// FIXME make this a template + +class complex_16_file_writer : public usrp2::rx_nop_handler +{ + FILE *d_fp; + std::string d_filename; + +public: + + complex_16_file_writer(const std::string &filename, uint64_t max_samples) + : usrp2::rx_nop_handler(max_samples), d_filename(filename) + { + d_fp = fopen(filename.c_str(), "wb"); + if (d_fp == 0){ + perror(filename.c_str()); + throw std::invalid_argument(filename); + } + } + + ~complex_16_file_writer(); + + bool + operator()(const uint32_t *items, size_t nitems, const usrp2::rx_metadata *metadata) + { + bool ok = rx_nop_handler::operator()(items, nitems, metadata); + + size_t host_nitems = nitems; + std::complex host_items[host_nitems]; + + usrp2::copy_u2_complex_16_to_host_complex_16(nitems, items, host_items); + + size_t n = 0; + while (n < host_nitems){ + size_t r = fwrite(&host_items[n], sizeof(host_items[0]), host_nitems - n, d_fp); + n += r; + if (r == 0){ // out of space? + d_err = true; + perror(d_filename.c_str()); + ok = false; + break; + } + } + + return ok; + } +}; + +complex_16_file_writer::~complex_16_file_writer() +{ + fclose(d_fp); +} + +// ------------------------------------------------------------------------ + +class complex_float_file_writer : public usrp2::rx_nop_handler +{ + FILE *d_fp; + std::string d_filename; + +public: + + complex_float_file_writer(const std::string &filename, uint64_t max_samples) + : usrp2::rx_nop_handler(max_samples), d_filename(filename) + { + d_fp = fopen(filename.c_str(), "wb"); + if (d_fp == 0){ + perror(filename.c_str()); + throw std::invalid_argument(filename); + } + } + + ~complex_float_file_writer(); + + bool + operator()(const uint32_t *items, size_t nitems, const usrp2::rx_metadata *metadata) + { + bool ok = rx_nop_handler::operator()(items, nitems, metadata); + + size_t host_nitems = nitems; + std::complex host_items[host_nitems]; + + usrp2::copy_u2_complex_16_to_host_complex_float(nitems, items, host_items); + + size_t n = 0; + while (n < host_nitems){ + size_t r = fwrite(&host_items[n], sizeof(host_items[0]), host_nitems - n, d_fp); + n += r; + if (r == 0){ // out of space? + d_err = true; + perror(d_filename.c_str()); + ok = false; + break; + } + } + + return ok; + } +}; + +complex_float_file_writer::~complex_float_file_writer() +{ + fclose(d_fp); +} + +// ------------------------------------------------------------------------ + +static void +usage(const char *progname) +{ + const char *p = strrchr(progname, '/'); // drop leading directory path + if (p) + p++; + + if (strncmp(p, "lt-", 3) == 0) // drop lt- libtool prefix + p += 3; + + fprintf(stderr, "Usage: %s [options]\n\n", p); + fprintf(stderr, "Options:\n"); + fprintf(stderr, " -h show this message and exit\n"); + fprintf(stderr, " -e ETH_INTERFACE specify ethernet interface [default=eth0]\n"); + fprintf(stderr, " -m MAC_ADDR mac address of USRP2 HH:HH [default=first one found]\n"); + fprintf(stderr, " -f FREQUENCY specify receive center frequency in Hz [default=0.0]\n"); + fprintf(stderr, " -d DECIM specify receive decimation rate [default=5]\n"); + fprintf(stderr, " -g GAIN specify receive daughterboard gain [default=0]\n"); + fprintf(stderr, " -N NSAMPLES specify number of samples to receive [default=infinite]\n"); + fprintf(stderr, " -o OUTPUT_FILENAME specify file to receive samples [default=none]\n"); + fprintf(stderr, " -s write complex [default=complex]\n"); + fprintf(stderr, " -v verbose output\n"); +} + +int +main(int argc, char **argv) +{ + // options and their defaults + const char *interface = "eth0"; + const char *mac_addr_str = ""; + double rx_freq = 0.0; + int rx_decim = 5; + double rx_gain = 0.0; + uint64_t nsamples = 0; + bool output_shorts = false; + char *output_filename = 0; + bool verbose = false; + + int ch; + + while ((ch = getopt(argc, argv, "he:m:f:d:g:N:o:sv")) != EOF){ + double tmp; + switch (ch){ + + case 'e': + interface = optarg; + break; + + case 'm': + mac_addr_str = optarg; + break; + + case 'f': + if (!strtod_si(optarg, &rx_freq)) { + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + break; + + case 'g': + if (!strtod_si(optarg, &rx_gain)) { + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + break; + + case 'd': + rx_decim = strtol(optarg, 0, 0); + if (rx_decim < 4 or rx_decim > 512) { + std::cerr << "invalid decimation rate: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + break; + + case 'N': + if (!strtod_si(optarg, &tmp)) { + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + exit(1); + } + nsamples = static_cast(tmp); + break; + + case 's': + output_shorts = true; + break; + + case 'o': + output_filename = optarg; + break; + + case 'v': + verbose = true; + break; + + case 'h': + default: + usage(argv[0]); + exit(1); + } + } + + + install_sig_handler(SIGINT, sig_handler); + + usrp2::rx_nop_handler::sptr handler; + + if (output_filename){ + if (output_shorts) + handler = usrp2::rx_nop_handler::sptr(new complex_16_file_writer(output_filename, nsamples)); + else + handler = usrp2::rx_nop_handler::sptr(new complex_float_file_writer(output_filename, nsamples)); + } + else + handler = usrp2::rx_nop_handler::sptr(new usrp2::rx_nop_handler(nsamples)); + + gruel::rt_status_t rt = gruel::enable_realtime_scheduling(); + if (rt != gruel::RT_OK) + std::cerr << "Failed to enable realtime scheduling" << std::endl; + + usrp2::usrp2::sptr u2 = usrp2::usrp2::make(interface, mac_addr_str); + + // FIXME in case it was left running... + if (!u2->stop_rx_streaming()){ + fprintf(stderr, "stop_rx_streaming failed\n"); + } + + if (!u2->set_rx_gain(rx_gain)){ + fprintf(stderr, "set_rx_gain(%f) failed\n", rx_gain); + exit(1); + } + + usrp2::tune_result tr; + if (!u2->set_rx_center_freq(rx_freq, &tr)){ + fprintf(stderr, "set_rx_center_freq(%g) failed\n", rx_freq); + exit(1); + } + + if (verbose){ + printf("USRP2 MAC address: %s\n\n", u2->mac_addr().c_str()); + printf("Daughterboard configuration:\n"); + printf(" baseband_freq=%f\n", tr.baseband_freq); + printf(" ddc_freq=%f\n", tr.dxc_freq); + printf(" residual_freq=%f\n", tr.residual_freq); + printf(" inverted=%s\n\n", tr.spectrum_inverted ? "yes" : "no"); + } + + if (!u2->set_rx_decim(rx_decim)) { + fprintf(stderr, "set_rx_decim(%d) failed\n", rx_decim); + exit(1); + } + + if (verbose) + printf("USRP2 using decimation rate of %d\n", rx_decim); + + if (!u2->start_rx_streaming(0)){ + fprintf(stderr, "start_rx_streaming failed\n"); + exit(1); + } + + if (verbose) { + if (nsamples > 0) + printf("Receiving %zd samples\n\n", nsamples); + else + printf("Receiving infinite samples\n\n"); + } + + struct timeval start, end; + gettimeofday(&start, 0); + + while (!signaled && + !handler->has_errored_p() && + !handler->has_finished_p()) { + bool ok = u2->rx_samples(0, handler.get()); + if (!ok){ + fprintf(stderr, "u2->rx_samples failed\n"); + return 1; + } + } + + gettimeofday(&end, 0); + long n_usecs = end.tv_usec-start.tv_usec; + long n_secs = end.tv_sec-start.tv_sec; + double elapsed = (double)n_secs + (double)n_usecs*1e-6; + double mbs = handler->nsamples()*sizeof(uint32_t)/elapsed/1e6; + double pps = handler->nframes()/elapsed; + + u2->stop_rx_streaming(); + + if (verbose){ + printf("\nCopy handler called %li times.\n", handler->nframes()); + printf("Copy handler called with %li bytes.\n\n", handler->nsamples()*sizeof(uint32_t)); + printf("Elapsed time was %5.3f seconds.\n", elapsed); + printf("Packet rate was %1.0f pkts/sec.\n", pps); + printf("Approximate throughput was %5.2f MB/sec.\n", mbs); + printf("Total instances of overruns was %d.\n", u2->rx_overruns()); + printf("Total missing frames was %d.\n", u2->rx_missing()); + } + + return 0; +} diff --git a/usrp2/host/apps/stdin_int32_fft.py b/usrp2/host/apps/stdin_int32_fft.py new file mode 100755 index 00000000..5391863a --- /dev/null +++ b/usrp2/host/apps/stdin_int32_fft.py @@ -0,0 +1,201 @@ +#!/usr/bin/env python +# +# Copyright 2004,2005,2007,2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +from gnuradio import gr, gru +from gnuradio import eng_notation +from gnuradio.eng_option import eng_option +from gnuradio.wxgui import stdgui2, fftsink2, waterfallsink2, scopesink2, form, slider +from optparse import OptionParser +import wx +import sys +import numpy + + +class app_top_block(stdgui2.std_top_block): + def __init__(self, frame, panel, vbox, argv): + stdgui2.std_top_block.__init__(self, frame, panel, vbox, argv) + + self.frame = frame + self.panel = panel + + parser = OptionParser(option_class=eng_option) + parser.add_option("-d", "--decim", type="int", default=16, + help="set fgpa decimation rate to DECIM [default=%default]") + parser.add_option("-f", "--freq", type="eng_float", default=None, + help="set frequency to FREQ", metavar="FREQ") + parser.add_option("-W", "--waterfall", action="store_true", default=False, + help="Enable waterfall display") + parser.add_option("-S", "--oscilloscope", action="store_true", default=False, + help="Enable oscilloscope display") + (options, args) = parser.parse_args() + if len(args) != 0: + parser.print_help() + sys.exit(1) + self.options = options + self.options.gain = 1.0 + self.show_debug_info = True + + + input_rate = 100e6 / options.decim + + self.src = gr.file_descriptor_source(gr.sizeof_short, 0, False); + self.s2c = gr.interleaved_short_to_complex() + + if options.waterfall: + self.scope = \ + waterfallsink2.waterfall_sink_c (panel, fft_size=1024, sample_rate=input_rate) + elif options.oscilloscope: + self.scope = scopesink2.scope_sink_c(panel, sample_rate=input_rate) + else: + self.scope = fftsink2.fft_sink_c (panel, fft_size=1024, y_divs=12, sample_rate=input_rate,ref_level=110,fft_rate=20) + + self.connect(self.src, self.s2c, self.scope) + + self._build_gui(vbox) + self._setup_events() + + # set initial values + + if options.freq is None: + # if no freq was specified, use the mid-point + options.freq = 0.0 + + if self.show_debug_info: + self.myform['decim'].set_value(self.options.decim) + + + def _set_status_msg(self, msg): + self.frame.GetStatusBar().SetStatusText(msg, 0) + + def _build_gui(self, vbox): + + def _form_set_freq(kv): + return self.set_freq(kv['freq']) + + vbox.Add(self.scope.win, 10, wx.EXPAND) + + # add control area at the bottom + self.myform = myform = form.form() + hbox = wx.BoxSizer(wx.HORIZONTAL) + hbox.Add((5,0), 0, 0) + myform['freq'] = form.float_field( + parent=self.panel, sizer=hbox, label="Center freq", weight=1, + callback=myform.check_input_and_call(_form_set_freq, self._set_status_msg)) + + hbox.Add((5,0), 0, 0) + vbox.Add(hbox, 0, wx.EXPAND) + + self._build_subpanel(vbox) + + def _build_subpanel(self, vbox_arg): + # build a secondary information panel (sometimes hidden) + + # FIXME figure out how to have this be a subpanel that is always + # created, but has its visibility controlled by foo.Show(True/False) + + def _form_set_decim(kv): + return self.set_decim(kv['decim']) + + if not(self.show_debug_info): + return + + panel = self.panel + vbox = vbox_arg + myform = self.myform + + #panel = wx.Panel(self.panel, -1) + #vbox = wx.BoxSizer(wx.VERTICAL) + + hbox = wx.BoxSizer(wx.HORIZONTAL) + hbox.Add((5,0), 0) + + myform['decim'] = form.int_field( + parent=panel, sizer=hbox, label="Decim", + callback=myform.check_input_and_call(_form_set_decim, self._set_status_msg)) + + hbox.Add((5,0), 0) + vbox.Add(hbox, 0, wx.EXPAND) + + + def set_freq(self, target_freq): + """ + Set the center frequency we're interested in. + + @param target_freq: frequency in Hz + @rypte: bool + + Tuning is a two step process. First we ask the front-end to + tune as close to the desired frequency as it can. Then we use + the result of that operation and our target_frequency to + determine the value for the digital down converter. + """ + + if True: + self.myform['freq'].set_value(target_freq) # update displayed value + if not self.options.waterfall and not self.options.oscilloscope: + self.scope.win.set_baseband_freq(target_freq) + return True + + return False + + def set_gain(self, gain): + self.myform['gain'].set_value(gain) # update displayed value + + def set_decim(self, decim): + input_rate = 100e6 / self.options.decim + self.scope.set_sample_rate(input_rate) + if self.show_debug_info: # update displayed values + self.myform['decim'].set_value(self.u.decim_rate()) + return ok + + def _setup_events(self): + if not self.options.waterfall and not self.options.oscilloscope: + self.scope.win.Bind(wx.EVT_LEFT_DCLICK, self.evt_left_dclick) + + def evt_left_dclick(self, event): + (ux, uy) = self.scope.win.GetXY(event) + if event.CmdDown(): + # Re-center on maximum power + points = self.scope.win._points + if self.scope.win.peak_hold: + if self.scope.win.peak_vals is not None: + ind = numpy.argmax(self.scope.win.peak_vals) + else: + ind = int(points.shape()[0]/2) + else: + ind = numpy.argmax(points[:,1]) + (freq, pwr) = points[ind] + target_freq = freq/self.scope.win._scale_factor + print ind, freq, pwr + self.set_freq(target_freq) + else: + # Re-center on clicked frequency + target_freq = ux/self.scope.win._scale_factor + self.set_freq(target_freq) + + +def main (): + app = stdgui2.stdapp(app_top_block, "USRP FFT", nstatus=1) + app.MainLoop() + +if __name__ == '__main__': + main () diff --git a/usrp2/host/apps/streaming_fft.py b/usrp2/host/apps/streaming_fft.py new file mode 100755 index 00000000..cd3606c9 --- /dev/null +++ b/usrp2/host/apps/streaming_fft.py @@ -0,0 +1,76 @@ +#!/usr/bin/env python +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along +# with this program; if not, write to the Free Software Foundation, Inc., +# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +# + +import os +import os.path +import sys +from gnuradio.eng_option import eng_option +from optparse import OptionParser + +def main(): + parser = OptionParser(option_class=eng_option) + parser.add_option("-d", "--decim", type="int", default=16, + help="set fgpa decimation rate to DECIM [default=%default]") + parser.add_option("-f", "--freq", type="eng_float", default=0.0, + help="set frequency to FREQ", metavar="FREQ") + parser.add_option("-g", "--gain", type="string", default=None, + help="set gain to GAIN [default=%default]") + parser.add_option("-W", "--waterfall", action="store_true", default=False, + help="Enable waterfall display") + parser.add_option("-S", "--oscilloscope", action="store_true", default=False, + help="Enable oscilloscope display") + parser.add_option("-F", "--samples-per-frame", type="int", default=250, + help="[default=%default]") + parser.add_option("-e", "--eth", default="eth0", + help="specify ethernet interface [default=%default]") + + (options, args) = parser.parse_args() + if len(args) != 0: + parser.print_help() + sys.exit(1) + + + path = os.path.dirname(sys.argv[0]) + if path == '': + path = '.' + + + display_type = '' + if options.waterfall: + display_type = '-W' + if options.oscilloscope: + display_type = '-S' + + gain_clause = '' + if options.gain: + gain_clause = '-g ' + options.gain + + # FIXME: restore -F + cmd = "sudo %s/rx_streaming_samples -s -e %s -f %g -d %d %s -o /proc/self/fd/1 | %s/stdin_int32_fft.py %s -f %g -d %d" % ( + path, options.eth, options.freq, options.decim, gain_clause, + path, display_type, options.freq, options.decim) + + print cmd + os.system(cmd) + + +if __name__ == '__main__': + main() diff --git a/usrp2/host/apps/test.sh b/usrp2/host/apps/test.sh new file mode 100755 index 00000000..c533c333 --- /dev/null +++ b/usrp2/host/apps/test.sh @@ -0,0 +1,4 @@ +#!/bin/sh + +sudo ./rx_streaming_samples -d 4 -v -N 100M + diff --git a/usrp2/host/apps/tx_samples.cc b/usrp2/host/apps/tx_samples.cc new file mode 100644 index 00000000..b4a3c8ed --- /dev/null +++ b/usrp2/host/apps/tx_samples.cc @@ -0,0 +1,263 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif +#include +#include +#include +#include +#include +#include +#include +#include +#include + + +typedef std::complex fcomplex; + +static volatile bool signaled = false; + +static void +sig_handler(int sig) +{ + signaled = true; +} + +static void +install_sig_handler(int signum, + void (*new_handler)(int)) +{ + struct sigaction new_action; + memset (&new_action, 0, sizeof (new_action)); + + new_action.sa_handler = new_handler; + sigemptyset (&new_action.sa_mask); + new_action.sa_flags = 0; + + if (sigaction (signum, &new_action, 0) < 0){ + perror ("sigaction (install new)"); + throw std::runtime_error ("sigaction"); + } +} + + +static const char * +prettify_progname(const char *progname) // that's probably almost a word ;) +{ + const char *p = strrchr(progname, '/'); // drop leading directory path + if (p) + p++; + + if (strncmp(p, "lt-", 3) == 0) // drop lt- libtool prefix + p += 3; + + return p; +} + +static void +usage(const char *progname) +{ + fprintf(stderr, "Usage: %s [options]\n\n", prettify_progname(progname)); + fprintf(stderr, "Options:\n"); + fprintf(stderr, " -h show this message and exit\n"); + fprintf(stderr, " -e ETH_INTERFACE specify ethernet interface [default=eth0]\n"); + fprintf(stderr, " -m MAC_ADDR mac address of USRP2 HH:HH [default=first one found]\n"); + fprintf(stderr, " -I INPUT_FILE set input filename [default=stdin]\n"); + fprintf(stderr, " -r repeat. When EOF of input file is reached, seek to beginning\n"); + fprintf(stderr, " -f FREQ set frequency to FREQ [default=0]\n"); + fprintf(stderr, " -i INTERP set interpolation rate to INTERP [default=32]\n"); + fprintf(stderr, " -g gain set tx gain\n"); + fprintf(stderr, " -S SCALE fpga scaling factor for I & Q [default=256]\n"); +} + +#define GAIN_NOT_SET (-1000) +#define MAX_SAMPLES (371) + +int +main(int argc, char **argv) +{ + const char *interface = "eth0"; + const char *input_filename = 0; + bool repeat = false; + const char *mac_addr_str = ""; + double freq = 0; + int32_t interp = 32; + int32_t samples_per_frame = MAX_SAMPLES; + int32_t scale = 3000; + double gain = GAIN_NOT_SET; + + int ch; + double tmp; + + + while ((ch = getopt(argc, argv, "he:m:I:rf:i:S:F:g:")) != EOF){ + switch (ch){ + + case 'e': + interface = optarg; + break; + + case 'm': + mac_addr_str = optarg; +#if 0 + if (!usrp2_basic::parse_mac_addr(optarg, &mac_addr)){ + std::cerr << "invalid mac addr: " << optarg << std::endl; + usage(argv[0]); + return 1; + } +#endif + break; + + case 'I': + input_filename = optarg; + break; + + case 'r': + repeat = true; + break; + + case 'f': + if (!strtod_si(optarg, &freq)){ + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + return 1; + } + break; + + case 'F': + samples_per_frame = strtol(optarg, 0, 0); + break; + + case 'i': + interp = strtol(optarg, 0, 0); + break; + + case 'S': + if (!strtod_si(optarg, &tmp)){ + std::cerr << "invalid number: " << optarg << std::endl; + usage(argv[0]); + return 1; + } + scale = static_cast(tmp); + break; + + case 'h': + default: + usage(argv[0]); + return 1; + } + } + + + if (argc - optind != 0){ + usage(argv[0]); + return 1; + } + + if (samples_per_frame < 9 || samples_per_frame > MAX_SAMPLES){ + std::cerr << prettify_progname(argv[0]) + << ": samples_per_frame is out of range. " + << "Must be in [9, " << MAX_SAMPLES << "].\n"; + usage(argv[0]); + return 1; + } + + + FILE *fp = 0; + if (input_filename == 0) + fp = stdin; + else { + fp = fopen(input_filename, "rb"); + if (fp == 0){ + perror(input_filename); + return 1; + } + } + + install_sig_handler(SIGINT, sig_handler); + + + gruel::rt_status_t rt = gruel::enable_realtime_scheduling(); + if (rt != gruel::RT_OK) + std::cerr << "Failed to enable realtime scheduling" << std::endl; + + + usrp2::usrp2::sptr u2 = usrp2::usrp2::make(interface, mac_addr_str); + + if (gain != GAIN_NOT_SET){ + if (!u2->set_tx_gain(gain)){ + std::cerr << "set_tx_gain failed\n"; + return 1; + } + } + + usrp2::tune_result tr; + if (!u2->set_tx_center_freq(freq, &tr)){ + fprintf(stderr, "set_tx_center_freq(%g) failed\n", freq); + return 1; + } + + printf("Daughterboard configuration:\n"); + printf(" baseband_freq=%f\n", tr.baseband_freq); + printf(" duc_freq=%f\n", tr.dxc_freq); + printf(" residual_freq=%f\n", tr.residual_freq); + printf(" inverted=%s\n\n", tr.spectrum_inverted ? "yes" : "no"); + + if (!u2->set_tx_interp(interp)){ + fprintf(stderr, "set_tx_interp(%d) failed\n", interp); + return 1; + } + + if (!u2->set_tx_scale_iq(scale, scale)){ + std::cerr << "set_tx_scale_iq failed\n"; + return 1; + } + + + usrp2::tx_metadata md; + md.timestamp = -1; + md.start_of_burst = 1; + md.send_now = 1; + + while (!signaled){ + + std::complex samples[MAX_SAMPLES]; + + int r = fread(samples, sizeof(uint32_t), samples_per_frame, fp); + + // fprintf(stderr, "fread -> %d\n", r); + + if (r == 0){ + if (!repeat) + break; + if (fseek(fp, 0, SEEK_SET) == -1) + break; + } + + // FIXME if r < 9, pad to 9 for minimum packet size constraint + + if (!u2->tx_complex_int16(0, samples, r, &md)){ + fprintf(stderr, "tx_complex_int16 failed\n"); + break; + } + } + + return 0; +} diff --git a/usrp2/host/apps/usrp2_burn_mac_addr.cc b/usrp2/host/apps/usrp2_burn_mac_addr.cc new file mode 100644 index 00000000..6ee76c13 --- /dev/null +++ b/usrp2/host/apps/usrp2_burn_mac_addr.cc @@ -0,0 +1,176 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include +#include +#include +#include +#include +#include +#include +#include + + +static volatile bool signaled = false; + +static void +sig_handler(int sig) +{ + signaled = true; +} + +static void +install_sig_handler(int signum, + void (*new_handler)(int)) +{ + struct sigaction new_action; + memset (&new_action, 0, sizeof (new_action)); + + new_action.sa_handler = new_handler; + sigemptyset (&new_action.sa_mask); + new_action.sa_flags = 0; + + if (sigaction (signum, &new_action, 0) < 0){ + perror ("sigaction (install new)"); + throw std::runtime_error ("sigaction"); + } +} + + +static void +usage(const char *progname) +{ + fprintf(stderr, "usage: %s [-e ethN] [-m old_mac_addr] new_mac_addr\n", + progname); + fprintf(stderr, " old_mac_addr defaults to 00:50:c2:85:3f:ff\n"); + fprintf(stderr, " new_mac_address must be HH:HH or HH:HH:HH:HH:HH:HH\n"); +} + +static bool +check_mac_addr_syntax(const std::string &s) +{ + unsigned char addr[6]; + + addr[0] = 0x00; // Matt's IAB + addr[1] = 0x50; + addr[2] = 0xC2; + addr[3] = 0x85; + addr[4] = 0x30; + addr[5] = 0x00; + + int len = s.size(); + + switch (len){ + + case 5: + return sscanf(s.c_str(), "%hhx:%hhx", &addr[4], &addr[5]) == 2; + + case 17: + return sscanf(s.c_str(), "%hhx:%hhx:%hhx:%hhx:%hhx:%hhx", + &addr[0], &addr[1], &addr[2], + &addr[3], &addr[4], &addr[5]) == 6; + default: + return false; + } + + return true; +} + + +int +main(int argc, char **argv) +{ + int ch; + const char *interface = "eth0"; + const char *old_mac_addr = "00:50:c2:85:3f:ff"; + const char *new_mac_addr = 0; + + while ((ch = getopt(argc, argv, "he:m:")) != EOF){ + switch (ch){ + case 'e': + interface = optarg; + break; + + case 'm': + old_mac_addr = optarg; + break; + + case 'h': + default: + usage(argv[0]); + exit(1); + } + } + + if (argc - optind != 1){ + usage(argv[0]); + exit(1); + } + + new_mac_addr = argv[optind]; + + if (!check_mac_addr_syntax(old_mac_addr)){ + fprintf(stderr, "invalid mac address: %s\n", old_mac_addr); + exit(1); + } + if (!check_mac_addr_syntax(new_mac_addr)){ + fprintf(stderr, "invalid mac address: %s\n", new_mac_addr); + exit(1); + } + + install_sig_handler(SIGINT, sig_handler); + + usrp2::usrp2::sptr u2; + + try { + u2 = usrp2::usrp2::make(interface, old_mac_addr); + } + catch (std::exception const &e){ + std::cerr << e.what() << std::endl; + return 1; + } + + if (!u2->burn_mac_addr(new_mac_addr)){ + std::cerr << "Failed to burn mac address: " + << new_mac_addr << std::endl; + return 1; + } + + u2.reset(); // close + + // wait 250 ms + struct timespec ts; + ts.tv_sec = 0; + ts.tv_nsec = 250000000; + nanosleep(&ts, 0); + + try { + u2 = usrp2::usrp2::make(interface, new_mac_addr); + } + catch (std::exception const &e){ + std::cerr << "Failed to connect to USRP2 using new addr: " + << new_mac_addr << std::endl; + std::cerr << e.what() << std::endl; + return 1; + } + + return 0; +} diff --git a/usrp2/host/include/Makefile.am b/usrp2/host/include/Makefile.am new file mode 100644 index 00000000..e2f7282b --- /dev/null +++ b/usrp2/host/include/Makefile.am @@ -0,0 +1,23 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along +# with this program; if not, write to the Free Software Foundation, Inc., +# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +# + +include $(top_srcdir)/Makefile.common + +SUBDIRS = usrp2 diff --git a/usrp2/host/include/usrp2/Makefile.am b/usrp2/host/include/usrp2/Makefile.am new file mode 100644 index 00000000..4c6dac89 --- /dev/null +++ b/usrp2/host/include/usrp2/Makefile.am @@ -0,0 +1,34 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) + +usrp2includedir = $(includedir)/usrp2 + +usrp2include_HEADERS = \ + copiers.h \ + copy_handler.h \ + data_handler.h \ + metadata.h \ + rx_nop_handler.h \ + rx_sample_handler.h \ + strtod_si.h \ + tune_result.h \ + usrp2.h \ + usrp2_cdefs.h diff --git a/usrp2/host/include/usrp2/copiers.h b/usrp2/host/include/usrp2/copiers.h new file mode 100644 index 00000000..43674f7a --- /dev/null +++ b/usrp2/host/include/usrp2/copiers.h @@ -0,0 +1,63 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_COPIERS_H +#define INCLUDED_USRP2_COPIERS_H + +#include +#include + +namespace usrp2 { + + // FIXME we may want to rework this, but this will get us on the air + + /* + * ---------------------------------------------------------------- + * Copy and convert from USRP2 wire format to host format + * ---------------------------------------------------------------- + */ + + void + copy_u2_complex_16_to_host_complex_16(size_t nitems, + const uint32_t *items, + std::complex *host_items); + + void + copy_u2_complex_16_to_host_complex_float(size_t nitems, + const uint32_t *items, + std::complex *host_items); + + /* + * ---------------------------------------------------------------- + * Copy and convert from host format to USRP2 wire format + * ---------------------------------------------------------------- + */ + + void + copy_host_complex_16_to_u2_complex_16(size_t nitems, + const std::complex *host_items, + uint32_t *items); + + void + copy_host_complex_float_to_u2_complex_16(size_t nitems, + const std::complex *host_items, + uint32_t *items); +} + + +#endif /* INCLUDED_USRP2_COPIERS_H */ diff --git a/usrp2/host/include/usrp2/copy_handler.h b/usrp2/host/include/usrp2/copy_handler.h new file mode 100644 index 00000000..aef14cac --- /dev/null +++ b/usrp2/host/include/usrp2/copy_handler.h @@ -0,0 +1,51 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ +#ifndef INCLUDED_COPY_HANDLER_H +#define INCLUDED_COPY_HANDLER_H + +#include +#include + +namespace usrp2 { + + class copy_handler : public data_handler, boost::noncopyable + { + uint8_t *d_dest; // next write pointer + size_t d_space; // space left in destination buffer + size_t d_bytes; // total bytes copied + size_t d_times; // number of times invoked + + public: + copy_handler(void *dest, size_t len); + ~copy_handler(); + + virtual data_handler::result operator()(const void *base, size_t len); + + size_t bytes() const { return d_bytes; } + size_t times() const { return d_times; } + + static const size_t MIN_COPY_LEN = 1484; // FIXME: calculate eth packet - thdr + bool full() const { return d_space < MIN_COPY_LEN; } + }; + +} // namespace usrp2 + +#endif /* INCLUDED_COPY_HANDLER_H */ diff --git a/usrp2/host/include/usrp2/data_handler.h b/usrp2/host/include/usrp2/data_handler.h new file mode 100644 index 00000000..7317b38a --- /dev/null +++ b/usrp2/host/include/usrp2/data_handler.h @@ -0,0 +1,55 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ +#ifndef INCLUDED_DATA_HANDLER_H +#define INCLUDED_DATA_HANDLER_H + +#include +#include + +namespace usrp2 { + + /*! + * \brief Abstract function object called to handle received data blocks. + */ + class data_handler + { + public: + + enum result_bits { + RELEASE = 0x0000, //< OK to release data (opposite of KEEP) + KEEP = 0x0001, //< do not discard data + DONE = 0x0002, //< do not call this object again + }; + + typedef int result; //< bitmask of result_bits + + /*! + * \param base points to the beginning of the data + * \param len is the length in bytes of the data + * \returns bitmask composed of DONE, KEEP + */ + virtual result operator()(const void *base, size_t len) = 0; + virtual ~data_handler(); + }; + +} // namespace usrp2 + +#endif /* INCLUDED_DATA_HANDLER_H */ diff --git a/usrp2/host/include/usrp2/metadata.h b/usrp2/host/include/usrp2/metadata.h new file mode 100644 index 00000000..52efd07e --- /dev/null +++ b/usrp2/host/include/usrp2/metadata.h @@ -0,0 +1,60 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_USRP2_METADATA_H +#define INCLUDED_USRP2_METADATA_H + +#include + +namespace usrp2 { + + //! type of the timestamp returned from the USRP2 FPGA + typedef uint32_t fpga_timestamp; + + /*! + * \brief metadata associated with received frames + */ + struct rx_metadata { + uint32_t word0; //< debugging, extensions + fpga_timestamp timestamp; //< time that first sample of frame was received + unsigned int start_of_burst : 1; //< this frame is the start of a burst + unsigned int end_of_burst : 1; //< this frame is the end of a burst + unsigned int rx_overrun : 1; //< An Rx overrun occurred in the FPGA + // rssi + // agc_mode + + rx_metadata() : + word0(0), timestamp(0), start_of_burst(0), end_of_burst(0), rx_overrun(0) {} + }; + + /*! + * \brief metadata associated with transmitted frames + */ + struct tx_metadata { + fpga_timestamp timestamp; //< time to transmit first sample of frame + unsigned int send_now : 1; //< ignore timestamp, send now + unsigned int start_of_burst : 1; //< this frame is the start of a burst + unsigned int end_of_burst : 1; //< this frame is the end of a burst + // ... + + tx_metadata() : + timestamp(0), send_now(0), start_of_burst(0), end_of_burst(0) {} + }; + +}; // usrp2 + +#endif /* INCLUDED_USRP2_METADATA_H */ diff --git a/usrp2/host/include/usrp2/rx_nop_handler.h b/usrp2/host/include/usrp2/rx_nop_handler.h new file mode 100644 index 00000000..44ca5139 --- /dev/null +++ b/usrp2/host/include/usrp2/rx_nop_handler.h @@ -0,0 +1,128 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_RX_NOP_HANDLER_H +#define INCLUDED_RX_NOP_HANDLER_H + +#include +#include + +/*! + * Base class for receive handlers that must copy into potentially limited + * range destination buffers. + * + * Maintains counters for number of items copied, times invoked, and test + * for whether maximum has been reached. + * + * Derived classes should override the () operator, but call this + * parent class method at some point at the start of their own operations. + */ + +namespace usrp2 { + + class rx_nop_handler : public rx_sample_handler + { + uint64_t d_max_samples; + uint64_t d_max_quantum; + uint64_t d_nsamples; + uint64_t d_nframes; + + protected: + bool d_err; + + public: + + // Shared pointer to an instance of this class + typedef boost::shared_ptr sptr; + + /*! + * Constructor + * + * \param max_samples Maximum number of samples to copy. Use zero for no maximum. + * \param max_quantum Maximum number of samples required to accept in one call. + * Use 0 to indicate no maximum. + */ + rx_nop_handler(uint64_t max_samples, uint64_t max_quantum=0) + : d_max_samples(max_samples), d_max_quantum(max_quantum), + d_nsamples(0), d_nframes(0), d_err(false) {} + + /*! + * Destructor. Derived classes must implement their own, non-inline destructor. + */ + virtual ~rx_nop_handler(); + + /*! + * \brief Returns number of frames this copier was called with + */ + uint64_t nframes() const { return d_nframes; } + + /*! + * \brief Returns actual number of samples copied + */ + uint64_t nsamples() const { return d_nsamples; } + + /*! + * \brief Returns maximum number of samples that will be copied + */ + uint64_t max_samples() const { return d_max_samples; } + + /*! + * Returns true if an error has occurred. Derived classes must set d_err to true + * when an error occurs in the () operator + */ + bool has_errored_p() const { return d_err; } + + /*! + * \brief Returns true if this instance has reached the maximum number of samples + */ + bool has_finished_p() const + { return d_max_samples == 0 ? false : d_nsamples >= d_max_samples-d_max_quantum; } + + + /*! + * Function operator invoked by USRP2 RX API. Derived classes must override this method + * but then invoke it at the start of their processing. This operator will always be + * called at least once. + * + * \param items points to the first 32-bit word of uninterpreted sample data in the frame. + * \param nitems is the number of entries in the frame in units of uint32_t's. + * \param metadata is the additional per frame data provided by the USRP2 FPGA. + * + * \p items points to the raw sample data received off of the ethernet. The data is + * packed into big-endian 32-bit unsigned ints for transport, but the actual format + * of the data is dependent on the current configuration of the USRP2. The most common + * format is 16-bit I & Q, with I in the top of the 32-bit word. + * + * \returns true if the object wants to be called again with new data; + * false if no additional data is wanted. + */ + virtual bool operator()(const uint32_t *items, size_t nitems, const rx_metadata *metadata) + { + // printf("W0: %08x TS: %08x\n", metadata->word0, metadata->timestamp); + // printf("I0: %08x\n", items[0]); + + d_nsamples += nitems; + d_nframes++; + + return !has_finished_p(); + } + }; + +} /* namespace usrp2 */ + +#endif /* INCLUDED_RX_NOP_HANDLER */ diff --git a/usrp2/host/include/usrp2/rx_sample_handler.h b/usrp2/host/include/usrp2/rx_sample_handler.h new file mode 100644 index 00000000..480cbc4f --- /dev/null +++ b/usrp2/host/include/usrp2/rx_sample_handler.h @@ -0,0 +1,58 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_USRP2_RX_SAMPLE_HANDLER_H +#define INCLUDED_USRP2_RX_SAMPLE_HANDLER_H + +#include +#include + + +namespace usrp2 { + + /*! + * \brief Abstract function object called to handle received data blocks. + * + * An object derived from this class is passed to usrp2::rx_samples + * to process the received frames of samples. + */ + class rx_sample_handler { + public: + virtual ~rx_sample_handler(); + + /*! + * \param items points to the first 32-bit word of uninterpreted sample data in the frame. + * \param nitems is the number of entries in the frame in units of uint32_t's. + * \param metadata is the additional per frame data provided by the USRP2 FPGA. + * + * \p items points to the raw sample data received off of the ethernet. The data is + * packed into big-endian 32-bit unsigned ints for transport, but the actual format + * of the data is dependent on the current configuration of the USRP2. The most common + * format is 16-bit I & Q, with I in the top of the 32-bit word. + * + * This is the general purpose, low level interface and relies on other functions + * to handle all required endian-swapping and format conversion. \sa FIXME. + * + * \returns true if the object wants to be called again with new data; + * false if no additional data is wanted. + */ + virtual bool operator()(const uint32_t *items, size_t nitems, const rx_metadata *metadata) = 0; + }; + +}; + +#endif /* INCLUDED_RX_SAMPLE_HANDLER_H */ diff --git a/usrp2/host/include/usrp2/strtod_si.h b/usrp2/host/include/usrp2/strtod_si.h new file mode 100644 index 00000000..0a0f5a62 --- /dev/null +++ b/usrp2/host/include/usrp2/strtod_si.h @@ -0,0 +1,39 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_STRTOD_SI_H +#define INCLUDED_STRTOD_SI_H + +#include "usrp2_cdefs.h" +__U2_BEGIN_DECLS + + +/*! + * \brief convert string at s to double honoring any trailing SI suffixes + * + * \param[in] s is the string to convert + * \param[out] result is the converted value + * \returns non-zero iff conversion was successful. + */ +int strtod_si(const char *s, double *result); + +__U2_END_DECLS + + +#endif /* INCLUDED_STRTOD_SI_H */ + diff --git a/usrp2/host/include/usrp2/tune_result.h b/usrp2/host/include/usrp2/tune_result.h new file mode 100644 index 00000000..6fb2a682 --- /dev/null +++ b/usrp2/host/include/usrp2/tune_result.h @@ -0,0 +1,45 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_TUNE_RESULT_H +#define INCLUDED_TUNE_RESULT_H + +namespace usrp2 { + + class tune_result + { + public: + // RF frequency that corresponds to DC in the IF + double baseband_freq; + + // frequency programmed into the DDC/DUC + double dxc_freq; + + // residual frequency (typically < 0.01 Hz) + double residual_freq; + + // is the spectrum inverted? + bool spectrum_inverted; + + tune_result() + : baseband_freq(0), dxc_freq(0), residual_freq(0), spectrum_inverted(false) {} + }; + +} // namespace usrp2 + +#endif /* INCLUDED_TUNE_RESULT_H */ diff --git a/usrp2/host/include/usrp2/usrp2.h b/usrp2/host/include/usrp2/usrp2.h new file mode 100644 index 00000000..87433791 --- /dev/null +++ b/usrp2/host/include/usrp2/usrp2.h @@ -0,0 +1,287 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_H +#define INCLUDED_USRP2_H + +#include +#include +#include +#include +//#include +#include +#include +#include + + +namespace usrp2 { + + /*! + * Structure to hold properties of USRP2 hardware devices. + * + */ + struct props + { + std::string addr; + uint16_t hw_rev; + uint8_t fpga_md5sum[16]; + uint8_t sw_md5sum[16]; + }; + + typedef std::vector props_vector_t; + + /*! + * \brief Search the ethernet for all USRP2s or for a specific USRP2. + * + * \param ifc is the name of the OS ethernet interface (e.g., "eth0") + * \param mac_addr is the MAC address of the desired USRP2, or "" to search for all. + * mac_addr must be either a zero length string, "", or must be of the form + * "01:02:03:04:05:06" or "05:06". + * + * \returns a vector of properties, 1 entry for each matching USRP2 found. + */ + props_vector_t find(const std::string &ifc, const std::string &mac_addr=""); + + class tune_result; + + class usrp2 : boost::noncopyable + { + public: + static const unsigned int MAX_CHAN = 30; + + /*! + * Shared pointer to this class + */ + typedef boost::shared_ptr sptr; + + /*! + * Static function to return an instance of usrp2 as a shared pointer + * + * \param ifc Network interface name, e.g., "eth0" + * \param addr Network mac address, e.g., "01:23:45:67:89:ab", "89:ab" or "". + * If \p addr is HH:HH, it's treated as if it were 00:50:c2:85:HH:HH + * "" will autoselect a USRP2 if there is only a single one on the local ethernet. + */ + static sptr make(const std::string &ifc, const std::string &addr=""); + + /*! + * Class destructor + */ + ~usrp2(); + + /*! + * Returns the MAC address associated with this USRP + */ + std::string mac_addr(); + + /*! + * Burn new mac address into EEPROM on USRP2 + * + * \param new_addr Network mac address, e.g., "01:23:45:67:89:ab" or "89:ab". + * If \p addr is HH:HH, it's treated as if it were 00:50:c2:85:HH:HH + */ + bool burn_mac_addr(const std::string &new_addr); + + /* + * ---------------------------------------------------------------- + * Rx configuration and control + * ---------------------------------------------------------------- + */ + + /*! + * Set receiver gain + */ + bool set_rx_gain(double gain); + + /*! + * Set receiver center frequency + */ + bool set_rx_center_freq(double frequency, tune_result *result); + + /*! + * Set receiver sample rate decimation + */ + bool set_rx_decim(int decimation_factor); + + /*! + * Set receiver IQ magnitude scaling + */ + bool set_rx_scale_iq(int scale_i, int scale_q); + + /*! + * Set received sample format + * + * domain: complex or real + * type: floating, fixed point, or raw + * depth: bits per sample + * + * Sets format over the wire for samples from USRP2. + */ + // bool set_rx_format(...); + + /*! + * Start streaming receive mode. USRP2 will send a continuous stream of + * DSP pipeline samples to host. Call rx_samples(...) to access. + * + * \param channel Stream channel number (0-30) + * \param items_per_frame Number of 32-bit items per frame. + */ + bool start_rx_streaming(unsigned int channel=0, unsigned int items_per_frame=0); + + /*! + * Stop streaming receive mode. + */ + bool stop_rx_streaming(unsigned int channel=0); + + /*! + * \brief Receive data from the specified channel + * This method is used to receive all data: streaming or discrete. + */ + bool rx_samples(unsigned int channel, rx_sample_handler *handler); + + /*! + * Returns number of times receive overruns have occurred + */ + unsigned int rx_overruns(); + + /*! + * Returns total number of missing frames from overruns. + */ + unsigned int rx_missing(); + + /* + * ---------------------------------------------------------------- + * Tx configuration and control + * ---------------------------------------------------------------- + */ + + /*! + * Set receiver gain + */ + bool set_tx_gain(double gain); + + /*! + * Set transmitter center frequency + */ + bool set_tx_center_freq(double frequency, tune_result *result); + + /*! + * Set transmitter sample rate interpolation + */ + bool set_tx_interp(int interpolation_factor); + + /*! + * Set transmit IQ magnitude scaling + */ + bool set_tx_scale_iq(int scale_i, int scale_q); + + /*! + * Set transmit sample format + * + * domain: complex or real + * type: floating, fixed point, or raw + * depth: bits per sample + * + * Sets format over the wire for samples to USRP2. + */ + // bool set_tx_format(...); + + /*! + * \brief transmit complex samples to USRP2 + * + * \param channel specifies the channel to send them to + * \param samples are the samples to transmit + * \param nsamples is the number of samples to transmit + * \param metadata provides the timestamp and flags + */ + bool tx_complex_float(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata); + + /*! + * \brief transmit complex samples to USRP2 + * + * \param channel specifies the channel to send them to + * \param samples are the samples to transmit + * \param nsamples is the number of samples to transmit + * \param metadata provides the timestamp and flags + */ + bool tx_complex_int16(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata); + + /*! + * \brief transmit raw uint32_t data items to USRP2 + * + * The caller is responsible for ensuring that the items are + * formatted appropriately for the USRP2 and its configuration. + * This method is used primarily by the system itself. Users + * should call tx_complex_float or tx_complex_16 instead. + * + * \param channel specifies the channel to send them to + * \param items are the data items to transmit + * \param nitems is the number of items to transmit + * \param metadata provides the timestamp and flags + */ + bool tx_raw(unsigned int channel, + const uint32_t *items, + size_t nitems, + const tx_metadata *metadata); + + // ---------------------------------------------------------------- + + /*! + * \brief MIMO configuration + * + * \param flags from usrp2_mimo_config.h + * + *

    +     *   one of these:
    +     *
    +     *     MC_WE_DONT_LOCK
    +     *     MC_WE_LOCK_TO_SMA
    +     *     MC_WE_LOCK_TO_MIMO
    +     *
    +     *   and optionally this:
    +     *
    +     *     MC_PROVIDE_CLK_TO_MIMO
    +     * 
    + */ + bool config_mimo(int flags); + + class impl; // implementation details + + private: + // Static function to retrieve or create usrp2 instance + static sptr find_existing_or_make_new(const std::string &ifc, props *p); + + // Only class members can instantiate this class + usrp2(const std::string &ifc, props *p); + + // All private state is held in opaque pointer + std::auto_ptr d_impl; + }; + +}; + +std::ostream& operator<<(std::ostream &os, const usrp2::props &x); + + +#endif /* INCLUDED_USRP2_H */ diff --git a/usrp2/host/include/usrp2/usrp2_cdefs.h b/usrp2/host/include/usrp2/usrp2_cdefs.h new file mode 100644 index 00000000..71395cda --- /dev/null +++ b/usrp2/host/include/usrp2/usrp2_cdefs.h @@ -0,0 +1,34 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef INCLUDED_USRP2_CDEFS_H +#define INCLUDED_USRP2_CDEFS_H + +/* C++ needs to know that types and declarations are C, not C++. */ +#ifdef __cplusplus +# define __U2_BEGIN_DECLS extern "C" { +# define __U2_END_DECLS } +#else +# define __U2_BEGIN_DECLS +# define __U2_END_DECLS +#endif + +#endif /* INCLUDED_USRP2_CDEFS_H */ diff --git a/usrp2/host/lib/Makefile.am b/usrp2/host/lib/Makefile.am new file mode 100644 index 00000000..5c98600b --- /dev/null +++ b/usrp2/host/lib/Makefile.am @@ -0,0 +1,67 @@ +# +# Copyright 2007,2008 Free Software Foundation, Inc. +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . +# + +include $(top_srcdir)/Makefile.common + +AM_CPPFLAGS = \ + $(USRP2_INCLUDES) \ + $(BOOST_CPPFLAGS) \ + $(STD_DEFINES_AND_INCLUDES) \ + $(CPPUNIT_INCLUDES) \ + $(GRUEL_INCLUDES) + +bin_PROGRAMS = usrp2_socket_opener +usrp2_socket_opener_SOURCES = usrp2_socket_opener.cc + +lib_LTLIBRARIES = \ + libusrp2.la + +libusrp2_la_SOURCES = \ + control.cc \ + copiers.cc \ + copy_handler.cc \ + data_handler.cc \ + eth_buffer.cc \ + ethernet.cc \ + find.cc \ + open_usrp2_socket.cc \ + pktfilter.cc \ + ring.cc \ + rx_nop_handler.cc \ + rx_sample_handler.cc \ + strtod_si.c \ + usrp2.cc \ + usrp2_impl.cc \ + usrp2_thread.cc + +libusrp2_la_LIBADD = \ + $(OMNITHREAD_LA) \ + $(GRUEL_LIBS) \ + $(BOOST_LDFLAGS) $(BOOST_THREAD_LIB) + +# Private headers not needed for above the API development +noinst_HEADERS = \ + control.h \ + eth_buffer.h \ + eth_common.h \ + ethernet.h \ + open_usrp2_socket.h \ + pktfilter.h \ + ring.h \ + usrp2_bytesex.h \ + usrp2_impl.h \ + usrp2_thread.h diff --git a/usrp2/host/lib/control.cc b/usrp2/host/lib/control.cc new file mode 100644 index 00000000..97d969fa --- /dev/null +++ b/usrp2/host/lib/control.cc @@ -0,0 +1,57 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include "control.h" +#include + +namespace usrp2 { + + pending_reply::pending_reply(unsigned int rid, void *buffer, size_t len) + : d_rid(rid), d_mutex(), d_cond(&d_mutex), d_buffer(buffer), d_len(len) + { + } + + pending_reply::~pending_reply() + { + signal(); // Needed? + } + + int + pending_reply::wait(double secs) + { + omni_mutex_lock l(d_mutex); + omni_time abs_timeout = omni_time::time(omni_time(secs)); + return d_cond.timedwait(abs_timeout.d_secs, abs_timeout.d_nsecs); + } + + void + pending_reply::signal() + { + d_cond.signal(); + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/control.h b/usrp2/host/lib/control.h new file mode 100644 index 00000000..678f12e4 --- /dev/null +++ b/usrp2/host/lib/control.h @@ -0,0 +1,117 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_CONTROL_H +#define INCLUDED_CONTROL_H + +#include +#include + +namespace usrp2 { + /*! + * OP_CONFIG_RX_V2 command packet + */ + struct op_config_rx_v2_cmd + { + u2_eth_packet_t h; + op_config_rx_v2_t op; + op_generic_t eop; + }; + + struct op_start_rx_streaming_cmd + { + u2_eth_packet_t h; + op_start_rx_streaming_t op; + op_generic_t eop; + }; + + struct op_stop_rx_cmd { + u2_eth_packet_t h; + op_generic_t op; + op_generic_t eop; + }; + + struct op_config_tx_v2_cmd + { + u2_eth_packet_t h; + op_config_tx_v2_t op; + op_generic_t eop; + }; + + struct op_burn_mac_addr_cmd + { + u2_eth_packet_t h; + op_burn_mac_addr_t op; + op_generic_t eop; + }; + + /*! + * Control mechanism to allow API calls to block waiting for reply packets + */ + class pending_reply + { + private: + unsigned int d_rid; + omni_mutex d_mutex; + omni_condition d_cond; + void *d_buffer; + size_t d_len; + + public: + /*! + * Construct a pending reply from the reply ID, response packet + * buffer, and buffer length. + */ + pending_reply(unsigned int rid, void *buffer, size_t len); + + /*! + * Destructor. Signals creating thread. + */ + ~pending_reply(); + + /*! + * Block, waiting for reply packet. + * Returns: 1 = ok, reply packet in buffer + * 0 = timeout + */ + int wait(double secs); + + /*! + * Allows creating thread to resume after copying reply into buffer + */ + void signal(); + + /*! + * Retrieve pending reply ID + */ + unsigned int rid() const { return d_rid; } + + /*! + * Retrieve destination buffer address + */ + void *buffer() const { return d_buffer; } + + /*! + * Retrieve destination buffer length + */ + size_t len() const { return d_len; } + }; + +} // namespace usrp2 + +#endif /* INCLUDED_CONTROL_H */ diff --git a/usrp2/host/lib/copiers.cc b/usrp2/host/lib/copiers.cc new file mode 100644 index 00000000..833bb790 --- /dev/null +++ b/usrp2/host/lib/copiers.cc @@ -0,0 +1,133 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include +#include +#include +#include +#include +#include +#include + +// FIXME need gruel::not_implemented + +namespace usrp2 { + + /* + * N.B., in all of these, uint32_t *items is NOT 32-bit aligned! + * FIXME Needs fix for non-x86 machines. + */ + + /* + * ---------------------------------------------------------------- + * Copy and convert from USRP2 wire format to host format + * ---------------------------------------------------------------- + */ + void + copy_u2_complex_16_to_host_complex_16(size_t nitems, + const uint32_t *items, + std::complex *host_items) + { +#ifdef WORDS_BIGENDIAN + + assert(sizeof(items[0]) == sizeof(host_items[0])); + memcpy(host_items, items, nitems * sizeof(items[0])); + +#else + + // FIXME SIMD welcome here + + for (size_t i = 0; i < nitems; i++){ + uint32_t t = ntohx(items[i]); + //printf("%9d\n", items[i]); + host_items[i] = std::complex((t >> 16), t & 0xffff); + } + +#endif + } + + + /* + * endian swap if required and map [-32768, 32767] -> [1.0, +1.0) + */ + void + copy_u2_complex_16_to_host_complex_float(size_t nitems, + const uint32_t *items, + std::complex *host_items) + { + for (size_t i = 0; i < nitems; i++){ + uint32_t t = ntohx(items[i]); + int16_t re = (t >> 16) & 0xffff; + int16_t im = (t & 0xffff); + host_items[i] = std::complex(re * 1.0/32768, im * 1.0/32768); + } + } + + /* + * ---------------------------------------------------------------- + * Copy and convert from host format to USRP2 wire format + * ---------------------------------------------------------------- + */ + void + copy_host_complex_16_to_u2_complex_16(size_t nitems, + const std::complex *host_items, + uint32_t *items) + { +#ifdef WORDS_BIGENDIAN + + assert(sizeof(items[0]) == sizeof(host_items[0])); + memcpy(items, host_items, nitems * sizeof(items[0])); + +#else + + // FIXME SIMD welcome here + + for (size_t i = 0; i < nitems; i++){ + items[i] = htonl((host_items[i].real() << 16) | (host_items[i].imag() & 0xffff)); + } + +#endif + } + + + static inline int16_t + clip_and_scale(float x) + { + return static_cast(rintf(gr_branchless_clip(x, 1.0) * 32767.0)); + } + + void + copy_host_complex_float_to_u2_complex_16(size_t nitems, + const std::complex *host_items, + uint32_t *items) + { + for (size_t i = 0; i < nitems; i++){ + int16_t re = clip_and_scale(host_items[i].real()); + int16_t im = clip_and_scale(host_items[i].imag()); + + items[i] = htonl((re << 16) | (im & 0xffff)); + } + } + +} diff --git a/usrp2/host/lib/copy_handler.cc b/usrp2/host/lib/copy_handler.cc new file mode 100644 index 00000000..92759084 --- /dev/null +++ b/usrp2/host/lib/copy_handler.cc @@ -0,0 +1,60 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include +#include + +namespace usrp2 { + + copy_handler::copy_handler(void *dest, size_t len) + : d_dest((uint8_t *)dest), d_space(len), d_bytes(0), d_times(0) + { + } + + copy_handler::~copy_handler() + { + // NOP + } + + data_handler::result + copy_handler::operator()(const void *base, size_t len) + { + if (len > d_space) + return KEEP|DONE; // can't do anything, retry later + + memcpy(&d_dest[d_bytes], base, len); + d_space -= len; + d_bytes += len; + d_times++; + + if (d_space < MIN_COPY_LEN) + return DONE; // don't call me anymore + + return 0; + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/data_handler.cc b/usrp2/host/lib/data_handler.cc new file mode 100644 index 00000000..ba912368 --- /dev/null +++ b/usrp2/host/lib/data_handler.cc @@ -0,0 +1,32 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#include + +namespace usrp2 { + + data_handler::~data_handler() + { + // default nop destructor + } + +} + diff --git a/usrp2/host/lib/eth_buffer.cc b/usrp2/host/lib/eth_buffer.cc new file mode 100644 index 00000000..7970ab87 --- /dev/null +++ b/usrp2/host/lib/eth_buffer.cc @@ -0,0 +1,273 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include "eth_buffer.h" +#include "ethernet.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + + +#define ETH_BUFFER_DEBUG 0 // define to 0 or 1 +#if ETH_BUFFER_DEBUG +#define DEBUG_LOG(x) ::write(2, (x), 1) +#else +#define DEBUG_LOG(X) +#endif + +#define MAX_MEM_SIZE 25e6 // ~0.25s @ 100 MB/s +#define MAX_SLAB_SIZE 131702 // 128 KB (FIXME fish out of /proc/slabinfo) +#define MAX_PKT_SIZE 1512 // we don't do jumbo frames + +namespace usrp2 { + + eth_buffer::eth_buffer(size_t rx_bufsize) + : d_fd(0), d_using_tpring(false), d_buflen(0), d_buf(0), d_frame_nr(0), + d_frame_size(0), d_head(0), d_ring(0), d_ethernet(new ethernet()) + { + if (rx_bufsize == 0) + d_buflen = (size_t)MAX_MEM_SIZE; + else + d_buflen = std::min((size_t)MAX_MEM_SIZE, rx_bufsize); + + memset(d_mac, 0, sizeof(d_mac)); + } + + eth_buffer::~eth_buffer() + { + close(); + } + + bool + eth_buffer::open(const std::string &ifname, int protocol) + { + if (!d_ethernet->open(ifname, protocol)) { + std::cerr << "eth_buffer: unable to open interface " + << ifname << std::endl; + return false; + } + + d_fd = d_ethernet->fd(); + memcpy(d_mac, d_ethernet->mac(), sizeof(d_mac)); + + struct tpacket_req req; + size_t page_size = getpagesize(); + + // Calculate minimum power-of-two aligned size for frames + req.tp_frame_size = + (unsigned int)rint(pow(2, ceil(log2(TPACKET_ALIGN(TPACKET_HDRLEN)+TPACKET_ALIGN(MAX_PKT_SIZE))))); + d_frame_size = req.tp_frame_size; + + // Calculate minimum contiguous pages needed to enclose a frame + int npages = (page_size > req.tp_frame_size) ? 1 : ((req.tp_frame_size+page_size-1)/page_size); + req.tp_block_size = page_size << (int)ceil(log2(npages)); + + // Calculate number of blocks + req.tp_block_nr = std::min((int)(MAX_SLAB_SIZE/sizeof(void*)), + (int)(d_buflen/req.tp_block_size)); + + // Recalculate buffer length + d_buflen = req.tp_block_nr*req.tp_block_size; + + // Finally, calculate total number of frames. Since frames, blocks, + // and pages are all power-of-two aligned, frames are contiguous + req.tp_frame_nr = d_buflen/req.tp_frame_size; + d_frame_nr = req.tp_frame_nr; + +#if 0 + if (ETH_BUFFER_DEBUG) + std::cerr << "eth_buffer:" + << " frame_size=" << req.tp_frame_size + << " block_size=" << req.tp_block_size + << " block_nr=" << req.tp_block_nr + << " frame_nr=" << req.tp_frame_nr + << " buflen=" << d_buflen + << std::endl; +#endif + + // Try to get kernel shared memory buffer + if (setsockopt(d_fd, SOL_PACKET, PACKET_RX_RING, (void *)&req, sizeof(req))) { + perror("eth_buffer: setsockopt"); + d_using_tpring = false; + if (!(d_buf = (uint8_t *)malloc(d_buflen))) { + std::cerr << "eth_buffer: failed to allocate packet memory" << std::endl; + return false; + } + + std::cerr << "eth_buffer: using malloc'd memory for buffer" << std::endl; + } + else { + d_using_tpring = true; + void *p = mmap(0, d_buflen, PROT_READ|PROT_WRITE, MAP_SHARED, d_fd, 0); + if (p == MAP_FAILED){ + perror("eth_buffer: mmap"); + return false; + } + d_buf = (uint8_t *) p; + + if (ETH_BUFFER_DEBUG) + std::cerr << "eth_buffer: using kernel shared mem for buffer" << std::endl; + } + + // Initialize our pointers into the packet ring + d_ring = std::vector(req.tp_frame_nr); + for (unsigned int i=0; i < req.tp_frame_nr; i++) { + d_ring[i] = (uint8_t *)(d_buf+i*req.tp_frame_size); + } + + // If not using kernel ring, instantiate select/read thread here + + return true; + } + + bool + eth_buffer::close() + { + // if we have background thread, stop it here + + if (!d_using_tpring && d_buf) + free(d_buf); + + return d_ethernet->close(); + } + + bool + eth_buffer::attach_pktfilter(pktfilter *pf) + { + return d_ethernet->attach_pktfilter(pf); + } + + inline bool + eth_buffer::frame_available() + { + return (((tpacket_hdr *)d_ring[d_head])->tp_status != TP_STATUS_KERNEL); + } + + eth_buffer::result + eth_buffer::rx_frames(data_handler *f, int timeout_in_ms) + { + DEBUG_LOG("\n"); + + while (!frame_available()) { + if (timeout_in_ms == 0) { + DEBUG_LOG("w"); + return EB_WOULD_BLOCK; + } + + struct pollfd pfd; + pfd.fd = d_fd; + pfd.revents = 0; + pfd.events = POLLIN; + + DEBUG_LOG("P"); + + int pres = poll(&pfd, 1, timeout_in_ms); + if (pres == -1) { + perror("poll"); + return EB_ERROR; + } + + if (pres == 0) { + DEBUG_LOG("t"); + return EB_TIMED_OUT; + } + } + + // Iterate through available packets + while (frame_available()) { + // Get start of ethernet frame and length + tpacket_hdr *hdr = (tpacket_hdr *)d_ring[d_head]; + void *base = (uint8_t *)hdr+hdr->tp_mac; + size_t len = hdr->tp_len; + + // FYI, (base % 4 == 2) Not what we want given the current FPGA + // code. This means that our uint32_t samples are not 4-byte + // aligned. We'll have to deal with it downstream. + + if (0) + fprintf(stderr, "eth_buffer: base = %p tp_mac = %3d tp_net = %3d\n", + base, hdr->tp_mac, hdr->tp_net); + + // Invoke data handler + data_handler::result r = (*f)(base, len); + if (!(r & data_handler::KEEP)) + hdr->tp_status = TP_STATUS_KERNEL; // mark it free + + inc_head(); + + if (r & data_handler::DONE) + break; + } + + DEBUG_LOG("|"); + return EB_OK; + } + + eth_buffer::result + eth_buffer::tx_frame(const void *base, size_t len, int flags) + { + DEBUG_LOG("T"); + + if (flags & EF_DONTWAIT) // FIXME: implement flags + throw std::runtime_error("tx_frame: EF_DONTWAIT not implemented"); + + int res = d_ethernet->write_packet(base, len); + if (res < 0 || (unsigned int)res != len) + return EB_ERROR; + + return EB_OK; + } + + eth_buffer::result + eth_buffer::tx_framev(const eth_iovec *iov, int iovcnt, int flags) + { + DEBUG_LOG("T"); + + if (flags & EF_DONTWAIT) // FIXME: implement flags + throw std::runtime_error("tx_frame: EF_DONTWAIT not implemented"); + + int res = d_ethernet->write_packetv(iov, iovcnt); + if (res < 0) + return EB_ERROR; + + return EB_OK; + } + + void + eth_buffer::release_frame(void *base) + { + // Get d_frame_size aligned header + tpacket_hdr *hdr = (tpacket_hdr *)((intptr_t)base & ~(d_frame_size-1)); + hdr->tp_status = TP_STATUS_KERNEL; // mark it free + } + +} // namespace usrp2 diff --git a/usrp2/host/lib/eth_buffer.h b/usrp2/host/lib/eth_buffer.h new file mode 100644 index 00000000..8dee9a4a --- /dev/null +++ b/usrp2/host/lib/eth_buffer.h @@ -0,0 +1,198 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ +#ifndef INCLUDED_USRP2_ETH_BUFFER_H +#define INCLUDED_USRP2_ETH_BUFFER_H + +#include "pktfilter.h" +#include +#include +#include +#include +#include + +namespace usrp2 { + + class ethernet; + class data_handler; + + /*! + * \brief high-performance interface to send and receive raw + * ethernet frames with out-of-order retirement of received frames. + * + * On many systems it should be possible to implement this on top of libpcap + * + * \internal + */ + class eth_buffer : boost::noncopyable + { + + int d_fd; // socket file descriptor + uint8_t d_mac[6]; // our mac address + bool d_using_tpring; // using kernel mapped packet ring + size_t d_buflen; // length of our buffer + uint8_t *d_buf; // packet ring + unsigned int d_frame_nr; // max frames on ring + size_t d_frame_size; // frame storage size + unsigned int d_head; // pointer to next frame + + std::vector d_ring; // pointers into buffer + std::auto_ptr d_ethernet; // our underlying interface + + bool frame_available(); + + void inc_head() + { + if (d_head + 1 >= d_frame_nr) + d_head = 0; + else + d_head = d_head + 1; + } + + + public: + + enum result { + EB_OK, //< everything's fine + EB_ERROR, //< A non-recoverable error occurred + EB_WOULD_BLOCK, //< A timeout of 0 was specified and nothing was ready + EB_TIMED_OUT, //< The timeout expired before anything was ready + }; + + static const unsigned int MAX_PKTLEN = 1512; + static const unsigned int MIN_PKTLEN = 64; + + /*! + * \param rx_bufsize is a hint as to the number of bytes of memory + * to allocate for received ethernet frames (0 -> reasonable default) + */ + eth_buffer(size_t rx_bufsize = 0); + ~eth_buffer(); + + /*! + * \brief open the specified interface + * + * \param ifname ethernet interface name, e.g., "eth0" + * \param protocol is the ethertype protocol number in network order. + * Use 0 to receive all protocols. + */ + bool open(const std::string &ifname, int protocol); + + /*! + * \brief close the interface + */ + bool close(); + + /*! + * \brief attach packet filter to socket to restrict which packets read sees. + * \param pf the packet filter + */ + bool attach_pktfilter(pktfilter *pf); + + /*! + * \brief return 6 byte string containing our MAC address + */ + const uint8_t *mac() const { return d_mac; } + + /*! + * \brief Call \p f for each frame in the receive buffer. + * \param f is the frame data handler + * \param timeout (in ms) controls behavior when there are no frames to read + * + * If \p timeout is 0, rx_frames will not wait for frames if none are + * available, and f will not be invoked. If \p timeout is -1 (the + * default), rx_frames will block indefinitely until frames are + * available. If \p timeout is positive, it indicates the number of + * milliseconds to wait for a frame to become available. Once the + * timeout has expired, rx_frames will return, f never having been + * invoked. + * + * \p f will be called on each ethernet frame that is available. + * \p f returns a bit mask with one of the following set or cleared: + * + * data_handler::KEEP - hold onto the frame and present it again during + * the next call to rx_frames, otherwise discard it + * + * data_handler::DONE - return from rx_frames now even though more frames + * might be available; otherwise continue if more + * frames are ready. + * + * The idea of holding onto a frame for the next iteration allows + * the caller to scan the received packet stream for particular + * classes of frames (such as command replies) leaving the rest + * intact. On the next call all kept frames, followed by any new + * frames received, will be presented in order to \p f. + * See usrp2.cc for an example of the pattern. + * + * \returns EB_OK if at least one frame was received + * \returns EB_WOULD_BLOCK if \p timeout is 0 and the call would have blocked + * \returns EB_TIMED_OUT if timeout occurred + * \returns EB_ERROR if there was an unrecoverable error. + */ + result rx_frames(data_handler *f, int timeout=-1); + + /* + * \brief Release frame from buffer + * + * Call to release a frame previously held by a data_handler::KEEP. + * The pointer may be offset from the base of the frame up to its length. + */ + void release_frame(void *p); + + /* + * \brief Write an ethernet frame to the interface. + * + * \param base points to the beginning of the frame (the 14-byte ethernet header). + * \param len is the length of the frame in bytes. + * \param flags is 0 or the bitwise-or of values from eth_flags + * + * The frame must begin with a 14-byte ethernet header. + * + * \returns EB_OK if the frame was successfully enqueued. + * \returns EB_WOULD_BLOCK if flags contains EF_DONT_WAIT and the call would have blocked. + * \returns EB_ERROR if there was an unrecoverable error. + */ + result tx_frame(const void *base, size_t len, int flags=0); + + /* + * \brief Write an ethernet frame to the interface using scatter/gather. + * + * \param iov points to an array of iovec structs + * \param iovcnt is the number of entries + * \param flags is 0 or the bitwise-or of values from eth_flags + * + * The frame must begin with a 14-byte ethernet header. + * + * \returns EB_OK if the frame was successfully enqueued. + * \returns EB_WOULD_BLOCK if flags contains EF_DONT_WAIT and the call would have blocked. + * \returns EB_ERROR if there was an unrecoverable error. + */ + result tx_framev(const eth_iovec *iov, int iovcnt, int flags=0); + + /* + * \brief Returns maximum possible number of frames in buffer + */ + unsigned int max_frames() const { return d_frame_nr; } + + }; + +}; // namespace usrp2 + +#endif /* INCLUDED_USRP2_ETH_BUFFER_H */ diff --git a/usrp2/host/lib/eth_common.h b/usrp2/host/lib/eth_common.h new file mode 100644 index 00000000..7c9feaa2 --- /dev/null +++ b/usrp2/host/lib/eth_common.h @@ -0,0 +1,38 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifndef INCLUDED_USRP2_ETH_COMMON_H +#define INCLUDED_USRP2_ETH_COMMON_H + +#include // FIXME autoconf this + +namespace usrp2 { + + enum eth_flags { + EF_DONTWAIT = 0x0001, + }; + + typedef struct iovec eth_iovec; // FIXME autoconf this + +} // namespace usrp2 + + +#endif /* INCLUDED_USRP2_ETH_COMMON_H */ diff --git a/usrp2/host/lib/ethernet.cc b/usrp2/host/lib/ethernet.cc new file mode 100644 index 00000000..65e989ce --- /dev/null +++ b/usrp2/host/lib/ethernet.cc @@ -0,0 +1,228 @@ +/* -*- c++ -*- */ +/* + * Copyright 2005,2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include "ethernet.h" +#include "pktfilter.h" +#include + +#include +#include +#include +//#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include // packet filter + +namespace usrp2 { + + static int + open_packet_socket (std::string ifname, int protocol) + { +#if 0 + if (protocol == 0) + protocol = htons(ETH_P_ALL); + + int fd = socket (PF_PACKET, SOCK_RAW, protocol); +#else + int fd = usrp2::open_usrp2_socket(); +#endif + + if (fd == -1){ + fprintf (stderr, "%s: socket: %s\n", ifname.c_str(), strerror (errno)); + return -1; + } + + // get interface index + struct ifreq ifr; + memset (&ifr, 0, sizeof(ifr)); + strncpy (ifr.ifr_name, ifname.c_str(), sizeof (ifr.ifr_name)); + int res = ioctl (fd, SIOCGIFINDEX, &ifr); + if (res != 0){ + ::close (fd); + fprintf (stderr, "%s: SIOCGIFINDEX: %s\n", ifname.c_str(), strerror(errno)); + return -1; + } + int ifindex = ifr.ifr_ifindex; + + // bind to the specified interface + sockaddr_ll sa; + memset (&sa, 0, sizeof (sa)); + sa.sll_family = AF_PACKET; + sa.sll_protocol = protocol; + sa.sll_ifindex = ifindex; + res = bind (fd, (struct sockaddr *)&sa, sizeof (sa)); + if (res != 0){ + ::close (fd); + fprintf (stderr, "%s: bind: %s\n", ifname.c_str(), strerror(errno)); + return -1; + } + return fd; + } + + static void + extract_mac_addr (unsigned char *mac, const unsigned char *hwaddr) + { + int i; + for (i = 0; i < 6; i++) + mac[i] = 0xff; + + i = 0; + for (int j = 0; j < 14; j++){ + if (hwaddr[j] != 0xff){ + mac[i++] = hwaddr[j]; + if (i == 6) + return; + } + } + } + + static bool + get_mac_addr (std::string ifname, int fd, unsigned char *mac) + { + struct ifreq ifr; + memset (&ifr, 0, sizeof(ifr)); + strncpy (ifr.ifr_name, ifname.c_str(), sizeof (ifr.ifr_name)); + int res = ioctl (fd, SIOCGIFHWADDR, &ifr); + if (res != 0){ + fprintf (stderr, "%s: SIOCGIFHWADDR: %s\n", ifname.c_str(), strerror(errno)); + return false; + } + else { + if (0){ + for (unsigned i = 0; i < sizeof (ifr.ifr_hwaddr.sa_data); i++) + fprintf (stderr, "%02x", ifr.ifr_hwaddr.sa_data[i]); + fprintf (stderr, "\n"); + } + } + extract_mac_addr (mac, (unsigned char *)ifr.ifr_hwaddr.sa_data); + return true; + } + + ethernet::ethernet () + { + d_fd = -1; + memset (d_mac, 0, sizeof (d_mac)); + } + + ethernet::~ethernet () + { + close (); + } + + bool + ethernet::open (std::string ifname, int protocol) + { + if (d_fd != -1){ + fprintf (stderr, "ethernet: already open\n"); + return false; + } + if ((d_fd = open_packet_socket (ifname, protocol)) == -1){ + return false; + } + get_mac_addr (ifname, d_fd, d_mac); + return true; + } + + bool + ethernet::close () + { + if (d_fd >= 0){ + ::close (d_fd); + d_fd = -1; + } + return true; + } + + int + ethernet::read_packet (void *buf, int buflen) + { + int len = recvfrom (d_fd, buf, buflen, 0, (sockaddr *) 0, 0); + return len; + } + + int + ethernet::read_packet_dont_block (void *buf, int buflen) + { + int len = recvfrom (d_fd, buf, buflen, MSG_DONTWAIT, 0, 0); + if (len == -1 && errno == EAGAIN) + return 0; + + return len; + } + + int + ethernet::write_packet (const void *buf, int buflen) + { + int retval = send (d_fd, buf, buflen, 0); + if (retval < 0){ + if (errno == EINTR) + return write_packet (buf, buflen); + + perror ("ethernet:write_packet: send"); + return -1; + } + return retval; + } + + int + ethernet::write_packetv(const eth_iovec *iov, size_t iovlen) + { + struct msghdr mh; + memset(&mh, 0, sizeof(mh)); + mh.msg_iov = const_cast(iov); + mh.msg_iovlen = iovlen; + + int retval = sendmsg(d_fd, &mh, 0); + if (retval < 0){ + if (errno == EINTR) + return write_packetv(iov, iovlen); + + perror("ethernet:write_packetv: send"); + return -1; + } + return retval; + } + + bool + ethernet::attach_pktfilter (pktfilter *pf) + { + struct sock_fprog filter; + filter.len = pf->d_len; + filter.filter = pf->d_inst; + + int r = setsockopt (d_fd, SOL_SOCKET, SO_ATTACH_FILTER, &filter, sizeof (filter)); + if (r < 0){ + perror ("ethernet:attach: SO_ATTACH_FILTER"); + return false; + } + return true; + } + +} // namespace usrp2 diff --git a/usrp2/host/lib/ethernet.h b/usrp2/host/lib/ethernet.h new file mode 100644 index 00000000..24624f44 --- /dev/null +++ b/usrp2/host/lib/ethernet.h @@ -0,0 +1,124 @@ +/* -*- c++ -*- */ +/* + * Copyright 2005,2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_ETHERNET_H +#define INCLUDED_USRP2_ETHERNET_H + +#include +#include +#include + +namespace usrp2 { + + class pktfilter; + + /*! + * \brief Read and write ethernet frames. + * + * This provides a low level interface to hardware that communicates + * via raw (non-IP) ethernet frames. + */ + class ethernet { + int d_fd; + uint8_t d_mac[6]; + + public: + ethernet (); + ~ethernet (); + + static const int MAX_PKTLEN = 1512; + static const int MIN_PKTLEN = 64; + + /*! + * \param ifname ethernet interface name, e.g., "eth0" + * \param protocol is the ethertype protocol number in network order. + * Use 0 to receive all protocols. + */ + bool open (std::string ifname, int protocol); + + bool close (); + + /*! + * \brief attach packet filter to socket to restrict which packets read sees. + * \param pf the packet filter + */ + bool attach_pktfilter (pktfilter *pf); + + /*! + * \brief return 6 byte string containing our MAC address + */ + const uint8_t *mac () const { return d_mac; } + + /*! + * \brief Return file descriptor associated with socket. + */ + int fd () const { return d_fd; } + + /*! + * \brief Read packet from interface. + * + * \param buf where to put the packet + * \param buflen maximum length of packet in bytes (should be >= 1528) + * + * \returns number of bytes read or -1 if trouble. + * + * Returned packet includes 14-byte ethhdr + */ + int read_packet (void *buf, int buflen); + + /*! + * \brief Read packet from interface, but don't block waiting + * + * \param buf where to put the packet + * \param buflen maximum length of packet in bytes (should be >= 1528) + * + * \returns number of bytes read, -1 if trouble or 0 if nothing available. + * + * Returned packet includes 14-byte ethhdr + */ + int read_packet_dont_block (void *buf, int buflen); + + /* + * \brief Write ethernet packet to interface. + * + * \param buf the packet to write + * \param buflen length of packet in bytes + * + * \returns number of bytes written or -1 if trouble. + * + * Packet must begin with 14-byte ethhdr, but does not include the FCS. + */ + int write_packet (const void *buf, int buflen); + + /* + * \brief Write ethernet packet to interface. + * + * \param iov scatter/gather array + * \param iovlen number of elements in iov + * + * \returns number of bytes written or -1 if trouble. + * + * Packet must begin with 14-byte ethhdr, but does not include the FCS. + */ + int write_packetv (const eth_iovec *iov, size_t iovlen); + + }; + +} // namespace usrp2 + +#endif /* INCLUDED_USRP2_ETHERNET_H */ diff --git a/usrp2/host/lib/find.cc b/usrp2/host/lib/find.cc new file mode 100644 index 00000000..f6c04a58 --- /dev/null +++ b/usrp2/host/lib/find.cc @@ -0,0 +1,181 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include +#include +#include +#include "ethernet.h" +#include "pktfilter.h" +#include +#include +#include + +#define FIND_DEBUG 0 + + +// FIXME move to gruel + +static struct timeval +time_duration_to_timeval(boost::posix_time::time_duration delta) +{ + long total_us = delta.total_microseconds(); + if (total_us < 0) + throw std::invalid_argument("duration_to_time: delta is negative"); + + struct timeval tv; + tv.tv_sec = total_us / 1000000; + tv.tv_usec = total_us % 1000000; + return tv; +} + + +namespace usrp2 { + + static props + reply_to_props(const op_id_reply_t *r) + { + const uint8_t *mac = (const uint8_t *)&r->addr; + char addr_buf[128]; + snprintf(addr_buf, sizeof(addr_buf), "%02x:%02x:%02x:%02x:%02x:%02x", + mac[0], mac[1], mac[2], mac[3], mac[4], mac[5]); + + props p; + p.addr = std::string(addr_buf); + p.hw_rev = ntohs(r->hw_rev); + memcpy(p.fpga_md5sum, r->fpga_md5sum, sizeof(p.fpga_md5sum)); + memcpy(p.sw_md5sum, r->sw_md5sum, sizeof(p.sw_md5sum)); + return p; + } + + static void + read_replies(ethernet *enet, struct timeval timeout, + const std::string &target_addr, props_vector_t &result) + { + struct reply { + u2_eth_packet_t h; + op_id_reply_t op_id_reply; + }; + + uint8_t pktbuf[ethernet::MAX_PKTLEN]; + memset(pktbuf, 0, sizeof(pktbuf)); + + fd_set read_fds; + FD_ZERO(&read_fds); + FD_SET(enet->fd(), &read_fds); + + select(enet->fd()+1, &read_fds, 0, 0, &timeout); + while(1) { + memset(pktbuf, 0, sizeof(pktbuf)); + int len = enet->read_packet_dont_block(pktbuf, sizeof(pktbuf)); + if (len < 0){ + perror("usrp2_basic: read_packet_dont_block"); + return; + } + if (len == 0) + break; + + reply *rp = (reply *)pktbuf; + if (u2p_chan(&rp->h.fixed) != CONTROL_CHAN) // ignore + continue; + if (rp->op_id_reply.opcode != OP_ID_REPLY) // ignore + continue; + + props p = reply_to_props(&rp->op_id_reply); + if (FIND_DEBUG) + std::cerr << "usrp2::find: response from " << p.addr << std::endl; + + if ((target_addr == "") || (target_addr == p.addr)) + result.push_back(p); + } + } + + props_vector_t + find(const std::string &ifc, const std::string &addr) + { + if (FIND_DEBUG) { + std::cerr << "usrp2::find: Searching interface " << ifc << " for " + << (addr == "" ? "all USRP2s" : addr) + << std::endl; + } + + props_vector_t result; + struct command { + u2_eth_packet_t h; + op_generic_t op_id; + }; + + std::auto_ptr enet(new ethernet()); + + if (!enet->open(ifc, htons(U2_ETHERTYPE))) + return result; + + std::auto_ptr pf(pktfilter::make_ethertype_inbound(U2_ETHERTYPE, enet->mac())); + if (!enet->attach_pktfilter(pf.get())) + return result; + + static u2_mac_addr_t broadcast_mac_addr = + {{ 0xff, 0xff, 0xff, 0xff, 0xff, 0xff }}; + + uint8_t pktbuf[ethernet::MAX_PKTLEN]; + memset(pktbuf, 0, sizeof(pktbuf)); + + command *c = (command *)pktbuf; + c->h.ehdr.ethertype = htons(U2_ETHERTYPE); + c->h.ehdr.dst = broadcast_mac_addr; + memcpy(&c->h.ehdr.src, enet->mac(), 6); + c->h.thdr.flags = 0; + c->h.thdr.seqno = 0; + c->h.thdr.ack = 0; + u2p_set_word0(&c->h.fixed, 0, CONTROL_CHAN); + u2p_set_timestamp(&c->h.fixed, -1); + c->op_id.opcode = OP_ID; + c->op_id.len = sizeof(c->op_id); + int len = std::max((size_t) ethernet::MIN_PKTLEN, sizeof(command)); + if (enet->write_packet(c, len) != len) + return result; + + if (FIND_DEBUG) + std::cerr << "usrp2::find: broadcast ID command" << std::endl; + + /* + * Gather all responses that occur within 50ms + */ + boost::posix_time::ptime start(boost::posix_time::microsec_clock::universal_time()); + boost::posix_time::ptime limit(start + boost::posix_time::milliseconds(50)); + boost::posix_time::ptime now; + + while (1){ + now = boost::posix_time::microsec_clock::universal_time(); + if (now >= limit) + break; + + boost::posix_time::time_duration delta(limit - now); + struct timeval timeout = time_duration_to_timeval(delta); + + read_replies(enet.get(), timeout, addr, result); + } + return result; + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/open_usrp2_socket.cc b/usrp2/host/lib/open_usrp2_socket.cc new file mode 100644 index 00000000..cd729205 --- /dev/null +++ b/usrp2/host/lib/open_usrp2_socket.cc @@ -0,0 +1,130 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include +#include +#include +#include +#include +#include +#include + +static const char *helper = "usrp2_socket_opener"; + +static ssize_t +read_fd(int fd, void *ptr, size_t nbytes, int *recvfd) +{ + struct msghdr msg; + struct iovec iov[1]; + ssize_t n; + +#ifdef HAVE_STRUCT_MSGHDR_MSG_CONTROL + union { + struct cmsghdr cm; + char control[CMSG_SPACE(sizeof (int))]; + } control_un; + struct cmsghdr *cmptr; + + msg.msg_control = control_un.control; + msg.msg_controllen = sizeof(control_un.control); +#else + int newfd; + + msg.msg_accrights = (char *) &newfd; + msg.msg_accrightslen = sizeof(int); +#endif + + msg.msg_name = NULL; + msg.msg_namelen = 0; + + iov[0].iov_base = ptr; + iov[0].iov_len = nbytes; + msg.msg_iov = iov; + msg.msg_iovlen = 1; + + if ((n = recvmsg(fd, &msg, 0)) <= 0) + return n; + +#ifdef HAVE_STRUCT_MSGHDR_MSG_CONTROL + if ((cmptr = CMSG_FIRSTHDR(&msg)) != NULL + && cmptr->cmsg_len == CMSG_LEN(sizeof(int))){ + if (cmptr->cmsg_level != SOL_SOCKET){ + fprintf(stderr, "read_fd: control level != SOL_SOCKET\n"); + return -1; + } + if (cmptr->cmsg_type != SCM_RIGHTS){ + fprintf(stderr, "read_fd: control type != SCM_RIGHTS\n"); + return -1; + } + *recvfd = *((int *) CMSG_DATA(cmptr)); + } else + *recvfd = -1; /* descriptor was not passed */ +#else + if (msg.msg_accrightslen == sizeof(int)) + *recvfd = newfd; + else + *recvfd = -1; /* descriptor was not passed */ +#endif + + return n; +} + +int +usrp2::open_usrp2_socket() +{ + int fd = -1, sockfd[2], status; + pid_t childpid; + char c, argsockfd[10]; + + if (socketpair(AF_LOCAL, SOCK_STREAM, 0, sockfd) != 0){ + perror("socketpair"); + return -1; + } + + if ((childpid = fork()) == 0) { /* child process */ + close(sockfd[0]); + snprintf(argsockfd, sizeof(argsockfd), "%d", sockfd[1]); + execlp(helper, helper, argsockfd, (char *) NULL); + std::string msg("execlp: couldn't exec " + std::string(helper)); + perror(msg.c_str()); + close(sockfd[0]); + close(sockfd[1]); + return -1; + } + + /* parent process - wait for the child to terminate */ + close(sockfd[1]); /* close the end we don't use */ + + waitpid(childpid, &status, 0); + if (!WIFEXITED(status)){ + fprintf(stderr, "child did not terminate\n"); + return -1; + } + if ((status = WEXITSTATUS(status)) == 0) + read_fd(sockfd[0], &c, 1, &fd); + else { + errno = status; /* bogus: set errno value from child's status */ + fd = -1; + } + + close(sockfd[0]); + return (fd); +} diff --git a/usrp2/host/lib/open_usrp2_socket.h b/usrp2/host/lib/open_usrp2_socket.h new file mode 100644 index 00000000..d42440ea --- /dev/null +++ b/usrp2/host/lib/open_usrp2_socket.h @@ -0,0 +1,34 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ +#ifndef INCLUDED_OPEN_USRP2_SOCKET_H +#define INCLUDED_OPEN_USRP2_SOCKET_H + +namespace usrp2 { + + /*! + * Return the result of executing: + * + * int fd = socket(PF_PACKET, SOCK_RAW, htons(0xBEEF)); + * + * Doing it in a way that we don't need to be running as root. + */ + int open_usrp2_socket(); +}; + + +#endif /* INCLUDED_OPEN_USRP2_SOCKET_H */ diff --git a/usrp2/host/lib/pktfilter.cc b/usrp2/host/lib/pktfilter.cc new file mode 100644 index 00000000..8341d015 --- /dev/null +++ b/usrp2/host/lib/pktfilter.cc @@ -0,0 +1,152 @@ +/* -*- c++ -*- */ +/* + * Copyright 2005,2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include "pktfilter.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include // packet filter + +namespace usrp2 { + + /* + * This is all based on the Berkeley Packet Filter (BPF) as implemented on Linux. + * + * The BPF allows you to run an interpreted program (a filter) in the + * kernel that sorts through the packets looking for ones you are + * interested in. This eliminates the overhead of passing all of the + * networking packets up into user space for filtering there. + * + * For documentation on this see + * /usr/src/linux/Documentation/networking/filter.txt, The BSD + * Berkeley Packet Filter manual page, and "The BSD Packet Filter: A + * New Architecture for User-level Packet Capture", by Steven McCanne + * and Van Jacobson. + */ + + pktfilter::pktfilter () + : d_len (0), d_inst (0) + { + // NOP + } + + pktfilter::~pktfilter () + { + delete [] d_inst; + } + + inline static sock_filter + make_stmt (__u16 code, __u32 k) + { + sock_filter f; + f.code = code; + f.jt = 0; + f.jf = 0; + f.k = k; + return f; + } + + inline static sock_filter + make_jump (__u16 code, __u32 k, __u8 jt, __u8 jf) + { + sock_filter f; + f.code = code; + f.jt = jt; + f.jf = jf; + f.k = k; + return f; + } + + /* + * Return a filter that harvests packets with the specified ethertype. + */ + pktfilter * + pktfilter::make_ethertype (unsigned short ethertype) + { + static const int MAX_LEN = 20; + sock_filter *inst = new sock_filter [MAX_LEN]; + pktfilter *pf = new pktfilter (); + + // nothing quite like coding in assembly without the benefit of an assembler ;-) + + // ignore packets that don't have the right ethertype + + int i = 0; + inst[i++] = make_stmt (BPF_LD|BPF_H|BPF_ABS, 12); // load ethertype + inst[i++] = make_jump (BPF_JMP|BPF_JEQ|BPF_K, ethertype, 1, 0); + inst[i++] = make_stmt (BPF_RET|BPF_K, 0); // return 0 (ignore packet) + inst[i++] = make_stmt (BPF_RET|BPF_K, (unsigned) -1); // return whole packet + + assert (i <= MAX_LEN); + + pf->d_inst = inst; + pf->d_len = i; + + return pf; + } + + /* + * Return a filter that harvests inbound packets with the specified ethertype. + * \param ethertype the ethertype we're looking for + * \param our_mac our ethernet MAC address so we can avoid pkts we sent + */ + pktfilter * + pktfilter::make_ethertype_inbound (unsigned short ethertype, const unsigned char *our_mac) + { + static const int MAX_LEN = 20; + sock_filter *inst = new sock_filter [MAX_LEN]; + pktfilter *pf = new pktfilter (); + + __u16 smac_hi = (our_mac[0] << 8) | our_mac[1]; + __u32 smac_lo = (our_mac[2] << 24) | (our_mac[3] << 16) | (our_mac[4] << 8) | our_mac[5]; + + // nothing quite like coding in assembly without the benefit of an assembler ;-) + + // ignore packets that have a different ethertype + // and packets that have a source mac address == our_mac (packets we sent) + + int i = 0; + inst[i++] = make_stmt (BPF_LD|BPF_H|BPF_ABS, 12); // load ethertype + inst[i++] = make_jump (BPF_JMP|BPF_JEQ|BPF_K, ethertype, 0, 5); + inst[i++] = make_stmt (BPF_LD|BPF_W|BPF_ABS, 8); // load low 32-bit of src mac + inst[i++] = make_jump (BPF_JMP|BPF_JEQ|BPF_K, smac_lo, 0, 2); + inst[i++] = make_stmt (BPF_LD|BPF_H|BPF_ABS, 6); // load high 16-bits of src mac + inst[i++] = make_jump (BPF_JMP|BPF_JEQ|BPF_K, smac_hi, 1, 0); + inst[i++] = make_stmt (BPF_RET|BPF_K, (unsigned) -1); // return whole packet + inst[i++] = make_stmt (BPF_RET|BPF_K, 0); // return 0 (ignore packet) + + assert (i <= MAX_LEN); + + pf->d_inst = inst; + pf->d_len = i; + + return pf; + } + +} // namespace usrp2 diff --git a/usrp2/host/lib/pktfilter.h b/usrp2/host/lib/pktfilter.h new file mode 100644 index 00000000..8b07fe14 --- /dev/null +++ b/usrp2/host/lib/pktfilter.h @@ -0,0 +1,55 @@ +/* -*- c++ -*- */ +/* + * Copyright 2005,2007,2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_PKTFILTER_H +#define INCLUDED_USRP2_PKTFILTER_H + +struct sock_filter; + +namespace usrp2 { + + /* + * \brief Compile programs for the Berkeley Packet Filter + */ + class pktfilter { + public: + + unsigned d_len; // # of instructions + struct sock_filter *d_inst; // the instructions + + pktfilter (); + ~pktfilter (); + + /*! + * \brief Return a filter that harvests packets with the specified ethertype. + * \param ethertype the ethertype we're looking for. + */ + static pktfilter *make_ethertype (unsigned short ethertype); + + /*! + * \brief Return a filter that harvests inbound packets with the specified ethertype. + * \param ethertype the ethertype we're looking for + * \param our_mac our MAC address so we can avoid pkts we sent + */ + static pktfilter *make_ethertype_inbound (unsigned short ethertype, + const unsigned char *our_mac); + }; + +} // namespace usrp2 + +#endif /* INCLUDED_USRP2_PKTFILTER_H */ diff --git a/usrp2/host/lib/ring.cc b/usrp2/host/lib/ring.cc new file mode 100644 index 00000000..3c45821f --- /dev/null +++ b/usrp2/host/lib/ring.cc @@ -0,0 +1,78 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include "ring.h" + +namespace usrp2 { + + ring::ring(unsigned int entries) + : d_max(entries), d_read_ind(0), d_write_ind(0), d_ring(entries), + d_mutex(), d_not_empty(&d_mutex) + { + for (unsigned int i = 0; i < entries; i++) { + d_ring[i].d_base = 0; + d_ring[i].d_len = 0; + } + } + + void + ring::wait_for_not_empty() + { + omni_mutex_lock l(d_mutex); + while (empty()) + d_not_empty.wait(); + } + + bool + ring::enqueue(void *p, size_t len) + { + omni_mutex_lock l(d_mutex); + if (full()) + return false; + + d_ring[d_write_ind].d_len = len; + d_ring[d_write_ind].d_base = p; + + inc_write_ind(); + d_not_empty.signal(); + return true; + } + + bool + ring::dequeue(void **p, size_t *len) + { + omni_mutex_lock l(d_mutex); + if (empty()) + return false; + + *p = d_ring[d_read_ind].d_base; + *len = d_ring[d_read_ind].d_len; + + inc_read_ind(); + return true; + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/ring.h b/usrp2/host/lib/ring.h new file mode 100644 index 00000000..2f4346ca --- /dev/null +++ b/usrp2/host/lib/ring.h @@ -0,0 +1,83 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ +#ifndef INCLUDED_RING_H +#define INCLUDED_RING_H + +#include +#include +#include +#include + +namespace usrp2 { + + class ring; + typedef boost::shared_ptr ring_sptr; + + class ring + { + private: + + size_t d_max; + size_t d_read_ind; + size_t d_write_ind; + + struct ring_desc + { + void *d_base; + size_t d_len; + }; + std::vector d_ring; + + omni_mutex d_mutex; + omni_condition d_not_empty; + + void inc_read_ind() + { + if (d_read_ind + 1 >= d_max) + d_read_ind = 0; + else + d_read_ind = d_read_ind + 1; + } + + void inc_write_ind() + { + if (d_write_ind + 1 >= d_max) + d_write_ind = 0; + else + d_write_ind = d_write_ind + 1; + } + + bool empty() const { return d_read_ind == d_write_ind; } + bool full() const { return (d_write_ind+1)%d_max == d_read_ind; } + + public: + + ring(unsigned int entries); + + void wait_for_not_empty(); + + bool enqueue(void *p, size_t len); + bool dequeue(void **p, size_t *len); + }; + +} // namespace usrp2 + +#endif /* INCLUDED_RING_H */ diff --git a/usrp2/host/lib/rx_nop_handler.cc b/usrp2/host/lib/rx_nop_handler.cc new file mode 100644 index 00000000..96c9164a --- /dev/null +++ b/usrp2/host/lib/rx_nop_handler.cc @@ -0,0 +1,35 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include + +namespace usrp2 { + + rx_nop_handler::~rx_nop_handler() + { + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/rx_sample_handler.cc b/usrp2/host/lib/rx_sample_handler.cc new file mode 100644 index 00000000..4521025c --- /dev/null +++ b/usrp2/host/lib/rx_sample_handler.cc @@ -0,0 +1,27 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include + +usrp2::rx_sample_handler::~rx_sample_handler() +{ + // nop +} diff --git a/usrp2/host/lib/strtod_si.c b/usrp2/host/lib/strtod_si.c new file mode 100644 index 00000000..5e5deb2b --- /dev/null +++ b/usrp2/host/lib/strtod_si.c @@ -0,0 +1,53 @@ +/* -*- c++ -*- */ +/* + * Copyright 2007 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include +#include + +#define true 1 +#define false 0 + +int +strtod_si(const char *s, double *result) +{ + *result = 0; + + char *endptr; + double r = strtod(s, &endptr); + if (s == endptr) + return false; + + switch (*endptr){ + case 'p': r *= 1e-12; break; + case 'n': r *= 1e-9; break; + case 'u': r *= 1e-6; break; + case 'm': r *= 1e-3; break; + case 'k': r *= 1e3; break; + case 'M': r *= 1e6; break; + case 'G': r *= 1e9; break; + case 'T': r *= 1e12; break; + default: + // ignore. FIXME could be more robust + break; + } + + *result = r; + return true; +} + + diff --git a/usrp2/host/lib/usrp2.cc b/usrp2/host/lib/usrp2.cc new file mode 100644 index 00000000..c71fb728 --- /dev/null +++ b/usrp2/host/lib/usrp2.cc @@ -0,0 +1,291 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include "usrp2_impl.h" +#include +#include +#include +#include +#include + +namespace usrp2 { + + // --- Table of weak pointers to usrps we know about --- + + // (Could be cleaned up and turned into a template) + + struct usrp_table_entry { + // inteface + normalized mac addr ("eth0:01:23:45:67:89:ab") + std::string key; + boost::weak_ptr value; + + usrp_table_entry(const std::string &_key, boost::weak_ptr _value) + : key(_key), value(_value) {} + }; + + typedef std::vector usrp_table; + + static boost::mutex s_table_mutex; + static usrp_table s_table; + + usrp2::sptr + usrp2::find_existing_or_make_new(const std::string &ifc, props *pr) + { + std::string key = ifc + ":" + pr->addr; + + boost::mutex::scoped_lock guard(s_table_mutex); + + for (usrp_table::iterator p = s_table.begin(); p != s_table.end();){ + if (p->value.expired()) // weak pointer is now dead + p = s_table.erase(p); // erase it + else { + if (key == p->key) // found it + return usrp2::sptr(p->value); + else + ++p; // keep looking + } + } + + // We don't have the USRP2 we're looking for + + // create a new one and stick it in the table. + usrp2::sptr r(new usrp2::usrp2(ifc, pr)); + usrp_table_entry t(key, r); + s_table.push_back(t); + + return r; + } + + // --- end of table code --- + + static bool + parse_mac_addr(const std::string &s, std::string &ns) + { + u2_mac_addr_t p; + + p.addr[0] = 0x00; // Matt's IAB + p.addr[1] = 0x50; + p.addr[2] = 0xC2; + p.addr[3] = 0x85; + p.addr[4] = 0x30; + p.addr[5] = 0x00; + + int len = s.size(); + switch (len) { + + case 5: + if (sscanf(s.c_str(), "%hhx:%hhx", &p.addr[4], &p.addr[5]) != 2) + return false; + break; + + case 17: + if (sscanf(s.c_str(), "%hhx:%hhx:%hhx:%hhx:%hhx:%hhx", + &p.addr[0], &p.addr[1], &p.addr[2], + &p.addr[3], &p.addr[4], &p.addr[5]) != 6) + return false; + break; + + default: + return false; + } + + char buf[128]; + snprintf(buf, sizeof(buf), + "%02x:%02x:%02x:%02x:%02x:%02x", + p.addr[0],p.addr[1],p.addr[2], + p.addr[3],p.addr[4],p.addr[5]); + ns = std::string(buf); + return true; + } + + usrp2::sptr + usrp2::make(const std::string &ifc, const std::string &addr) + { + std::string naddr = ""; + if (addr != "" && !parse_mac_addr(addr, naddr)) + throw std::runtime_error("Invalid MAC address"); + + props_vector_t u2s = find(ifc, naddr); + int n = u2s.size(); + + if (n == 0) { + if (addr == "") + throw std::runtime_error("No USRPs found on interface " + ifc); + else + throw std::runtime_error("No USRP found with addr " + addr + " on interface " + ifc); + } + + if (n > 1) + throw std::runtime_error("Multiple USRPs found on interface; must select by MAC address."); + + return find_existing_or_make_new(ifc, &u2s[0]); + } + + // Private constructor. Sole function is to create an impl. + usrp2::usrp2(const std::string &ifc, props *p) + : d_impl(new usrp2::impl(ifc, p)) + { + // NOP + } + + // Public class destructor. d_impl will auto-delete. + usrp2::~usrp2() + { + // NOP + } + + std::string + usrp2::mac_addr() + { + return d_impl->mac_addr(); + } + + bool + usrp2::burn_mac_addr(const std::string &new_addr) + { + return d_impl->burn_mac_addr(new_addr); + } + + + // Receive + + bool + usrp2::set_rx_gain(double gain) + { + return d_impl->set_rx_gain(gain); + } + + bool + usrp2::set_rx_center_freq(double frequency, tune_result *result) + { + return d_impl->set_rx_center_freq(frequency, result); + } + + bool + usrp2::set_rx_decim(int decimation_factor) + { + return d_impl->set_rx_decim(decimation_factor); + } + + bool + usrp2::set_rx_scale_iq(int scale_i, int scale_q) + { + return d_impl->set_rx_scale_iq(scale_i, scale_q); + } + + bool + usrp2::start_rx_streaming(unsigned int channel, unsigned int items_per_frame) + { + return d_impl->start_rx_streaming(channel, items_per_frame); + } + + bool + usrp2::rx_samples(unsigned int channel, rx_sample_handler *handler) + { + return d_impl->rx_samples(channel, handler); + } + + bool + usrp2::stop_rx_streaming(unsigned int channel) + { + return d_impl->stop_rx_streaming(channel); + } + + unsigned int + usrp2::rx_overruns() + { + return d_impl->rx_overruns(); + } + + unsigned int + usrp2::rx_missing() + { + return d_impl->rx_missing(); + } + + // Transmit + + bool + usrp2::set_tx_gain(double gain) + { + return d_impl->set_tx_gain(gain); + } + + bool + usrp2::set_tx_center_freq(double frequency, tune_result *result) + { + return d_impl->set_tx_center_freq(frequency, result); + } + + bool + usrp2::set_tx_interp(int interpolation_factor) + { + return d_impl->set_tx_interp(interpolation_factor); + } + + bool + usrp2::set_tx_scale_iq(int scale_i, int scale_q) + { + return d_impl->set_tx_scale_iq(scale_i, scale_q); + } + + bool + usrp2::tx_complex_float(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata) + { + return d_impl->tx_complex_float(channel, samples, nsamples, metadata); + } + + bool + usrp2::tx_complex_int16(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata) + { + return d_impl->tx_complex_int16(channel, samples, nsamples, metadata); + } + + bool + usrp2::tx_raw(unsigned int channel, + const uint32_t *items, + size_t nitems, + const tx_metadata *metadata) + { + return d_impl->tx_raw(channel, items, nitems, metadata); + } + +} // namespace usrp2 + + +std::ostream& operator<<(std::ostream &os, const usrp2::props &x) +{ + os << x.addr; + + char buf[128]; + snprintf(buf, sizeof(buf)," hw_rev = 0x%04x", x.hw_rev); + + os << buf; + return os; +} diff --git a/usrp2/host/lib/usrp2_bytesex.h b/usrp2/host/lib/usrp2_bytesex.h new file mode 100644 index 00000000..4f63d079 --- /dev/null +++ b/usrp2/host/lib/usrp2_bytesex.h @@ -0,0 +1,19 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#include diff --git a/usrp2/host/lib/usrp2_impl.cc b/usrp2/host/lib/usrp2_impl.cc new file mode 100644 index 00000000..68cf676c --- /dev/null +++ b/usrp2/host/lib/usrp2_impl.cc @@ -0,0 +1,870 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include +#include +#include +#include +#include +#include "usrp2_impl.h" +#include "usrp2_thread.h" +#include "eth_buffer.h" +#include "pktfilter.h" +#include "control.h" +#include "ring.h" +#include +#include +#include +#include +#include +#include + +#define USRP2_IMPL_DEBUG 0 +#if USRP2_IMPL_DEBUG +#define DEBUG_LOG(x) ::write(2, x, 1) +#else +#define DEBUG_LOG(x) +#endif + +static const int DEFAULT_RX_SCALE = 1024; +static const int DEFAULT_TX_SCALE = 3000; + +namespace usrp2 { + + static const double DEF_CMD_TIMEOUT = 0.1; + + std::string + opcode_to_string(int opcode) + { + switch(opcode){ + case OP_EOP: return "OP_EOP"; + case OP_ID: return "OP_ID"; + case OP_ID_REPLY: return "OP_ID_REPLY"; + case OP_BURN_MAC_ADDR: return "OP_BURN_MAC_ADDR"; + case OP_READ_TIME: return "OP_READ_TIME"; + case OP_READ_TIME_REPLY: return "OP_READ_TIME_REPLY"; + case OP_CONFIG_RX_V2: return "OP_CONFIG_RX_V2"; + case OP_CONFIG_RX_REPLY_V2: return "OP_CONFIG_RX_REPLY_V2"; + case OP_CONFIG_TX_V2: return "OP_CONFIG_TX_V2"; + case OP_CONFIG_TX_REPLY_V2: return "OP_CONFIG_TX_REPLY_V2"; + case OP_START_RX_STREAMING: return "OP_START_RX_STREAMING"; + case OP_STOP_RX: return "OP_STOP_RX"; +#if 0 + case OP_WRITE_REG: return "OP_WRITE_REG"; + case OP_WRITE_REG_MASKED: return "OP_WRITE_REG_MASKED"; + case OP_READ_REG: return "OP_READ_REG"; + case OP_READ_REG_REPLY: return "OP_READ_REG_REPLY"; +#endif + default: + char buf[64]; + snprintf(buf, sizeof(buf), "", opcode); + return buf; + } + } + + + /*! + * \param p points to fixed header + * \param payload_len_in_bytes is length of the fixed hdr and the payload + * \param[out] items is set to point to the first uint32 item in the payload + * \param[out] nitems is set to the number of uint32 items in the payload + * \param[out] md is filled in with the parsed metadata from the frame. + */ + static bool + parse_rx_metadata(void *p, size_t payload_len_in_bytes, + uint32_t **items, size_t *nitems_in_uint32s, rx_metadata *md) + { + if (payload_len_in_bytes < sizeof(u2_fixed_hdr_t)) // invalid format + return false; + + // FIXME deal with the fact that (p % 4) == 2 + //assert((((uintptr_t) p) % 4) == 0); // must be 4-byte aligned + + u2_fixed_hdr_t *fh = static_cast(p); + + // FIXME unaligned loads! + md->word0 = u2p_word0(fh); + md->timestamp = u2p_timestamp(fh); + + // md->start_of_burst = (md->word0 & XXX) != 0; + // md->end_of_burst = (md->word0 & XXX) != 0; + // md->rx_overrun = (md->word0 & XXX) != 0; + + *items = (uint32_t *)(&fh[1]); + size_t nbytes = payload_len_in_bytes - sizeof(u2_fixed_hdr_t); + assert((nbytes % sizeof(uint32_t)) == 0); + *nitems_in_uint32s = nbytes / sizeof(uint32_t); + + return true; + } + + + usrp2::impl::impl(const std::string &ifc, props *p) + : d_eth_buf(new eth_buffer()), d_pf(0), d_bg_thread(0), d_bg_running(false), + d_rx_decim(0), d_rx_seqno(-1), d_tx_seqno(0), d_next_rid(0), + d_num_rx_frames(0), d_num_rx_missing(0), d_num_rx_overruns(0), d_num_rx_bytes(0), + d_num_enqueued(0), d_enqueued_mutex(), d_bg_pending_cond(&d_enqueued_mutex), + d_channel_rings(NCHANS) + { + if (!d_eth_buf->open(ifc, htons(U2_ETHERTYPE))) + throw std::runtime_error("Unable to register USRP2 protocol"); + + d_pf = pktfilter::make_ethertype_inbound(U2_ETHERTYPE, d_eth_buf->mac()); + if (!d_pf || !d_eth_buf->attach_pktfilter(d_pf)) + throw std::runtime_error("Unable to attach packet filter."); + + d_addr = p->addr; + + if (USRP2_IMPL_DEBUG) + std::cerr << "usrp2 constructor: using USRP2 at " << d_addr << std::endl; + + memset(d_pending_replies, 0, sizeof(d_pending_replies)); + + d_bg_thread = new usrp2_thread(this); + d_bg_thread->start(); + + // set workable defaults for scaling + if (!set_rx_scale_iq(DEFAULT_RX_SCALE, DEFAULT_RX_SCALE)) + std::cerr << "usrp2::ctor set_rx_scale_iq failed\n"; + + if (!set_tx_scale_iq(DEFAULT_TX_SCALE, DEFAULT_TX_SCALE)) + std::cerr << "usrp2::ctor set_tx_scale_iq failed\n"; + } + + usrp2::impl::~impl() + { + stop_bg(); + d_bg_thread = 0; // thread class deletes itself + delete d_pf; + d_eth_buf->close(); + delete d_eth_buf; + + if (USRP2_IMPL_DEBUG) { + std::cerr << std::endl + << "usrp2 destructor: received " << d_num_rx_frames + << " frames, with " << d_num_rx_missing << " lost (" + << (d_num_rx_frames == 0 ? 0 : (int)(100.0*d_num_rx_missing/d_num_rx_frames)) + << "%), totaling " << d_num_rx_bytes + << " bytes" << std::endl; + } + } + + bool + usrp2::impl::parse_mac_addr(const std::string &s, u2_mac_addr_t *p) + { + p->addr[0] = 0x00; // Matt's IAB + p->addr[1] = 0x50; + p->addr[2] = 0xC2; + p->addr[3] = 0x85; + p->addr[4] = 0x30; + p->addr[5] = 0x00; + + int len = s.size(); + + switch (len){ + + case 5: + return sscanf(s.c_str(), "%hhx:%hhx", &p->addr[4], &p->addr[5]) == 2; + + case 17: + return sscanf(s.c_str(), "%hhx:%hhx:%hhx:%hhx:%hhx:%hhx", + &p->addr[0], &p->addr[1], &p->addr[2], + &p->addr[3], &p->addr[4], &p->addr[5]) == 6; + default: + return false; + } + } + + void + usrp2::impl::init_et_hdrs(u2_eth_packet_t *p, const std::string &dst) + { + p->ehdr.ethertype = htons(U2_ETHERTYPE); + parse_mac_addr(dst, &p->ehdr.dst); + memcpy(&p->ehdr.src, d_eth_buf->mac(), 6); + p->thdr.flags = 0; // FIXME transport header values? + p->thdr.seqno = d_tx_seqno++; + p->thdr.ack = 0; + } + + void + usrp2::impl::init_etf_hdrs(u2_eth_packet_t *p, const std::string &dst, + int word0_flags, int chan, uint32_t timestamp) + { + init_et_hdrs(p, dst); + u2p_set_word0(&p->fixed, word0_flags, chan); + u2p_set_timestamp(&p->fixed, timestamp); + + if (chan == CONTROL_CHAN) { // no sequence numbers, back it out + p->thdr.seqno = 0; + d_tx_seqno--; + } + } + + void + usrp2::impl::init_config_rx_v2_cmd(op_config_rx_v2_cmd *cmd) + { + memset(cmd, 0, sizeof(*cmd)); + init_etf_hdrs(&cmd->h, d_addr, 0, CONTROL_CHAN, -1); + cmd->op.opcode = OP_CONFIG_RX_V2; + cmd->op.len = sizeof(cmd->op); + cmd->op.rid = d_next_rid++; + cmd->eop.opcode = OP_EOP; + cmd->eop.len = sizeof(cmd->eop); + } + + void + usrp2::impl::init_config_tx_v2_cmd(op_config_tx_v2_cmd *cmd) + { + memset(cmd, 0, sizeof(*cmd)); + init_etf_hdrs(&cmd->h, d_addr, 0, CONTROL_CHAN, -1); + cmd->op.opcode = OP_CONFIG_TX_V2; + cmd->op.len = sizeof(cmd->op); + cmd->op.rid = d_next_rid++; + cmd->eop.opcode = OP_EOP; + cmd->eop.len = sizeof(cmd->eop); + } + + bool + usrp2::impl::transmit_cmd(void *cmd, size_t len, pending_reply *p, double secs) + { + if (p) + d_pending_replies[p->rid()] = p; + + // Transmit command + if (d_eth_buf->tx_frame(cmd, len) != eth_buffer::EB_OK) { + d_pending_replies[p->rid()] = 0; + return false; + } + + int res = 1; + if (p) + res = p->wait(secs); + + d_pending_replies[p->rid()] = 0; + return res == 1; + } + + // ---------------------------------------------------------------- + // Background loop: received packet demuxing + // ---------------------------------------------------------------- + + void + usrp2::impl::stop_bg() + { + d_bg_running = false; + d_bg_pending_cond.signal(); + + void *dummy_status; + d_bg_thread->join(&dummy_status); + } + + void + usrp2::impl::bg_loop() + { + d_bg_running = true; + while(d_bg_running) { + DEBUG_LOG(":"); + // Receive available frames from ethernet buffer. Handler will + // process control frames, enqueue data packets in channel + // rings, and signal blocked API threads + int res = d_eth_buf->rx_frames(this, 100); // FIXME magic timeout + if (res == eth_buffer::EB_ERROR) + break; + + // Wait for user API thread(s) to process all enqueued packets. + // The channel ring thread that decrements d_num_enqueued to zero + // will signal this thread to continue. + { + omni_mutex_lock l(d_enqueued_mutex); + while(d_num_enqueued > 0 && d_bg_running) + d_bg_pending_cond.wait(); + } + } + d_bg_running = false; + } + + // + // passed to eth_buffer::rx_frames + // + data_handler::result + usrp2::impl::operator()(const void *base, size_t len) + { + u2_eth_samples_t *pkt = (u2_eth_samples_t *)base; + + // FIXME unaligned load! + int chan = u2p_chan(&pkt->hdrs.fixed); + + if (chan == CONTROL_CHAN) { // control packets + DEBUG_LOG("c"); + return handle_control_packet(base, len); + } + else { // data packets + return handle_data_packet(base, len); + } + + // not reached + } + + data_handler::result + usrp2::impl::handle_control_packet(const void *base, size_t len) + { + // point to beginning of payload (subpackets) + unsigned char *p = (unsigned char *)base + sizeof(u2_eth_packet_t); + + // FIXME (p % 4) == 2. Not good. Must watch for unaligned loads. + + // FIXME iterate over payload, handling more than a single subpacket. + + int opcode = p[0]; + unsigned int oplen = p[1]; + unsigned int rid = p[2]; + + pending_reply *rp = d_pending_replies[rid]; + if (rp) { + unsigned int buflen = rp->len(); + if (oplen != buflen) { + std::cerr << "usrp2: mismatched command reply length (expected: " + << buflen << " got: " << oplen << "). " + << "op = " << opcode_to_string(opcode) << std::endl; + } + + // Copy reply into caller's buffer + memcpy(rp->buffer(), p, std::min(oplen, buflen)); + rp->signal(); + d_pending_replies[rid] = 0; + return data_handler::RELEASE; + } + + // TODO: handle unsolicited, USRP2 initiated, or late replies + DEBUG_LOG("l"); + return data_handler::RELEASE; + } + + data_handler::result + usrp2::impl::handle_data_packet(const void *base, size_t len) + { + u2_eth_samples_t *pkt = (u2_eth_samples_t *)base; + d_num_rx_frames++; + d_num_rx_bytes += len; + + /* --- FIXME start of fake transport layer handler --- */ + + if (d_rx_seqno != -1) { + int expected_seqno = (d_rx_seqno + 1) & 0xFF; + int seqno = pkt->hdrs.thdr.seqno; + + if (seqno != expected_seqno) { + ::write(2, "S", 1); // missing sequence number + int missing = seqno - expected_seqno; + if (missing < 0) + missing += 256; + + d_num_rx_overruns++; + d_num_rx_missing += missing; + } + } + + d_rx_seqno = pkt->hdrs.thdr.seqno; + + /* --- end of fake transport layer handler --- */ + + // FIXME unaligned load! + unsigned int chan = u2p_chan(&pkt->hdrs.fixed); + + if (!d_channel_rings[chan]) { + DEBUG_LOG("!"); + return data_handler::RELEASE; // discard packet, no channel handler + } + + // Strip off ethernet header and transport header and enqueue the rest + + size_t offset = offsetof(u2_eth_samples_t, hdrs.fixed); + if (d_channel_rings[chan]->enqueue(&pkt->hdrs.fixed, len-offset)) { + inc_enqueued(); + DEBUG_LOG("+"); + return data_handler::KEEP; // channel ring runner will mark frame done + } + else { + DEBUG_LOG("!"); + return data_handler::RELEASE; // discard, no room in channel ring + } + return data_handler::RELEASE; + } + + + // ---------------------------------------------------------------- + // misc commands + // ---------------------------------------------------------------- + + bool + usrp2::impl::burn_mac_addr(const std::string &new_addr) + { + op_burn_mac_addr_cmd cmd; + op_generic_t reply; + + memset(&cmd, 0, sizeof(cmd)); + init_etf_hdrs(&cmd.h, d_addr, 0, CONTROL_CHAN, -1); + cmd.op.opcode = OP_BURN_MAC_ADDR; + cmd.op.len = sizeof(cmd.op); + cmd.op.rid = d_next_rid++; + if (!parse_mac_addr(new_addr, &cmd.op.addr)) + return false; + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, 4*DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + + // ---------------------------------------------------------------- + // Receive + // ---------------------------------------------------------------- + + bool + usrp2::impl::set_rx_gain(double gain) + { + op_config_rx_v2_cmd cmd; + op_config_rx_reply_v2_t reply; + + init_config_rx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_GAIN); + cmd.op.gain = htons(u2_double_to_fxpt_gain(gain)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::set_rx_center_freq(double frequency, tune_result *result) + { + op_config_rx_v2_cmd cmd; + op_config_rx_reply_v2_t reply; + + init_config_rx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_FREQ); + u2_fxpt_freq_t fxpt = u2_double_to_fxpt_freq(frequency); + cmd.op.freq_hi = htonl(u2_fxpt_freq_hi(fxpt)); + cmd.op.freq_lo = htonl(u2_fxpt_freq_lo(fxpt)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + if (result && success) { + result->baseband_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.baseband_freq_hi), + ntohl(reply.baseband_freq_lo))); + + result->dxc_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.ddc_freq_hi), + ntohl(reply.ddc_freq_lo))); + + result->residual_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.residual_freq_hi), + ntohl(reply.residual_freq_lo))); + + result->spectrum_inverted = (bool)(ntohx(reply.inverted) == 1); + } + + return success; + } + + bool + usrp2::impl::set_rx_decim(int decimation_factor) + { + op_config_rx_v2_cmd cmd; + op_config_rx_reply_v2_t reply; + + init_config_rx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_INTERP_DECIM); + cmd.op.decim = htonl(decimation_factor); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::set_rx_scale_iq(int scale_i, int scale_q) + { + op_config_rx_v2_cmd cmd; + op_config_rx_reply_v2_t reply; + + init_config_rx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_SCALE_IQ); + cmd.op.scale_iq = htonl(((scale_i & 0xffff) << 16) | (scale_q & 0xffff)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::start_rx_streaming(unsigned int channel, unsigned int items_per_frame) + { + if (channel > MAX_CHAN) { + std::cerr << "usrp2: invalid channel number (" << channel + << ")" << std::endl; + return false; + } + + if (channel > 0) { // until firmware supports multiple streams + std::cerr << "usrp2: channel " << channel + << " not implemented" << std::endl; + return false; + } + + if (d_channel_rings[channel]) { + std::cerr << "usrp2: channel " << channel + << " already streaming" << std::endl; + return false; + } + + d_channel_rings[channel] = ring_sptr(new ring(d_eth_buf->max_frames())); + + if (items_per_frame == 0) + items_per_frame = U2_MAX_SAMPLES; // minimize overhead + + op_start_rx_streaming_cmd cmd; + op_generic_t reply; + + memset(&cmd, 0, sizeof(cmd)); + init_etf_hdrs(&cmd.h, d_addr, 0, CONTROL_CHAN, -1); + cmd.op.opcode = OP_START_RX_STREAMING; + cmd.op.len = sizeof(cmd.op); + cmd.op.rid = d_next_rid++; + cmd.op.items_per_frame = htonl(items_per_frame); + cmd.eop.opcode = OP_EOP; + cmd.eop.len = sizeof(cmd.eop); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::stop_rx_streaming(unsigned int channel) + { + if (channel > MAX_CHAN) { + std::cerr << "usrp2: invalid channel number (" << channel + << ")" << std::endl; + return false; + } + + if (channel > 0) { // until firmware supports multiple streams + std::cerr << "usrp2: channel " << channel + << " not implemented" << std::endl; + return false; + } + +#if 0 // don't be overzealous. + if (!d_channel_rings[channel]) { + std::cerr << "usrp2: channel " << channel + << " not streaming" << std::endl; + return false; + } +#endif + + op_stop_rx_cmd cmd; + op_generic_t reply; + + memset(&cmd, 0, sizeof(cmd)); + init_etf_hdrs(&cmd.h, d_addr, 0, CONTROL_CHAN, -1); + cmd.op.opcode = OP_STOP_RX; + cmd.op.len = sizeof(cmd.op); + cmd.op.rid = d_next_rid++; + cmd.eop.opcode = OP_EOP; + cmd.eop.len = sizeof(cmd.eop); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + if (success) + d_channel_rings[channel].reset(); + + return success; + } + + + bool + usrp2::impl::rx_samples(unsigned int channel, rx_sample_handler *handler) + { + if (channel > MAX_CHAN) { + std::cerr << "usrp2: invalid channel (" << channel + << " )" << std::endl; + return false; + } + + if (channel > 0) { + std::cerr << "usrp2: channel " << channel + << " not implemented" << std::endl; + return false; + } + + ring_sptr rp = d_channel_rings[channel]; + if (!rp){ + std::cerr << "usrp2: channel " << channel + << " not receiving" << std::endl; + return false; + } + + // Wait for frames available in channel ring + DEBUG_LOG("W"); + rp->wait_for_not_empty(); + DEBUG_LOG("s"); + + // Iterate through frames and present to user + void *p; + size_t frame_len_in_bytes; + while (rp->dequeue(&p, &frame_len_in_bytes)) { + uint32_t *items; // points to beginning of data items + size_t nitems_in_uint32s; + rx_metadata md; + if (!parse_rx_metadata(p, frame_len_in_bytes, &items, &nitems_in_uint32s, &md)) + return false; + + bool want_more = (*handler)(items, nitems_in_uint32s, &md); + d_eth_buf->release_frame(p); + DEBUG_LOG("-"); + dec_enqueued(); + + if (!want_more) + break; + } + return true; + } + + // ---------------------------------------------------------------- + // Transmit + // ---------------------------------------------------------------- + + bool + usrp2::impl::set_tx_gain(double gain) + { + op_config_tx_v2_cmd cmd; + op_config_tx_reply_v2_t reply; + + init_config_tx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_GAIN); + cmd.op.gain = htons(u2_double_to_fxpt_gain(gain)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::set_tx_center_freq(double frequency, tune_result *result) + { + op_config_tx_v2_cmd cmd; + op_config_tx_reply_v2_t reply; + + init_config_tx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_FREQ); + u2_fxpt_freq_t fxpt = u2_double_to_fxpt_freq(frequency); + cmd.op.freq_hi = htonl(u2_fxpt_freq_hi(fxpt)); + cmd.op.freq_lo = htonl(u2_fxpt_freq_lo(fxpt)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + if (result && success) { + result->baseband_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.baseband_freq_hi), + ntohl(reply.baseband_freq_lo))); + + result->dxc_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.duc_freq_hi), + ntohl(reply.duc_freq_lo))); + + result->residual_freq = + u2_fxpt_freq_to_double( + u2_fxpt_freq_from_hilo(ntohl(reply.residual_freq_hi), + ntohl(reply.residual_freq_lo))); + + result->spectrum_inverted = (bool)(ntohx(reply.inverted) == 1); + } + + return success; + } + + bool + usrp2::impl::set_tx_interp(int interpolation_factor) + { + op_config_tx_v2_cmd cmd; + op_config_tx_reply_v2_t reply; + + init_config_tx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_INTERP_DECIM); + cmd.op.interp = htonl(interpolation_factor); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::set_tx_scale_iq(int scale_i, int scale_q) + { + op_config_tx_v2_cmd cmd; + op_config_tx_reply_v2_t reply; + + init_config_tx_v2_cmd(&cmd); + cmd.op.valid = htons(CFGV_SCALE_IQ); + cmd.op.scale_iq = htonl(((scale_i & 0xffff) << 16) | (scale_q & 0xffff)); + + pending_reply p(cmd.op.rid, &reply, sizeof(reply)); + if (!transmit_cmd(&cmd, sizeof(cmd), &p, DEF_CMD_TIMEOUT)) + return false; + + bool success = (ntohx(reply.ok) == 1); + return success; + } + + bool + usrp2::impl::tx_complex_float(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata) + { + uint32_t items[nsamples]; + copy_host_complex_float_to_u2_complex_16(nsamples, samples, items); + return tx_raw(channel, items, nsamples, metadata); + } + + bool + usrp2::impl::tx_complex_int16(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata) + { +#ifdef WORDS_BIGENDIAN + + // Already binary equivalent to 16-bit I/Q on the wire. + // No conversion required. + + assert(sizeof(samples[0]) == sizeof(uint32_t)); + return tx_raw(channel, (const uint32_t *) samples, nsamples, metadata); + +#else + + uint32_t items[nsamples]; + copy_host_complex_16_to_u2_complex_16(nsamples, samples, items); + return tx_raw(channel, items, nsamples, metadata); + +#endif + } + + bool + usrp2::impl::tx_raw(unsigned int channel, + const uint32_t *items, + size_t nitems, + const tx_metadata *metadata) + { + if (nitems == 0) + return true; + + // FIXME there's the possibility that we send fewer than 9 items in a frame. + // That would end up glitching the transmitter, since the ethernet will pad to + // 64-bytes total (9 items). We really need some part of the stack to + // carry the real length (thdr?). + + // fragment as necessary then fire away + + size_t nframes = (nitems + U2_MAX_SAMPLES - 1) / U2_MAX_SAMPLES; + size_t last_frame = nframes - 1; + u2_eth_packet_t hdrs; + + size_t n = 0; + for (size_t fn = 0; fn < nframes; fn++){ + uint32_t timestamp = 0; + uint32_t flags = 0; + + if (fn == 0){ + timestamp = metadata->timestamp; + if (metadata->send_now) + flags |= U2P_TX_IMMEDIATE; + if (metadata->start_of_burst) + flags |= U2P_TX_START_OF_BURST; + } + if (fn > 0){ + flags |= U2P_TX_IMMEDIATE; + } + if (fn == last_frame){ + if (metadata->end_of_burst) + flags |= U2P_TX_END_OF_BURST; + } + + init_etf_hdrs(&hdrs, d_addr, flags, channel, timestamp); + + size_t i = std::min((size_t) U2_MAX_SAMPLES, nitems - n); + + eth_iovec iov[2]; + iov[0].iov_base = &hdrs; + iov[0].iov_len = sizeof(hdrs); + iov[1].iov_base = const_cast(&items[n]); + iov[1].iov_len = i * sizeof(uint32_t); + + if (d_eth_buf->tx_framev(iov, 2) != eth_buffer::EB_OK){ + return false; + } + + n += i; + } + + return true; + } + + +} // namespace usrp2 diff --git a/usrp2/host/lib/usrp2_impl.h b/usrp2/host/lib/usrp2_impl.h new file mode 100644 index 00000000..37109c9e --- /dev/null +++ b/usrp2/host/lib/usrp2_impl.h @@ -0,0 +1,133 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifndef INCLUDED_USRP2_IMPL_H +#define INCLUDED_USRP2_IMPL_H + +#include +#include +#include +#include +#include "control.h" +#include "ring.h" +#include + +namespace usrp2 { + + class eth_buffer; + class pktfilter; + class usrp2_thread; + class usrp2_tune_result; + class pending_reply; + class ring; + + class usrp2::impl : private data_handler + { + static const size_t NRIDS = 256; + static const size_t NCHANS = 32; + + eth_buffer *d_eth_buf; + pktfilter *d_pf; + std::string d_addr; // FIXME: use u2_mac_addr_t instead + usrp2_thread *d_bg_thread; + volatile bool d_bg_running; // TODO: multistate if needed + + int d_rx_decim; + int d_rx_seqno; + int d_tx_seqno; + int d_next_rid; + unsigned int d_num_rx_frames; + unsigned int d_num_rx_missing; + unsigned int d_num_rx_overruns; + unsigned int d_num_rx_bytes; + + unsigned int d_num_enqueued; + omni_mutex d_enqueued_mutex; + omni_condition d_bg_pending_cond; + + // all pending_replies are stack allocated, thus no possibility of leaking these + pending_reply *d_pending_replies[NRIDS]; // indexed by 8-bit reply id + + std::vector d_channel_rings; // indexed by 5-bit channel number + + void inc_enqueued() { + omni_mutex_lock l(d_enqueued_mutex); + d_num_enqueued++; + } + + void dec_enqueued() { + omni_mutex_lock l(d_enqueued_mutex); + if (--d_num_enqueued == 0) + d_bg_pending_cond.signal(); + } + + static bool parse_mac_addr(const std::string &s, u2_mac_addr_t *p); + void init_et_hdrs(u2_eth_packet_t *p, const std::string &dst); + void init_etf_hdrs(u2_eth_packet_t *p, const std::string &dst, + int word0_flags, int chan, uint32_t timestamp); + void stop_bg(); + void init_config_rx_v2_cmd(op_config_rx_v2_cmd *cmd); + void init_config_tx_v2_cmd(op_config_tx_v2_cmd *cmd); + bool transmit_cmd(void *cmd, size_t len, pending_reply *p, double secs=0.0); + virtual data_handler::result operator()(const void *base, size_t len); + data_handler::result handle_control_packet(const void *base, size_t len); + data_handler::result handle_data_packet(const void *base, size_t len); + + public: + impl(const std::string &ifc, props *p); + ~impl(); + + void bg_loop(); + + std::string mac_addr() const { return d_addr; } // FIXME: convert from u2_mac_addr_t + bool burn_mac_addr(const std::string &new_addr); + + bool set_rx_gain(double gain); + bool set_rx_center_freq(double frequency, tune_result *result); + bool set_rx_decim(int decimation_factor); + bool set_rx_scale_iq(int scale_i, int scale_q); + bool start_rx_streaming(unsigned int channel, unsigned int items_per_frame); + bool rx_samples(unsigned int channel, rx_sample_handler *handler); + bool stop_rx_streaming(unsigned int channel); + unsigned int rx_overruns() const { return d_num_rx_overruns; } + unsigned int rx_missing() const { return d_num_rx_missing; } + + bool set_tx_gain(double gain); + bool set_tx_center_freq(double frequency, tune_result *result); + bool set_tx_interp(int interpolation_factor); + bool set_tx_scale_iq(int scale_i, int scale_q); + + bool tx_complex_float(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata); + + bool tx_complex_int16(unsigned int channel, + const std::complex *samples, + size_t nsamples, + const tx_metadata *metadata); + + bool tx_raw(unsigned int channel, + const uint32_t *items, + size_t nitems, + const tx_metadata *metadata); + }; + +} // namespace usrp2 + +#endif /* INCLUDED_USRP2_IMPL_H */ diff --git a/usrp2/host/lib/usrp2_socket_opener.cc b/usrp2/host/lib/usrp2_socket_opener.cc new file mode 100644 index 00000000..27d3935e --- /dev/null +++ b/usrp2/host/lib/usrp2_socket_opener.cc @@ -0,0 +1,143 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +/*! + * setuid root program that opens a socket using (PF_PACKET, SOCK_RAW, + * htons(0xBEEF)), and sends the resulting file descriptor by way of + * of the file descriptor specified as the first command line argument. + */ + +#ifdef HAVE_CONFIG_H +#include +#endif +#include +#include +#include +#include +#include +#include +#include +#include +#ifdef HAVE_ARPA_INET_H +#include +#elif defined(HAVE_NETINET_IN_H) +#include +#endif + + +ssize_t +write_fd(int fd, const void *ptr, size_t nbytes, int sendfd) +{ + struct msghdr msg; + struct iovec iov[1]; + +#ifdef HAVE_STRUCT_MSGHDR_MSG_CONTROL + union { + struct cmsghdr cm; + char control[CMSG_SPACE(sizeof(int))]; + } control_un; + struct cmsghdr *cmptr; + + msg.msg_control = control_un.control; + msg.msg_controllen = sizeof(control_un.control); + + cmptr = CMSG_FIRSTHDR(&msg); + cmptr->cmsg_len = CMSG_LEN(sizeof(int)); + cmptr->cmsg_level = SOL_SOCKET; + cmptr->cmsg_type = SCM_RIGHTS; + *((int *) CMSG_DATA(cmptr)) = sendfd; +#else + msg.msg_accrights = (char *) &sendfd; + msg.msg_accrightslen = sizeof(int); +#endif + + msg.msg_name = NULL; + msg.msg_namelen = 0; + + iov[0].iov_base = const_cast(ptr); + iov[0].iov_len = nbytes; + msg.msg_iov = iov; + msg.msg_iovlen = 1; + + return sendmsg(fd, &msg, 0); +} + +bool +reset_eids() +{ + if (setgid(getgid()) < 0){ + perror("setguid"); + return false; + } + + if (setuid(getuid()) < 0){ + perror("setuid"); + return false; + } + + return true; +} + + +static void +usage() +{ + fprintf(stderr, "usage: usrp2_socket_opener file-descriptor\n"); + exit(1); +} + +int +main(int argc, char **argv) +{ + if (argc != 2) + usage(); + + char *endptr; + int unix_domain_fd = strtol(argv[1], &endptr, 0); + if (*endptr != 0) + usage(); + + // FIXME get client credentials from unix_domain_fd using SCM_CREDENTIALS + + // open the raw socket + int socket_fd = socket(PF_PACKET, SOCK_RAW, htons(0xBEEF)); + if (socket_fd == -1){ + perror("socket(PF_PACKET, SOCK_RAW, htons(0xBEEF))"); + // printf("errno = %d\n", errno); + if (errno == EACCES || errno == ESPIPE){ + fprintf(stderr, "usrp2_socket_opener must be setuid root to open the socket using SOCK_RAW.\n"); + fprintf(stderr, "Running as root, please execute: \n"); + fprintf(stderr, " # chown root:usrp usrp2_socket_opener\n"); + fprintf(stderr, " # chmod 04750 usrp2_socket_opener\n"); + } + exit(2); + } + + // drop privs + if (!reset_eids()){ + fprintf(stderr, "Can't drop root permissions\n"); + exit(3); + } + + if (write_fd(unix_domain_fd, "", 1, socket_fd) != 1){ + perror("write_fd"); + exit(4); + } + + return 0; +} diff --git a/usrp2/host/lib/usrp2_thread.cc b/usrp2/host/lib/usrp2_thread.cc new file mode 100644 index 00000000..9e4e6dbe --- /dev/null +++ b/usrp2/host/lib/usrp2_thread.cc @@ -0,0 +1,63 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This program is free software: you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation, either version 3 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program. If not, see . + */ + +#ifdef HAVE_CONFIG_H +#include +#endif + +#include "usrp2_thread.h" +#include "usrp2_impl.h" +#include +#include + +#define USRP2_THREAD_DEBUG 1 + +namespace usrp2 { + + usrp2_thread::usrp2_thread(usrp2::impl *u2) : + omni_thread(NULL, PRIORITY_HIGH), + d_u2(u2) + { + } + + usrp2_thread::~usrp2_thread() + { + // we don't own this, just forget it + d_u2 = 0; + } + + void + usrp2_thread::start() + { + start_undetached(); + } + + void * + usrp2_thread::run_undetached(void *arg) + { + if (gruel::enable_realtime_scheduling() != gruel::RT_OK) + std::cerr << "usrp2: failed to enable realtime scheduling" << std::endl; + + // This is the first code to run in the new thread context. + d_u2->bg_loop(); + + return 0; + } + +} // namespace usrp2 + diff --git a/usrp2/host/lib/usrp2_thread.h b/usrp2/host/lib/usrp2_thread.h new file mode 100644 index 00000000..c7f9e1aa --- /dev/null +++ b/usrp2/host/lib/usrp2_thread.h @@ -0,0 +1,47 @@ +/* -*- c++ -*- */ +/* + * Copyright 2008 Free Software Foundation, Inc. + * + * This file is part of GNU Radio + * + * GNU Radio is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 3, or (at your option) + * any later version. + * + * GNU Radio is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with GNU Radio; see the file COPYING. If not, write to + * the Free Software Foundation, Inc., 51 Franklin Street, + * Boston, MA 02110-1301, USA. + */ + +#ifndef INCLUDED_USRP2_THREAD_H +#define INCLUDED_USRP2_THREAD_H + +#include +#include + +namespace usrp2 { + + class usrp2_thread : public omni_thread + { + private: + usrp2::impl *d_u2; + + public: + usrp2_thread(usrp2::impl *u2); + ~usrp2_thread(); + + void start(); + + virtual void *run_undetached(void *arg); + }; + +} // namespace usrp2 + +#endif /* INCLUDED_USRP2_THREAD_H */ diff --git a/usrp2/host/usrp2.pc.in b/usrp2/host/usrp2.pc.in new file mode 100644 index 00000000..eaef5f41 --- /dev/null +++ b/usrp2/host/usrp2.pc.in @@ -0,0 +1,11 @@ +prefix=@prefix@ +exec_prefix=@exec_prefix@ +libdir=@libdir@ +includedir=@includedir@ + +Name: usrp2 +Description: Universal Software Radio Peripheral 2 +Requires: gnuradio-omnithread gruel +Version: @VERSION@ +Libs: -L${libdir} -lusrp2 +Cflags: -I${includedir} @DEFINES@ -- 2.30.2

    {>aTM($C>m}+lo zLa4b*4~?}MHM-MWR3P*#D?72Rwi#t$z)@DAuer#*Vep1;<>_JF;A_lCg87XUMkH>I z>dq$vu4~qkc_vL~nR1TWBFHXxM*#(fK&^VrusOWvXTW)$YDB2R_hM2`@k#2U&6TLJ zbxOnUcYWEa13O7--%exR&NgzVDKA)X%Nx>mi}d%&vibEAU03YX_(byqnZ+lUjv=yH9a+kAO95=W-9Ad$_yo=ZgjMWUB=gteU`cEKS)w!4EtK` zMfXhgEvSL29JnM%H-hIk5i^EGs{=bd$rc0l(I>x$U)`7a`A0v(BU2-%AxU0C_cd`U ziut+Clv^jhqz}gXv^&{vqSllnJe#oVT@&R&@lU)3KYZP7VN~PRh0UeoY`zP(Ij?lG z12tYtb>Qej^=v4gpV^ON-^o&b9uer$J>@2HMH|YywGRpP4f{zhi$XqX?8i`b2q%~b z{YDC&HZrt^C0V7b|5|NPm?_P{dM!@>_aURA3rYoU1z1!ayJJx8{(*9O? z)Oj7n`{FG7{2u?ztG;!^ZZ6M=sAn;H`mkp(oFE9rTnuBsiR4Qf_@e+<_t&$5ubOkp zz`NbA$;dtV7d!RFuhVC9;MT`iC-E1W@AHKN`8GYID*+=< zG!J~Qv_s~kuuuLU6!%@;6MQ%1Zj~Ia!U_+<7kfHuK&EMP>%#&AV--;p$%0>K*Z9xt@r5E-((bgCblI7s%f3+*m z3Jwbp{<>E(;dgu&gmwzbxe~tf?yLk~*mAFBaw%$bZ{&Tu?z4)iK9Mg!{*&WPS&zo` z%zd4xg%$Cn`P>X7%?dqhq8()xw_D6OPxew#I`@-mUbP?bk20Hh`*+Bg&B~3c75>rm z%Rrs%*UZ)YBVzjku}KL<@$#~|qY+H_TB+rg`ijNpV%#Ufi+8r*QZ?dRUz-H7B|QHKuO1OhR$T%NocI-5p*w z28P~ya~Bn;rFmxq{S-FYx(iKi65@S7YE_2E4#>|KYN5Kf17H3Q9qw$7NfM4!S88{& zvxb3uo7=sDey^{eUy`RYS$r-W2@S+a!)yk00KO0n34#p|Dz?lPSo|2!u$kWyIMh=I z5#Fahj#}HBy=~l|AHsg~bWm(kvHqO2WRbn-@Ue3-%D-9C%sSm?`slXIUa2saqKrr# z^Ln{HUAlU|N3VsE8?D>C<;Y?RB^)(p=F6d)W2KO&Txy91g=0-Q;T3)}_Pp+|#e8&T zGv*X!EsutzKjhz$$mO7PnRB|j4xZQUeaRY5Z^rE0jmbJD4RNQ zjr?08%bWR-Ny1F|0?5z%>+Y#;R&X1y_U6XHu-DIHW&M|n+Q|8M2A=MP?*qxoyOND5 z2ozY@46+pV6<6)1(txy^|Hu8>Mdu1({uX}xOD}seKb!3VWF)GC_`$&&aO&m}cCZ%W zs+|8M{Mu|4QG!EWK0nvS<#v|9*dJXCHZG=AVInHGncXo54q15O*d6XEHz$McfHxc5 zT-D7OVd{gzQ)~4pgoLVh^#!5ZeE^XbBf<+>-MV5N?C^lG{4F0ZpX%kd`MQGB&8^fe z8+SF827+v~{{}>KE?qGZS5slxYPT#snC%M3A8HSKCD!5fy(puTIx2RR%k{2!{a8)x zIM6rA17R7~13rl#iK`)Rb|+CRR57P{>XFd zdXwM|prw9paPuRQCBhzI{Y^KbFwzKxiTC!3!Nq|n(WV?Z1E(j(wcvJB4LYk)G+PH@;+i1Q3r$QGH&OlGO4%5ctudAxLuDmNv@8uu(ilHE1LFRuS;ilwW< zltjMIOcXU&1n9^^Jy;$xA4DE99mp)xvmd()3LWSy)b&qWuFLvZgCl;9kN;hc{*>uI z4fM}m61hY33cs6!%tNjQnT5*x(M}_|g*#9mY2?DxXpoaT+T*gs;a?ZrZ+4#H*s69W zoeBHTxJ2ckKCmFAuSs!upRhYIr0|rY&z|I_Kb?p88JtH+#XBgzK`qc(q_iKM1L&Uyf&4_Rq<@Cbge%*X zqX)%MH1xV3igM{vwCk5s=~gbx^^y#i;eXzpR3{f`;CuN7=dHjv=>HxSlo=lGWVcXV z0Pd%_(j|mz^Ep&!&s>rT=b42(AX}`lX!Ye@1mJlg8Qni;a!E>EwULV3Waa%r~6Kdc~8L*>C@BM(S+#-=g=(Pqv&tQg8_a{hCOvBKG!CY(uQ#Ywb@ z1AzvZ7;rUCh(GVC~=ey0%CI|dfKcn{ucJh zQMt)ob`< zYj>6j*f8u~V;kt-c!1Bes z#HO%q`-79sbV zH;!p*lu><=78f0}M>Z4$BARRjc)zXE=x*M=yJ3J1Cn*vE-ZEHSKz2XtaL=_Ez&3j$ zHnQHMp*kBGig#^g8Y=Xw6CxOMheH_PD5?lZ-m;glTeT;_s{WbdJhG8-1x!VM9l{J( zKoB!qbv_vJ!j-P6mW^6P^dtO+uXW&s^Pus#sD}N3Zdw*VnV7G)K}Yw%G8z9w2+>AH zScZ>pK~0K2Nt{!N)b`HQ?CSAksiRySw|fmpg5?)_7?`e0Lpp_O|BgJ}^s6WXrT78gLy)?(8TGq`cg7X{n0{&0spqD_l zFL4FU{&t#W^VZ++_zMry6h2G`ezcEjD~?Hj(|r6Phb`*0iKzzNVz8#=s7q9zOWyXO z%b2h*6&EMye(B+dblVaApriHEbP5er)5~-U*5Lqa7Ntwk&@2-|5;e3B@)Z%lL!G84 zrg7vY(xqsA((ZVKfMxWTii*-@dJ^hz!1$8trD%7w3E}(V&yd&Yg?{JR45*< zF2j-J;WTbl`Rin`uUotScEOiEv=87^_Xr+cC9iF3#vftHz>4+l)xf2CwiOcwa2oTE zFgOp_Trqi+PSpPVQVf#!iE&kTQv{1GQbL_EePCz>W_-(PgOk(AE_6@&*U8aGn*?am`KN)4z&`{MUmHA%9=pu zY$R!coV28%>s*M<*h|v^%mkvqxmwMu$^K&vWKHh4Rx=Wfn(6-_rLo?^nL_i4a^0#c z@%>mof*?yMSooj&{`dKR*@Pbz<2u1*Fs#u3SPLAl@Or!;ODx5o2V?$N0vV85BmwRy z^XzbjD01%XhoI09G7KU-G62vXk$Kqik0JOygd&ZRq{T5HJR+lVSIrt$3M=k7YI$w9 z+Ly=tUYGq;{ZbH@o66y5+Y~iV`P{bq@~tXpQA2-a)-0*NB`m_V=VPr|`Zup}X{#&a zU6=oWewO19NpQY*s!gesHZJF)$T*%xzjB^VKb8Hg2pXvEr$| zMLT8fDBbv!)2tCR~|e|%~+hZ6xD6z$a?E5@r@dfMLY zX#wrwy6DSoKu#Z1Q_h|?T|jm61F_6Lo6J<;V6S5x2O-BlyMJ}rGz`TyniTU(T4S^i zvqu#2=b`Cx!;{m)#~-IYKl&H73(q?g+wAg-WTnDePk~NaobwOa9%!rzx}N1(aHx@a z1kLVQ&mmkD#l?;Cf{ko43v3Fk3yN-T&EEI-F~$Gv)~Oe-(j_UgDO*eRMzonz6y?1! zZyE~yrh5XHTM2u6j16}(T?kfGJYP!voHC9c#Hz@)QwLY--mjybJ!a3WAWJib&9|Et z&jjB)-^$}*b>t?=r0-&jdlG!`_N@iY#5K&OGtvpQJ|=tY62`LeUXCUzT?Q(hc;MZp zYAF2nb2M7pWPKM~kBdnNbh|Em@vJ>ZAiPd$FYR|MH5O8%hKxy_2Hd99R~AD5-hGf^ zSEXFjv@qEBwSX3Z76tdPc%n$pNt(}Yc>5l+46bgFT)f(3XQJy=ug)!qDr9wIE_3^E z&bU(LH@dzQZ@t#;9EV>0ZL&qIX5DV*t0}L`v=EssxsO^-@;l2WvSi&}OQq&?eUr$6 z5VZVMy32G@8F*fnE6tq!bOV@u`ud2xxrK+VZ+%#}O?14j1$fGfc9~ZAcM}BXF*?&S93upM^c@8EYh(EF9M?m5! z+E1|af-3D&wkH2G^SH&BXDjqD3)041DmrsaJskV`RWu{(1;phh&PT|RvcE)q!EJhl?) zU%8hrJur9sufE{#D+a3A?;hCalY+f@d(#~x!C%F{Ubk&PeA)?}dSY>LPXfJIn8b*0 z(FCdFdPt@Q&6$OtvlXZ!90=K>8c2F4w7;G^s;C~N_Fr&)xd-IQqVqDhULzQ>jQ{<$ z7s^7bVQH1e{b5oeEJN^6p||6+2j93-QV(^S&3nSKy(*#D(KPTbEUP)yco= zU!Y>wIkIwH&k}5C>W(OCkkP5w)bb2iS;|qLn}!yw1JSGMT;LuYY}Tv zorX$4&#l3SRmvut*U7r4wB&mkNmUw^^bK0V(*=22Jo`ZS)2h9Nbuh?54`-hvia2xg za$L-o3VBR7JxiA2Nw0S|*}1t)fq$C-XY)D+LZCoPtTfn*hXc76F%j)o_r{$>-0!I$ za?{TZLUpixi)E{GyDENE3l5HNOJm9!J5j`OTmfxMhXa*0sz$(us9OZAl0AztO#NlB zNjfIc;RiK~8R~8YY^k-2Q{eTKOPf|@0-2hK&bI}f)HI8>x1Hf8HD}iTMl>fu+VIR# zw{geQWoz8;m-EkX4_}2AaO=dJb0~6Z4gcy)tfNPYsfU?)_6-Td1oS@f+?Fc7{#_$# zDA~+13+XM#q-HDCpn&Aetk>>3a0Gju(2!7UBk`6b{|l9*KrrB5>R;t6x)u3qaZqm{ zX<1xSZ!kG_hv6e}r_Kh6^tAAfh4dyVjjFWz*!`w|Ud&a9l*Ez=)hm}6|EqIyKwV9K zo2o-U3{&F+@fU~b9zzRnS%-cQ#K^2EPzyLOZR%~ta2;u65yLCVCHqe0KWLJH2&F|64=Tl|kFdtIYw2CfV4bhO##Osg8Z6TbJ&x}%`@(x1| z@_XD;dEQQ*?mKwQ0H39`YZH338zAZ~>B?F`YYP1r48_a~O7{0BeW;%_Ncl~X^B0!L zXeE!_*zQ7{KQo$(*YfHa5{4mj%Yg&QbVIv{CFUU$rN_7qj3G`EMiBbwH47lyPx_4L zieFV&U!n0p{<#%EYIlrwGE9`G`e;m;aX`HRnEJfD}M6kJQNl!FtnYXIqa>Xt?4+?+R^fHR0TN}Dk z-UIl~%|mtbZ>I@=ciMSW3dhpT+)cNJwHYy8k8QJj{`=KJU{LBwbAAoqtw4b|_@q;! zN1{Kzl)37WqL8yeW&AaNR}C5ttrahZz<8(78!L*KnKQr<(n?$`7#Xr>U-s1n_o9`; zGCx+q^JX+sAT@d{yC!@({|u{ofZe<=gFC<_vD9HTCum2U81YGcRx?FKcRr_GS-*b> ze*bbFMLVs(APKU(cNIn!OXHXGhv!|)-L}u4(G?mn02s_3RjjygoH1O9E_{>8cUFX= zl0$|IM4BF3ON^7>+T^jAczZpW3WkJ&Qfxh;c2wm+GM=tP#^{M`U?jUXhqT6y*7n;m z&C{TYv7%nhf*Fuoxmm4~DbFuT?6BnCQn@Brh7BUO^NOepl1V!jN{&{oOT9Oj48~sw z&X>ipu)g86<^0jBxgBy6TBym48JOp*(k&K+0cpS~>gNQfqwR~20hgH1Y;ugLabQzY z^-%;TmcLCJ7jQB1|#Tvw|803DKbKhhi2sqZ~p>?x2!eJ;93Ox z+;1_$nyWwc!^cZI#10M4Q07g`(HFLC@=}PYvrcU8E*XBgM6kFCXlkXIL{qhc%+;N- zv8#z1ZLHOsgr+B0Ai-SAZ3fFYdW9y)_f1S%9~@9I@z^ENAn1xL5*K}JVO4rLdb+ob zzE)ai>)Z)QwqsUk8>L2UAfUrR;!E9KiSxWOCR&Py4i0OtRk&@c!AXFXRqaIRye7_l z%~QTa`6+MEhB-m zR}X1(=;@bNpiIVR=z^{k;nJ7Nb&2KPi9vYc_3F~to7+ed>VwR1Iq=^7(gDlW1zp&$ zv~SK%D|#%zVJWJY(FW!QxD}S!XXc#W)gEI}&i(M5cB6gFrK zeOL1%Q#2yvT(Np^(rqyN(F;bI@nu5;hD_bIq;I4lWjY}xY`rCvgjc7mX5umcddw3q z0w6`m*>H=`F%y?B^w9#n!|T_f*(tM;1JPZ}emqZ9RWDRjFGNeYvfU~FsiWN)Vd9>{ zKAGcO(qOMbb(#l@hkf58J%c0;cO19}EWohr6s}4L2@XXpw}IL*OK1ocQ;W=_`;HYd z2ha5^)UjWq#_byfUm1DHZ1@Tg7C#4#Kjhb;M%mJVL$Mk<*$x)Rc4KzZrzR>K;D0vK z#b+a*Z^>Vi*|O9)_Qr%WYIukIINWava5|9jPT>L=dQIsxr}l3KXol$XIvWm9j-q}e zuoEWGu3jvW$P)mmg!<^!Ij7G_VVTL9zC51nzToPZ#iyu7eAyG5p-_bCJJTZ*A|Np0ZG|5|IAmJS;bw9XAZs-43n6Bg^o16{iE&He zyGfs2Q>y>X7x$2nxDG_wt*o6D;lV+4#O?_U=oIl-vt#9#`kc7kLPk z%8TKdag`m|PKv{Dsjs#JWTaWEx1q!!Fqj*f=UV+>(9wtoX-D}i6u>}VG0VyG>GOJU zttY3_5V*(^SIYONOSa;Y5aC+RwXu88RIxG~>ps&y4YE{ggX(jiRMW4NWo6SlaN&j& z=4-3*dGJ$ac?YbztM?(Q+cSKQpq6DPO%ke$pxLO=Ow1!cDMmYAH_a6mD* zUL;VB%@aW6Ve1|(rE}zZv&58TegKw;%*k+*+uq#Q)HiEd8d{=mkfNN}Iw8M}x@5NW z1(Gu1B~B9N}%-2*6kW2BK$6V z#X-O}LUhgn>vz~+ohK0h(^^d<_oa_B8;?KudYa_y?5UMZPD-Lf0{gXT*4Ww6(Xp-n z!0;c3e*Ir~FC!zz4ToaZzbYTX^!b1vhkkfbFFII|N7TXjV~ta$ z&8y_*2k^dqZ9MpAhl5pld~`Q{+^@dDa8Ql4Ubp_tux&2-0d&)Q-b-j`IB;>%k~^Bp zwkf|M;FER4TJZ57kNzV6eJ>ihL(;9KxFvJg+<^1+jL?5apmIN$V}V6lg+0ZHcww)zMHF8XA1qT#uT zOG;s!qs(0|0db~2u=SEH-`B0&xsxVnJWdo-?xO1W!2To`>4kckrW_Vli`gtBL^Y+?Qz!gsjj;l^>L35 z zGlmN62AH^x-!APl!f{s=F72FXz}{bM<7~UY<*z>|Fp!+Ippgr&dj-MLO@PP9BGviaU;Qy z0X_3g%%0Y+U4*4nI}?Cw9)1d3{4@|ur0Ujpz_XQlpX!a zqz-S`K+z#o$>QKXg=5z0VW-JkBs;yyyI@+A$iF{zC<#qUgr}yhPlDPuvi$IupF;Lc zU;_9^ipc1e`^wPzj?6A->WhJdb{V9FteElL|bi1_p{D0-7e1rJ3drFvhduBXcgNfaE-LkwI%I9R zrQPPcmGZqrwu$Cl{rnp^c`$D$=GDJ}--=Myai!^8s>AtQvOl2;4|f(rt~T~*{`C+R(0gCWW<@< z#d6ue1{Al$dcng)B=6f5{&enu6RLDuY$HWRYoXC3)kYW1gP7BHFFtuMuc)vZ5Ivedgw&H^M0hF^nW1I=_Krb@*LGLxgp7?A z!cotOye3PQdJH_(TOKOzog;%tVV8U8TTHlXAF;yn1V(QkA1VZKs>4eWbuuue_(obz z+Z15|Rs_@&rO(lO%33va-k?nu2sze(B3zWEkx5TP?nZ^VVMh9iLR{OKNlGId}fb53yZWd=CSH6K9SW@edD4VPC`HrpN< z7MaBqGRxZ>E2dvLT{g5mzoi34dhn5JiFfT6fRXmwyUXaPQ(j=u zONhL>lmpHqIhF(PGUl}uwy~!Ox+gon3|9hm^UhH684+^g)H-IhhP1wzXz_w!%UMrm zp==9YNhd~9Ba&$%urDJ>%kI`e_Tdh~f)Cn|emqD;++ReJ@eu>x1L`F(DeF;uX z#h9H#Qxp7{>Q|2A;=Fnqnf9$z@~U@}nus?L#W3nv?cPCh0A$YLZMEo14qm(#QN3w2 zJa<=lqAMmk%hm)6!K>=i5C)gydV)b^ zlq-|E(zI$J7;G#}0{&WVBJ%{Vv2~77W9(B&`N@&CtCSC%8!+F6&=X3hMdP-~nf7Vm z>^v+(2qCkl^pus(W9r3XlArud*+v(WH?HkuH;Qm^?;I<`3rj}gUxclOj>-l&tjTu)Rc8f-h2O^sOAd1+Lz~lOH^I5ECQ+-bu0`=I~q6+P4 z`WG`QjQShPwreQt#B@&dSAV|JXc0qT4ArjZ6^#U~$QQ={os z>Be;`W(u%dW>nG!0ZGBQ5hNcD=f1gr>M?|fPaFg1ePTLwDFOM~``)6pBIFLHmGe8} z!#Fw~&&+dNS_X7cYR+Y>lJn3p6M+hMO-=$_JvCU5GFC;LV%%{xTTPWY=^zOOdRVv@suG#=I(octgCDfP+D{Yn|u`vL6wtvw9nI+?VTg(^X&z;WM2SJu5Yrc;l_E%YH5aRAFm`*czTl%V z493BQG5*GqW=$jiG1lH^^*#EySDS#$~u(jYDXJ0 z#|rgWqd}&sb4f%$y!=}HWYY5G_4G){C6$Ihu-0p53; zrpW3~4E;=i&`xCjE*jOdLuUV!F)LI26cZtPvy_HwTB&rwIzt3u^WPx}f{DLu%e)hQ z61p-6PXpwbalIgtn!~>3YCWicpEP{+cXsx=h{w?CQ`CYIkVmh_`fe&!A~|-{2X(+T zQ*Dx#1q8WkfCO{*L}h5y#xJS4+EQ*7jfOt#;06^kY-7IVAMe!Rc12`43Q`tXMYzw7 zwiE`_mn(<(T67PtXV~ud);k@%^-yPraX=Mrcn7XV8mry;c#R5wrgF8+g*1&<&7*KGZybwCPBmrraB3aSl-x>2DCb8Q4oN8?Gu zLHRP>2rpA(jo~9|v83n$tnte+cEpZhwRYTM2a-{g-1Lw|JVa|Rjz=W+hlZEDmi@89vMck`jru6zW+kmBG$NZ8MteBEqF` zDy+)2n+e%pe&d`MRqaGK6h1f@ZvlW4(JSd+bWly~+wL`1knk52)K$?5r70IU`Lo5Es3#dT04y91Ncj8*?9;rexk9r5|?w0RcO}Z~TuX zj{jb{_^&P2EG%6ACtZP=iR1rcjpIK#4FAtHj_Lm-EQq6QpS+>d8!SuZd8oU)yFZbR z&@~J(7)eYD5D{-20N@Yq*dab|TCXP}KljJZ9ZZSYW@`CJSr6jJTC41G>l&VW_ih$6 zEgfeA?7r(>I^CPs?W^pbZ0PJfuJSJ$`KnkAY-kPgySYBR-CdtOQdjiLrgy%4I(O=x zwKoc`-+N!mtpPr_9oVJ#N}GB2+qBP zE|MB(QyJ-w+8-A^c=2P|eAknm1ry{|1AA<9(B*;b?kNf_Lxe^aseVBs7PEKl+V7T&F!J={RD;@~#7^#TM}x z2NS|4|4f|z8Iwt|TD*C*Wdd*EGT3%++}Lu&?3TTt?FGV}FzW-nm4U`kVX`b)b5^YQ zUEzTknQ*M6lt#v6!Kx^9KOwo^jV+xfL3iDDaLKk%q9EWMAlSz4N;|ya`W*XGO5+3I z1qHCjlm8tSJAmz8mGZ z_Tg~X^0nc2p_j9`jNQd@_h;_D^5kvDL2xo@2A@H5an&C1jdZs)Qr`I`AvJ@_oaWeU zJoI9bf>7y=3Obu80)3=+YYN7#VsE`Bo`Ok15HZ((o*hrGUPxq4>cMV8-l7Wa7ce%& zVO>n8-i!|M3s|^eC?074w*OaZ2{Gb`xKICWskrB&pov4a-D2zPr9HC%ixp$fHOJiv za$t;u-65~V9Rqv84>~mjgVG~^B-vIVhjftTuLpp3)wt@l0}f-BhXiRC4@KAsjbe8KnmA{16uu!}uJ+bSJxgI?*?W_{7%Pb23%>9% z5RNPM?~s6|DX7?SJ5ww%$V^TcQ3wQSC>APNA!LY1q=ZnRzsHE@x*N|iJP<8-8G_gs z)%0)um!xwVAyqu6{XadKwOUBI)x7h}Z2wqs@s(Whsu}h9BDK!UX>Ig`jXx0)wg>Q{0t|r8VK!7Z%f#okLOSB>McR-GNjuX2xe6P-?W^4^eH5ps6 z+%R?uZy!8n8W!JEX*rsHkAH)2Bt%XVI?i*Fiq@9))V-{5J6bD6@$dOr626P*k0t&^ z(NM;c_}gklA;s^ohpiU*7C~A=U7FA7{vEdb5amy=s1fMb#ycT;d_1ajJ@SYf$S$5Q z%n^0wWX{wi-U`wJ9j*)sU|Jcj+wp(G!^t;wUlgEP9Ma> zpKs#2Q#6BxeR6t%2ZcG3jD{I7y9+9q;ZOU>%wNFjD%~d5l7G>SQsXNlF`4V#pXpGc z3vWsqj=7md4^N)U_*U3s65)N8y;Co`k^sVet$7+cH-@ydYneeaP=e3V!F1#P0ixX|NSp;c>3E_v*_|)X2P+V6NgZv(|T-==RJd9tYw! zy?)~y&kUdgiI317xJd1=!I}|sJH}~Zr)H_0x3o?eVq_eB2{B8kF}MVhZ$ROcoNA>A z5~Bhqa@t$S7vwz49eOW0&F6-8fT}SnQtxDE_%_KuboUh+Cm=4V)$m}vz1CIBIzUeU`w5Mi#1;z`hp z?@2r>mBkGWA6~|mn!?_i``>rydb)$+0$71)bUE2iQioAig2f?%4G;F1MI4ev zOr-oC2>K%8m`tVA5X1`AvM}~hABO@J$z!C8iYC2@^rcWurG5`>I~ao44OFfLn2*R^ z!SvJ33rsmCl_00!nYK;Zgb9M4R4hT#%7+Gd!}3_tM?m|yeTprlwc{vB!UdzDn0vwM9vs^gi$SZZA?+kO@Jcql1kqLBVRS`-5gs5g?1%; z01yi=0j--3t#Q+FM&u^dx1+$EFTip1H6d8y{0=#S-1zJi%p)j3)jS~9{-Gbp_VXS`rv z#sLx!Rg7Cbg214hASN!)rn19nTx26r?bp1k>_L5dJ`^>8~awVlrh(1{{AbalIn5)Wz+J3EPO`;2s#cQSPqTNG8d?_ySN~5x7pPDybI+ zbrgCnJlu9U#T5J(-ndGTF3JTHx%2zvM-x00 zILD}a{)|yJ{kK68+1yr4-}`JM^x&=SIT=N#P+?V|(Lu%ZmB!B8*(K%~%zSZB$1TB( z|C8r!igVf#nS?tv9I-1&N( z*%DJH0-|jOLfA}3pY@YDSk#|txs%5uGxF(UaGe?fBL7C8#pL#l$_$u3Xy5=$3t`^E zPw1du0#F{CBXm`?HL;t_r^Cc>vsVcL_=^?fnJf}9qOB{TPZW~N(cXKfI^v^D$Eqs% zx2EThcO<4Ue7DG7DTFVezzSh?QX)2q9uuZ({KBDbDR3ld-R;oJTq8Y5a_;mta@->N zS(6BJYl_$shpwo%^$|I^%g;X~*q8~4ta^Q^ml{bj)oQ;PjTCL#-ke3I!$F`}!B6q( zza<~&_z9G?aNZQyw^KSE9^%6HTYC^wpxTTzBdv)aN3?AP*q5eHp^!y-Rv*WOBrY6Y zDi@j;a$!Zb?B|F4-ySO{>;D&ZZvm9YmadI{xCVD8XmEFTcXxMp_h7*xxVsbF2^QQT zxVyXSCD}83_M9_Qb?&YI%wM;w`s?biyVrVNUGH)@auy+WnCt|Cu5RfhdZ`^I?ng>+ z4o;hG`cQ8M46H<)K15jpi#!?RJEnPJM)g{lWb*|oGdn^<7xsmVz5<0ql__JcljAz; z9Sdf>juP>2tFbs)Az$e>fnQU^FD~}?#jm9!qt;aUA;;+hwvxbi)g+AZsJ{^tzi;J5 z-7XaeH8#WxsVF74=gy)^v`(&^a)@ftj)mEw*GO=b17|<1x6`A)6RWXoD~ZS$t;eh6 zZKsSjS8q>_AZ>^E^Lgkq&BCNY0MJ*8)zHZ)Hai+i!~FXK6A5)O>FL1xTyc^+4CNH~ zZ5SB!r+F_qN$6=pR`PW$tN^*X0pHv2ye05oF;@1kB2vV`;_8G$khEjgOSC_{W^Cbj z5utM6G2EG7GzVCO(Y{r-ER*Q07~0F5J@ChYHU%y(`XInE*O@>f=2FC!WE;@JlS6CR zxJi25=9gskiX%5>;I$;%&mbhDT^_=ZviphZQ4v!-O;RkvC4==x08NmH7u390c0YNc;B`_$cZ1}dM83ex>_`#NlceQYIM{f zc>5Uct15JVXG)NvCa0I$onEt0cwRDOLKJke&SVD3ba+}%w>den#f8^FL-ZCjF0^Ai z)v|`Pf=`oBpP1R^lVHaMs$zO7fgBnRfc$5s&z(;CcWJM#1C-w4GGZY&wj zk94@=nzMSFa8(1z_b3;JGSn%!N>pTDc7S&W>%WxVyFtoaFip$S1#rQm23uWc)clfL`8RuU1pVS9Uf~GrHF(-Gx*R zxv9RS9GBmn&RYw+jMMLtDZQmWGGaRAbqu~IG?-TXoToTUyJfj-XO33wjRF^wTQ5&c z9laWSvXY21t0t6`itV;|sT9|iy1|*l!W?%3RcQAXhWrts{#(pm;6W`Z? zQ_8)T9VMY-5?h7Boq8sLu;Z+4=WlS{-a)?sW8 z?U*Z{#&xewWvmIv=z}<`4#fj+{+QoKVrJe5LF_<$Cmt{YLMyOnFEcMZrpZt`6T;;$tKRyX0Y$F6S}s^^$YH091_Ji_ zOhlPf7#Nh#U>rR~IV75lD%;NPsx;XzYN3803STQq!-hhm=g+bo?PCqRRM`^31wK2#qB?`+Dx& z*snh+Y}to{A8dSV=Fe~OPliv+KH3gUA8!6!$nbSSHFeLeT1cpo@zto~*sa{mLRqCX zj}?cPn$eTcBXy_ynowyiW8qoR5UB$*Sj*CAm(7lcgrpkRMRo9*WnYTQQ^ld0;}g%S zf_=PWajwEoY=uE}GINNy#0}pMGO4G73TzgKaC1l5Q1i5-RZRP8qbDD~!OoXJqy~Q( zpm((3VzUVr@n73i*0B0k&GiLdRyHVcd(R_%hK_Y}7nlc)#}SEfD|QX^r&AB-h^crQ z8;G-aXC|2_Q+74}l>0(V7R7ckyoA^(JY}y5M@|h{9$hmlgZ7x~l1V#UOZr($QiY6U za#k_-QBX>XzpcU|MNspzkP|zp#PetcSo3x?-&dj#I5z=%2CuCR9#33;&w$YTf;QZ0 zgv`=XOyZ=$sS`HbWRyKv1eZ4rh--lm?yzaOH7GldB7eMYlCo&2N5h$J8eaoCERd!? zQuqy^py!CMS)*}33wj}x-oO*x=eThCfp`hFV9KqslakfhXlu_m%1Q1?P4jbztBLl7 z{SmBH>MosvDDoI>oWE%~G_9IP(#gnm=xr?#+J2pgzarr~(~fTbf;)(nJ>EOvelESJ*J0ne0V_foB;xCz zDa|OW3B=nSB~fc{95NPV&wwA|X{Go~7vA0Es!dpi(Wc>}N3&b*6s0nxUrUHR29oiC z7qWh=zSMyBm!F%o2R|Imk#5CkbK6cpZpam1D0ukE7-!5M(v8ZAA74D+LJHEL`-wz@ zTu;vx0XTK;ADEk-j++IWU3Al;`$k~nC|5Hu*#R&_#l{7~+CsR_XRLHEYm_i*FSG=1 zbm7D0qG>UFy}8uaCP`zX&G3AuYC~7KHn%1glZ6TTK?MY5t!wygxG5Sjp}Q_-0==r4 z#f6PNk&2&Y(+EI-y%Li;ZKx2=On?oDo<4jQO4knWtpQ~?`=B{oU}}v_oGFq9N&8f- zLSnnoM6x``fFS-FTE>O#kBAH3aDxKW)d9M82z z?rsJsExHKeU&FUb)@3}`?r-;EA{@F~$Hd;&j_?X@oFvt2H_~37SA>8`yqlZ{v*VbK zUgZ>eGKbewKYLPf_5-Ri7=bnc$zGgnwxvCvy8e0i zD(vX{Wz@@8w93!BVR5Es%eY#yGmD#LRaHOb^Kmb$g8}>MHwGhdgZ^Bojf+=OHprKY za$`#os%#UI{j&$qnU;k*>3Yqd&ef$VXPFh1SmzA{GJ!VobJXVoki5AB+me7}#Pp-l zCIlA(vx+FHz1Gp?Cm#%I(~gqP;Sh_4%1sSD4fka_GJ+NBAq!<2tbnEie+|~F;<8eI zt#5hKfi3qPhI<6Zx3g82{X`hMxY{`lG)Z(Yd&2rHpM%oy-X6=^6hg z&E+TBnvN?Ch^MtaVE898aKh&<18ocTaVDuUIKmliMtz2oiBy`b!%^CMb4jc*^YE=O z=VGNC)}-;|1pHcPUu>&7-Ls5J#A{x&4$dZjtUk48wfd&Hq|FXCl-6!{qlH_)?RkwG zNe35nY(A`}wd=+Yx8H@C2aB|Rx7Mh)o-%oX7@c^1^`|$!JT5&dJS#5a`Z-#NNGuEA zDq(#`Fq*o3Ze)v-Djq>!GWZl6*Yl-al$V>wt933i=RgM!?~J?Ubl5pHN%6s1w{nUi zYbfdbHSNOl=@D9I?Rw{f$7KUi-=253Y(Y4MgMj z`}i+;q}1ks4nztsB`Z?f+_R*m=VSN3ifD^+D^YI=&iv4I}AW7JLYu1#_)1rH}$)!wF z%0Vs=A@LxpEi0KPzJvE}Nfubz$Dojrc6+o*vQ{L8^R% zkN0aj<#5cYu-I@J@fn7@>u$QTK&NM65%EM?2_9+Tj7u8`{ZoNT%n^qvKz?xV1m?WP*tCBtjZLQ)G&{3vxy_sLd zF4ia9!=wk=fxQ?>dewLyvfZ1jtF$A;YteqnsUvz$WNTwrB&y!2|)Q5 zP@UC7MCEa-P+apMxh7pOrW=MzhIU3l&i9#IVFgw@4AeH1jB3(EesNgy_7iwWGUPUc zLQwPCHP=@paF8~U5^R@}Srh?_T@#DjI5~qTLZi2p=%)%ez_mVA$+xlLUsv(RGfVe% zerQ&L-Y$HB)rS%YUxrIN7pE5yVllR-8}C|6z#u`iSqJIh_+{%V?!1~$hXB!nj?M1;e8M}ur{7GX5%Tf|A!8_E4D8N42DJY-+~c0ZZdNW3(IKK>y?CoJZ>%wG(8N%n2Hd7S z*kTh=UFt0Z6@PxQ)>xJKo8t}_zro7lt5AO%a|Mb1#G0~E_Ohcv70Rv<%B-Euf!>kj zxaGQ07Z0O~)*8`lyf4G@E8euHh(QMk0*E&ZMqwzp(LxI!g;|t9aNk@tK#zcwURCQL z6Csje@Mj7u%`cd#SBuvU(Z(e;xRg1e7ny`#)>%d~l9?i~n#JU1us3JKa*FD2KO9(n zWefod5{r%t;BBjoqom_mg48C0HG%c9w?h7g?c$&_BtS)MbvD!?gfHb!L%%tM)nJj) z;QU?vs8_)w6W0uLMAHv zl=j6$Hjg7@6#+vu#5BW7D~{|nnC(h4>Z*5@r=$CrJ6QbfTol=6F{DWc-ww9ck0it; zaFZNWio+Q~*{^{V9qX?B+nCtt2s+M$Ua1R0rT&LPi^Zswkko8Vzb7SCbef-yl-`-j zSrpa95LPyRdq1;Kc?p%Ig)0=ZLbKqX4Osn|LYgn`vO&vL)xELX{81ui;cOs%(x^y+ zavCthG-A3tzFTMAulX|aIBXp?%&DvDw@|NS_UVa}$+lID`=ydgVs6J~q$m6P%PYW= zg%fTfw;Ta#T=Hdb_+@|vTlGU4g7YO4tY3v1_&z}MQ6OWUkjl_47hoP65LqU-MDLo- zGeGs(nf4*Ea`A+pG<&Tee(tR``T;LL*=8?9VlNs5Kq$bM5bYYrpfjG}pl2V8E6)q@ zX!|b1e4`?65>v!R(FaGU<^$?&7$evq<|R-*+qX+Pvo9C)2^F!TdN@x?Xv7BTLs6)R zs_~^=L;V0+1PtStfC)|pED*kggl*UY(j+BO{wF_h1A*^w+R4T%3tl9&U7vMtjJH#d zIG{~A*_g~vJP?f`yVg6=;UaKea0d^=Jhfh`vIBGYMR7$3?rA5!?<6e9p_#QE^k`U# z!OY6jh7lgqBrJ#AF#5CGPUfe=I`+FeQSGxEx`*B;uq7w&C!kN7fJn(y#0YCS1=}PD zYbk-)Xt~MQkqcx-XM#Buuz*E*o;MsVp>uE){ct^O^rHu0XQ_1c1vcHt4@lw+?VK3g zGFRF68689K5x}?WqCE*P_@0Mz2W^a+`)>=6f#>xpWZ!N1(r?5#< zp}>SOYNvFN8WZaEGsZ_rGX%pLj#|=ZP%a$C-=h$KQC8DMNOMLpHu$D~<0A`@CcSE( zM=Uq=8d4KxnI$h*qDzi=^-tDti?v7DX5Qfcdn_WiJ7qPHwiH+kXb&vxV zhc3fCGHHF@OYBC^;Q0%1lqq6RpO8k-gsfkJNB{0eSgZU73U4>!7;Mw@V9prb}K zVwvLLD2dU}&mV=2^*i7nL}Xvg?;>g{3Pl~qVvi^wGX{~YyD{v#80+UTE(VNzx{@MR z`d7vCOU@g`w-?7^E)@2;h=pSqiiJa9wXEgAJT{&%K5IyQT<)UA*rZGUSc1PXH7}j@ zIfEoszUIg%0xNm;116|DE$z-{%^z-gFvpmN#c34>R{b^f=BFBmpG`|_mvo-gC^kg| zQlD?l;=UjdXQlw9q)6?9^?+Z^_N_3T9IC@dl`lLV!PD}6}{8>r5lm+U`Yo@%Zy8e;9)2jZU8lcE4~2{_`@U@ zaH(^CW?{)@Or4Q!!d%&FUy7m>hw`c%`SLv^e#Y(mX=!d>rl-h8_CB~rNkav-UH-2AJzF%DY8iLbiz^1_{XTx`@MV_?r20Lk zuR=}z2t%iwt9j=30gkT5`D7vhArV)pF>k76j=o;!h~5<`ETn_Y6DA(AW+u=PdmCO6 zYb>#rPYibk$rJL4<3vylHJqc}-Tg`*?jftzCg!f_(UXeki;zBi3Td%p0M*SBJUh$g zynn{f*;?i~2;>EmpZz&f6D9ZBj57Nr-c@`J9NSn?+Ssv zK|%|^2=#6!ips$5Qu!KcS{s&(FV6VJle)$O0=LfczQOh8o7=%40~6WFFk%LJBZGwS zBp*7~Tfn0r_drghIT-<4evks#nglgl0z5j8MJ}7ej2Ljz*N>pV0I#6oLY^Nh5}oC5#f((0cudi!QeR913}$WYd-;(M=ntvkvW{CY>XmA}pU@TprQ853NA# z)PM+~)mlq?=8xhONS;4nX(92Yci?IRd;{hUoRgW5ef=^96I|KcN#|n@^xf9PiQnq0 zuf^T>iARkZm&31NA1ztzly#9))JP~7_sGA=k1ZTAkN|D1RhYrtRm&R=CO2BQL=qaV zswm1`@|R%D&o=6JHZdYVe@bh4vW5MGJ$;t;W~@=8)kL3yIet~oLJhWuACHd#&rawX zWfv0eOIgfkM~X~F_!#DPWI@hAq6Tl^dFOR&IftBH!|2(M?6a7|uL5@{iW*p=;SZ?U zh3A~pPx7>9z9(JcKLvMeEgGo~taceN$x9*b ztEJVFPIc~|n5x)6@6i*$`%aFH%#H)y3oba3pU;z<=)qqQAhj41UXpfnGTXV@&8Ju$ zh33QVh7fGJd_M%|^M!!-%k{^#cSRQ`ffSLhPfTtuL67-3o4bJ=YvG0>>~w%-e`=$j z-|Dd!bYIsrTXXxa)5EZ8<&sJ6%8VMiRIF71!C@wG7r+4Z1I90K%T7 zTQfenp~a{vpB8w^d6VS%<8D*ics=VWU5h^lLmdT_72`#L^4xCQ&G)qp6nIz093)~A zMm7jgEuR{4hQduO!yOi~tV28$ulr;k2 zhAmxu*^j86eLlV(hPgokFQxff%LM}Vp3J?N>l!OQ-^!SFv*1>L@knEm&YU4quFdav z!0cl`+#N-*b^heVCmyPJPi#hh9kALh)7!(*IE%1Jf8&xucV!tfT}5M4)*zg+cicqz z)`R>VjZvXcRIs>>dWhrG7nvD!qKv03!xz@B8^N0YURnQL1^-noXJn^m{c~&^!@ron z$M8R#zqe4i+JM->E1NTnvR@VYg}j81cC!!?xXyVKhbf7_t+jsqVzy~8@Y= zF{?_pSxT~1N^^}sR@$Jmi!A__ipb6rI?!(}A{BPBnyoI7b)49fq;!*+T|#M{9{VTI zZywt%_$`ad+DEB}KcDqD-mWesn7spK@h*0LUX|IFrIoP~@e&7LxE6C432tFu6UWNp zlUJ0D+xFXdi4t7~ElDwyooYvm^*|PA_54*f=jHhkP3QZ~c;Ttz_Ugl)EWTMS!y>cuQQ_Pg zsDsPy=~Z2$>`Pu{2Bydb=!7nJah3IBvDP$s$`-}M{vOTgXPQ zi1~v}Kz_*09A~leHU@D^GMawI+h}vUrseJwNnIfP3U!0nVb;T%p9ud63rYCXxdc8k z^Mx@gGmn#ZMZXT@0mn_=o{%yfR4P;Gea;prlV7*-&{3vmufKR!_ zKI>ti@}Lx)NDP+^fl2BgSLjfJE`toY(RMnf09 zVW2kjJS13FZHo@hWcry5@|P?k=;K4gmwt{OXOyB;hx71x^-f=KcdcU&5MtWWR@|{{ z{y=PSPj9@`N{k;_@bCDkBLEf{YbqDljXyYBeV#gA%%rna>7;&*Zj$50WH{x(e&UOo zY26hd*RX&IOv(8T%$HtvZ&qDlXgxkRfTlv0-g2xXoitV>j4lL>bp`mdD)jwTJZ zKtvUCwc!5hFc-+qxvs;^yI#Soh&1jbRh?FSkx6^$*n1MWreoM($m|$PGv0&K4IjyE zB+vwn$zNej(Pr!%Z9Clb-GEZfRRYyZ3dcVuBulEHoNNFG3w0DD?4 zWwP3F)7R)EQI*jRJC6g(CHj)KO|~GglmrWuGFH~uG}4*$!>qZQkA5@-24y*Up5>O? zpSHSyyXEPJgh}@kZb5f`xZkd8k2Nn;6v8vHh6A^GSsbk@%_=?yfUfuTj_O+Lb5rHQ!V_ zd`C01wKjAP6D{Hi1&jIT7V6JAR7bBC1@NnOMr`&!QXX`U2Bcrtr9X!*bR1J10pDQx z)QPa^HX4FmT~*+2;Eap_MCvq_bG(8@k6DaOozS>`xNFUI$#O!2{SPB1z0TdvGw zTh!XD`!LAPV~re@Nl}QiK++J!k1kk>fOLzGwbUBovtVeN6wdfP#Qx))N454I>y+q` z^G~i&Ve44yY5f=sAg~9~^CH{;9&L2d5oG#8QW799_AgD^A4DcH>=bP0XePQa-k4?5 z3(m-Sh>vlU;e|Nc^kI9KqE5HJ(RqJDkLxOW4O3uDFQ_-Gd&KKG}20^@%WvoEU5!NHj5aHlQJfzqbvg z9lg(Pa%SdM|BwTH%BF@ifXByU7l@xENCyUKOar%`i!F6Sgx^-x>F_ypj-^Nx3;DZ} zB7>&d^Ad`mBaCd<5&kKH{~-IK_(9~SC=!DtEGR zv+a}NfG%*~DOSQHi7-gng7+d1jT3TuKu~aTeW}&U9%fYGS-2qY%B7a%520x~i<@)z z*%BOS-^I?^IscTd6w~2BGdfHhmMB3z|H?>{pRNZJD-cuGFr?d!gb6=JbB@W~-h>ku zJs=rtuKM|Pees&=`9M=V3{elENZo45V*`!K<}4!om`taY9>25e&69`&x|YfuO@)Kn zv$=joT-1NKmW`Bv$%g4wQNYGU^{~$C8}rr3UZI;fnDQdNa2_SzCk|hE9K^IkI+0Q5 zWL@LyQBQ>QPhzB}oI@)CB&fW(^Ib=8i3he)4?J*JtYcjZdz=)4r&8^glT;UyTY*P= zhS-nCLHlO)PX0k|U!X3wC`?{ew7y+K9n-(|SBY?(iakAiT?jNMR}me)YwhqNaU4%< z10wTHsrCtW(fOd4`n(j;OY6KKzMvSs)dO)+yu zNU}|TE8J+J_U54JR{OUQ1-98|q0nzhZ8}P3AmAhA6AlKczEJ54#4(#JZ@W8&z#B^m zU&Re&b~V|0-F3rL%+cK(x2cmT62CZNANY1uc630aNAuhpJoYb{E<8zWdGaaq6$ckG zIDO5_g^}b1ecg-V1!ae)@zK$pQ|S8=oY+i^%p28-4Urf~C1%^Kz#w>rTbFh3$Y;xb z|K&Q9THpl2*V0J!roB?D$)Ta7Ei^j5^3;>IO|2VV0dW(-XML7nK4#;fXjiSyyGW}M zPOa(EadpWy1c;1*ZA}WBXGaaVs2LCalWSkgP%1r<^c{$2wq+|1z!{rA!{w7xbJ0B3 z4h1rZHFWwZjg6>dRl@Y(=nnww`*JsYxeH7(*$WXA`1FzC<{x9sfG4KwUXhGM9D_8v zBW%@7_+*j&g=%Xf`D$xZF9!XDko|b>i%J)&4^ zVfTM!eS0;Joa()Wkp(Np1SH0kVRS__xWvH4*M#qErJ00()T`!go(UQMYP3pK4spYHiEMvPO zN*F0T*|c0o+~*WncWC808fezp9{_W2A#Jwh0aLhAf#KH+x+L)9v#JiF3!Th|SS@N~n9cKkTBPDe*xGqaAp0+{f>7|I z4nMMFr$RFGp-S$iDt?g5KQsYHDzz~okZu>@BO%Xyj2OTqAZJ)d#x0U~e|Uv1N0bO5G?=u3shpImGS`M#t~RzrKJ>qCgVC_3VuZ8aSAbviCX9>057BxRJfA& z@=h@*m{NE;o-+lDnNTt(?B8F|D+*k-5Hrts8;nuWBO8 zd(SQ_JA*bft%9wSzLPNl-TPY(#x_m_zk7Gz|2B5Cb#^c`c6{&om23>hM zg683YrWJg@f6vL0;E(6;f4$T7iw63?FH7^D=l?$Nzr$o;`U^~kKWO+TnDp$wU-$=3 zhQCZA`_JKI{Jj_G-`L6chnW5eC&QmC{u@6Te^K^}vHwwiGX7rw_wP9W$f>E z|7kn_;4AYlHY)rDC-dLObo?*M|KIbK<*#A%E6C zjO?G}&+=dGiuITA{m13c`d7PR{TFt{`Uk`Rp{H#B0lWG~LGX8bV*9Jy+5UyxfA4er zS3PC>56J!B>nZzR?VtTmo&1NMvi(>2v;SYQe|oyV70rK?r*wZx`yWXESi zC4m39uF})}p`U*%`|1DmOn=4trwjX=`P0+?vc&&otp8pQ=>Ha?{2lYZ(1bt6!~GL~ ze+LKu%b5SWjnOmwlP>%%g!w10MgO}y;ICN!;kDj_xA(Y^;CEo?NI)xTZsbUy3H^tu z{tm(vpnu0W3edkp2nFchCG`8{52^kxR}`RsyK4pL-`-FG`nR)rzx*N9-zK5}{fGL! zUw@1C*Y%GSvc5Ep{T+QLeJfkjzXSb)=70FNUl#CJ*8drb(#q&t z8`CN<(JCp>iW|Mhn&wXK|M-mlFW)IT8#w(6k$(rZzd}-A; zppuZWp{^=zVV6I1Hia;lBYPL{?nUHNMrC08d1l(*&pAUUY*y5g6xw|)+jwy~;_pC5 z+w-#ary_o?f#3Irw=Lu!jbjZLi4ro3g;G($pb(W4W@yz=P%+B9DzI>AX%E+480=tK z54?-HQ{cqEnyQ=&ar4z~nXvu*qj?QmPZElkD)13saOoh%J6>Yu#Mu+2wKm?%x(nir z@HF3rcdx+RxwysV$YA<6kHP=5{MGXLzBNqEo%(@W<#r3-Tg_cE#xuG-iVd%wzch%1 z)y#ihUWb=As{X;mRI+$oaf)q2A@86G`EkbgJk2fSH30tsocEQqg{Op9gWUF^)}9<)|`k=a=!&;5oW zFN^~C51c?({w5}kH(a*HFlRi-3#iE(dRx1bTkJSge?2r-V!-pOXc5lU`-B2i zhVZyr%xh-U*bU9O87^jhd(tzy{^~F9J&)oFy-!=bk(P6jBqo!l!EVvASUTT5O>%_n z2NR&hyYW**WVwJT8CreA5yVDlbIS@!pKK?_S8(6cn*mPwy|1mj_y3pjar7a)ur7@Bv^8zkF^%Qg8bs(58)VyK z+vmmSv!Hiy;d4rm7Z$_kn$+kZnZ_2Iv#IcEkF}+RV-QVr*Fv7d<;k8^_B1c7jOY$0 zF9Z@sx!-a(Qri-7$N8)*AJ=;gkc0Sk5C1Ck1pj3I2FSx|p~%TK-;$iHpz@Q`kAPSd z2OFmn@fIhon)|})&JqdFbzkxZpsRYaego8~W~ypmkh<+$P~=-D2CpFY!9!5ZKPUS* z56qSDYorpMzWW<1f`2a*lvHVT|1%DiBFnP*f|c~NEgMK)cX(%_>Nfy4#fh8izp=Ij zrV5!k)oRIBcGkXS1fNU1Ls7$557i`%FNLQK)SO9Xx#6Aq!YFd@#Qq;XQ3vn)f0X2j z%(_Tb6*q0)AOtQyJ;L{1_*o!0y71xsPYV(xvCDxevExg2s*!$@utV`e)iQ~=!tzj? zz507WC9KAfiUO)pCc(jkYT_h0cH*0Xu4hY|HruY2H^41g$s6k|HC3FfkNvWVNlrS`Z?H@?3QJ0vL;pI5*O9NO=+Q zd^AeE6kktWGzs4PMELc6bD07)*KMpv@LJ|b2$|WuB~P0Q0t|K45S^y3+d(#V%o9~n z#P=rq&dH4g)x7cZDYTTxjv``vU+6(d7kmZC@g4a$KzGd>p!oZ%r0=cs+)cRmU@g~W zJA7v*N-Cv)$zkHF&KNehb zg>IdliO%-R9SyhCHP^<7y4q5=1=+w*pb~qmzkKj~L7lI*HaD>Hx)Sze$y+}`mQze) zZioj!G`y^`kMm!?602t1f9Y0TL_7GtwL4&VcSs-L$*>(e?voznV6V+|fsmOYG&wdY zjM@}X@5NiWV#eCNxqKG+jU*^5k^cog=j3{TT}i>5*#x5PKqI5F${@+b!8Wpq;ANY8 zCaVAWx~zU<6@SjA4tvAi#WxBSK!fAt3UwcX?Vry=^#V_4oOd2aZ%81xYr z?&jQNz9#3a>2&(JN3!@Scf05&`v6x4Dsn(-E#}#+tDLSzzoR9^Fl-*h(c1H)(#`s! zO__Irl<~LbIB;G!T8b5qz^+4&IrAbfal0;OPE>;U6zV8C`u?H*Oz-~tb6FUY^F!rv z;oN3TS^Rxh?B@lt`lSf>YUI{~M&7Cl^Mh5ADQC$XYeR==DSj(REj_sHSpWN3xb)e5 zSLXfPa6f2@#Ilmb12kG!chZ45KZsSw1-{ewa{8*ma1-^VH_fS6lZ}649>V2ljth>y z51y#PQYNC*iZZi6*v%-DaMma`qh4}CElyx$i2WL{?hR%&@Ad{zZ-{55M%^}w2;s|z zyQhpWUB+72AZ^fhoGmW5g};yM*9r2@xYa5Jj{|=iTnc;^T=t0AVykrR>!5ogODQyW z@XhyLC{lc_`l<8=@SM4QyzXYo-x=0x9&(#nlBvIdoizXK)n=k3+lrIIF8^phAIH`Q z!7Ge>MdXB#u?RLv6GLWj*YYKm#8^X3ye6LD{KAPqvl1L?-yo#zi zPAsPPQsOW+``uvy@g0gEQ-;mFk{l$CD0DAc&VqXNK8T5M1n{$uV-UDLJMvH*&cSdu z#A7q?O+H+1G{sX11Rk@*&!tE6Yjk`#S1!oRY}BY|b7ggfg#XIquBLR=XbInbC@T}# zOn~rS2q7PvBpJU%%$AA)ZP}A!9%}mW;W&Y}dQ!G}K8aOUkf>)T*of+cJi|9ybj^8T z=O1c#RWHpiEv|stl8Pk_1GU;LvSWh04~Poi=)gRjLtA`2SW2m=>@jAwt3-F%IQ!gh zlQ$s;Q6;bDLv+EN6v8ulJ6}osBy!RrQPQ_;%1-puUF)2MZ@y?;-ztof#L9}0KMYCe zLJ8n=9D{?iV|+j`1F6hyj)rxc>hDE*NgKA5Tt-9W1jesgvDtwLD8c0zA-xkZax z(H{ENg|K|O0&$;Za}C6ey4UE7QlTJ6bv;-ZjZ;?>I_6CWVn3ZeC%tioaMegGG+b?hLs*+lFx>rsZ zm+1xD9xmhf(zC+nB6-IGaU8Bwvt78Js80V(?<1m(H$cedoHI;@s3MXq3LG3UX!#UQ zd{buAdhD9rI1b*E(s^QJvDzT;!EM7Of>*OaD4|Ff;JIl4a||Bbk9t4#B)HYeXw4F2UOf@N8rBne_(@ z|5ZiFfv!~{O1-4MHKdCcEe?9+or#U^(DF|c#IF_Oa?WOjaM>4G+7QHehaYbt4sBV+ z*#S3Vab*}wpcQlrHrYOb`<4PE3o2tH&x#WFY! zv*{9yL&5C_-EiO=*J*+P_v7TC%sS5s^W7k6Xi7R((0HIhCuz(xG7awBcE#8tnyq2> z4a0IyxD+(DCAsQ*k_>^u#Mmx#kmYjfI?9rRTMgfKf`8Y%A0sSrKS(lWnxSz5F|E#X z+Qn`_GPxP2S67ziH6)!~DSAK!9b297H@#aYK(AFZw7e8Po9bH^h!b;Jo0CHJN3DZI zc^~QWUEF4rYxS5@DX6bV#^empCyqm7&qT|yZ%q^6I=>evC^y_P{bynWe-sV8_GXoh zT9xGV92BKxIxk~v^l9$A#vj>^fgL51;s_~90(Cbew*YIccYmU^K^fR0T! zd(rc*pPvtR6m`@Bk9nHu#OXlwlU*%@khxth^D@vNNfQ9itvsxQWD!?}(-N0s^OV#s zQ_s4x--So$ed1W37#Z89ALkGyw$24h%EpbnCnaXOjo3%+u^zVZY z$dkn`Z;M&N0i}ciTnODZJC>;QtGRTbUpbFyxb@4nULc!Q_c+n0h<~ISYGp_n=d6uR zxUG!(-HO5{i*?U?;$u=&(El2HSLiSLIIbf9awflaG&f4QZ?VB;x&8*Ie@M+t!haN9 zO$Eb0;#L>EYZvvc(pf}3IUPuhW_phS+G29U$pKa3_qs-3j6Ho^Qtu*>P*#9v%{{YI$s!5WxnWuR^LU6e^!v6Cw`-E)QX_)oE-B)N(?NGu~^w z5}8fk!&3blC$nn=I#&i0*Ae9D#BR4swkGDXWVkNnKc%CGGA~tl+%Ie4##Rc+1zz^? zmfUc^CWBCfQ_hQ%7@52W_jDuy?iGdV6+3a5s;6yM${{w8#l?SXJ8CU3Pts_=)KKs5 z5ZR8ulXErjguj}Nq?Bs;c@{S{#(l|3oYfN8iahU|S$~{~KT+X?jc9AbIxx>LB05U= z8aUzdxSdX^qVzLyOJd{S6jMKQ!!MVtR}$Z$5O67O65C`lw3~`3$A}Dwb?R4(h!zhJ zoim>}@A{%Tr3Gg$sYI%;t38L=wTT9kh~ILC9`r#JSNKA@&GubMhtDarXnM{Q0c~dl zlsB<~(sMP?r*9FbdjeeQHz(ZJ&TFF=o=4vRi;-Eh>`S}2E1cG2-nBZPtM2C{rj8|- zv<2NA3G|Wpy7{ni(7QGqqaLo$v{ZY%Sx(;oy{0NL1Nx1tI;MLgvHsW&vRd>8?kAbK zeoU`4z9U4hOTNcnZ8z?M>js@5>#>K`Y>Jv_qnTMj<(BLNW7A96``mvh-8a8iyP|(r zyOh^LIzCS4oo}jZuPiM}TO89f<+zq1bp_im$NFbxZu+syV$YL>v}wd0Ea}4{9g-+- zk}i9I#70zlv-iTdefB-xw0B#w=O#(o(&>9kuW#N5WQ*^0_L@4HwnKx^JiSA*v^ z5N?Rf8+NaVbHli?f;D9uIMUEXy7v9%YcvcMd$QGlrdRW_l3uA0jP(oD=4OVo2_`n$X4zfgS@F0*}n)_nmwV%^C6T%>c5qyp==_zUy3Tj z$34jH-PF%Mbzk-d`1rH&#fSFQ@7jyv4G?Rw_xc_C4ZtS>*m@;0Z)mX%177I;*3Sg> zDH4UEWKaiy1D}9j!aV6VwP|v1pVul)#PlkfDv2}rl)J`SMS$lSKJY71BI?E$_o~<6 zn|ZARGL3tCb91bctYf)0b~%|FK0eGos2#^sGvK1`st2@6-=mA~*PxZFZ6OC*1NtKVSw3vd-ya5>f z_&A_9IzAneE(tYO3v^Wx58FYKCllkXpOU*>^G3n@Ilr%1c>%=lYBwvqXju+Mo_}fu z8$q+n^|_1d(a6?IaXNA=%+|~Qi~3;jHURM)ihFPw!T!(}0Lg=QuPc)zEG=^hdAi%Y zj7rKkh!sra=Mg93cn|lm_xkbPXIf;2`jjdp33c~UA7n>F%ha}dWx8?Nf5MCVLL>t% zJk@ynVo}<B_n>qMGt&(TBH6*xNdsZCfQ=G58@kOMECW*!TI|0 zn(Nd0(vdf_(P!I2#L_)Cg&`2wMmCDbLkC|P%`R3v^xB3@YrDQXt0zR#&jrF#AThwP zagJyGR_V7{NK|-t-Emx=Uo-}4zbY@Q!InG%Fw&n?(bv;_VOBL|;hKmf>%I4{q$Y_- z0iA??1MGKu9dG~&w?$p}W$mS9H}3>=P+1)NIKo5N>e?$z(-W3#v5 zu_-R%4)lQpT4m|97%NvU7?@kAU(2M|tvMB<7OAPL4El^yS9boqpOJU_6VG( zEIE8XdvO|)-5TH2Cj^xWxg>?AH(;QT$&!`y3q~aX^}4C{f66|nR+B6&j}3!in&g=! z$P~wTNcI*5z%5jyk$a(Ta+^pt6UW+AHnYSx#^uz2i!2+5?O{i`;&GEqUCVxO8M1Xdmc%j`D55AvE;~OlmreqsEkKq=U{5Al%?19V&kxjIU(==5F=fn^ zbVJZLfYSs-vKusONIwhxP(&QzS9LYL62uOE+(=JtxBjq`vo_`}(HWv;(D<}uVuH_J z={4sbuwRLYi00?=4>D;dnX&oVI)Z1mOTu@BBm20+wmlv)ZavDRgyk-Ii>{@MFTvcH4AF8=>%?^@uY+P?pkN=>4dBGDA0 zqM0-AL5LpYCQ-XX2!PNEY!)T3OUzjYJ|{~QA5g5={o+-4rG!=mCiM{`u! zne_K3qvxva9IeQCYFb|&%9N$o*(AHQ-1luMnAIgqljwHf;Z8iwy%MBhQsAzc%YE*A zv{KRk)CC>G)*#YvJMKu|wW;cHdh8mYm}JFqf4(%O^2U9+g4j(m54oY$<@?;q+iTN( zQ~s40quq8dX7xf3a?S166Llwh&*aW@5rZm3+A>~u{sqRR)ddT~Qs4U5Ou1D%A=T+ad|ks?#J3mJq~Hgy`869KE8XQ zlbZ`R*1rZCOYZ}c&6-jP>QNIonNwU=yX-L>TfeMKi{x}GtwK}QdRx-XV!8Wy_J{v^ z;Bi%H`?l+!wD5Je)AGstpO_sBShM$@pVbbj!sNB8kt5W%P+CH|W^~LbX!APo<-rcx zHRVkAo%&<#U$jfKjoz){@wq})TKPVm?sIDD>h+fgwSs1z>Ew52*9{Dqokdj#wl|8u zU&ZeAdo(O;$vt@Mi)2Q~Ce^0GE}1dw_H>=pdgv!!Yjtqf?udLI$u2xbgw7j8;dCHog2nIEXm!n_Hd}KNcp5Hvni=x zsgD<$=kB`q^f$dOy>=rpPuxC_1b6+G&)!rcQIV9dq;sLxot=-Zl?gldj?(6ycF`xa zJq&MppRW2|bxzjBFm~|ltVwEuMc2~L-(iTdub9{w;%I(O*_@BU@~8M zHV@}l>+8RdDJtJ~L-LtV)U9dj<+~X#>~f;scVFsKNm4%-UpK2cJU5B6?`@?OecFBb zTjEX0I^$=abJ-&5p)Hjl)4DWtmzp*8fc5%huaesN8H;BsFUr58(7}~_w7$}^w$i}K zd-DBJNlrhyuK#+COn<&D4Ro=M=cde($hQ9vQj+l!aqufS1?m_hG&YA0AXck8%(EQa#%8 z05wi2a*f&Ed{a|tF$tsPZmUiNF&CM&);-hUVQzIXOzefXRFN1dKc{HX z?bWSsLRGG@J{>z};HFebZ*Gq@q`#56F{_4lc_~h^;CCKwLdU!3b#Wzs8BE{bId!ZY z2`XCqGSc_mj>mFGbEl5D?U*O-d3RjEiv}5^{f2ATc`Z|$bhxrd+0zsM=nW`|BFT--cAYPSYt z_p0<_Pq<~6=A&%y_!75lW+AS(Exkl}WI{^vy2huWPkTovOxAT)2p-!!wr*BRYR25* zndq3WED}+sDa)Y~XJ$t!e!cr(R(%w!NPT&>ltM_UMaqg9+J3Q|@tcmM=?Ozb* ze08VG@nutq(k9Q$OqNa^@#afscn7Q5+io47>wHeGllRh}7;0(~eA1IpVaqL@K283u+Jd94QDL(yHk&d6 zHrx#QZEr-LGgmh1t>L0^Z_|nnSy{(AjNlT-=zGu#Q9OO1X^Db_iqK%r=3X)J#K!{7pL zYkJN6@oe+<7BQds+_ld=PLESPvyL&_m{FYYhbU9_!*UIqjHT*%qK*5UQj?d@I`Y~s z>6nyGNZOPa($aEV>7vr}tF3rAi=!`OBQho4nqHZ(Cp%lFZnLz#{Of%@+~T+D+uRM) zrfS<>V@zG1Jt8%!%3{vcL_gLboRCMNtK(4X5#NtM-%PuZhd3_JRfW`qw#jp>Z#a zGrT*@D5reN?!r%8b@k5umlaMpFRl)&z5$GVPRVB!r%$&BM&$Y0z>a|X)$i}JQ+VuhxJ7lk4RyvVow{o3}LWbP%>X4%r%9*N{ zs-Lr$PBk7F|G)bG$g!%b>2=Y1s(*#+M>a@Loaa%h5ShE`jR}}wfg0wQyaRd9VbA)0 z-?#y1P?X=QF%^$EyP{n9P#(j^5EYNO^ckbB!!Eo1u7So71pE%ccVnV4M8WrmFEj?L z9hf$hXK*VG@Rt7xw?GDM&?}Gu90Y&HDUeK}F#i|%1g^vxU_OC}ujBs}pTK0jV7(f; zisTOCwc!cd#@1~EsOn2;gg|H{wEz;`@bwwVAtjd@Z zj68tnA5Knn@(uKLa8-&`On5X8#JO;&FFpODG^K*cp^HxE)=sltw_cXGGN#z>x6=7h ze@vUHT*M6)U#=0kY=ZB5Z*Qpj$m!;}1_um$OX@UNnIv4Rt61Cy91z;J-Yv>mcYvY0 zzocpWvHPp)t#139`z$pvZM5%PxQN7G2i@@>eN@`h8-W;m6Hy2xG_~P!oJ9#3l+;Wra z^Q~RWH>=WZO2ST6CtQt$qMVPVd*mx`V%ePiBAp=K#%}G_clD4lu$i||XGHESsiFsz zc--PIkzF6(0$&jQIVfL{Qpxhql-tDPRjTRv8{RjZte*WmS~tSh=I!NoH(olVZ`xAT z`_+3?Df>k1%rF&>f?=jiP)Uiql-$l4+kBVC6Ca(8hl zrK;nvfCU+W8=XcroM_+V39U$K8LcogGfyP*ab(gHjn%K7Y3SNY-c`IeJ3?Fr2g%BW z6l#}BbHm%xx@C^iKNuJkeNE*S)>ny>N6ZALK(9}p{`|o)rS&J(t3Dm6*3xe?jTJjE zI%mfYM|<7S-y$YUw2S7{xtRyHre6%~>dNEc^1^^(z2XQbF01z-6n(Q%Ppao-%KWNH zB?%HHR|ELZGZ0K;dXgiulQha^{y7(7rG3(@CI&+xY~$yn(A#DaVn67 zIfyfB#oDwZc=^Fn4cq;ZyCXXOx^}mFgK_t&O0A0vzxE_-IP!+xbooKm8)hl>}_Yorw6rSE_g;s76d6yxqv|O?&i5pg*vwbhIqEe|yE`V^`Mboc;RE zPO3U_nX5G2b9MX2p1lu__$r>N+rFla>)tFXjf-3^J2q4Mvzh-9r|xNvg?HBNb9lWu zg7K1``KHBsR@hgHQ|1d7_W2JAbEFp+8j4Hiu&mnORmd6!JYIh7N^4+P-eb0%t(0xK`g;)rV}- zRi6*}q^;oLqP;KK^^~Vc6s3O6(F{Es_{!3ehih*S6_I?!l?K}7c0t9oqm{VKdV`Q)5u5qjLvx*O?thob`IPR}6k9**>ZK3+y(CO; zh-y|}ZGUXRU3vb>)!;^dbK9Jt(8y)0FT2HiG#zkzS89=MEqP9^Y@VgD@mat{3CzWa z;eZdamtz3BkRg0u1Sb?sK?5Tcv>{XQeVamv!$2Dt>hzb1K^wj;5q;YoFlaNdjRS)= z7_`Bl4F+v6XoEqUzZ=>h5iACw4GT{e(7+%;Ee5WmR5d7QLxJ#=eqD}`0*8W9`d}yr zLpkW}ssfu;F_eR$91P`PC zaa6&tEihlf<|^bn{LjW2ucMDA>aQetx^J}uk+nc7;68)=)iKf~KzQO3O9)Q_ab=eg zb#<44uSUQf$AF*;u7KcNTb*4zKw@AG(_ccEdm?W9SPi9=l$zW-wHxN9J?*x%G-unY zXph2a+~;lou;F#WCQ9cZ~(L~_;Kxe_~DewWt zF5t`X>M3|jmmatbHg^4}dWym5S5GCLwwl3d0IzpIMFqcV{hJ0}ow+H~y}f_G^5;RL z3gzf|9Prv6J@CrqaJFV;WrbeKt_&KB3mR6Jg`BQ30N&^%JOaT`;0`%(W$-$Lr}ueH z>EP+e2`7A8;eJr9g)|a|^7!Cg{})WHg{ieLwHBtIhV2B9@_&j*Q7XK&p!y|u@3%-Ompsu|N}RMxVcx9+Yq%`p$y90~2pYKoE>VRlybE9wbxY9t0_Vq6^8? z0Y|l3i>J}iF%2B2r!fsqUpAcLo%+JF06*_4dh>d==ka(IcR;0hAAZlP<@NG7M4yfleZ;h@3a$t@T%YIz^Y2eM_zg%=VtK#}&^YKPk!B7u|dN9<3p&ktNV5sMp zq8^kr9iSd2Ks_)rL8BfBR`Lj2@rT{J@uTnuIV7^bObq^D@CSoG82rKD4+eiQ_=CZp zUkQI8VUr&jVhm%-1ZGRbE(*sf>9dCS88X4&ztxcWHEsu&*ZL~|R>*Eq@s%5W*e~;6 z?G6zQl@pVb@*xdY8;Y;gX_ zo9M55l#PrMk1zgaNL~n3dY3(@-@p+Yid3gm-=s4v() zUThyPwvQLv$BXUb#rE-H`*{D^eY_BIc=v$D3nBwkAc9QLN-@5p!GAPhFYvJg&UA3W zNC-Fq{nr}bEp`x5hwWjv%+#~onz-tgvmsNV#s#3BLdVlR7@Z0>Muti;gZ4A zso)C~;!+nIS6hyY1A&S}3?}M2vfYi`U2QzTp$=>k0B|rA2317vrK98OV+rg10N917 zBx{7YlI`iv@v-cKzsO%e=eT=#!bK5C#NXJ1AI&(no{k=t@aYjl+#=p$!!xVx|77iG#KGDDF8bF6 zd=*9F`VC)Eou8>F2qg#`rG+~M4w?9qT$6^lM)wJFvc~YNF8(=IkpoGDSVayW`FmJJ z>!Al_eTkiijXP(Hr>i?6qR0?g!*RCrP}TQjZ|2wxNgo7DY=2D$RL*cQs3C{WC^YUM zXlMvQ$WbbM^8v~y7GZ8+xDl6d?CtH`?Obf^z<3~&nMAfN7}jqT5ziPw82>>R*qC_?gZw-!L8(;wSNC!CEcB_q@yYf;KBf@e= zR}arV)Iwk^B+=$+YWB12<8Eh<2b+t?Ogw4uMWEAY6dJ*v&_|meCYs;#(1{D&j2SlR5nsanI&{i~t0FA_;Q(!4Yr-Ny5*aSWr9il>l{YHW) za9nY|bR;rU@V=ltDtziOIvwDJ4xhg#Kx2>u`j^CD(!sVxzH~5c7#X551=9gufYkEm zB~h3{ZO>pbgk+5g zCPh$&m=s8;?U@vcko*Cfu2 znPf2Y@$*L~lZDce$uyxcLuNoib0R1Y4qJk*FGONdg=CjSqS6KB0MLZwluo7!$qTqI zd`1BJ9-xC5g7OEZNjgbzJ_TYAs}uP0vd9cL^ojrtG?oC3PNoY!E5Lo3u%|m;UJ45m z8e1$f6V})8rDM@Z48goC8kpGx($UEbIPx!FUT_b18!;b^3L0UU@&xY-WQZyx8!QGz zI4^@n6Oc6$3pBIPJ(wgR{#Z;fp$hQF0#le^Jy=YkJ_0id9p2H+S2ysq6q=hrePKNm zUpgunGQ#&|zzPO*I*0_MLuhOPK@}{IPC@o2qw|ue44TlqOQQg81n&Wn;C;>LykI6F z3(5;j6Pjbe3@bDrfO`ndYha2HF#7`- NfLBypx@sBzzW{YsT+09e literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/spi_boot_schematic.pdf b/usrp2/fpga/opencores/spi_boot/doc/spi_boot_schematic.pdf new file mode 100644 index 0000000000000000000000000000000000000000..92755d5f3aedb34c070ff012449cb41748ce2a40 GIT binary patch literal 87189 zcmY&(W*$vuyU|*qR@8d^LAuFn)$auzU_#{>91<-`30@I^Yt`#|( zs*5qfxR0tD(hc?)caOo{X|g##qRCoM6*WS>Yg(`)8UulR`tboz3nHUKGB5it-7n|I z2Hj5)0VfnM7wg?myVv9HwiI9ODFS?#Z`Gdf+yA)C#XT#DP6RwZEx$aSuXiO@`BjY0 zbw7nYvE`NP^IG&dcQVq05l$W@%()Ja(6er{(Z4&+&^&G zf;q3M=uJ>1fgn7`)Qy%6lo=~|MzdL}t7=PYd|Vx?jK=Jc;iB#OLRUlq9bclr)Wt0C zkS`5Tp&SHp$<6z`j+eyQGNm~D$JWP~5eAz2xqMyKISC*KHhu|)q*RfC-F(Tf5DW=G z3c7q>Hk-cquZn*6ujZw}ZuWw#p%Uih9L7>V-L1rck^ft3X#%}p+{ub zcct{5y;T0n4~0Za#FCG@pdufNJX+Wx`eikZ@TFaUb8Wo1NiK;@;IqCoxE3|CVh*aJKm)$7>Qf}94}%4hzhc;t(#up2Si z3N5kvrQLN4zzw`Ct^YdjlMCKweM*ozrg|)j^?Kv{uZZ=lzBIM*F@}KY)OC$S_Y=Cs zKS!{6^Xt1qw+=x+>Y}I7A+jy!4KxMgQiO3?$dA40s!FL235kK!nee}SFfIjsyVc0u zY-UEI$dj{$LQ%?_k_1Jy2K=5(!S~}hJ-4)n+1kW6S=Y*kFNQP#Y&^2Lu}Gb91<#1a`OC;nk zt}wO;Sc0MRJL--&hLgj{F0r@MT3c)tfz~154vmGaxLdCuXnxD@MJ9Bf=&?khsx-OS z9Rn9BQ&CnN^K&X-HL|cMJ!xDDYuvuIENi)_y-d$rNJdOyE)vQWwX{qLOf{`|%|`3S zam9)Q$H4tS7QfGWDMEAWhf(rLi~9CVUTn=iqS_Kru+1G&rPvSWTAFJedGeV6TH2^p z3TC+s1_qk#hKxpIe(~&%h94Q47&~83KTs1$xRD>AgVZjtDrcR@Hknuq9ImwpiUEv( zuO!*?hrhq~PRtE6p_@h9{HPDu_+2c2iWaDWfI)P`YyoWN`DM;6p555+BauPq0tJ3q z>yr=9bd~^)F~jI}X2jKXWMw#C9Sq0ln75wfTmTI^0oQ;ex-_ZdWVmuBA6NOI^qlU= ze1@A8IEh!II?9f^0^NF30-+VXo7x#%0I-QG!z@$(_qU*CGoIeeGgYtW=F!hd$uym) zCmbi>=3x@!N~pUIGp8mOZnmzXx<1#kg@lG=aI}wH!UT!A8$V8!XIT` zB;9nDZ|RHpSmQ-?iaOv0OtlAY2eNp5ob=KDH0fg!pd;3(4S#suc1Mm^5YXiD6+`Rr zqmcaPkmq|DVPC!8yUZejx*7~DyS2dUd?nwZ`Pipuf0wlc@G^eF)SXeYbE&ZJFNIt# z{%(PjWPFD`1-7_uG2l{b2JWI3R{$Ns!$uUEEU{m|yfk{j&v zksP6M##>bA$RGS>I)xqLqf|Z7)4pU{0A7;a;_^V&(%gGj+fNFB^>X0e63v3c&cjbJ zYM`TpB4^p$`y14s!^C+Gf^;+hCl>aHgLj;zHd%TlWdr{Hd&#tgZ!5MyOGSUk1 z+J*1ZPKs#>SXNsRp6H=$0F5@F)kq6Av%vddh51Wk(eoc);zl30rt}PWe{y>NI%r5; z@t%>B^U=2(j;A=4VDb?kEDf8u!M@q%m*@4T3?o4JvzYw0pVJ7RD`6k+YQt zKk2v&f4Oe^{2W%8x_gtaM>OXxKGq_=_>^9 zD$S?pNd|tD1Z5Z+@`4opD4<)h`5%^1X0Td`oNO5fV&10|28W|#Tm1d^-IAIMVn_o ztI}@864UEc%^KS)V5R~8yi^!v0eu+frQQMquZkkx16_()9im?oHi3MW3#ERFsqRXUuBtkE zbU4}H*dU>4!mGz-fMsQ?sT1ti$$k|Y%JF{9mraCu|GM;D0P4+=9ACcITUhAOYifp< znxNkbH~^W2HomJ+F5=ftPB-}*>f0e3#t~V01T{9?WO3WBA!Z^4CL1M*rv@0(SyB(j zk06PmjYK^4G>rTi_3<5t_qo}`NKc8O(zmBq0u%V|@LrYX!L7dW~8n`>?Nb)eV|Fv&C`Pw zMVk)RpL20y;qsSYKi(snOMJ&js@sgqUU)B5Vf*WXEp5{-j^KB`C*FCVO~u#1nvBN? zfm+YyB;V{09aY&6+&07)Xak4c6MZhI&qr2-#;vcFZ}bm&b98gnm$xqGFf_E&8g2(F zt}}?at)9zYlF4G4tK@x*&tVJ)NL02d(vex)!GkgLF0ZAhvOa9IeQyoy%m&U4xv4nz zeJH!g9F_gZWSzWXW^+m&yk4_Zsu?MEZa`?OYFQEIqKIo=14>6@Y9qmm^j zoBX8>v6X6u$dluHLVCCM70r6j&(8Eb`v@d%(oc7q-&WM5kc;0h)*V#J+o-pL!fxME_dUz} z9rVLq2mPgc#qMo4TDr?I3(jGZGX?`yTfd9yQn)j?rh3jsrx1cl=Yx!~+9RyuZgD}P z%%iNa$WVLw9M86LQ#~S~Lp-ek%-1QWzTxkbGuNrIsw~gu?Nx26mxN|IOPgg&;PKBu ztVTO(d6kOJH{=GOTXI1}U=#4Ti^S)fd;lAn@p{49wIrsY08m6;Ki88kG+1yrp!InzCtz=kae zZ@?Lw=g=^&tJRJazGVQj9^Ttl#Zpi0 zFEB6XU!v6>gIb^ZLlusN`LI`fm+6Ut+_icoq3@S2;L5SOp0n5wexgxSd>Y9LL6yRU zjGfeVu8Rmm0MWP*?l3XMo?jCaL%=733^Aw5$Nd99+*EY5Nc&EaCd%WlM}G68h)(AhD`u2^qU7F>YfC*3WiVE3@%*9c4US14UwSm|BI zCfZ`%G<}cql6~*wm=E*Yv@F1V>&)`*)|4CcUI+Zeh@C567hf60MI1Ji)3Q;Cr?m>U zXU1{t5T{KPpsFI3qCAa+HRAr)xNK0f7W}UQ7EB&qiwv%%bhf_b2RGeFQYF4ZU6}m_@Xq4ky>pvj~(nb(M*! z=j33a_O|CS3?XLCVtb^iL;s1;A@7~Fg*-WwH6t{n<6kbYcFLK%=QLLtNTVjqc>Wxo zHxuZ0MWy+XyW;4cUd??l0;l3NkIL=8_+^C%s(L)8+Rn@9 z{2TNS(q9)HxkxYuD@BS-x4o&?_HH~f^4XKlmUIA41|G@$?R{>W07bI*_ztzKn5*kP z4N2fllkJT#bw>|EvDlB=268mHg7$_WlPd>-mLEM(L7|AHNz;R2tK+izK#CIVDKx%Q zv(jJm6520ek*-c)Z=2Fv+~!}jWJSxUOUd!4FCOInt6urMM7UYU`aJ$xHaL$>(*-aN zoX7mcvtli?+^};DIyjTIx7x*B%j^froiQY5vhT&Q$3uwEgm#)es}@V9SX&oM0!Sxp zFJI~wH2_(5FLB%>=C6MX>GhU-l_Z1(aeo$UnE^kWp5QLVd8$t@g?%C>?X%bkf7*cN zocTsAFs^Oq;2HT+_X2;?2<*x=kgXLoSDj#JwhE zCfI$h=jQpP*3NvJ#@W^$5$PE^>|L5bC=kqhD>ih76LQ`2eyiBs*0E{UWR6;7$BtsF z=yAw?e3BHd?>LO8cBeln62XE*Df+uV2Lh{W3ozj7&6{(fGT^w0f6P2FDckzWhV4)Z zdbaP@@Zh&(^MWc-kTojk3853)M925C`qToMVG zFCOZ9Mu24&BS|b+m0D8pc9lyascZUT0W&P6fE;B%64i=jUP;y&`B$%g(mI5J%1rpf zRCqvsar~{s2tZMe1W?!_kP-q@mgcF5T%Xht4=8u$MqwMCM5%n{MrqI_nHiS(@O_+! zI|-;IJlB?rRUIIP(vOB{Bfr1408lG|>pvqNIa(`#aYotxF-$2=*&&ax<;Lu(5B$a_ zkrX02J3^3RJ7ghA$r!8^@#XdF{=**tKbZDc1)3;JMF9LQ<&tEu)=iI;p4v|no?_6D z8XKAcZbrEwd>6f7DN|-zFGA*dIP6JuIl5yr?VmW^rKSzz|=*Xxz&k4HPrwbNkRWs@_Z=nBqoa* z{X3@-9v?>he9dgYr?lRfeD4F~GNkGE2MCE7UyVWy){r!f?+Al94AvI4?^4$H5 z+sg(K5=BJQebKpd`s>L*;!^zfl$AAvd_$Q8cFU!bg$0AcV5(n;%AJw zlu*v!Q6}Vi?)2g74Tmm8QCQaR65!NTK=JP!|D7S>CT5t(FFUX0%&~TDWxQj?Bm^=D zyZFO1d@Sz{!t0wy@d!E5fGwd5zx4VU0cWt{x#5}R6l#m*HJkV;lnO~_ z-i%SwcJ@0={y;+*7&bJdEDRpG9^XonkVhvi+F-a%UGvQ1{Wq-9Fs)kMom)>vG@aX2 z)FMii}t;aC;q8w;CG|ABm z-)g;pjGORfA0{>zH;aLNi8FmLh}tnUTv%BI2~TZJg-G9UoHlNn1a`bfc`#&-5^jItsg&Z7uoCHTmOhY@dX#Q&QGt4Jn|=sERb0c zH5h*!pAH=hqZl87WT*Qhs77+sQuz+C)YhW_Sf726LT0J#y(yLZe2 z2@w2&!w)l?yu{|Lv3!PY)UL)1Jj{aN;b`6qW6`KTd4$o&vI7dZv+q)CKp%YR4Fd7T zxlZd!VRD_HS6I>b^G>XW-{IFj^+k`f+%=RMMQ-=8XtG`UtT1mTJGdxFA3;*b7W-xE zHnUdPOQ~|5-x>ZfKO3Mo>F-e*CY|^?9;vURm*vy;o zVEPJ1`3OL|Y znn~b*?6cB{w4%j~(~#WnSuB=KKu08Sa}$|b+dmOPP`5kak8@8zl6E^uWsm5v7z%Jd zHcY^QS%5e0O$#;yX1YrHNO+D5*1nQbz24lRWXB9E&Pam6&R3s9_wal4@EKw2$&SS@ z?M{tI3V#1a96}P4x;+1_4qLuY3S=m0r@@`#CX{Dz0H=(RCo1Ysz3uQ1cz=7Y7aO`o z7Q|3-0+Bj)qoBoC5gQuHyH&TY3N{SXz66;XfbdJ+Y2trZvr(65*#Rkb-_F8?0?6_mYJbXDX|L*gVA@2+RZpq++C8jaN?tV-pxtm zK8#o~<8S0)W?061r%@sYVe3hK^_Z#e)EP=SzMw^V8p=ec8_}Uq=}{NJjrO~JYmeyj zCkq${MQa`WCc8BEa*%|0t_k z^`4w?nCPPq;mdmubDe4lNsxhv2iP8n1D9y`fWrv?JiMGH6bWsWC1pKF|1p&uk@b{N zfB!QmXwtz4)E)73^Zt?aEjeU+qZKMzp1SiLM0FCM4D+^K+`A^JW>)?-FdP3Qepv?0 zc@`qFJ2?L|9X|D7Bvo940j0KI)H;3YGO%Urymi-howX;S9O=PK>6rZer}C0V4hwrR z7%!68eJaZ*d;Y#bQ22nbNqjccTJd1@nHL|V~ky%Z`PMkep{d23Jqb5LKs5($pDjrH>;tr zNoe6ZwurL~ZzYWA-fk4akULHWV0jUsrg_}wqVh_xX)kKNm3+-vcfxUej7e-lmu0de+aZa?R#^n(Pg;^%zK?P)_;G6#C+%gS}khkOEL>3gb~6Yo#Q<5;ww+a z@om7PO)z?7q+_#ogNIQUUiq)6KQVv#FRJj`7;Q1~2^G`{Sn|P!Fez855V|&a^e=l> zo(s~f`F8`g&tTRCm*QWMJO3ta2fq7c3?Yb-4AZ@AfZ!afGm_f8@MTbfsSO)Z(I>Rb z^Of|XpfQyu{eRMxw1A*0kfp2uFCSj`$^tWnFcMDL6_r?Xa{6o{Mnmj5#z^?H_WxH& zS+9)W{LNGRvx(?&6Dk>OpB1T>xG;5BY79?o*!}@rnZ`d^y9zMZ0oL zKR@LW`q#xLXJR2GTSktL^NsF0;&bk$s7PR-m_Rgx^UaKek$b=^)QE<~wj(J?KgVgn zbWXA91=Qn*ET*4s;VT8VwD`_g`bG9ICe!D%dM2XCb9{mQQchF2wBHb&nv=+9} zvfg(%R?BGMP^KzHd8E1?BFW*`Cw=CrE^_2{v-4RSJ_YNR*;ckhw(+=M;`9-GrH%qN zF6W+zSe#_AmsAUpha9HB)*hy$rc#n0(rQn>BQ+ENDHvGcPecu_3F<5URHD(RAd6Jk ztV9$D*V`3pfwsw=v3Ee*oX*&>XaKlMS{`oyw8T$jf~+&jGfrPi8*O0L=CRZIwW^8H z!}g5%q)<+8C9m;7i0=%&emFSUM2zM4 zmvxrDlH%A)3lhjgIL?^fMk8NBA68qhc@Rh9PeqmJB*JlGN_kVj@-a3Pq964QkU1Nv`<`mJH5mKw zC)_0i9`Zs`Fw${Gk#oUnppctNEwop>jcQDu_3~YqmQzo^;}|Ubw@Q~>DXntXZz}Qi zs-x%pAL8JKa|w)ARVR+Aipz_F?LLzGiq9O1Kh~;BWr(rt#Wo1B{dCIy*o@OBX=?~? z49&TwIk;xHEZhtpD>g>pdmC4erF}0k<`f$fjXX6IR?I|hl3XU4L#O@>3QS8PgglO+ z0r^v|6s2k_S>*ocqut~nVs~?V>M}FFG`mizdZQ!^WLogLhXzy{Eub@~BBGCnpwe*( zVKNDNen1Sb8WP-|xZa$g!kQk{JXMFBxEyPVe}}>aVoTx5`hpw5#Kw&Sg&fRrhScH$l1KP;R zU_~5iKCZzCl}8(DX}yPW=$W_e<=Fgv?Tr`sN$4^2rHw6IFHq>3UAte9$(t0%(5e!r z+Xx1`HH=C#D1Fp-ahb6_$_2+prV#MoaNQ~oOUegM)O(| z2^g%QcP8EEM_&?0N&MdbD)}xKQRj}t`xT=6;goviO}`K)G(tMF$SLvQz3EdT`X3D)@3dnt-Q5 zwZ>Bvp&8ev`i%HbzR&a;cVfw6y1aUq?bNhO7{i=_@()#F`t(mbpc?+bGP)kl)lCv< zsjlB;Bd34rf3Ze=nf~~)-yU%RN8u045sy0_$lB(rYWa&9n^mre+ z(l}1O_g42j`IxasT1=UYxtI|o@hO?Rz6W`M8oP{F@E4+MgB&944XGSoVFngzuB>mE z&b!5{X%`EQOj}NXCBMExJ-o__qqqG`e?y7RYxVhg_q~VG{~iBuD+y2x$2dunD+QK1 zIbm5s0><|R-wPY=@;%Sk1|NyWZLA6rtQ??`xmcEx zxoGufB56(0fy)9C$Y!Knu!;cgPBdIZ1VXU|y6?R3% z|0}WsugHEQx%B=$mL?Y*Scbnws4?}!`@!rITCB|=aocNfLp(n9$W))3btf`PpzVM< z9_PJwx(-uNyYcjmbwWLIsE-xhk1o9OWssfjmfz?<^Nw||%j>)YRcq3g6R{u91oM(i za5Tp9_e^+KH2fOgaf zvqcJ+v2|SgQb6G$B^56f9*H5>1ktdf_7$oO=AYMY`2clEippe{^>YzhsT|u2=x()Q!wwPbWW4E&gk;n^)8$Jin*= zIzKRd5!Bi05QRSmba-WnoFXr{A?k?A(-$1;un;Yse zLWc|}Bz9i=LY+8XNxf|?5fL-KM9a)9iKwf2uPDt#-qvY-B6vJr@*S+;R7!o=dWo)+ z%-$C{)TOY|E4IYgtMyatw^CJNsF2H5vyyf5Q=!vGXzgFYi82%UKQo3~oWG#Qu|q2i z@Z(+2AWZa+MQDke5+WRmFTD}3K0(YnS3Eza3@Icu^3BB50c`Aq`6U_dKN0aLw`E|f zyGAcq|F*SE2~`n>3FlI$FNo;vbtx8h9x5M9z80l$md@26ojIV&?tGCI(HG_i2}$4# zGAh(d*N~{-a$Gr`c~dQ>T1yf5{5jfZ8%G|ztMEPhcVL#=RC30E3dhb1dHL|Y1U^tF zS4#>!&6`OE)UhMfn|5~X$~wjrACtt&#dCzC!JeWfo2-VsDn0__IiJe+g)uS@3?ngt zf9MvOv~Xl4nj}lW_Ke6)uotBHNpUA|f*(f0T#03eNMP#^wRLK5SVnTWr`Nl+22y=z zmp6`-_+=&aQ|volh4|=QmOu$fv!|r+IH*lL0x_G^Jkg7)ghXQh!BM|x54 zK}`sxmCXmkjM=+*jB?-z8Ut{}n}IX1JYD3w4K6z(LFt7B@sTh^@5`&M;C{XlO%5oh z5*GF<;PTi}4>?g@HgCOtbZBY8p{EqgB zD04O}2b8J^r{QLMLe{2^7Q0X`M1#{{AfDYgYS(%BZPJ5^oEAr>>IVSUD&$gw)(;o0 ztIE!6G7(2;S@U~y51?3pct0rmqfq1a#sa3E>N2BuuWRv1*pT&M>zRDAXieXt&?yD@ z9?4tK6J;G2V)1bQaMfzP%+7En&e0~?;wH)BSETcNL9#-uP4>7ENOZ(@B-99FS(M-h zOf7;tGTX(a(S6_!Jf*QteByY{8Z?UU1p~6N5=pHurmPD`SWgmT0sXcAS9i>kNl@3p z(1)qdlG*3`4YAR96ZOTO<%Rg@y9!`SPRev%+ zgcAFcI(=r)L?513ZZ`Qs{B=rO7ZII6^*U)##jSMdk08^!s8Em78c7M66Ao8B^8|&acf^x-I;R09>IA|rro(5m$d!M8}JJ$ z*tzEjVYgGj8AlcYWqlV*06}U|0P;2Y68dVnnda4w{s1G0&P9cMg!C2;&u9u4Z?e)c z*Ou!HiMEcY=UZYRc~FbFNS>FZOf4D244{66p1sENK=0|uB2>~BruB~s!pXnz%2hRs$L)ZD)cZ8p*aYtF+iOzPzmkWA=}HehH{b3Di0 z#yQ@zR{?mi`aUQ3V!g6C6E_wPgpAFYf0=xkM5IMs>@pMzclXSCQ79Vpry=g}aSKcB zt+;h+|F*5n* z3`q(uQ4QJ?D4FhQ>`Fr($Sl;zI6h=wNsZJV7SlI0`jO5*<>^%;0p$Q3izyyza?Np& zoef0!c(pWTy=L*eKmKZR-wmvY7S(m=kpus$t_jvBNyZNde@b$JTInSy1|J6sAqt+n zY0wH&nKCEejDwwYGcj~)&BgqP+@JDpF6(P75puwKdO*HSLpZ zFDJ@*PiA#uYNr-E(aYY{ZSfWv>d_i|5fx&t8jO3bBA@qwkvZm4bQ~C6!_o7jxm8=A zd6n$44L0)F9O-qxRP*DVJN$}6%2mPtE1{eQDbji)e-#Vo&`0c9U00*g)ZNrx@QGr_ zQ@oQ1smDPOnbWYu0YXM>nB-jB0kYQ?jKbvKxyzceSqrj`n9?kmlCt1-^Y zrkuSA1e;qhbONV&iz`@AAKqY^d2hTK2j$_GdID-0a|1KGZhIJW!GooqLiDdbB5P)f z0PnO@s}N*ckEvstTJ{l0x*o5_kDf#G#L%?AVV@$IOnY3E)$?oHb%EKh2(Z$ohVqIS;;YmsHn|7)x?3lCi z>!?X6I-ym>enciK?SLz%6Zgrdsp7#{dQ#3Bq;EECI9p9NO(l?peJdAyB{uw)wAh~) zbo_8UmJFAT=tP&X_C_EX5?N;by`A24K?dw{ra6*4nCm;8mPnU_zr8mYh7nu6!%Im@ z0i*TmQKalN7;#~~cS47S`k-^d^e^k@ybBi)HNpca=+(}Y3tag^B(q|uNV-POb)mMour1VFQ5k-C|M?m{ z_edA&v)7+wS752t6eg1O{@kvBWA|K4`qV4VL{O}kNn$sTH|!yU{U!HFH{H)2U`c{V zV}tm68m+%e-{JlGz3?}Fj>n5l&GaTmq?yqxR<2a-szQFhGbI;=xNaD9^TmvPcPbjnxgM`@&oR3QJf3bFIjY3;zaJ3RPr(- zCvV(zz1B_s&TMoe zGBLhRjOBQ=EA~g8$^j{mmUj6a%-R&aANS4yN?ilyVDZ$1|JDj@I@_wb{y!|J!3l+g ziu2E(BQ=L$FW4zrK@&b`D60)_tL&4qiCB8UGs@V)j7(DpCi7Vj@619xM)}_^qC3JK z(UDT*hKk^tXq2zq#CT0?z1OU@crO9`kA~dBt>a@aSTz(wrDnX1*yxmvPmEq~IW(rT zR{jSQFr&tT9`L#td>{lGrcGY~lmwDdWp>!Ky(C~%u4w-U`K2(K$|`T$B->bN_3kRw z?z@TcB{z9V#+>T#+pN9zKdiox@RFR0t83@UfK6d3^=U|_( zxA1nmhoj`Th!)a|5I+QJ;dcq_Jf<%)}tE&3&Vr1HOLm%c-SY{ zJUCn9F}wl;b_ZAi_QK-XVWXV@_vz3>%d`(0k0?`C@`an%Z*m47$Wl8%3cRMBA4Xbo zVAf{si}u`F4I5D$gLpvZmIqJ0V?KH#+-F+~OM7%wYb!02@HI35J(FRwPHMU+m)t$V z@Q?wk^)^05^B#sLs=B-VLGdpMDI=`qor$8COfAr1b-##M;iEEti2~@B~eiAE~U;n z?{&yryrj5R3ULc$K`j^29wPa~Y`so;OTi@OGpvk8*9#6Z#6gCP5z7VV>(L3fYE1fM zwA{UIGiUnp^kX8)VQY;TbX*lws`pOYW4Pf=-GR()%B&&UMRusZk%4GKAvbU352vIKx^cJ^&eoG;s^YAImIl0n&W_FqxWH}C5Pq|&xM8i5c8)Eg5akOL z!)fel$D5W7Oi*8xAqCEq+ilHEMyu}5OKO(K4k&(jtE!Ok=8v)P>DA!5A~T~lE@vb5eM@l9%!&1%W>FzB2sjT zK2mFHu00m@crYdEp^o@Vn%o4*z-)x3o2nF{-y0UAA8>&vfsrQvvzOXVFkyo{+KP1W z{khY(m9fiBJ!t{)Vqu|0AEQ2ciObVr2byOXGp-ZUsI0XT)NeoqzamblYcVJg`oox- ze2lS=g7lY5 z?&3y~lWa0fWD&)fkk9l#P4VFOe4cN+2b`9;_n+3d&%rW&d)O(9HL@ip!r6`$n+P3tZ}- zI7HKHPS2Wog=O-(=Od$4(}Zx!rZ5v>UXicc<9HsPl|$bNaQHjVgq0Hmg@*7G?!t~X zkWimvNrb5Wvl=*$-3%HK+n$L<-kQ5E*`a6gwsgoYZA@AT`YClY`jT)HB8z$G1NyVx z^+X=61%}#&$ZiezZ)T{!%8mEAqHivyeTFc@4t|K7`ZMq_iA%|_^`i8%2)?G&bpaN= zo!yaX^Yc^$jtLR{V9&r$Y8tPWc(bd8&8|4%p*KcSCz0xKD;j1&78Rw(IDPaNI5CN) z8CtI`f#2!jL3E>*np&q#mVQMebf)=wIv#1nNN{UEN~ke@0=aSi>k1=eQbX37r;V2Kb zNv33rP9$fDa{p^iw5GR8GgNr@+iVJ>mJ6Lc8em#~|KpB~wpTzbGi*qHu(+_!cyn?N zm2H>@N8uAuPkl9KhmJy?T8EfdDv(l(4Uo&u82Y!^c%jdU%uY;o?Rfs~e(tKGmXQ*$ zq37Rl&$*J4d+hq9m*>Ae=_AO|X3d)b+4?o*)37fmFo_V~t3AhNPzc&)=@`_cdk-C; zc5o@QBSTR+!(Ey*zS;(;?PnTJ zk5LvHcCRc%p2y1Q!gi86iSj9pM;>1ff~W%G!bZ%#3K2GuGWCD)jLPHS@^8WDbWbYX z1-g4`0Wz3(U~L0k1JQ-Zl!~rj-+@7nM@P}NM5ZkQ0+cL)Z-)-oA!q{T8}OeKNoy6f zBrKOOZc(1UwFUb5fA8bcbABX_&H$CSj7>v#(@7<{XLP}-jPjlqG6&*WqIgDg5+x^7 zAN8=Xk0IB}-29kdi!!r60O zTV%I>F66ma8SS6LB+E_-YJCT`CI=--#vVb+=vJRIL4|!przKE(A@S={?ZG*7H8vj5 z`b3h;g1HPrUXTP7^q0VI;)#HE!QU4^v+Jm*<86B0hO)rI!iFDLBvU8c>WXgpR1>JS z9u_{H-<@cPJ(R-8)H54i3n@z6{fJElsI-d-^ryA`!h$5r38vltenTe6Ux+A;y~b$H z=|REy0)gn+N2wEwd6N&yIhhW!(nlu0kFeCAtUV%4X5rH9Ve{wOJzl9n8u*El1hD)B zKAnRkXA>=KTl1!26)@(uG{jTRVz9uPj*PQgZ`N~ojnjd7Ttf@Nq;TA-r1;$8e*<>Z z&26;$siV~*Ip90*R%a+GY)f*~mRyAA7tfPrm zYDzOUGGl$DAt^-`nVwn*%dt-c$}JW4vz~YpFF6y~i%E?C$T_~22vREK_%4tfBX({A z1FHmTfK8FgH!91vCI~1LMyg#~DD97uu)V(q7{$tAO$Ei|F-lqLYO>!j)$9}IR30*?Z_tMS-p(B>!UH-D*OLt6Ga>Ur&EVud{o5BDGo|ecT$tfqb-}aG z0&ALB0_yHy5VqN5BE|l(BA%GkYr*&+w?i%`H zCTWWQQIFEj83Q|`2lXFeVt4mvn2kVPO6t*7je#ns`BgUIw1+)8<;b_Z8~iD2te-QA z7~|g!JW`t!A=(&vKGTU=qSKyT=VPLhmKC6ZKn~P5?oj1*9pa11-OJX_T7w^qHXi1G zN#?&sn14j|x#Bhc*4dpKWf8g1JLd#tkHL$}gF3HgYsW|t#4a^f)+N^khq;&Le;RY- z)`V?`^mv>~Z1{g!#eeg8_pt)wcw7eF7vwTNJUAaEzzAp{DQ<3SsEI>i(1F*A6M`Jg zn1k7~*Um6B zxA?YRX}v;E2n@ufN|GdHC5u%V?|c=PRJP3jHvlKwkA#$ycK$@C(}XFHi^nmQw!7F`A=|jx?SDl3e#$dCvaz5Svhp8OVDuk5d9KK>tg?lF)Hwt zW8{ft{;uJJLb??VcbF0XswgEr2$KmKd_f8diR>)x5&wb&Lq!aS(e}AWTN$#pfA=}w zDY)-rj0=W`Ya>1%<%;}&HmmG>B(kT-Z|}%Dff!KkDMKQVg1=9hNj4)gG)K^CCUqXo z5f_a{cBM9Pt)eiG4k(q79U2QvO&qJ{(gw3?Nr%#SQ7+Cdx>5sk*=;&HlGi^1uq64} z($~Dwu=I0)uP%{S10k2`eM5LkRRw8ysG+)SAjaZn5An;G^FAJlq@YnfFH_IKD8i|8 zLTxibt?^W-_c5B1&@v;5*dC!WAw*3eF*N75)fOS|U2mf*R+p$ZOt>a~iUa?T)3Yf? z@@I*7*Jz7Qzeq#=^`+C^a}*Y))89aLkK@)#6c58{%7%0SBA#f2moP#S-Q z0VjN`df7>EVL!BG3spcI6RxCbp|7pHP)ivC*YvD9u<+G3b6{TJ2^6P;(ZutYiiu2-PMeiL&PV0H1`TkG4xw_}>+9Xovh%q9aIJywHF@vZe< zR`Wa6q)1@-;YRTp?h2WiO^HS=j3 ziS^n=B2G6%(>2hnd-8;lC0TH-doI$~H=&mk%3ZQ3IT3-4>kgN9a0WpNe}=~-lZEHc zvS{yv#v}nJLV$j<0KyOBAROo2-v2pf(l2Xe_IwlM_%N?Q-8k)@(u2g5Bl`X(apn;- zgT%lDXyRZq6!iB9W~J;HtX_nZ6Zt`PoP(g(d;kpJSYSOTjRz}i#lZvdUql=Tn_Frq zCg2H7;u_!U^U`j;G5me446B&1A*4Eqj;JuzwJUU{(mbzp^H9CpS1^hH@k&7D12rs3 zt-ZA+6!&95S$<7*nSLFdv!vL_QU=!2oer)7#57$Cb527-+~ALA324{)ePv+;elMq3 zW=FmL%v+7jz`}|TJ1`)Sz61n@qg~m%G`MRpG?GOsEEHJ2{E7$mzj)Y(9F{7>bd|zT zhJ8?EJAA>$rud7;FT>7CLq7Dg+ykmW!uS^59WK5t<&fz_t0;DFq(D4MZ6HP z+R%Vj4)2X7=H#uwzFq;2(v)x{Q7)Z6bk}Za0x8 zN{~K6601bjAuj5;hw0vQtSt0+S z0)$Q8-zB=$*2*xEgCGwZCAUAh{5z$C#hG{C;dpr}Xd-QGS z?fqW?)EF(x04$GtBPqXN@bD_a|2$YGkEEtJu*R3z&bfqHN`<5ZX1V0hYKu3*wFkd=~8%=$S*sIseKJ8_al}w=R>FSulETcO>5rk%+F4 z`ngb(K!%^dm~EmelWk=aKM=YjhXF(%;r2LZ5Jq}?ilghIMsas{cMA@K!{F{NA-E^F2X}YZ!5sz&4#C~sf?IHh5I*v}-@R4$ z{+X$%I;U+;@7{auwN^uM%m&dQ5aFfK`76k*5eX;7f&IMcNGHj~Bz@s#Fbkp|A&Uaf z1E%WMq_-A(iW9);>Ap3d+hY8_h5@Q%{X6+91oiQ>%qE|V;QN$S{ZzU4Ouqt52{|3CTb}+pdZ{MyNVvF(*A#0 z!~Z2qB^E|Y<{*nr`6Ea>mb2QUp=&Ao=0ynIrP%G`B!~YVa2A`exs6=?G2o#>B`QkD zFM53cmu35$7rj#Ut1AHK8Y<=F(i8mrP0SNc7=^_9Wjm>!(eo4eduUp|!N)@F$CwNu z{I^g&ZLr9Y_$gS4bDti5Hnn|Y_?#^ozkLkjL-4H^nSer=&`KJ7_dyc;JRSW$aQlxW z=)(9ppY8faZD3r*ifMQCkP~8OHLjkbi83)vDcuwD`4jlhMv$lvQJ5~cI&ZBF!Ez)oC3_3`=`xrIK#pW8{TAlj>!M$igT=acrjC z8`BbRe%4M(N@4ZVdQkb*Hnsy>0)fLsbV6S9Wwm3dm3K+P9pE=fXy_;}!89xb{12+$ zs@j$RHd4yxv)}YaK_$`Xgvl>;VJA@qsW%79_6>^1lYE%Gd}Stpj*lCRDvG$HB;E%l z$qKFDk+=cCT~%2vxuD|A4VLROu0l)#emG0dhDj0ZJGFyIKH%369|jid{fqqflez`r zA6Yfhv8>lZgW@Knu!M%H$sCJgeIiy8+Q`7@a_R9I>A!Zn=NeIvG0^*Zszv30qUwG{7)tt);=U?*R^Yv+HJ=QL|4Nt^nh?%u&#j^afeGCBAA*%aIQpY#O1rq-Wi zXHr&Tg1vL7sT0E~HSkJHDjKU}lADE|%1&}|^|TzppaUZAD;y(h#0Xhm zM(lJ<2i^DH^;)FiG6ndy-@{&k{MA--bA>Z=k)rc+O`nD8(hV8YQk8xJrCB%b?9nMS zfHd9?TWHS(U2%}T%5-*mLn?!;FBM{eifyb2NJ@!<&iL0@02SZ8#~`L@7BeZ<&bX|C z`yrgZUM9aVFq*Tryp|iFb~ar~^#Cmf=9_PlraPJ*qFsY3IoO+o4bRcj!*+A|7%GYu zgBj22ty-7=D|A{3weglbQ!BCYl5b-c{pGp%C=-dXf0_@|>f|bJG zInBHKtST+=3s9i8l?i^SU99?xf8pLJax0=~Gy{lQO~O~<(yn>AQnCyrz-;3dxmB8? zxd-u*nN*f10Zpso1{R+Ppu!f6DU?ul@-h-~qU=eUN9tQ!#h^|ge`7DGn-6y3`V}5~IzqdL_ouM}_T6MPBRdq%dcm^{f?#SHa zU0JnbOMrC|vG^?G4+KN!mP*3{nk3m;+Mp3C<{@6-&0juk*&0Zlt2HTLrLL0V2MA@q zn3nf5dvB{iY{5#Dv{ zWVj$nSr27LO0T&w5)z0D%*0BIn+(DAC<%1=ZuV!JPdQo3!!275ywyB`x|7an%iZla zt*J3zL)iaE1uYP1xu;@XmKoC?%z_Qj7O|kzOs9_zZ^xfu3vb7!86`d|GFy<*V6|1E z&~C~(Xr9LT*!REEd{qzq)a>}UdB~#a-^A{jS<2;EUCJy2Y1yQA{o$6;dxXM0iBiw{ zfbu#R7NUb-Dn!%8|9lFT7{@pG=+s)L490;nmV7Bn>La}gh>*)16dD71Z2G!zvdQm> zahl@>bKm?5U1&&;3d0YBnl(J)q9BSY0sqM%7^jvyxDl3b%3H%hG9XN`Wo#>uB?wGtueS!Z<=(?mqrDQiui8 zEFJPsWPc~Rf^c3k6N5>_7XF>cf8v0Vtl2s@L$@!2$#E_#tG+UKORS&I@eoG2Atl{h z{zE4;@Dwi?05$h6wDiM`lAGE{w9IXlCLIhmsto@f2emn>jkSR*(R{H23dew^eO^Tfsf7N;@bN`#rcyiLIX~$&1y9d z)V0HBvBNaN6w_?}4A}jk@Ol#?3g`>sHR_qcNAN~afPieEc?sUu+=5#>+U1do#UYRV zgd`lFQtU730gOL~Cpwl##MK}9jC!x7Sl6iR6D39x zNfqeA7Rr!pn28ynk6>CGju^NM`aJssnDsZV$@vUOz7HQ9heK+Qs=MO=y=pT)eK}&A z&xO1jU}Kr%Q90sZr=8dc9Y%3O(pGH8tj?;+ z9A3P&#fiRFen{)ir|%o=V|8d(IliDbs){ZtU*A1#qU?MluR|fIn!7We-{w9Q#QG_y z`~N`W!qa89llwX0M!VSDXsZedDvC29oI7_;_cf^JzFk^LouTN5K z4uNT|Yo+qAhivq+|4i-RjD&;2b+#!ly$L1BT)-Tekmn3zENp>B5(B>vP&HOhvf}dO zC2|!Uob4#yM>gRlaQ`ULU6#t`zzPhbE*}mewfocE7wmBf`@i(*nf>BnEoYE?n4u5b zNUi}=R?nSglx1ZkgtIG=;$I*>xSM@{2wkS*Zmn_{QNBe4C<+iU1GT2hVQN-DP8nz; zb2?e4vgd1&TzjGG&3D~lAv8lsi}H4J?@;QDVwxWrOIql?S{`A zA)T6FfHn^=%>U*yt!7D^xzG}yFS0#-Y=CYEX;VLWWjg{VQU>k=p>U2`{`--igr}u~ zVs*= z4Aa@olCr;tpV8qHbFCN>KFrKST+M{a{1wK<`2^ULk93!~Ji>eANQE6Y) zDL4}#|HFi{9%??lf@pobQQMW%bnGJh8c9-^5mkEWA+Ge?u?U%g?$;qn?N1oi%akg< z;l2F+2DaALe3e5TLp4=Q;U*KOQO_0?0V|=E%2@wSIx_5Ae`Sx|Mj4ayuO=kC$0{h$ zV-?Y8tvYN6n%Ayphi!>0R#AqHEF}rZa;<}C*O`D3r)s=%Q2>ahb1w;Tj#iUdXZIm^ zv6F3E69@Wg_kH|nKpoUn@EH{4irWab=x346xweyg1#9e+ko|!$g+S}|80>SC;-WE# z?S*vNe=XUrEizsJX8bv&6=Q2Sg3aFYZw6tBMtU+YZ#wcCcvlEHo(-MxjuLO#k<2w# zV5dAGqwS{h`t4(kYnGH>R@k>5PW$P6^{&|s)I8$zrjbJO1>OgsQRpdw`d=%k#?<7h*`p+LvCtL-Y`LVRUyfvP{H2Q}yfl%A{m<1H z6jq9VHE97%Ey~T}C9LQ_2_uQ+c@C3VRDmU-Q@{Ob zfwT!t=yVpryvw3flY@2pE6AR@0S9vY14tVl6dIg<;5$%k2AKs(a#LGck1YlKLN#KA zI)fk;<0Q9A)n#2_Y0-LZ*|#I!b;wC9!nLn*o$`{B-@W3}7Y6tEcNy6)fREhOZugZ) zMC_=`t5Iww^r+8?n$dm>>_?Jg%opc?d7dF)@zu+ZVm;gj7QQB(X(@1q_Ik9~aKrx|I#w|i zL*h)CGzX#ImLag{AT(9k-ASZ^aYW&%H@;Xu=bn;~WT4;Xc@vg$pTd=jn0TUV&XL5z zrGVYD*h=DRjh$+Kvq8?>R#17S+dD52 zvkDrIUNcKjl--`Z0FK+Zmm1`zXAnR9vVb1Lg4AW9d7&BYt>Ps!f`7S$_k&lD)To4v zhqQXqt=_E;QH0-^M8~UJPXP#i06l*==rG&^EAW2t`}NA}#g3^HK)GDfEVcw=XjuRmtVFiZ&YNFi%J_h8R zZ`{$xeedodVxsfOk@be}ULi^n*)u1d00ZH~PjINA%B{B&nCFUCT!=3g6yz;O(^+9c zWAkOjD7i3w^BqC7^v`y4GC=REZf+mu^CcsM?>o`ePZRdTD&ZzWY?Q zzb+`iUB#o*dgGu*e`Rp9HqC?-HNt5AoCI>FIw9{E|Iz(5dx&TAb|U^3ONgK_Y}?n6 z1y%dZV!}BRNYGblkN0y=Z&fo8iX;1TPR6%-2!#k&qc`NV&`zX&JiN0-Km>x*K@$ww z#8?>?#Oz5Jf)lK2&jh_R4qg4`obMO3@qx3A+b0IIb@SnI3C~+Uz*M1Gv{<`&pPp+S z+KOeoVnj^2V zwi6g!5k&}Z0m(<2ul#Qmc=~ix-c+E>uSMrvh1dkJ_xncV!adEDg)t3^!mo~)iB5w5 ziIIe7k~!6y^1$I1)8173yXVe%A_Y%txdizw*)p_vNM^c=^Rj$(tv;_b3 zo^$V(QyP;PwN)4K`MZXB6P6U&x;~||P@OD8xFJfC1CUU5WJJ&H=QS5S;Ll8cNOji$ zHU1*h3&y4SV47B?VU_tQD4pW#>wT}N5*2B`lWadrZj>S=Dg$uUyL76US(MMQJxVg` zL-)Fh(zC;FZ#lS~H!*qJC40!D)9O!9ph^_QDy#fQWqvCt{=1qF+dujxHpC zDE~Y*raDhYVXd_+_`m612CEe|wZvMdR1UOGnLp&6g}$V{J)vcaf5-{_uJ@PG#M+VvyL%c4GTPy8iKoSFxcNHdieC=ma=Zc^y= z|DMSt8sd%r9)7=Rb$Z{)Pgf@Y{`zUV1zmtv;(MtlV^@Oc54ee=;qAXq(i*vT8-K4` zFSq}0ioQ9$pKQOMzrQ{7{Edya8f{BH`@zQ~%9NKX^-?};Z2jX+km7CO<%9*vl|f>> z(Op6SRW-pvR+l#o)SF9eO+92aGOeG<*Nk3gOf%xmmz zuN4y9efEv*2YsM`4z0o-NK_}t6!swrypE32Zjz9y^84EeH!=SZP8{i5n+XFc$%DM) zqZPAuTt}>RxqnuNCFzqNZ%9ds#FP7ku5)We{y1tng^|eBF~UiM!hG^(yY;u_#C9%? z5sdqHLI7%ilzG;$QfY%W%VW1U92xLKkjNFXm>(E+$F}Yew3r<-WguIUCQKQ+8$y>x z_0gsOXztOlln@SQM}C#Zmtm zSE3PJ#x?HlTIkXU7e#RUbqW=fq4vnPfk~p1$f%Ui7J6L9>_(K!FA(NQj2z!B45?89&FN zsl|_ey|O*CdTiqD0p9uy32?>>+E5cp*+L8h6Q(iKe<&liUY7JOe8k7sN$iH5p2Fn`q1f@gm;vBL^(s zFiw+zE0Jn^sZCj3`<)!ITvH~&Om>AQ3FN2Xtbe*IC$)Hjj_H|Vg5_xeGB^Gt;DfOQ z*(k&??Gr}H!vQwDpixTe7bJ#ik1_mS(JzNO%_Jj`gG?f`QJYL=vkKt-I@g%5{T-Mb zVEDtd!x}|jq`}|qBYa=*L`Ei9{)}Autm(ccKB*3%knpyXk{~n_wr!rQP0lOV`*RrU zX{0YH(#Qaj>FN(T{08Thg8VP`R*l;2ZGfjJZRgfJ3qOzFgfay9=X}k3^0(HO&AL%y z^|F2@wknKB359D2%F#ieD!jU_^qu7s5@qkotbUyq>X}g`?ebhD&LcO1)WGU(@WqzF z;Qn}y5``TdIlXM$o^@!eQMe=ShWxkcIaD5RpvG5B+tbTL%3hSCX2fJ6Xi2^KDJ*>; zXIHlD`iOj`*?g`+BnT zU7UB3-n>sDi+)X;=12i9;bSne@HhUKL9)x2ViJW9M47T!Xbykl^$19q zVrSq0-n$rjN>x$nqK|wO%H%P!<|@KUmTsi+3%AyGV7a}-_qX>y+3_;g#Jldhr0VoC zTsdDAFe!){h;_Zq7WSW#d?d6}1qA9L)W&ovqHl$)wbo_1l54sFtM((J97O z6GAl)ChsxxUWglFBg`pu)dj#xX^xYO9riLGuD5ig-FDF(&Yl}Id84C87wF==i4FiL zdWAWQ%BqDnD-5ys!-H4Hr~kWNuX1>$ZX zH2$dO2$#J0s}&PAW(KFaMrO6g7fuQ(UU*5lq-C8X@34TMja}!K71nBb&Tz0%28n_t z62#Ke80nO4vl!6@H>^Q~yT~P-{MhC{uaRT9#(nAx-Q!4*25kb;_(K9KvDZlAa#Jp9 zpd}5^KieQ{9Q)hfY+^8Kwg&#QQEz_1oTJ``V3WEr5}5IH-%D)@?r8XFaI` z`NJlJ8+uBCvU#kVCJ$S}meo8x)<4i*BYij)jJo-`z;peO|2NbhJ zS&BE$msnBtHQUfTY9zr6CcYvxL7EUcFO&*;55fyi-LtV9U*wD7v13f`fFZ(k^30;= z1}bzx`U|Ao#oO82XB9SRgI$;+%iv=CrES$D$8$!UNsmuPGOm(kaH*VwTDg`2d*W<= znzpGI;^|ykMCvL2R~hE2$J+btxKQ zY;|TOF!3?N$w6>`BvH?K{;|PD5o9E-5vX}oiIS-TPZ4Qia4v=YRPQEVH5 zI{`w^QH7gCs3kfsMmBOy8m#u*b43(|VAT?qlYBsn{~eisjglT_w7@XGNPGg`q9jID`qqlo$^pVGxOtL-C2=B5=R@nn9lMf zjv;8M5eiZgwY@>E9e^IFHeAHDK_SeJywS&M_s*RuST^TYK#F|fuOH9~B_zNHNKd^} zD2iizS?lWLL%t6m7nkL1w&DTY4}Ij!+%zE+wj}=QK~mu(;1xD$WKtVM*r@Aw2X(6m zwarQxb(f~FfWhkC@ijpDR~38_;V+}wUjC;quz9+|`|@})rTbL6!fYPDi2JeTo|g>% z!H2OQMV4*P*%b3wPmSVlEK=Fhx@-vn9-f0d6qpfmcI_bT?iR)3abz>gP9uu(fNKgI z?i$*VwEJIXY*#~p8B9~o2gglD#@-U{#zIj4;m|7J4-+4mr6elhO9pu;U49GNa@Wku zuXsjC86}eckSE4A-^qK{aUu;XT6&*{&H?U&7bIZRMIM4SVBp9^c069_vl5O}ul=p- zrHw4J-=9;?z{l|Fd!Fw12=`L?NhZ#ow1U3wE=(>>R~B4J6GP-%2roMMcEKlF+HkT_ zM7&VoZFJxR7j>s+IE&0iW5ZV6Q37cPqJU=)+UZGxlmmPbtULTIgdSb(&X!W<*lV`x zbfwP2W>sb>3Pq)HJqqIZP-T0^XbJh z;m|2`=Ux;^37{hZCgWZ@zIFQU`|9!DG;O6X=2v}$8E!uNNxNv;$!*>5@30c3TJfEb~7!M%quqU&> zGo64{2L!3}t$l<3_)_i?;m62^aMLb4`JsUk$B=^|Qj?$?6=GpwbNYzn#hfmVH9t=g ziM`3i?v+UkCx?KlH-MN{1N`uj7J7rshFa5GSwzz*QM-$F2m(Sz2$1%14uh1|I-Jcz z@VEa3sSi4~j)lb+*>Z9Z20U(ycG=uGlmwG6EFp)K!J<}^W~~!L@`E!4u|PF6HO03y@&+;If6vGwR(^Icj<7!jfNw`B$pqfEd*24N ze030ENoDltdrw>|%!F$pv<@2~5iwcl4SNAMIEsbySi83UtVj^RiuGVNDV)VwdlYRB zvGUVp&_Z8>Rd_%(eT0Z`r|kVOSG>^}wbA-IEt}k41xC@U`=HluB2{qe1{(oc!kmrF zXhWdjbXT4Z0CYFK<2O1t?nKs5+F>)Z|9V+>r7)zDxZsB(`9Dbg&gp zEjIRG=C4^hMDI@sB(7Q8m{!3Hd{owmBq6@sp_Cld?(MHhayDe-13ZmOdkr-3WLlTH zdQs5_7;P$UDngC0jYl#wHwq@JFNFs9-2gBrH@lz`J@tDy^xV-g{j4mO$Hyqy(0*lM z3XD3JTh1r6FjFDRL%4MX1brjK9kKtKlw_nbFbY4qSs{I_2Rk6x_+tQkyHWL{I{>Gq zLMg5TvT%8M#Zj%ROFm&I-n!3;4oM~w`LU(*8RT9b`PK^zpL~VlZua>A-2KR?tN|3U~B9G z;FbeX%U*!H?qhN)4C$u0Z`ysD4tY?FYeKLJnE!lM-bzo)wEXbm3oCe@bToT-4XUzd zc*iE8_cIx6GVYV|96UURyW`l_>G>HQ=?mHjH=LorUQJO{ABRawk^7Ft8U@0v`v(~q?SLj)ZbgC6z#Vq9U> z(aF{#DnUG_xJ-xu(60d6f7ont4ac|Zs7tfRXl?%4y`U=&!5`kRl(!N&rF=C74#5=g zCl9!>Uh(qshQ6MruwvM>fsR-=B9uY}$}Hj^+~hn)o5GtN2Ua$JS*VCn%fuT@0oS|{ zn~u;lSWEu#S~ zV`RiwX(vjoqQC+d{5YKWO99nzY_R~PTQJpu#s+^SuiLWfZCHw$1<5|k+5^S%@?4!9 zl_Zf9n&f}{@~jtDQbyH{B_6zPl1@4<*E#D0%{^BaO~f^Y6bg~L-2s$yqGGm)#RvN^ zuK*!z!J+ah--0yv2M42I&$(G7EKg=m3eJK!swSVQ!jfE#guZM8EcHQn9 zn1`?1@0o9EV2D_D^jBZ&?1bf!;R%YpwDr2;3&^8v^gPrUUN!p@z>y_ zg^u~ONKZ4M1g=@A^Fq>0B8KEsfWZGUdJt{%FKRnIi(Afes0gMqaEPmL%`uBWZCbPB z2-nPY{g(sU9$_0fpAL;?ZWv89JO)13CPWIUQ^)%M3WCxDehYk>&a3L~u)0c^FJp+T zl$(dFu~KDKpY);j301cS@o$=CJp6n^XA;jBPQF<=lo{Px`lC|qzWgINRr2m_qMtpel%J6a(DbV_+V^>jf1Y zTzit@|0(n|dGt5L5mV%U42Mwv&R~7`C*Yrq+2jRSSkfkXJrowgH1y${*(xr%L2mxh zfm)39F0$~H{M)_rp1}}N{&fcvlAH77s!hI?B%$nG3VkZM4gT(zeqa%NSxw6A09noh zv&Zi!$b>B-{-xq@y|a7TgKVI}#by2bp}3byms=q#?nla0AUzWdzi;G;(bbQ33+YAY zKNBAQVI}lxK#Vm;Fh1BcMF4S97ii|^z0&Oe9+@>8{CXz+{k(_*G$%!lmf*H4e#a&_ z0gsdU)Q%6&Q!^dcstuE(rX5kX$pIl{SLaf#gWj=pE2=*KdgW{u8{LziQE~N2m-&gXJ7xI z5UeSJ`R5Qr($@ET3NO3Q0Qwt=@di`_!CBWlmyQ|xQSq{?cW3)9@Z_-a+~X+of_Og{nBcB zty?8q$0ew|a+-MPTU8!LsGr#Ei^tB2OXZa=4Aouh>ZADFOJoy@&3ApUt zeu?Vc$ZY)VUo!^Klw@-9g8L7$nzr0nD>be8qHpg9}4aqRAWs2A(k9~{U>QzHLRf557XJdBo;}C42nR) zH^vk@#f3e;((QHpxPioPqB=Kk)#6)YMFco#q}hjV#N&8;AY{i8NbH3BYn$s;q=jd- zU+QV}rO7)#+Wa}ztk5@yoDp06Vb34&N!0q2se8Zva7MT)%pp?>?mXez6(ofN_1Ee* zl#Zn#k*w{jB~ChuPKOv9qyT%){`K*I&OVePzIam+is^xpK@bFs9oTPl;p3?`lfKxF^#OW$fYg~6A9Wy6PNc{bT!kgx5aY4ik<)ANNVpXAMw zR^ZCZ!fsC5k(!cw)CGEuSf}4Ot0Vp07^<%vzXp3YLjw ze6vO0f+>)n-Pd?MquN{nol<7xwmGoBSg9dN=B%M*Jn+_(4)H-WNsx|90F7OPO~Uy3 zmE3TE+3}KH(e2|xr=vkW{Xf2S9Bp|^VJ(?SP_co0fH&C2C zWc&M4fU?0CBi-FQBeZ6gU%$$wAV!vCKb$%&LqUw&$kk2$2!E8vH!R^y@lB zaNE?Qyoa(9DA)IbKhkjRv=V;>r59EiE|Pb02c0w{Kpb=H4X>(57QeNM(d)b4PaK4i zW##A6vnqjNR6{0&pkFX6RN94$&Sdf(e>AOThdCo<$JdtB<0x%1jXIG4;w;$cDO~$X z0fzOAKA6re&8R(70=!*2KgQ(eKODHO&7JUzoY2_JA5j-XLdTeYKl}{S0QyLWk%33? zUB{z;MeyL07Qj0wfPxku4e7HUV;mK9J!e~#dyts6scf)@@opc#+**dZZ@#N3yO!x@ zZG%ISajq6nF@wS`vGa&n&_n62yTB?lgho~(Vfq3S!7_COJ?gXm_8ZJ)ox-hIE@J!Q zp^K;W-3#EYytaj7>H)^IX@U~s?#ql5=H@|Ua=Y(GqU4Is=~Gf%_J9tkNZ{!Pd|A%3 z^`cM^2sECxsYiLFq~GI7&fgK=VexLgksB$Q@ADu!O4-x$zW&0acdC%Av7L)5j%R>I z=RDa!6j`>A^MLi7LX@N)5RKu*(A9*4VI7w8_EN0KsV=L+sVASr<9As+7cPZHdLsQ<=gSZ*8atR#C?0j*O?It}JnJi}D`B8Fwqnn*cLfLV^ zi38k5z1ERQigz(c9ZlRIdo{)YAUL<&Tk~T2sMy#~p9l+1`~>9zWsu1mSRWYiGY)R! zCM&h;(oR*^L|Emfb(=R_LaT@35c1$E9Db*nXnvZ;+I7+gtC)wSpr58)$cTu|b8~_o zC%`&c;;S=uzApabpMQ9$KtvcHZ&tNp>~isVH$6_)ItO=2_FgT1auK>nKUS(x;8uu- zzcI&=Wh>)4(UNsJaG`hYU@$5)N~V_z$73j;N6%=&_ye|jh+iv&RnJTI!28Y-iAoE{sH$C{z!ejI!31zV66}UW`h5VF%{;=j3bipba z#5@++aD@EOD$&$626Zt+ln#Anq?jR57i}H%jX^H%vzuXOftz@`@nR6qyQ3Y0L1>CQ-yW^7s9vhqZ&kJR1w6Z|LWIkBlw z^;B*tZJ#99w=`p~NIa3IHLY94l|9ULAIxvxfA1qId^*1Juz@-M8}^K4&&xQq<20~r zvq(*F+EP*BSAWW!-2AN8$V83B}>JKIsiAqoERlQZGXi3B`wHKiT`eL!0zFfI_iOub&V&X7O%yp*(veF_2 z=KX~e_?2Wkj6a=L(&uUv$;|B)=!scvGQ;z3sMDYJBX&#?oGm*P4+WNwGMcmow!SCE zKvgffh`*?aGG{Q;(rtVvaUb#XG*+-%c;`gtrM^yE9bj5;@|wJLz-Lxr(4SGb;2rJw z!JdfES@ZPOmxJ)aSrAFPhg_K114d>ruh}78!MD83xN!TNA zM}|LpB^Vso8)fh$>$DqZ94&I>>X6=elk3@}*J9Md@;vj{Jm{{vwn?wfTD*ivbsOVQ!~^oKiB#99jOREk!@hx z4+`^aS@Cm166F{1Gpc9j$0*m;F;?}kdduV+lkaJlOH6a#hqrfsJ%?#=W?oZB?O(_L#{knZ2I+bHrqf_#Ehs!-XMSyW)#{B@yN~7`83Io!6FN ziB~>SsGe6mit!vZ>Cu9d2)#r1d?WK`sR$m&z_$ZbI@h1n?~*K=eX!d=f&+f4R+1-RD>9!X9k??Xxl3qDSWhLn?Q(J>}06kUyGv*Rk`2SZ)l zpW^awu*16ZkEV07HgYR6v~e?zlNtr>3SD{q@+83ugh_K;SXXEloye*$jo%#>hk4m~ zhAagWBN}lHu=ZIVczG77) zhW=}+uT?;IwwqC*>R}tB9H5Ev^wcid??c!QjMWL-!O4-@bv?t`dLtiqoxu{^eefHy zoAY7s6nk(jU6F&a&&tEjRK>aJdzt^TEg)gxoHXu^OL}tGo`iZMFRs}w{=(K$=**Ou zML^80pl;HIAc}lpjk575)YRcSm+-J)ST{-J?;Wx*FYr@Y=G5Uj>L~**pun8th^>_^ zpnT2YN&O1UnueU&_sw9#i#1P80>iEDRk~Zg1mr%3iK^YdnVrkcRP@liKF{(df186H zck*=~oQ#ysWA4fJR7p9`OIq)T?$mNAQeJE@!RRe*d_Li(Pk>9>ZIhS|4c$V{TN;lS z-0&LWCVBI!F+Z*^mCL%34KSe4){hSbS$Z=^g-hfA*(=Vpv3T9bT-EOu7b5$9FmoIi znUSWFIFjW!FnYAHfgI@Kg`?4aa06ZQuwgH4@^BGa9xR8P(Ox*E&DoLZrk|8zVI1A8 z)I}o>$2hDY!?9M;wz*Qz`pn5o}iFLRo~TfF}{ zZQaAIH{Njpq(R`=f5IQ8wMu*()ZYq~NaHKT(n=v7UTm$-% z$uUVmBXM)uVG-3XPM4Sg?PMuGhAw^&zw7Wl-V1=8qM{DcBR{j^bC3}H;~(NkK@9dP zO0$%L{Hr!>`m6<}{|%2{S3*s^65Vpg|Hf+8G*n(u8@{?E?B;F6S-@NNuBl$+46ZzCHW6|kCuagVqLHS76iAu1&4dN4n^jU4Gl(S5 zG|d&FZ6la@TI@NVw$up#Yr zin6yFURO!h`9C>3<8&{_I|h!DlULEbk_91=sRt(q3{A`fa$5o@jK7);N|e3paz%Ys zXcWzdQmetmfIoAt`s*aK;q`KXmdzU{kqM*SwEPZkII`lm>$;f3J`0#U1~W0=_*_ti zk8zIl!>=~J+Aoo=KJAyTYaJGKbD>+9xlO~p9xl3N4)vaj$E00h{p@RXi{ja64u56xF13Y~bQ5i` z;_Z}Hs_Eh=kWp?>$bEm>8z7g5f2-RW>SV~(d_iWA9>g@hxkDc0bhlK5QGOM%7c>@` zISR8QX}4Pc37@YmCi_EwnqW5V)sT)F=n83u*3g%n6>NJV{zp;>T_B_*9(AT)b}O~Z0dP|ApYLW z?zYyriT?^mG92Lmn+}L0Ga%R;`>->1ThtrDSQ4y8I6@EF{u6V(Qxcy(-)Lyd&bsYw zo%jfKtOBf*AloX@j806*g5-$Jyn0ReX=ylp4^`?QE4ipe@tGz>Y>hH>daYcR%UGk- zFQ#(Ie2ek0@}~hKMNO=JBsyG7V?w2B6=!#&Sr_8Y@-3~L{ULT}_m0Xok?b6%`e=sJ zA-*KvpJ>fT((wf$-^6#gXnv@Xx>(Zh>=FSQVbl;|_Mh@WaaWWT0Lnii z>UOUCn6hNiA(~{l)_S?kRFm;K>Xpy4a<;q`t!NsmzO3;=MN33+QV3Vc*f=I;$5%3r z32VjrmbS_WJ>ON`>A2poQU2KVJGl(7<<~S;9kVK+H|iWjEW#V?>4Gyy za8rJd`88Rs;1>}d-4`q%ZPz$FnhcFBKS~AVv{d+0zA*S3(3CflC+&$t3i*nHz2m@x zXt+4n^XG@dK2fswqb0FVLBMe<;}JyREE_k2+K4CnKv|=4DMx)0F-ez4VRor~-pCdI z@Iwv#6)WGD!f8OOl%u&+GT-x5gPv}oNy+zy;HW_qm!}))QML9kCp5zmI<=tg`HeUV zJyc&^hjiqbyPJo6pE^>`W9M2Y!XKSdX+I>#?y*)2@ zpEI$wKHmT67NpBe#r0wgy7S{=Ci6y3|8AHRS5p$$|H>u$#ahYkuc}oJ~&<(r*~_PBVGen8hZfco=;TNu39Q!{gi6QuQp4#fIwt*Ru&e`B{7G|UsXZCkK7RaPgp4P#k859TZ(4B2;8(|Jf(Z%?x19_(?uoC?ET zf6MxQ9^9GQ=yE^X4d+b8OLIuHT~O<5Z+xx#mX2QYRk`DS$%5ht&)1E-s85G$D_j&b zpSNOf=mTv5yaE^YRL>Sh3qq4a`ZZSxaShpTJLs;@7Ig6E9vl)0Z)~QNIq^qFSG_Mh z{$+7~=DWYI&?X<7Lyzwt8E+W{?6I7u$CDlqTcm%*Ba-Z9=h=)(o@^6<8ai^n#H~UY z73M>4n)$uyl~Ga#JJE0WSwC`NP$-HPku$n^mHKorG8W3W{HqnWz3bYRkJC=%F8@prZlEC5R0 z6+JyhOP_Na7RB3ojx8t@q!Gvv7MUcL{E_e{*mwncsZd z>_Ad8{p}S;fpfEiK5wRCu3)}N1K#5~B_VL_vQ>fHWNy}(Vc;>8YMM?*Uhhw0wdCq9U=9FW1 zO!aF}n)Yz7J)Xy`Y@#vX)H7;QfyfOTnm_Ci4CE&2c8M$u78jWsv4_@SXZPP>mwtSN zInjoLBgmOv*>jl?ja|HKPev9rnQBQ@O%Zb6}D)ZE|hgqIM(=Y#{x zs`7V!TgF5+grw)-$sVtqY^l=wuS_-8M79!yU91R&sy7)4tcr=rbJIJ$i)EF#2pnyp z=Gm&|7W%{&J|0!S&o#6xT1n9R4MC*;a7G>JuC4p)ZW*aROr$Sy74tSA7$nDYV5$4v zR@6)aNNldSp)b(FF5(-XBfAt&mH{a?8DaNY;H3EVf@C<6;XcSng3*sh$NcFIE!Q|8 z%-ioJ6sIw^t>)*=Jwa~0DD-C60OP`LY4M?e7qAz~R{>%y3 z!6VGIRz+HtA#FDs;tsqP{=<^m*?Y{P(Wisb^C&@P@!Qn@O3;kU$Zh&h~j(?5vnM)&nXOHrD)I&(&rF%-V1{7E--BwwGNiZpV8YJyG`C zOLmivWEbp5MeQijy*izR{qyqZ@An)=+ED-BDdfZu?)j9#tf->uOk%^@atMS!y@!Xh zNe%%x-8jR_4UZE6lXYHbgQ_0!(2Sz4UnUWE9>)PGm%H3j;|89%8 z$JRJYnv5vhsRB1R%4UcZR<$1Gk&8SS^binmfMe(_?-P6Xt+^jB=Ds}K`GNj<(aA9_ zu_Wgh6WkKt6;&gnxfkXbB!H-8M}xKbaBP5$D5B29zrJsAqlY;;G^H+9K&UQifmxbi zLX?*7iet{$B0FR2fh%i&Z)7;9q)J~{KcHb&WyHk_eotN}Jl6-vSw7wBXNgwAe@7(e zEtEf5HJW~gtSP(9_-#hgeer_Q_L{*FTyi_boQ$wOfnhdZAbike2!kQE|CGy@rIm zIM8)Zr~ui>ns2Jx&{*M}??O?ekBh3#gO1(2(x9LQ6JD+}a6fqyH&x+U9QMgXpwAql z>tg8_t$B>G=4mCTU@z!ZPLU^lwlMMfn_$lL?JpL>u5fx{PPz~#`L$>u1y3=hQoNAe)Gh3#2dKIJn^KVj88W{*x%&U8FZs70x+}L z)Da-=B#w`S4pD-f27MtV5cHg8QN%fdK?J4I3rY!mzwSvmRgC02kE)LAX7d{ z^LygD!n(tRZ5!<=N<`D2BbHqO-5EgZtCtw@ViZ{vNd(jC7uVj1DFW_aZ`&tW68o_Y z(EyrZe7YEtP?$m0K@th*_AFavf_;1}q@(LEc!h~}$#CfG@a!-^nBs*pXleT~SxN|1 zVPr6wX{;4RIZYOdsL~#+?1YJd9P&S2A z?|5cN#GO}gH$DP?Adcs)ULl2mOT8vV1pu`58Oue^-(iA84v|us_z)||SDm5(*F(PG zziDmzx-{Pwd_-Q8Hh<$lYsg7t@VWN?AD-Sis;%bx9;Rpll;Tn>!QG{}yB3POLyHtB zPOt{25Zv8cq&O6Jx8m+l++F&n&*%Gl|HxfgH!CxD=ALtAX74>`9HZwZh%^UJYtiAA za5|{}Fcz=0!KG;9b2?+dppi3|-?6Rp$^~(`C2%?+G@&GW*a1XVZ9AS?lt|^ z1P%SdtEEhKM1qTcd+x=yQT0Ppj>Hsog7`}+Sur0wylq%X|JpV!M9puFM`5(WZo7kx z9AtJ-1Fw%6W~fYFkO6Y)JY10ePXBGJCLG^S(2FZ}Q^eV0(z4!cDL;jfxT^FkGyuAa zU7hXMv&H7>ovKu8u9d1JP3gY>I#!V0jZJ}JHx9qM=l|?R32rw6XH7t4YHY+$oQxdi zcxVc*fW6==)EI&IbpuYP0GM=8ZH5AFL@G@$heLs5P|K@In>dGqnv>g%8~2Jd;-n&6 zaRH|zi^+!K%ACrgxPPWY3pCsOpXn&UO=l$bD<(pp8DK~E+Aa_$Q^2!F2qAl&>NLt| zghrr^!(p3286ZW#4SfCQ<97iEn29_?Mt@`~RJKBejHm5sO#sn|My^y17&Tujp&>5m z1Zd@UeJNVF%l+i!pHR$WIUExmJsfTRWRjFy54{VwiXI&^Pz5cyt`PZ_G>QmWj zj-CkP8>tmnT=d7#w%d9aqOrCeFsQ`Gn?Nb~qW!mq+R#^3XFr`LzEMbZ2BptFu0ntf zvYZ^-DBBpFS9riWuZxn%5#gb7`=&DaYF5&l_BI(O2Gr&VmKzt*X4CilTDdqR@AQ{R z6b9_3c9-HGX>QFbqYO;2P8>bvqU6lo5xB~nlQz5>yjqf z0s{>9Qaa6tAF2MMjBuvj9L@{;`Bu_5a-!ePa5Vfc0vbV2Em$TdCw;*9h} z5uv1Idl{Qz{$q`uW(Ju1icJTDfI?&OJ-ub6Qb~Xat+T1(h6;O<4cAnp=Ew4u_b)32 zuVi|#XTNj3G{*#mx~5ac|G+mM__=slqTs2l^ieU>gkT%ii zSyc9`q3&nn{-Mr`sazO-vf^r)y9LTDQy#)6-q;4ug!PlYeBRPC33Zrt5W&UKCe2YBvj+Xne^c$&2u?KlsShTi$(#+I8nc#M1{(;H71wm(%WA3%fuJv)a;HO$ zI!zs35M868Zehz);+y3<%q-nPnggMptfF$)z$k9xvf$}=TPmqv((L^?@~nb&hj4x$ zX}lbc&)2>k`TNJT%54Mwg@}foZ)C^FXdZ)0mGw136FPZYQD}y6IydqVP!i9O&3C6l ztvP%YGI!f>H5y364o+MS=Z;ljl;x3QRT7X)Gdf`9^H9niwI8~%WVfe7Jh+i>9fi@8 zDK|NsD;>c-kf(Ep!~fGLkM&g6+8Mu)WJ@EyGe1_tnzVMqS^^i8r+M1PKH6^Q;?Gyw zL<1{4c?}yEf<=)H)a|~_WM>Tl3EQCImAqUtS7TWzY8N2dM8k>NwPcagsEM|>ZFhi- z7>gy~5N_l($4GRQw9ernAt`#_LLQ3L)|q&|SV>P}OHjOyHH3;5ki*A*D;8-o?` zr*GWY=jE*Ap| z{6bYid8RZA#It#Ql<8|;(Wis-iW`RLlhO)2Ul1xpR2T(cV`U5YY<;z>z|tpnxv+gu zWhgqu@3G8007_CDkFWLEjLhE81k!MW6OVeI;MK0t?EI^?;jZT?lQN@**A~n$FbovV zP3h3Yo^tz65BOHXeaA~d(}Yq9;)eu|GK*jq__J@$Q$`rmd|_PdY+V z_UT7uFD;?e5w9<5TG+K5=F^4$80%?v`29f}t)`4P<9rt&Lle}Li)fMLoNl=(AwBaE z#CDBqb8m_?&|$bOQu9Pkw^L-#tULsn)+^@%b9GKtKMU6mWpgktH0l_CRdUIy7TFfB zD3qd`TXM14BqNxNCrfgH;x|tlFn_k|(a>2>OUf_n!0U8b+Cir&x^fXfyN zR&`~l-VV7@z~9nqTzn;2X(?nc9%&bx2bjC~YCv+8`UP>Unx6w`P+p$)6V4omdDR;w zlCHE+C&K51{;1%yLXx|HHz66dQNe(>{G|Y2d4AB}dm>{l9l;I!Dl#AfGvR=#781Kc zn9pFs|K@Lp8CP+-VKB9*9dxO-5->jy;K+rtfv+hdF&W1GM05NJsxz_@D((_WRp3HI z{X_#kq1R-BO_OOj9e?Uj+wGI`ma$jE#!n~SXTU?3OAY!Q`Og9JycL0OZia7YP!0Go zLTfR%o$YPr31IsQJ)pX8@_qEzm)0^oP2>u+xM|=zpT1gp9WL6wNn6V_l#<$Vg>Bg^ zBlHCx)=$AaPK?MTzcV6aRBmOpV21R$qQW<^rNkC&Ec_lT4Ow1 z@i`BZooV#Bnk9*Q}R6+C_mu!I5_LkFcWm zTWq>mCS!O7%|(Ak(PctBNQfRwwna}8^?dNcJeqF9M;><&PwDX3Ox9I8DmUYzOy*Y7 z?1N$=$aZkQAm;K}`T0UT;_nI*51SWDT+q^Rntza*V=B}P9j{=77u-&a!gxVFVZEB~ z4$^uw^)kFsa__B}5L_I{St6p=B6YTZo=Xfkx@StF!t~?MP5k=u*1~l}Ra;wTA8Jub z-0F!(n*7L6&K_^TTDgs@8Rn!EsN&b|KFlzezAavAGVgIbMm&50HAP49upKcFSS|MF z&@$^BCdq=Rds4W+6tlEn2A5glWxC(zA!G;5LCVgs9XUW1;(`fL(+am^yh~6E3YbV3 zs~liTaF~8!E6{f>dNT0_6?=b6x`f%q^P4JgeYbV04wEja^EleQ$Eag87Y#qN34(A_^r6TaDAhVwEcHH&WEv{4zkXq~q54k>v+KtkZ_BH{ z9ufX=l;IHC<@%hHM0R_#f1u^DpmQt94qm zrN@iF+PMQ~nJ!zkpGXRXbM3pj8gg|6>=ILKas1gPw}bzn++gUnLVr+eqe0$Q8w}Ct zojQfsDhvhL?mti!%1@SQoFB%Rhg*qFdltM-N->Wmx%xct1LlPLfG<5vDOD!P{5@G} zY`D4l0-A@y=CP$Q{LW#da5v|)$Ev7ZzEnQ;l{PWw?*Aq*Q_Q-;3Te4pNlk_h%XF^t zew|@f1M+Mn`dh(1LnzMh$FuGH#Ku1e7EM)ZDy@c>a}=kmVkAG9M80Gt5hVa`DNi$8 zyF|^#&uTGb7;(j7c#z?|8e8b1p#E9GMS_eGuHQIIQ@U5W-ZoWr)T$jc+~kEQ_D1^r z>B5&3cX7OKS-;WVnVx6cx8z~q;XyqU=Fo~MKA?8$2=YUsF`mcKRRAIvM&|<7v z>nw|ztjUFrJ~OJwDP%^0s`sjCZ9>-Kk*F|bs$3$;$Cs~O#d;If1BV*f?jfpu!z8pS zI}VGD68c`CZQ_OUx1_@gnhr?hizL;A;wOCtG@#!;RqHCgKeg=qItuKmjfQDa)5gab zEdcv&;J?SArD>MTUsLcmuANd6wCx{NPR`0*e`l%JcI>R0YQ)UxSZmZLL)zRQ&3o)Q z3jbRlq$=G97rHQx{s~qB*(Ji1@SyG?Whfp$mY4QRUxJ2P*|W%An;ZdRwBo&OeE(v_ z`!w%XcNNsSlxh!R%U;$(T5Yx-1>zsu>Z6!KcORo?S3 z{qfH`6B+6+FOvKt5`)gFOHgEop!qgT>}mB-{DU$y+9+ZNmK}hdd+Aj1C7LqDo}J82 zyaLW?@E}8;YQUVH8XZtyvD7(_l@|}X=09jDGzDvQCjpF;y znx^e44i!2vHd-ziCNw+~(%ybTv8Rvmq#5vn;>##5CU0aJP!@|T#V>8W*UB1El5gMaUdI9 zjhz2$!gh=F4cFvIjoCXvmwD$8=;X00v(=AJ&kVXUL=22J*#tmOAC8Yrm)<(IPT*AwkIlaJWeFfAdd?<_`3S3mtt96~t zbGfE+mhoRbIux1NhDRjHW!lNR2DYWz)&CGXnkH{4-+SrI9j~Ww zrUB%3^V>@Rsbxu(1mYr$;Ho*js>+%bYf?ORVMT!|?Z9KcC5~-jN%Vq3>!8KMh24oG zzPA>tm$9L<8METkNcf;`3dF*MMw{0^8Z>Km@wZ_iasQ{#dz0@hLfO7J!TL8k_yX1I zTK4(9N)Q}X)=-AQb)s32!gV^Jm9_Nt_biYT(zc^L#oVp^w*}#Xk#$Y39sf~uvT8dM zC?>d-X{-H-n^UU@C<01~NUVl5YaO`UnX>VFiN9~t{W~f(BfUzx z-riL(Fg8?B`>_)4Rj97F7Ef@mqE~kOHCW}Saj#?H+7#>^Tz2TrIGKnB=58^RR~U58 zEZ_0F)DG0bN>pHoRVS0YS&C^~P7^KsCAys^b|u&rQ8_eNZ%4oHl;t7b{W;m(mYjK5 z{&;GpUPzK%>gA9QpRD3XlJ7MCVcMgE0pt@s(@)$@`d+3P0zDB8!{faE8GLrviiB@^ zIIa6;qb3+s#oN>cd~z&PYxNoRRhF#2eOG^y+SH(1juE>P_i{^ZTSKtaw-W9lo)VEe zW$$ZrqlQM495Gq_unLRvAQNiLRiBESmvd&gUPr8NHY7|;+l9N9*d$mqr8g&M#eP8Z z*(a&m#}UbYZn_t6xIjZDqwMD2&AN%Yu`v*dq5P)>6rrxvk28_EI`P>LR&k2cvaJ~j zTFobL*|i5`C$5E(*UX$;`TN8eB-hRoao#quJixbDO1P(@vsvnr&xvo}98UlE4t8US zT;Z`(VZ)C>E!VVbql;kQ3SkDAIJZeFsLJQrtR7{8Sz6v^XQ#+Idg^m5NR@aFT=ZgG_bAAon1(pt)nvP zBT|DN&Wbw?7)iGr*vl@q;UXWTnjnIuUB8<}^sKHp=9c z1dA2m6JP1wb!dHQ-_7_;Sno+_iJg*FKE_34vQ*sO5WyItgFb{A8Dlzx_7AvoH%3(+ zB55lP&|W$kuGfu9De}}my6xbLDJs51A(1pkr^WsVwrqcb4j(#sJYvr8qLh1wZ$Xw4 zh;;H7i&{1`Y!VJgE@Z_y8kc9NZ+3`h8!-fErdwez8?FMd?s^4JGa{@sQ&5^sCNVc;ed;V~+Pa==M#A%X8EpX#kU(SP%Tg-Wq4S6eNTB27Y)W2Zj}M9RM?=sO)2vk*$@ z2bQp1I*V91dPGCStUq3qeG)%D4mu9^e)v5f;xKmugrnR=nq@r+N_h0Y@Z;XIyqF<|v97Apn z6|Yyza9)<1*ps5Zi!V&iJgqc{a5oI8fCf$Ek14x-drAjKFscV1!V7SLqm0+dWm{jL zGjXQk)Nc_+;EOAMYXcl%)wMjOYh)Q}&>vrlVrw6I(KiBTWt7JVo~aL>Y4*e~3D~Yp z#OMg-wNC`eR4rFsJK%oH$8WgeX6e6wZ(((!g=WTg!)d~aWJ6T;v(AgCs<}!mC>?#W zw~Z`yE8ArVn!D-?tI3kscO*JwSE3SMzRcDfT-L@>>x--V2T`wGVO~dvVK$*u$aRn! zLXxZRe2GWu&Q)j^QC>_6F!oT&hCUZTzI^bGU2O9`g?;5)iNQsUVlD_qd9;(BL*ewC zj88~zucNWVzc?RK#ps#Q9X+inBDD$tpe8>^mn-2Gb|-1-d|BE~Z(uEA1Vyfh7Ga&S zs7fn?23H*Uhm5NPXepv7{rh%{)Mh?tQ+Buy8e65)MYhM!)@Rp9{tyiUvmt?*bXZ5O z>6s>j9KS4^v*i7@@y){plwg)J8#)@81!J#3S;(;gJbzy&hgHQXDenx@N<6eA+1x^d z2u`3kW_(#9DBGt^BVdY+4_N1bV!-)2PcWuwE8W$jvU!+I1{9p-j0@^fU<{k!nZet3 zXq5ZWxs&IV_3lPYt>?&tjhZISuZmAfQBwG*Wi!l8+p^A5MPYZdH#ZB$Nb2auSjSey z@tmd7D)U}44=Sv2q*3wM*c)GLg#un@;+r^WJhhQq{C|6?p0yHN&ku0W*Ha|E7n|up))s zfvx*6;`k8`>wZ>meV=mRZF?=wb*AO0_Y-nBQ_y$AI+uJ?O>EvnsLYrc#;ls_*#DxI zB3yK;V}Vjf(ft}L>DS`*Ci=dXSoMmy%QJG7A&Z27)GE|x$NDp+8(TP#aJ zlUs>jZw>@K_l``(b?{_Ylm|jqA)Y;z3#?TE1CBt;+lVB_I!bp<-h5+Fm@^pV9|CHS zu}6gn|CndD2}r?;jF! zLr~2fW45}+4MV;Xr|*<2qe+A{e|4Te=8;TWKy?e$(e*Y@pIh`_ed?UveF`5tv}ve| zet~j4mEXyb9Cl}waX@8#tMr&Z`qqG~E1y1s21n>cEdpi2*t;~)0X$OQ#nw28i&?c? zMTbv&w-d`~u3l$0v3vy)noVSdHLSO>7!aN7ez!=sY5=tp19Z<>L!3&dHMM#F6gpCN z^x$=J0Oa2GrLjHG?=th2>8xqIV_s>3z{+Tm9Yq>Om44C2Mm9~_EnK}gVo9E1|YpJV)4N683fn`qwh^blj~OMR6gZBKG7&41(EnhiIE zSz<%JlTM;)NTo^lciathBIMV|HJG{SWBoTsk>#RZ#Q5@TO%|2?Vbn1pj^hrBybFN<&BEP;jGUbsv;o!>!sgjeI4WLbLs%T_`d<899%(N_~9zLHujRSZsx;` z3(5fdw$)&&ejwk*2F1_&_$sW{pMN}rZK{Fe_oC@*-`q;*8Qz`;JDfBZ-BR;;EO?TS z?6&Rcxykb`A69)5j{2jLjgQFTG#C8TKv~s9ndF#0zZ}zh`s%CTq-}#P;O)ar)I4%R zgFh%m2a@xjM<0r{VboRXbBEBmN!(ORukG}l=jcI9R!XoBXsA96)*zU<3al0o@z?uF z-|>pxjQOZP))BtVSH5^$wCEPg-4Z>;!^cUey$Or_u=K!h)B#&N{T`bn++n1@pCEs9 zXi5?)%}Wp~aBqR5YP2ibP*9kS~%{Pyr-orrAz^P~I| zo}G>G1BU-3-55}tTNSkUNyoQdt2z^S4vk&)Lw%Z)va*;%%z#>57p0dAjF()mlpc=YV1TY;Mar22E&gJij6 zT5E<+a8CN1bNcORQEZ$&EiSZZ`k;DHDaf>!+KYf+d$8LoY@r|g*90J$zu=D=l zOAllm06*@>8p}+|i5=JJSI@~EwIZG`SJ2G(LS89R_e9}HB1k$7-7&oY(=AvF7;5L^ z-{n<|`!Fzw8FaUa5AaDvVRHW4ba=;f5P!v3E)D5_@7{x@5Ie*8>s|D*1?!{?)mht$ zreIsQz4u!7XNOcAhV6J3j{f)NuSW@7r9Ox9<-G}_dcdyW>{_{PQxKD4r*4x{bV>|6 zB|hGIW(z-yh9U<3Cy=mR+LIHZ6)I@cdn9iI@8y#3qvE#z6`Y+4uj%o2X}3?%RU1-Z zrJcna7CKi~F2|LJ-$mpRsD?@9X$Lq2SEV})e?@28JmTC9r_^^Y7E^(FZR@iXn zezFmLE{SUwgqhM6Vu?+E+W}C!(d5?Sk=A?ZA%ov16jS=b?&tV~_g@7}o$&u9Pre_E73I^mlLqD-+9W@W z#ezJ0KLt8CT}*kn`>#sn}j5BhM0tr-o2`0 zWLiqh%)>H_c1xaPFn>s&=5RupWi|9hWT|jG`LlS^d>>|MAY}6ok>v7}Bvb4JW@(d{ ziZJAvm2IM5!ZuxwMQ>|blZWjRwVYyb1B8!VMG>@Z3m;iL70wrKv22{GW2g9qV6~00 z{&LpD_f|z#r)>)7^B1SweX8?!GhKy8J4jvwH`)y|VOYdp;*zjSg1@z!J{r2r^*cOG zr&bZy5|51@v6nlP^JS^m!A~n>W9&wKJo78JnceJ)SKa#t!IC3Vv-+`wXB+8lo3on(w-#?P+;k%6QRPE!h`ys3VB1h_7SD|-?)h$ zYPI1aq2Mc3rfGyGSbCQAV4G7Uc_YZX|2COO6(#yo^c5u&)4^GhQj|#D`_)0{=bzIC zz~`{>QHQ#=$`CDDY*F|vB z|1;YrZWom*^6dumy6dtak0XkD13fgUt&IWZcROqx@TQlE#F+4hrKE2RI2aRDH|gx; zxw`CnH^OGfAhBU<7mmr0Jb>Nvf>?`(t8!<4xMJhD>fGV`H+4u&LVq4iZT*965hdu1 zU2vV=OlqXJHV`lVj&lAEw6Y>f_*V%>|* zys)Sb>zw*i64Gx$UfT$tYVO4t-V~?a*AOKm4$&@Yt%Vd$(iQXA=JoK9l{S*W_jDK3slI?Y)np`ak8MO?(DDnhT` zhUsrH19P)fzkh8J&K$pd4})<>m*P;;UG-`doX{$eTg(g-VSC11NN zyd}-CCBvNEGiGnu<)d;<+n=KPqm>SHL%$eWg+l75s-rk+=L2!yr(!qS|6uQJ-{B%X zms!VT+c1@|rs}j-lT0vrDw&EL1aTs*v_qRq09h798`>rSvh@ z4{6Ea%V3b&wF_Smhtsz^X-GrB2s+;?%yWhG@SYEUg9g;VZ_8lV4Ij{t5GBsgUi@*n zGMsNQ?H`a|L{itJZSnDKY|$`A5IAyeyWu?+HL)7$DK-`ECO=#p>hx~=(ekl|ft85L zpOOG?kM)M$mGz=%?uAFlAAPDXPv?wLgLF9Xu7kR+YUAQZD2c^=iJ223p(ZR0v1~^A zDVdT}Gzlk}idvUcDdsW@FxvOtBldB=?u1T`7zpkP(3Qq35CrqAU-I&EW-AS{ydm64 zmL2R*%@F@n%u@6lUmz>#p!vnUM`)x`b4Kn~y0=k+z?WmQU?=mtoUr7-RbQn`kGl}t zIVrA+w%H|SyZCh9b*R0GW>j|0tnXj zcXHjY;S^dF8Sfq!1hLnJXWSOrG|%ihUgOm8ZoSo`0ZvUTUx?4X*=0)I7DTpY@jjAB z_3R3m+iV%|O@=JVD97N2sm9QRse*FwG(HK}qi}}LKWm*p#dGc}|MYNC?@PlF1c3`% zndZ>fK(jj={=3)O2TUNbO&6h~NV(TOQw0GaZhz>wT^Y`ALQ7|h6(!q|R($!J0+|5+ z(c1yH8ur6=5EmcTuw@C|dlvNyQ5qd}y%{Sf*`LZrS=etMdh^&s&gNP<#$w{~e#O{n z-B;rQ&aDj51;oAc_g2r_n~1$6Dl;WM*w&GDO0(w_5Ct{Gef1V!2&*LYNKRASz1Dm@ zPaDyV1o?#($|JT#4HF{+BsHHx&H~XQRKTPMzvyPjAag z@+qA|-yLy*=dI9-aNwBxUU}XS>zH?^wbMMuqd+6D8=G6*zp`6o&mQkk!9K4tOYvj1J%$Zke^tGM<7bBkEEY!%Mk$>2jpY~=c!)8Kx2%biL32dqy&_m` zCCM+i;*Clv&fanjYzTfw%q{ho2FA2_Yvt_Gi+XR~%*$o_o#LUjeJ-?2-%unG+URdDB?If(u z37V8{`-AfPkn3h?{M}mU71$@8F_e(`kuVQ9&;k*YGTldMEfogyY+MIE3D?K8RR>bW zA~6O$U(L8&wChW_nbYFXvzhR(rAECsgU5S?a*7VTb>V1+2xO%?E@YIrTe?IA5J&@e zFGdVEoNyT{Mx;-Xf*MPSDlkAn{+K(<7mXRJOD6k-CTVmfnoN=sI2_g0K`ZrxeHc6C z6_p$;6Z<`}o0eqE!qsSZZMO88{?ZL-3SdDUIr5tbs9Z!ntx|7)r^MCm?sAAt*f;Tl z)0AX?DHJ>ZM8#wgDE@(N`XjLF7iW6g1t}eECbHPK>^UYMcA>JU`Fb!AB`%`#FX?`V$2C!lkBF$*lL{hWGgAc29u_DKpWB9{oaakL_S z!z{6v@A}{2lI;H;V6#kU+tY~cExxZ# z9?jeaxD_}sy@;%24h0docGD>@(nvTq&L_PJG9tk-u#h?=YiNrimpV9y=4Dpi)(;-~ zt)Kw-z`>sQM&e<|B-QYRgMi=(c|)X8kIs|q&p}vdfiy>sC2`O^m-0U>x@|uGIuYwK z{CW~Y)WX(u1;v1-=a@Ii3E^kQukP^TFUzR@IKmuvk4?=|B9Gfd<)auwB> zDobHKSU@+ilObE)9N~&!P_JfgR_?t+CRQFd)%Pb`kU@elFt<)y_!Vr#p`n?yy^ofv zY#Vpu!(8zdf#5p_9B_|i*z>J&k=ZZog+er4sOLMG*&~4SzDE1F)uNT;PbF9?ws{$T zR2Wkok_4XdZaNWp&2~>5<#&|0ww((!3t z?;(`ByrA7bA}*)O#u6XOFP#6o#)f1XYVVQ!{c@`4?*usH!TGylqda7AbwD}h)?7Rm zyuSR!luE)yvEGr?=*1Syv!?>e%_mjuKAEE zSZNJEXd7~(wB3GFr%v^`>2(8^pR(h_a`r@PzIPx!z35JpK12p&;$!e-@D@v7^#g-8 z9Ar!%hQ#}a7}L>)0-_B`@i{6DVXc&&|H7QSh0aq(iRzdGd=zQFv^IuBg@F^=dSeI^I z8L%acV)3E)M!Xux&R;3rFN~gSA&a<6Z}?)GP;4&m10@OuJK2O?JvjB zoTpm?4DK4E%v>sK->ERrY4$Nox2KYeqUJ9W3(3HvY}ZK+=9f(6XhK?p^b(!)e3gI* z6jos0LE<#hkZjB9XRs)>=x8M>Vgs%@szUU@v*rZo_>H zcMU=dGwCq)EcyRr+3n->QBgU1TtBo9qjvE1=x0?2>2tO(BX>Rss{KTxyoHWuN8LeE&NRxcarn@uiF+y`(13ug0@{*9`){9V9Mv48qI5GG1ux6mc7z&)QQ~^ zYdrbPhOe@H#i`Jh?N3&l8t?2CnAv`BZ1fbis+;!`bv7JAXq;zUF6eaadgZhCdfz!=M^j9S z;*Fh@;(m35P(ZE@^Yr20Z1bLF+WxTR($g>D{iQ7b&z7JKGt4lVTDS1*26VwwZY+UF z4LuLgLclofmoL*XTG4XzI#DPF-qBZfb+6#dx#_H|#?Dq-iRme0jmY)|May_-p+Isk zJlwk2IuSU5ox~kiH-9&3Z9izShi(NDN}Wis+r}`9oY=6J+*deC+IAn7+OyR3uPVUk z>7G#1!PB8S76|N6TQc|MX5qDnB8e+#2yNMMuA}uLP183 zZC5cAzBk>4MS=*0-Bb>;UpYWP`5U8Ys`3&?EsABOKry7`uvnX!Kzx7#&pM^0{*+|p z!aLf2BKHFDVud!}-GW{h_X*CXB5|2W_qI+&y$EmO_Q;su+uCBMf8K(#{yQw>=|KuRPcxXpJg)o`)O z{N5C;LqYkL!x)MT)twIDM94|pb9b5yD`t5r^m82WML^H*AsfTCe&F~Vfo4vOeFe`z zM7vzkUJOrRhJb}H`MEkL4%;Fc>i8k(nLmSVRp-2a@e|XXDq<3Y&}%9@#8~Xd=zjZ- z;r1~Y$6L6yH-!8 zT#;N%AkeR4nms9jiw%B0HX%_7nhk8BoxBro(48AYtd0F@^3j>!_Pm5)RU9@xwpZ1?`4Uu=oW|dY?xy4<6I8ySPIZl(i^Hbd zcvNlR;@DGoaINp;zwOf8(n;X?FIj{JU7$J!7@qITCvJGx4K7_qfuCXP-?`)NcB$92 zTp*e6s44j$Pff_SNA*Te(Af(t!j+65u*v8QIDYvv?8O-7A}1LR-AqVxzuX$PAG0!Y zvNzr=w)q4&V6$DGpUy6~r$g4zz@9Gj4$8yv;44(z@;l?0TMQE>LuzbWut(>@P{p+8Ny{Kiv&N$JwWv$Z?fZoxQP(3M@;&7! zp~Z%InqIaYv6ryXsyA;KHhBA2ArV+oG#a>y2g`hv)v5nBEik1!+VD(|;@gRf{!}?W z)r(~#U4{!lnE6U?Wd-fILpPV|8C4ASRL*|>gw4QXZsWQ`q`2aQ+K`9yD zW`{IY^>KSmpkK?lQuFjkkf!50IMYwMr?dTAsjnDAe=|yKR{Q&Tqr`CeFE*(vS-}U0 z1MQYeJ-QXCu@i1sQk^~SgdCd79rtd6ptd3U7cL;@iToc`>wzz7CRflARbIlstZN4b zi2aiv9ab=al*z($$P}6Tana9o`t-nD3+bkUlzzv@@F^i}(OwJ?ksFcd#SD zC7Lq{xyadUcm@i!3Vk#8CfQ(TZQB^M$h~YKusg5>&s-@$@RfRW`#fDvQrj|}JW;f9 zzz@g@JKEzR4Li;f0Vr2o!&&}$J``_l!}@QB2XuIz4#ZFol%McGkr#q((MEcA1_Ysx zBTBuOp~BHd{uTy?r@B*p+Z92?qc0eLyNON{GT4ZyXUbJ!8s;c?Iq6u0^bl-iPgHmU^XFL=QZiEq8 zE6C5YVL|Y^ax29}##Y9i569kZ6soELSRs)7sHc;;Ru~&k!S7L7c5L$o;{S;r#XMRX zXU-yw@6>|2hUwY^;!FUWxKiKg|D+dNkm&{ROA}_NMmfhiOA}Lp9m_~oUrCU?dRYs9 z8>cM&dMz%T82l_F>AXQl-NGZ)69~-3F*z4_WAK^IhucMlzSi!Kr53(oWN4KV0o?r;_UX|dvIy_YxwNhy4RG=`8?>JtCq$jE&C?f|X zOdSfv7Rd0cj5eRcczaEzJS*qZED=ichjr3LJgn3yQs{ocb+0!QO8-8rkH`arZ)v2o z`Th=b{SdC!pxbVL4s!uJCv3|}$sb)m5eai{Gr!W;%FrdFQOgFvf!;Csm8XN5DcC^1 z8=nhM?Z(C9!;8?6UFFl9(oWz%M2nh_#dyNb0?xdXWO}Ri`~UzSg$wOcelV30mK7GG zrv}m_FP3k`80Mi=vd7>ipL`5g0~U2}BF$j61Y zGJ)%_OYnRb#Nn!$H->zpNZp3@S~s;R!-wsyP~D5Qd_!`p9z>@9SiTRJEIewB_M>v2 z;uf&LWgPSkOHP(2&s%*~ps*?p%vV}W^bBcdGP*e*hw7~FOC5YWi$e7-*7PcPxy>cC zmSmslHN5kXLL3-HaxZkLUcV`63CeMMq)uFF(W4mKiLX*YN%?FcVvVlD!`K*0|usK$JJBT3TLmjU$zsEQok~A`9^Gwclz> z+?`^A?j%r|=YCYY1iDFSTf43};>jo!VK*P%acA*>&OFL^LhtSaIl!%yA6IlAlN!{M zH02BWp<{X4ctX-i5Q3nh%Bc=OS1Jm}>U-Auoao0N^y4Zzu%H-0@2ncc19SQn_)nPP z$KTD|DBGj4&jN5^Pk$9i-7|#|BqbnqOqjS5#4Ipw6dBxr*IX5dS%puhN$8bG2+BEm zx5c`M!3D9OuN0%MIZXVEYjn+fQqpNGW3f^{lU**f9uPM6!=(R4POt{2lK*3>+ES90 zDAKEJ@Qk+#fgbj4{WQiu;lz1(=HfQqQuUyXQWL4jti=LB2$6$LzGydCS zJ#+MZ8Ber7s$dhKbNLk~osJa<>9+Z$1n3fw^r8Bv;F)n|?(JN|L~ij!K4VR#sERgt zkH>W(J!EV;OyrHj^VH+rA12S!HB*3u=i`zz@t*71PvS=U*b(}J6Wg{TW}^$X#NGRv z;~ZYnRVz+LQ4A)Hk*s{@3~Kf(-zg+`XRMyLhG|U+Sev5zOH63vmT>p*KsMpZMg30+ z2482|80IY3AOhxi1OtvbPbEmv`u?G?f{Nbw79z{VpJyii^1BI2d1Pfb!>_-6?8tL2 ze_Xn#sRMXQ_7q(m-rMj^hRtmdT-tTwUy=asp!kY6L8;Osb1v-2h@<|!^g(6YcsN=Y z3KJW}Mu2MEAgP?_&n8N(|0k9?|0uWw5CxtiBo<(rjnZ8|+86oIGWgnslmTT1(p+$| z{}an36Jb2?J+sR7yn>L1At5&X`iAt?Z}gW<6W(x`QOH+y#9aR1-Kl=Ee3OltGG~c) z^9>62URHqmx4qYI{g6nUU*)urqjk2Rqg`WqQH!obU*^=E8f~(p>p!kKKE6CZECt$Z zxFqH~9Vf|B?MKNc;Y1pg>L7~u->YEVpVwr#`XEti_^oPam`p?+0dV>w`i!Lvz}z>u z$87x`nXpc_Yh&s9>3-noBgTvU{-Ge_GCKvjdONaO_(V{}c3YRcCV|1rCdD(Gd334O=^g&9&09AyIJ|MTcSRU}nZbF5F5IKggQ?e_Xv~ zR9sCLH5dpGEV#QvBgqlwJyweCb;DXyA zi<|~~lSdo92C+XM?3*jQYD!iotCWrsNIxnep4<_T)jE4Id{Dek)43#IaL>Pg^YX0F zj543=J9?19r5!l(prLUa%I_=GvjXJKoCWE!Zyr>#kA;=YgTOAHG)ZsxUNLOu5%51a zkPYhr-Kso{Y9A$OpXu}koRL{5f!U2(4ob%F*9J;Q+ zHZf{%hhgd8DNwAz{USpAI^bcSU52`)&)-E zPF`Dd-Gwnz(cRkz5J$Tl^Ov1R{|Vx#yG4Y2H*YFOT){hA#$NoB{C^qYIW3#7N9sS#p-#2W^d2`{qHzWg5GE}Av0ThMd?`_yQ4n)KWFi0@-Szsi~T?&AQbpKR7@Y-g5 zamHqR({NJ)kEiI{{GKE(i{`|CEWuBmSfS513WwB=LT5JT~K~n8`Vf^`wu++a<2j4gkUSc=J2VnO?=Vj8Ea|(6fXqD-T66;O+45mGJpVE$67&B6 zftYfarA+U-yoj_s8gMzk=E{Y=*(R|5k0r+@xFP_u>O1L>xDj@buQLjXDVu>Afus@O zf!b^NkL{XCz2mmz-oCMv5Tgf7oH)Qnn=$hT@{|ssq$X%ar2u5MZ?iDxBBlRR)-dh; zGfC~j#M^tFfuxYXHEEN&uP?b>?3l}C!7{TKh-kYq$}>aOrk}z!_2obGKZ=VWm-Hqn z(PO`+ewvM{Sro!5*>%e2!N`fO5h?%(@OEi#V_=|d=pW&*@=kQ1QZ<%SA^d0+>8*FBh4x7B*foU^EU&cY&;te7CI_J^kZOh( zUH*x;9o}p_DkWM-%S1v&JL_UWw!2L9>LsPiJX9O#10Jx^&gX&}2{g17BX)ad9Anw#u zwOq`bcN^Zd8oP391d}K?TP|v!Rn6(&%>6+jhTjsGk@253H`w_L1c5ZK-=1H1Sp-W2 zwn%<8MI63e0leDtBlQv5pkWq>I8DAWKgDQ|e)4GLac5ol?Q<{|g__v`&L+SpYjAt2 zf7uundo-YLQ*k0ShWi_154i%cF*J)O85KhncWh`-VjRL0-O2)mQ!w?)l6s)vsP%Z5 zGpDO)QP&1sF?tvYr#6Ml&s4YUb-ua0V%M1Mk4sDyITsWB5B8!&ki@P@Rt})_m@!)N^$eQD&HS-DN0hJ^vk{^auY#b1=+Tx{LJ3gtV~ zgHU9DbmwYtW=n#e*ggmLAxe1u?wruN=YP-<8eP&Py}P|}ntRaO2icIQ8sI(in~175jN#Oc zjVA=CW5r7hqbysCJ}$(j@z<7H)TfKL_F>|sFiYVzrwwH<5REajU##^Jy`3Pw5P8Ad zH6YhEk}R?XfvY17+(1SFv^;y52Cwp7jP-Yb`E3Hw;mbb{Df+_%-O-=szF1jwN}-&U zorGpJXxDF=N?Ti8$1;qFm2y`ZQ@ez8vKa1zH`Ov7st*y>j53IsPJaCdZG#sWKPoT@ zuTrvtrm(+%`#?@hjO;XzkpI$@@cV(((@wQrPw=s~@ODGQZXH(y-A<$3lFGAT+!px! zbNK*vZeMh4(~PH{ho9B8=3*&9!9tp}sXt2fl`hPn&zuxG!T{5Af>ZsOro}_#O^P%Tm7H;qwrSOe zC86^|Q;b_BM~Sl!dW&^MUEoXf-O}TQ1wR#OZPcPL6g+}rh95vjGPee_*{X0UIzEM9f^B!23QBo9ClR=%u|-!foE?s&fSBODxy z-7aQ|UO&q@NGn`2F!mB2DH-H_H0!^riGofWzpiva1$LyxMXd4JBEnvv^z6AYptGSQ zcSfCtM0`hEv}Z&4`oYX{i+sISve{^#>H}0Z>Doz${8HOOuZ0AQ_ ze*xBVvY%85C_0XtC-yVT+>%wPQmxgbJA8^-@mTG)`hXL-GP0=h@^QEGQceU58Pbm zdNy2CyUtslk}V6Jr*giwl~_Amr6A5)UA=feigOipP<@V*G-1-`aVqdKrque>aEtEu@!^to1BH5ymZ^u;_iQ2y z(!p|B07*WM=MzCDw4{{#hCDmNv?xYj)c&en<8%it;7)3k8nI$UQqQbE7O z{{&dxSHNrlY5Hy~-d59f%HL0?gHZ~BEIx@~5@gs$)YT7=wP$dS9AZ=W z^_n4VCZsr2HVHH*l7|qbyYn~2)ub%!>Zp5pmHxZB?L!ft%26~C4@8&1epYG5NoH5- zKp1bM^wbm(*2JcU-!KGJQP8ZeTnQNIz2gh!`@`8RF}4b%NE!u=!HM({T-=hTEB%Y{ z={Oe{e{?qGez6($ryTs^`VWbv|BInhj&lICO@;m=3yP&6UjUVTk8}8_OzJ|SYLKk3 zrdEluXk?xjWfo0zEX(GrEp$90V|FkkNjIZO??=?czz&wBQXOsT+bLZa9j!&9lc*B& zioh2{5!-cFWHg(D0bYppfIK)-EIos!34>S8jM8lFOn#>he{$|RzbC9W98#xh@Br>H zs}OY*jvlfj;F&8a7#uBUfPQY5+c7q)YN4eKIp>z)I@W!RKkxH*AJS9Zx`CSdF~?$0aD7*z|74{@-YAOO3Gi6$9uyNq26!6!5qj6O)pbd>j*H935Q z{H6h%8k2OvqA^xNa4Ycs%_bxm70oQoq{Q@l*g%0Xf9cX^h{RS@Bo%gJOt!U9p>H1I zM~W~WV3a~r6kTlFy?OtjsgO_dJ7?-nloJhgXB5@uf*QSUcc z18$paJ-aB_z@9_4v;gduNz(T@q}hu@*6S(DqSfN<-~FQ${f5@1i~KAQeHYNQx$+iF zW#V8lNAvUbcX7abj@x=AJ!V{TQ9;{Z7#$#k(7E4vu0ZnKP^IisOV= z>X*|)$%D4+O$*UINmUd!&3{r}?gE+BnP$kvYc^Xgv1k@P1t9$=_r&NwVTdVX803{Q zw!Vf;W;L$5XPLMkwz-r(jCYR$#(FZ9Sf#Y1SjY!9v|dYJG;6O8UZoQTQ4>;&q5|ma=`2(p3bK6S-4p?lEz#)ABTG7u_oySjqzI~n#=s;}OqE0|9j1CgK z!8z!fdf2)1W`Jq;L1*^Re8VLe5XDo= z6CBLaFrFxA>b%i&#ATlnu-m4clKt_c(`*9M#RD>1BDb8jA;tXGVEne${U!PjPDJ-5>g}gid*AJ+pWH%;xU$Tm-szJ1 zD5GV7gY8ZfIV5hx2m)q)Nzt8nxG!?upX@Vzpuv1(R#zy#-ET}=hvtG{gnR2ZD%1@# z64KAW+KH|s-^3}0-kk*8Y0i}{;kx5sEjyMVJJIDyq$j5Z5u{{E++$~{hA83XQDwyP zqe97QxHe;XToNyN9k8lH)Yw{e9Lv|+H9HSiwS$K3ys3HgIIuo~8&2RMJ2;=73wtxc z!U7FpAK939?-wQz9M3zFSx#q9;vB&}$CV(#n*8@jE z`f1k*=)#opF@IgpFTmQGH16D;Rk2XCHqu4v6JgqQaD(zJI#O7Gk?#H{hRODq$Jq_D zy!O|>&S~zDBLS)rpCprRWFpHEGOm6NRJ{6&fj{M14`eA~Bi&lwA& zsk{l;;puIP&h?DxL@f(RmQjJv(-o}S){e?X<1QbI{0u{~g(j)`cP6$#?*+@Km5i~< zAh|C0h16jWY4VC-Nw{V1^ z72{#;k6-Vf+;g5YBmk^8_gW*5KO*i%eNdW{Zu3WYIgM`8Om0w?S=y<;i9&Q)ZI~GB z5_G%uK4)^fu@9%&>W_0i29A6hQ^~rePtgaBEmV`Co^-qgNaPNW$?;$JA}Dli4oU}b zTiy!%hNMbjxt|OD1P$khI_175&9-ebk73!&Mbdqd#Ek_{E-4uANmHv;kmSfU#3zw> z^rA}NO`;(bFP)SwHYL&O1o_gL7W%Md{tcrj&5`x9C+DqSFB%(QJg5*vnnT$ zL|o|cDs9zwwW%%JF)zvIbvD5Cc4`E*MvQIhvNpsHCA}h0N<91sBTJ_xd*!UtmvP(F z$Pm#65@Wddm98BUBl;{ zrSV{9$B8D7uOhVcyrXft>m+D2`n#2wL(n~3%#Gc`C|7!UXdpem_cSs3Q_5(DS6Y`T zd{N-?8T1f~v3)QXmxyvkdEH>)p6E@~@TD{SMUR&dA=_gB86L?kTDaQUpb*5ClkQEk z-$bw>9;Kg{nh0vlF+O!98bRbFj9tkxWGhXHyBf|a&nKj>kn~Dy)hJPe; zPtz~)B)%h4f87Trn{rtti!pc=i)L|>jRI`b1V4fk$J3o-cd`g)ab)EzwXnpRqxnls z)5WG&lsx7mrv7m7pB4&3X{O`g_bp$Q$;s*!V96`ifdWan{w2#j1Hoq?sFrXcufxpy zw|t8L;*{K+!o_ORd@@R@c*!Qb2M-{=ya2`Vo_5*Dl;>AVjm6z1_DJ&=-e#}$gXbbD zVDgPwLY1j381qC6?t>JQ$|}PmxgL4Z$G6FB6i;<< z3fR){mEtBeN4u3KWaMxfFom0j2OIxHgP)!1$==76tEC+o+WD~tvkFK6s%reLLjS6m zEyO6V-1#fXTvUQ=LPb*QVu3k5_(V*}C>)ztOO#YLZM-kpOF;s|-ee!54dv7qZrpt+ zoYlZ055u(Ijk0GysbDG~_ABB7(h;;2@!zWIo@^vS_Uh_x?gg1&u7)-fBfaI;L|%k# zsp84?e$;yTBu5TQH(fiV?I*jm`s?q`NK!J^*2*m(WYvGS`p_=C*LWuYk&?^fqx?wF zGx9!4s@2g%_L~^NTJ~mM^wL73jc!*}k+cNz@WyD92|0Z}z&&Uan}o-wr%jOvF{9d@ zS*fKzdEp8#^ug+qE@Gv5-$_CRw8HRfE(z6>U2ntpgS*)d6Cq9OZ^+;)wq&_Ye3#71 zs7Y#|fzDQA3GUK7ZCRIeW0Emq!GJnQNFRHnL=9KBvR@(?u)tCC2Ww`Yc1U2QulTXC zs%dncgYl@NspQ*?;Vodumk!U^88cCHjP1s1K%M6mQuoM1&UcY5Ia^?P`OVgksAs?L zvEf6_eIs%y`A6iGCvRx&U!O1TokNsNv9u!*HH@c3Yz5Gv>_$5`tR;jP&&MZW-g9hP zs1PkNhvbzDX~S;~Bl8TMey%a0BoX90GxyXBMMr*^*PCPhWEMu~F+e@anSQaG$H%Iy z&Lx%k85;ACZ{*xTPN!x`&JUkWm18Sd&vYD>Mf%w<3q!m^kJdW7)+cCAjJ~j1r50pm zUXP%Zdu9$kGEivruuIBM9IA^_8L0IvDFi6yB3fBPTj@NiR-?KHFT7z?L=goELecy@ zC4}vL*5y8LBLBk>VHW*+pC&YAKSM~*4~@DL;g(>Q3p_egn)T)#K~Dd5U1zA>hA8M4 zoPuD`81gpw-~E0@(+V%J=sag=<)KH+d8p(uubluCOq_37Y&^}74t&nRl=SV2I24PJ z^M;rTrpq~vc=>a)4)&xr|MR4W{HcV*zeU#*SfstzETgzG$07&ix;)optr5|uSxPOyND*Mx%E3Jjo%pIznPKT z6R8t4!_(ab%T4#XO6~=Um%k3^(k}f7PBg!PGY43JFE-zo@5THhYEgz@555s}jI*GF zm18qS3~hb6x0$F$^jIAso^v^;a1whPCC8t;ik9Qv{(~Cxwy1J7CrTXbMdOPVA>dX2 zkmcO;B${_^9s=gg5|2-PN(aZPnZGmAsg&L(P>ru0Q(ty#@@kg|Ni0n4x9Ii!U8>GQ z2x0Ah@$j*q;~yTYe{Jbi=lx75s4Z03zI3x?7`gBJlF8$KQ*mwko}_h!5Tjbq|CQYR zlk>JEV?Q4Wp`AJ7sHlN5Tm{R=(;`4=VwN2kFz0)ldX za{i4mTh3{548QEpf>0%Ilq3faRHN>xa4JP>y`6*S@&NclUja`t738B4AWnCfogiAP37Br9>;10ohzkp)7c-bY>bRu73)>)FlYqmG3= zHp_A0Fv%ECGLQJQ@DqgjmX{=+*yf#s0EKDUo{b>#*%vi>B^}-yCc4JXk|raU%vMw{ zsU$*<>e#;mq1P<-2d5d>wYH#7yk?Ta?0*wSz9B!d!mgH}Csw;7lI^kJWJY{_GtSug zbmdW=XZl@$5v}p{{XO^H#O79dO&HGCVM(@CI9d590vyDZoJW?|zKp{4q0L|EI&%l0 zp;_-S7feSlilsAkhs*W~TX=E_U!}`9;0k;O3~X~KnchPk>ieTzPhiiPoRD0l3IzD0 zp~+J7eId(t{+U3O4bg*4)_n-as*LdFuXep2TBe*|P>Siw*r8M4J|m+S%l`q`tO#AR zjD{x}F04|J*bngrwTON8?QOs-d4N@mwuh1q4W-nS_MmN;l({al(4%g94 znhOiJgiWaNs>r2yN18&V=WDhi&-1R}n;1x0n3^taQ!6E{=Vd_FRUrS*unS%(lj%JU z8_kcG(DIAf49(tGS+v`N)og;*D%woooA>Pcrb!i_sXOSlj`S5*@$EgSS3NRl%zR=q zU6Ffr{loqxO?_-I(x89+vzfInhMPR)DF1J90h)+b{=I_!q*K}h{Cz(Dm8sZ+$QByA zw6BWa;7-x`3mFRH8IVWT7U~V1X4FQZ8CJpUKlO_Z&)ljBIR!=j4zRi~~(~AI#bt)(Ha2FQp--zz%#*{x(3}MO*ZpO=kSPA6~fio-hvXoJh z479Q|kc;}tN04PSOvFEmE^2g_9N4X@sMi7|nn~xAII+wCKmjtzTUr+M8EWGe)FVxV zS6JvOXdf|*5O;WMK^$qQIy!#9X?#g9!NL0V+-BQRj(&}!jw5Xy=TTxZXh}fvd!%?8 zXJ+ePnn`eE=eh#9ps9@@M;j!)lJo=_zWY$k|A|KKDe{}sW%JKL(Q|*?@eFV2h}Tbo z%Pn$zNx6YVi@jG7>&u!&m8=@ol@pkN-&e1v+LA|jXjb$7xCyn!*u+@XvS*|$$ciiV z_MlzY4BAalY#ifwOgyIEL6?)ygv3oMubx^OtKPxc^;{E!vPb-q8J(0+pujw*mMd8*Bv_Asyk_d;nLZEBmVZUJ=xXwBz=#g^P} zHvW=RpF31(PGgUn>q9uy727=}!aq(M9tqW@>@6mGBhby0TGMA5ylXZxX;4h)gDBV@ zm-B=G;!9TUgzh9n)K+N~)(Twk=5dip)>IT$C8}|}X?3_(ec(p8z`RiHYc&HwFjlg+ zCZ2q*!$bg(n8wIyQ5yVtal7=jJ6YR8#hg7n*C!M7U*8!ugHf4S%x9;CV<|5^PbbOQy<9l+yJt z=nDxbf=8oj;f%3S+&2_`^D32*5yCXvdr7LKY$(-V2Nm1;<{q1OUBcbc*9eVLeTdtKZPH0r) z$mV*1r9etT!8l4et8i2{tpso2w-Qa9D3n({iLAi6V|TSVylU$5aF4F`B! zVEwPf9J2fhlNpYpUFn0r-Z{$iqs~29{$(<`^%@H(6#7r^_w3rE;-bok8Kg$=x-!(q zmBD7fS_ODg?ByJj5ingaBloUFYTRY3T;K<_^~?sp&`S%OM|{jg_<8DVR3!tsVm_`W zQdpS{pRra)Wpt=2i7Tq4oa{pz*=dKR-dlIJ`iU6H!$TL0iealW22Juie=qDL4O+im z1gZ!F`Gb-Hw8~Rk(@@OP_4!|V&?PIf={P;{Y0h>Hv_9XWpKMXWJj|m$?t~BOvy;Hh z9w&yq4=((I^Yb<+Vk|ZxBCfUAl}vI{O=$jRjg33iv93zSmpzUDN8B@FhU2<^#&Ao( zm||DNuQbzJ@>;d;l1%w}xq{e{fGU$bsBQqn&TBcJ^qMVly&yNOcbgEV!!`pMJOVlp zVj*Uj5!>>O=B3@nRW5OGpzszhNwtE`M(jMreiakJ!wqW0=b?D{kS0PEVS-$$%}yQj zbEpO;NadLu*W^i+MtxlpioXvBjXC6+LvpOIjxwU9kY2(=TeA16w{$!UwnB4_;EJ3rn;kF2j!T-uckJiKMk4OEIFrz}55>@P;e6!_ep z5%&>v3(?cW+}t~$``rfjdw@I6wg67VJ(jm%Gp}PKcMgMCj}#ni_%p76L6NE4+d=%Z zfNZwyPMu2fWndl@wFZMY?)bzP5;)|QZW*slU`5k8FQhy?b-YruzrW1kJuLUXl86I& zpmhsheW2E{)wvCQ+wo#7odx`(ad3lgRQC}36cKgeH*s7r7Zl<&q&!BIb0?pNJmLAY z@<(Qn`@tp8r7`wR6znxway`amv^3ciGYl^k6B?^y{#b)$z7i53({$?t2Ld)=h@n+W zroj$R(>K=i=3ueNWSz5A0CPZ?46QHUq-QMQUy!J$bCeq3m*)>Nzps?4h*)-AB? z{B=i12fw?w@PwXaVDvV$GkAKU;X$}YDYQjvr6TJ%{!pzCD#tYk4zA_c{I$9x=Z=S4 z9ABxi+r5ve-YtU6Vt+u@ffO6+M7l*J88m)H%a~iCoceX1Py+Pz&csg~ETN71g(-fP z?~OGfnAJECBMEogmze~hrFRp|N^CeCXs?np(D@+66gmq)h7;wNBG&Z=To0tgo>?%; z5RBLVGKtH3=HtVq@f*6i^$sSncyBArJ5*|57 zuZPEm(eN$Y3Fk@9^sbqCucF6*sx1f-Y*6pYvh7*F>-U?6 z<{`?Q*vPlTcW*1?*nxbh0wBa0QICa_Kd^ z`#*bK<%Z)89QmGqzHz(fzmZ~L7rWPn*17|&kIJF08tuOuk5HpypDHaRAAs_D;jQpB z2D^vm&IQyZx5^kbDNNm>D|_38Jn*D8;AAqBI|AS6ld2d7LMxQfN-?zE|FD#<%k(Y2 zwK7ZmF&TUhi-gq*5lT3{^~I^d7D%T$_%`+zf=xzC2xYhrd0K`uttDOW47b z-1)oqxz2LygRB{kR_GJ$VegAb=G@(NXP+w5juSJLwzw!~uHW#OqKOb27EJ(B&Crbh z5Vtx8@x=cEUD<%zXBSS%hCYgPu%Lb-70{;YR@s=aMB7LgIi7^!Q!GK`6jtS828GBE{$S%*TTSBB{X=tEf$@G zH&;?xMfZY0XrMAdW*d<(+TU#2)Pd4AU8EE(*NDJZ4y6$Bl;1vhl#Rc;I(wT%uCkWN zR#WEKd>Cr6%1OWGTAe#&TY5@h+Sd$nV90y4y`S0J}RYLF-^8KpWAjvmb~C7#g1sMuE?|&8S-~jD|KrS!o!r^dX6B zZi;U&3S@FbLp80qvfKWl##U(ixgQWVP6=G86D-KAH-Kf5-^c%qO{Y&lxgwE8B}$M(8# z$hJy0m0F55Zps583Bl>rP+I?)_)CyG0khA(<1;fUztTRS(_HVxcJ(dg3(_Is0Mso~ zxP$TG?9jwq_ zIrSJm4PK9c7>nr#2!{*2*zk`}$9wZaV!ahP#t7>7-Di8|D5{nRklqe1nm5gWIehe? zw|_UH3P|%o7|Y(aRlkOPBeUl)-G)!%TlalqM6N3RM^)VF3_ST;OT0I5!a3s?k?q@R z0a~{{{!OvdOOXB62TGYc?E`DZsLb`{I&O5iwY67jV_p86f6vwEj#rnPkcL)=zAg8V zLduhCF8}Aspy^1LZ!sw|Bou-_JY&Mf=2y1fp-cdCnYsS-DkcvS=3D})+s&AqN@2() zt^~KlKGjOIjm6=R3&p1h>35*D`mZ#k4}?SIjj{mGPWA@piN$hegxZeIKD2Dzc5|A+ z{p=2!o?lks`&;$yU(0^0zmTQPZ5&-n?xe_xexHlFvIE*&XipYO{Y)h-O68Ug!K`z0 zJ1Ef)i@?Y!vk_6nFsH8hlHm=*Rk8Ey^44yxIa)`JVzC*5jiSHkCXqQk^khq)@6wE5 zYAVpe5MV`{0WydOxn1T316=FGZ;)#H^v+^;o5L(Ugf-zcr}z5WZ7qse|UzHdB~{;62XZO6BeIz8}K=p>!=*zD+a^oz{}@) z(i*JHi<9PX%Dq{jY(x5%gkKNxTj{W3!}%B9`t)Z>YBYoE_;;*xzLz!8kVRX~G@#h6 zW~sDauwnbz!S0YKu!%lhGc?`wm~;BZj;|(em#WNbFUh4p6@>ZeWa7iIKboCywU+3^ zLhX@JOo?lOZ79-Z-8f4P*%%uk`BboS*g1}uPZl}8VLcdAjkyx><9nxHMk8JF(BLwX z#(8^qBJ1h7Lz2fLgXDg?Gi2G)uoWxzf(E4wDlEPvO=g)~2pl@%+&cHr)D*a%CY3@4 z2hEAKSSH`kfdkrO4?As?Hs!7<^i;Zpx=!#6L<5*~snmU~ngtt>!&C;y zscf($V%TCfjh}LCusCy1)&dks*m~Zd*{wk1rF8r`Tf6Oe>ZI5EYc#BJdbForyvr7Q z%?Huc*-6GQ_(P23_u5qrYpFzEIi>G-3jD#pi);H$6{Tm2{%@~cTJDYZKIid^cyA#6 z{kqm^-Pg^Q*6EEDL4yj`i0^4M%=#JL8w-5w8@q1PboP&zIDM7}N39qumt^WYrh|R< zK>AFhsKC)f2~nyV(}mVw+N=jZnQRUcJ4+y$ThNt$z8v#O@VxeVdELq4WnA)c6^Pca zdcj=h+30&Z6^bC zT0dMO+t2cA2({6lMH)Y#&lEUun+X}pQzm&YW0M&*$VH`PCmo7?r^=c6tHWxpLvOb- z?!!rXAkbvY%bx`8X;BgNXVs|mst9h}IOopetMAQ|1EVi@?rsxP@?Gq~J{-Ws(`Wk!e`P%m2bKcx?}Sl z-+z#Mgox*yCvTF=9q$^I9wq@jnKXeaq+@pVpQ5}V(co#d1CS|+X0eS1jW7dI^|ktN z=4~$1AFae9D*Ppv8^9L^(=oUimsGjQ;;&?yRa}7COxHgLPU}a?RK&g~%fyhr{1W!& zmXlCHJ{@|Y{iUN_*2Lgi{dm-Hf3r&Mt!z`XO}(xlWV~$fwA7(-+3Rc}ql@l8w*yO!RvzRy^@)#h~UyPdmc79f(lkuIZw$yA4{LGVKOdq3QVE z?e5lTGW!*9uk*EiCpc-AJ-la?TDxfhk8U0(_M-Vv)+y^^t4DzLjy+E&8c`Nv?4SO6 z`G+F*2i?+#rI^QE{%@`NYTs$GJ_rVuL0C(duvkKb72vYQh{?NoEm0%8pB@nfrr)|d z1t;GQ(OCOq>?a?oU85;2RSh{b)o^`vW2xPCdYb8;P?_ZVnKQj*79UEPqptU~kf+Mt zp*Ou&NB+WebFb!1HK}j??KZwtoyEUGJ(L=Ln{~@MY32b}eOwtcQ|+&sd9g3*5CN=? z=^@nVwge|rA4#4oHClRA3^!SiEXpYS5{{nxp1WhEbztcDfHTj>&=Q|<##p~#pL?Rtsh2xOpOgh*#(b$LbeA}~I<(Fl9QqeN zoTzFSA@BpsS*r({k8kmOkZCV+-P?OtBE#_VrLDKc?=MK}{76*fsRd2KWBaCmPv=Gz zCZYvr=;OwxEj86SW$RS)GZ`iqC-;q=MVAnO{6$nt>VXs(8(nQ*hxFeBdyr}pC1T|Q zvws>Uz{yZtlsI0xrC}o&ZC(`~<*k2pEhd)uD?g)_3pmwGd!oN2PoR#H&Un9z#3^MN zO<5GXA@~WMP>8h+JFPk`# z&Ig~|c`!ZpFRS%rxU{99lix~lEx;Uz)_gg}Azxey?8+5oRr*3Ke@Lo5$kw6b&oKuTa%2B`k`FHw z*w(5~;tl53suTs>oU3XLV;SUYuU!&k=|%AntBlnvR(mxOEe0Sv8^b87@fc5(nq|pU zeLOPMu4*)NOsaohQY&|j)J_qgdWCl#WLt3o@7fEL${k5srlV*vFcR0rEDzo1ai8;q zleVwC56MS~Xf=STVWKfUZ(dGsKDX#r!?j(~Sy6_RD93UV)~Ri7Ht?xO)veX-TJwZP zhqchEAP+hJE_i52Ea>+3(p^#& zXnJUnt*vY^2pOY;u@42mQgT}vvuWG#(vC@c6l>q|#1%-oTGLNwL_OX491~5awywTB zqDkTwk>9=>m|%-}t%+fd#^_+omy`@>7Zn+I@e!1^>~?6K(}0lHINtPB{(df@%dRe` zTO1#NU9HJe63c#nW`3=1+Z6#_$lr9+@EHb~P$GO+J~^$7*@%m9A=A9CHTThia2|wt zE^^pX85JXy^4gW#qEA|X2~Vz50TjTP>5FWkCfJ}#|1+qqMss?QJU#>8x{YDeTtnhoOF?uBVKwLZt~8W=!Jv3B zTqn3%%n-BmoxD_|@-@QDvfu*#+&BIe1E25S zXu3PQ8D&=4B58HBI+noz-i7)G&c$dyui#zXQbqMr26wU7=FpTGoqt_4ycOBy_J>KM zx^*tcf|)D@yDsQ62bT)y%Z9`nGtco_m=V+jB{Rn~U$L(^G60{HO@$LfBGK>}*9(%ruWR$>vg;kRHSBlVSMf9Y zG?ddNrsC5OrK;*d-%+$I+l`HXzZP#3zeyTu?)6d(dN%R>yyt|M#;%*QDfmW^m^H{J`sKrD z-IilAgbSqG$ok}_r7spA6gpP>fhDFJ?F;$6c`d^3DaO>E;87zx_w{7&a%tauu+zx@s(BFtT$7FkQ{wBv~_g&br)H^Qhf|aOXZpA{Y84xX2u@yR~MuP+HW_bBrBB zzBTiG+@2*%Gm`Lbs_xOr!fs=7lWi$;ue=!&RFzD-PzJM=Qe0_?ck*UEPP%t{N8b00 zbM$jR5;f?EU}s^Vk)SZ~3l1%!ft8cQJYfkwaX}!75LWz3L(G9qBdcj3nZEo_N83QRhzcJB|oS|5FXhcjHCXRNpQ>2CHv00K)IN4hrDVopfl9LXUH1kVP zdI?Uzws-VEf(*V|93e3+?*nfwNsFUz`TakOsWd6Jj9%Z3JboX{rZ>rmH4+48_xUc4{`>C8kTDEZq7eRNVY=1wF>MH zI_J$CoW(5!vc zj84K5(`IH!mu5z=MOKFR59NXqnNizt^NzLRasqcovoEd`0*m*adyO%*KNYcx@`|}? z6V=md@cz8PN`uv$iYbN=iwInV!$%PY!$$&uk(?@C1u?vsC5qlNz6*GytxX@Qj1<-4 zSeWF}TJwvJSMJH+A~(=@ZRAm|d(OEkU@%+JVvUq5Dx4w?F4ER_%Io)PmnxoXm288WbxV3mYXF$ zdHUY8=2ROm1@wCGoWlX2FPTE|L^ym&ZWfBm9o3##=8T&?$NR()th z{1ZCEs3LuKJh#lcK~Fi-ehJHmjHi~d@7+&wEn`BCNNrRcZz;LLL&Ca6f_qb;r;6XS zlQU%?p1MO-yr-1-<&QCDHcQWKs0}|eKQZrqh^g)jyJxVvt7uJYwQ$KzoU&*$-+`3Z z-AUR*$l#C@4c`b48=4tDbdh@nY}<9Qp+7T((Y+?j_h|S zJUT5EXsCVp?R2u%*CS!pxcH_2Uf@F=6!?VuIAB-vAYEDO(Qr*HUuG=C8`N_YXq`8? zfP8`1hPp?M>(%v+cPLkUK_=5@#(F=B=y7+ ziN#1C*sP{1SdADYh0GY8>+SiAIlqdoM3rGANuYois+z~}7?SxPc4~tx+x^pw6CR#l zEgF0HtdIZ1V;kSR$hQ;yGT2A0_WaX$K}DYRz@j%$dWl`*eJiU_L}PIVyOi-5Wx!#$ zt~?2qI||Tj9NA1vmR&y0ISoZC^z>czFd_tf1xZ#C_Q8fFv&u8a(Zxc8Wsi)C zh6oE~WSW?$-xvG~+@Pq97d;g?ON^cH`%l*m7>mgnUFgJC_c0aC>xc3>XLu7-uu<~m zo0{$WO!UI=-#F2${&~)MD-5iWUE3wC1arSgRV=2TbIm;p(5Y@3TA7%fD<+5)?4o;9 z{E~e!UGby!{!{~b-^ou$JKGkAS1z2TMertZu|_t?iv3fYFY@4HDh0tw0GS1nsa?-1 z3%j9~2qM!ZdQtu^Ixhnb>bi|Y&e>}*{({r>T?GR*7B-P)>o$4teQE{4slQSjjU#ft zRGzyr2`(?%kBf!ToXWdH$t1?)%K~GW=Jp$n;FBowpj>ktPNK{M?wXD`1aoaw(9h)Z zP({?k&Eww^c?xac=lGSNpu$^F15Ao)K|#i*27lMNa-i09#7fu($VJ`FC+i-tE58 zvAI_3)y8`9Z0}X;U+!=%Re;-=jrByzm$H?UFV(7pFK1svW~Au&US@w$Wur=Llx z6Z8lgcphI{&RkPw`nDvQtJgl+-dQpKF4;&=OtJ?P!7bAoiyDjDF^OMAW~pDi-$E%c zP6k%L>X8@lk>r_@nI9Up<)3sbZe_+-KQMxkML%}_S+twD*eoP4R^lOlxv&RoZE9fn z_@-FFNOm;&OMmkZ1FLOfe_MXR9nZ+13K0XE#LuT#MZaus-2wD3*bRdVG)>tz{Dz*$ zGxx8fpY<~t*10kuy7XCmXPS}DL98=4C{zS3_(o=9v--Z_rPvwWsK|Uozq5J zEsniJXUKcHnMJ)8X9mC2G0}#zGLyT#mY>&)0cUD=3$s2l6ajVR+l`@tf6nH9UhiRC z{oo_>=+rJtdm*+{_AHl!#$|tdV&7$9mID{nqtUC(>0!HJuhb;@xfP+uS>rK`?AlX<#^*1~Mgpg{%4$$2@6Nt*1xf@6rFBn!ax5>K z?eV+SxiZ;pyZJTOwwY!mRqS9V`$#NlBER$x{v5-m=VA_LfO+p5IP;3s%&-FmU?Qis zK!$SfA9bzO@4*g*OXst;M$AWFJ=->;XM_`?PZh^Z_Y3?|%^gTfy1UcU!Q#JZTCZ;Y z=&R&rrAohNPJXgDW7bwmQ??snGrz0)(VVVW5VS3IbygX8W72vBRSeYgk&vo?7I0Hz zl9v5L5VP*j%yY@}l{b{-$Desq0MiIbU5oGGCJ!`LkKcCRb;JcMNH7obyk~g3f43); znq&eGLp@WOkTBgJ%TR5MxihjHkOYT2QaH7xpo$5l30>?u z-C5>-oI_6mc?MhhKHf6ER-EJU-V?q2dAIi>-qWDs@%)ZZxjFA}=wiq_2MyOVuyR1# z-Os>-E0F_nn%G`mqilQMx|<)HQld}3f4QqD`Xio%Pm;F$Vi)T>oZf7`%wjY%ebA}x z?jk5^f;}*8V)8bqO=KC1iv?A1`k?db>gUa=Q@~Baw07lw=f~(D__20>=CUM3gI}=>koCwH}ZFLKLgJnzkc|xCcuHvx2{OBkETRpTNtkScos87{$olUtN zJa4<)9ljerz4IwJm>~BKge@Ma`^;T!4(%5CHiYV*gokcT?_+3>cl%Ib8s-*^eHL2N76ib%ZBkJJ^taZ{Ov zo=W^cHQTZ=`+B{%;_t2fZf~bnhU&iBT5a>r1>8BDUl|k^!pIN_lmK?BAtgWjE z{`t+%Ur6#)eRigO_-8+jFT*>Xp9?~ZeHrD&tx0yDlfD$$auwAEZr5L1R?t8lG3}Q& z_PeGQk3U5kxyBgOigAD%wO#WJ!((jS9c6DPpZ`%AfAEIAs*U1Vxf(=2__kQ@UgRBC zlcre<_LX@}@2Sv=_q49f%w*#`4~)Us!{4^%#{r+WZmaE!@V{S4=ogl}9>?(?zk+>v zISq%pf7^J@L-|~EhiCZbx5W~D`&rAA#wpXW>{pcbaQDex zcgxDn0a@i!9ahqV!LNHi&c48HbEjP%2ufafvJwr-2LCx+<{rw?V(;B`*yyz+Ox@Ai z5&9T8rFQo2;TaqLN$+-uNd53pi`GEQp;KkEzj(RW$NSr%T$EJTKlTIfWNEK07Zkkr z<8Fucys_&o0tv*k!j~&fT5dwR-WX&)r*C#GI?Eo||*z6YyX=55`K_ zkk9Yc(epf&a56ocr1UfQT@_;feRs0MQE$#Pdtklkv^J-&@9@N@K|nsq2x?KpUQq7P z({>4eoq0F#GclqjrEbOi>Dsfh>BYBanX_sAld*I1nUjxk(x6b09OiDrr@049LzbL z3mV^DNqSma3FUM@fV)X>6WK{r4!JaVUff-6tDLuAW(-!7-F4LTaZMZb`M5u>Xsg7( zZ}D6jXxh2rg88F3>-Ubg_3PpV2QueAlnrF%PBGt6QH4J@Gf?bUe)wYlWqhFD znVkL2q~5Dh(Qk8Cl?@KkFL$Rg=PXaLM}*ArysOtMUy1I*R!XSuYxu$6-&QUzwq1vE z=u_4wVN;}?rW(~4jEnPTksZn*-?9*%H* z`f?dwFWy!au|+<)dff?>*UF3M4SEA-{5h?+`r{fluB7f(0Ic5b z&CM`7_p5lP#arLmNYU8OFF4qc`i}lI6*ZqW^h}<_oPzPqX2xF6FdxRt)oJsPO~x=Xe(%kEm1r z=Wgn)KErcthxWacOdq%2>z$AXQ)kzT$D~+5Z7?v3E|2WFHfmT@a1=5Do*6AU6H6ku z;mY!UbzS1ORDJ6D$}k=0@=0NM?1U^eb82!Q?7xqwp5FyE$r~IloY9@vqJT5}cCq!d zF%Tocb+rv4!onx#yu}+ln+)!Xw3GT6JRE91O(^HBO!VAhR!DkRuD4QxmAqHgxwyFg*_f_bFP%KpRg0T%9sG( zlbniG5#hQyW7@qfy9bG;-Ndm~H3AKH>cqiE+*F{h_2V5nYE6{>32e0S4wMW8s$dlO zf4)lA|3fmOsMS|s#+Q}NUzl6&cH8!mjz7dv_<%zDvj}9 z&N%8ugEm_d*yBMtC!zK}h4owDBYXc3nVQ-tWSL6ev9DohzN}=2nx-`e^K{;`Q#}lc zbvN!`K4B21P`;yhHtSE#?dL!2#8e8~Kxvg3Q(xmcrU&h^T$m?`6)&lFqS}}ED;n5p zzr1>0q#Ds~Vbd%#cEtTdu7)O9iV+5RGHt4BW85qJ)bH%40RJ7n z?-#lz{uXj!I+JX>!QUehxvbYI=ejZGiSdE=l$=#hn^q;$u_}vWqW5_+bl7TNGywv8 zAp9t0&4%w$zjc$*Fs=?`B!t0wl3LclW>OHW&TT}iq9^SLmz{iBmY&?2qNPeeub=K% zy;CGwYd^#O7|KGce`AoOv@QPqGjUGNeO8-D1b;NnN=ipVBzw(VU1$e2v7Kn*td&YF zrTn4s#7dxIe${-w7pJ+T=H7{6?;f~=jwttiz>`R&mYh?L9v+=U=@@_p^c(T*LA5Lp zv9$(JvTl1g6Rfu5CQEM?x4nY;PE0#|4R0{O^$2)D>)gs#0=Bv|pI*30xses)LOE2* z4s__5q74cT=)t>c1g?B7vBC{av0aPs^OD9QXI_O6Y<9ZGuJ85A4(#p)JSvnX#QN!;SYdZsaG=?iJeP&oqNPU30-@G?Ce#@_w@mAWf!*v3hs zPjDUqwrYpN_ILwiQ|I%)30OQO@@M!m?=ZNL^^P#*p5vpWx(h)l4}3l!0zRUrBjy%W zo4Yk3Qj2AAVan9K7r|6hE8pu&_K}K(o*FaplkZ+tojKX}37!2W_NK>L{T)p_knyv` zr{7-t6+TO5qr(RVP@Z#D4icJcPj!5ZUD{5vbkl+s`AJ4N{ZqPncwt{%R-R$v!^NbotZK&j-w^*bjR-p zo?>1rX#GoN@xOjpr*S0!IHI9jzb+PgBoBmxL1#}nrQ1pi04)=+9(B~D#7%^y6$CDi zyo&&H?qRvkZI!FC3@SnZ3$Uv;=)u{)HDPh}o>dzS6e-;kdIPb2tnbEPEGT8J1OuAs zf=2ZxivkKCFU^1#lle(X03S#+0*Hkw&n*pN=$J4QIaNKapNpAD#&>fVG_4bNcQkQ8 z#(BZY(Uohj``5G?El?Dy=3^#b_PB26U7cj-5#XrGIQr#l06b?Vq`9Ck5IjUNRHKm-I4-Z8t=Zd_6ykzo~hq*}hvT6NY$r15X{0ntc{&)e6 zEooXZy^t&p0u`fgS4}sXUH)Ti>j<0}py|xz^n8k(J=)R) zwTjteZKpTBv}Q%95CPjMx+gX&^>seYvDpZ>DsO zJwGaXQd@bZB4BAkn7$>cCCzE5`In^Lrch;>4n;d7aTom2Xi;?X77sf~yS_B&> z($>}^)wbYI8u0PamG(_$O6y}jvqF+bn>hBWh9HYyBK_5OvfBgIimN2a<}?rp`}VC1 zD4FDe@Tv4)vgGYz(urgI0^Q4dy<{+krz>5PrmRE>QTR(Bm&And7wmJ~b`TbaZEfI( z?|6phEZGNGRT!%KuMmJQSY~p4BcWwyX%jlfk!Tm%Y_1;T5ID=LqTGQ9CA;LoWX9EX zH?9I5_WQ*i?7i@okL*{IpLM(BTwIq?2bHF^;_TYmUN{Z1mk6Bq_olXFrW91}lcv%o zLjRyr5|d-;dv(<8uS}5n?VNtXT5s-Gx<2735U9pQXSWFfsvJP!{YIkD=33apyV&!y z6S_nV;CL1gR=qJGQbIajs>;vz`RrDdo_Iz3I*TNhKL@k0i&?&_8|JdIiVXpd>nW6` z>ehu%>fxVsl$j!_mdh_oKXiaD%EU=ja}cuLTJmZ}sK*Jbs9QyCt;VV9C((dr5HHei zcAZiOTIVF*Que0)Vbm%!*rPc8a(bL4qKzGQLiiGdkdG;N-&Mt&42KCOW~I64$)?*w zAz(1dMCW}**z4iOqbnl{$oMl43$Z}8+_3P)aF4VpcQ&z-1K*qv*_>^giaar~AHI>xnQdtP? z_X@rjIz^jrnk0LDy-t#|rmHW~kp0Me&gj0CuU)%_r}z$+E5rP7;R~u9$dsZ7#6kVu z@C{j}TR#Y~VN7s|XRJg?m8dx}!G%C49X-8iQvkFnT+0#oY^#3TfntH*UF#>ih;_}iMupibm(zS)p)6Pgy zIYt*k-Sqhg5`!EbCF%OntGszmn5wFcIJIt`WJ5S5*o2)j0%2GjtAeL}OOVYC*0NaT zp;R%@Zqga>Ysp~{Qn4z`e@wD=RMO96pb*j8(yUMb><%CXN0D2!q^ckT6US<3w|b-+ zC!2tQW5Ny&N1R*@S8pFwo3VGj2jswzAN>cOp>Yq9d)YrBb@_xcK)GudInR9uB7CXj zuv4i%ur-8*9P}^if}ebDb&OzgYI>AeZl?Z_@b!p~T!bGVBNf+VJMc4uY|5to&KT9v z-|Xd(GCuax3Qb_fbRzXNsWR#q6hsFESQE_}qy`BdtCk z*CH;MqesBDZMXr{7Py!UshQbghEQKP9k#!84tjm0it z8>TdiZPEn2g)r46%uU2UQdX|t3vT`}Vc#S>Mpn(0QOL1BMD;iYVQq`2o?Or|Cf~Ea z=GKpG1;PB+yyso7v6#$IgbZ|z*FWhxss2Vd$peT1T2*DVg^7E%7%!WMpb(SIoC03A zb?o@eW8G%#_8YbUMXDb9Jhu_o>gG{H#F z`y$keT6dWmwBaJmvLxMn((m>_V##Jdg_t}CrJ_U0=xb%yYMs5(-ZQZYh&XcZ7<9Pm z*Qr)Cb!Z~vA~-4gc*8?;gY0@uAf(Xp6tawir}0<@E^E-|g-`GBY>e6XKCb=iU@xSY z}7WUNzd;o13ST6gE*-U5c|?(!!Q%fKhojJiwK0l z`deKDJsBK)ce5#Q5h+Siorb-vDP>M=N})2sK{3RW>NtM7&GX)Yh+p;%QJ*HJ^ivT1 zU8x#QbNZK#KhAtNcuR?@p2csmjfvJi3?B9HL%hI?Qjo2dU^!+l7MOxGSghN2B<_v? zYk8O2tQ8T`-X5Q&sqM~XF*%{yz%#9oxZ@SvHOl+)sO5cOBKq`d-J6djyY_DwU!q?S zi+7@0uCSJIpQmTP!Y*R!-Qe5lHKHu#pUr!u=;)QS+CKk5d$3m!8dHxUtwI9ak}|@w z(V)94bGGtQ{kvXYjx-UO>9&<#?K6UZ;F7l^r-}H()I0kG&1puhO_SjIw>h=n1dPM95Oa z5^5gpJ()&=LfQ{eyzSxr;Y#G$6f!s@Yf(>W=f%&0*cc>(VTB|h;GkDBAhB3NhbVB+ z3Oyjlgkee(fC&GIA#X|8L*pQTVLqi@`X`oONW!wo(5Qrn4@gzyI51N&ISH^)3Bqt> zutQ>5?i-~WM!ElLBCM0v_t6Wcjhw#!ap%uNJ)mpt7mhPBsz6r(l!*Y!QUPV`fU?FY zpmzH|WvPI&)ql!r3Q5@izbVW2@ez=`)u0(0UgwPfZ9PshZ$0&$co8MhwE(iIHYhZ zRZIpa4AhB@DHJb}I}oJ-0GGfKAH_k0JmQJ8a6&+T$M_4J5VAx+AWhChPH*Jph{mCr zO07rR=Y4=es^Etz^B91U$fncXmA#fo59nPzOQr`*u0k95egnj%pnPN~$(y0wXfqx>8%-TaNw=oA$Diw}@KOSj`0CRJr zx6CqQ!p15&{w9pp@Q^R-_Z`>`6eTm&8FPWq>Bo)ha=`GeUmh!Bmmc3Szmlun|DtB) zsEzU{GvvKLt5^*n%}hDf<*V1tL!sQ}VLg0TVbI(l>eZO7540$Fx;c~li3VLn^P z8*qKci6O5IT#BXS=+`o&T)(US4{|8-UmJ*InnfGPd@7Y9?FJwYmsnb_>M9Mfidp(l z?M-I}dNS}TY|qWgO8!fB_f;^Hw0kaI@XJKGn>BZjwSHMI*tAzzb3JHPMkL&`+kYxp z6|nAwA`?YQ{(O_>RDJdWVg*?Ag(BFhM^6`>Yvr z_3jDTv{AO?fPxUl6P|vgaCPQshBRJn)|^z9Pr8-PS-e0;Ap-IdGr()KfF1@gTZ1t( zxfk+8;x##y-Le}7tFIug?#HsY>D{Sl>$L&z_~X50ffcas#LTSU(yCObeSHruF&YNW zP?K6tjNFNeu135uJ zA_`R>XOhHwm^Yrh#X+=}iQPUT=i$#2*gvrPpL|}Eo+glX*!ZVWK4gZ(eSZtFl^T|J z%eWk6$JSw7?eI5ks`QyCtG1U&&(sIgk)?-ZIq>u=i+uTsMI5F?UTnY)TydAEzqif! zL>-2}opehEQLZH#bo#jwvAcVw2Q%a7j^h^_%yzI!LhW0PQu7HRNmk`MF{Mt|$U;V) zadySw)gs9A{^};4MDb-)^MtyG^ma_FL`t2QuyXqyfkZ$x{~#f@K7d~Oi!G+6tjLNf z{6WBeWGZu2bqW3EMxBl4fGs%p@J7Hi>Zgi13 zP_q^EJb?M%(d>8t%??`vgQ3M4xWdhoGoXsYksbn(9> z6Fd&IfF2wFQ(QfNSzOxXn#0+<&x}NQ{)n?F%z0#)MTD@oud{Evd&Lv6Z;P*q#AybF z7t;#ic`GTO8Z+yGF;lr0%xt{-diRAkKLc|X5f)-f?LA90#}+CzL`(wVZ)=U;TqY*3 z_cQ*2j9bEYysSTb-*Y1$Ie9lU4+y^j+*K|M6ud4gm!4gpDOTcf4L1p(Y8*L!EN z1T?hrS#?*F?ooQkd#aeEa9D{5i(JiKQ}@xdg2$R={0b2vGX(Lt))a{}sX%*|Kar;* z4L0a7BS|z+erkFI!XPybj$FEwQFD7fiT{?35)8r_r%Ih0+t5#5gYTq82}4|+9aYD4 z|1hHV*gUp_{DtUJpx!~=lI?Pamnr5}>!Wro|DBpCC0#oOV^YKQQh$x-u^)pS`I+)k1c;+r;@K z=mE;ZU<~l#G}?jOAJhY-7(SdN99V_y^>xsLfe~{&On!V-Ab2p&eI!fYb3kZ-G-I*_ z8vngUOmPD25aKZ4TZqsG2t*rKUF64Jj{{@ufbn1`#r-u%NRe`!oIjpA5?1IK1J+FV z-H6hd|m?~YA1g;441K|Tw**)g}DU-o7!}!3>k79&t0)#5K9$T)Nu$uAD zgd@@b0vBu=*^c({&2MzXDNaH&DvxX{vxx%?35bDZtV613{bX`Uy0v1E?9&{I5ouxi z^&rjTzhVPCAXi+PTnIvpOPD@aCg`~DJ{_&FO#1o-!DK94@70Q*xB^|IisNAAjKL3;_elJ5%zt-^`VU|0gO_AF{cs`z!%v z+Je)6{HtH@H}84JYbHB#x<`nR2j)rGC71;&ae*MxlMBfhfCy``}2T`fZ*@h zS{DPZU^*Bg)&J==$(UHjCF+WVW$1*&j3sN0E`^^XF$y~UOI&Yy{WulVC=iOljJG;J z=t|X%t|=Mu0#J@Pnp-KwkXV^3_?vyU4@uHu1quYAsT_|P?G25 zrc%_OK5DAg0@`wunEk-E7Xy_ZCh=Ol3W*6A=bN*15=7pgNMb1Bq+~$sxt6g(lk6wu znYQ@=Qt+U=^$&A6?<1A4u^Byxs|B#dk^Krc1+W?cGhIZ!KUE8jgZq?sQ5_@meS4c( zc@cJ;zbIJ0ZEP@+l0lOP3MGNtao;4>m1Gybu9(>8WOjC9-*wSx>xf^GS9x zS!?Nkc&tH`7d~N+;>2W!G04@AfJYw24GM=C$ef|KHf8HzO;dm0+f(thbIiR&{fPrX z6i;3YT&5~j{1A&?`3IxHKZ(~mNECClAb}lM%8vI+6>F}_?y#WUUpsr%`Qk!a{tFk0 zf5DX&5K;fdygdx1fif@zqSs#Ts zy0ORC5Cs1BMNA;^(>qV1UXEi8-n{U6dt!CVcd>`;;o<>)k!BoerUd4J>U$49qM6nk zhRD1r7itQxc_`|w#DHCM@7*4w%7WsY2-y(s9X;+7=`ts*GX3g~FX=23_Kjbi_mU{1 ze|=t)Cir-7s;YECC+$z*qfLMIJvt^iWOf+=zk)*!^FO7DuzmD^r{bc=e?jPH2duy>y!lhar+8Q2RjEzPD#W;JdY8u>K#+PErx2=og-W?#4bGD zki*tyS+wentp(^8_Goij`;LOkuH(D)fT>^O?6o=U%*STc_jw6_vpOR5&r(lVXYJ8P z`}cS@oP8Mn=27mQt(2U};i)uv^8~xIH7`xzJ|WihR=`8_(fCJwy-eqBn4Cz=HJOi3 znW;&YSBnmTd@fl=n%Jv6hl^`~kjUr8$`kDToVdgS?ou@SuODD*PeXS~%vY;o*}SQj zl9CLGdIIcY=eh1c1j2ovQbtbm?Y;BCfL>rvDbRBr=?*Ye8RC~ity#byCMZ=sQOu@8f>CigCOR-_bwXuK>Eg)WU`O44Uf1Z0 z2`Rh{K=g1zLny%VUg(;}-cBk2cY!S@+#TFV`JLvp-R`AHvEN;AQHPLUfAy5`>S$=Yc}M+q>1v-Q zgih~c+Z|0{!26vy7Hgchds4TXcNZl9)rlvp^X|~|?yy9PLA|qvYc6UfOc+b;5Hl~z ziU38jTXyGEuk1<8!y>kaJ-{i8( z(hnXGQjX(=BLaqMBBeN-sW=4(tkVn zUj&uUh^8hdfQcTA-x)rQ`F})EQE9pS5`{8Vvh(+Wxa$F;41P#xgbWz6m{u4Qs8*h! zsf9^mW=6#k7|OYLEI)}U7B}>yXTnrN6Cm)Qz5rq&Y8jgdxy)bpMIquKOCT1KQw82` z55yTXVK|3`07L{-P!QJ@HWTv6*!bv$nnq4zWciAr4`Zr2u9?^MN-6gr=6_Jp29+<$ET?{YN28%S>ouU4R@NL1#D% z*8gddEWjd-!BxkYm>=J8V=422#&G`^G4Q&g&hYfejL1C-%iRnnLRG<3R~MHZ1$u?l zchJnD4<3NOo6~;aMP>XO3<2?pP7ER#ng%XPEpZ78;l}(0E(}{j)C2#nbga) z)83EJNEJSy1+x;FM!a33w^0VVbJ+g#ZWy~&eye)F1ug%FQUll~Q0ld!uZ+Kwgj$ax_;pO%|&OM1i`xW!H38yXZ5 zo1;6z5QtPbbcSDZ?2L&`hWaeMqvg|#}R1h}dlj!)B$9nKvN`7q4>GDR%6zh+&$ zkr^b5OTr*Y3<45f(8j}#=?Jiuq`4-d3{m3E-jW@7y;>h3Ml{nTo!_C4Ow#(5E?cOfjKu2C$bs%92k8B-2zcbj4GEB6o-D92YYe4aF;z zgTFk1ij)3^!cUgu|8njIhfgxFxrMXpHtpOfH1~H?TlDpxjPTmw`0?cFz-=T|B7<&Q zIA&pMBBuqztJ$UZaMWb>+C}d2PPXL!<&oa%b8D-2+2wvmfiNUhz#N*6U1eV&NU4UI zP9MzlCU@rJZ$687YFV^1X;_RCz!&ZXq8z4UWum($85jRx{06F(pLSc;>K| zlblv}IVxGKZ;<#6+E79Ka>_b7bUi8uqki&D7GQ%MAxvNjB*g}l2Ipm~I`aT>RdJ?^ zT4$y@1~=B|vYXnVD_24=MtVA2>Z1$QhOr%E76Rt^(R+!=5)4X8*N+|F)dbjY9kwxO z={Y7CI)K>)#3VE>C`UjKZTD0L>S|s`R0{AizSqtH0elN*d(z`QV2ZXmk+*Jo!Fm`58~bt%e-7rFg-@s94DPIwwfaid4BRv?HxT~Vr>wu1zV zdjTUAIz`+BboL|_*OJI0fiz=V$x!e5emGwSlKS&%=1DxIlw*BU3#Ak%#1tT1)EG5d z3Bs8yhq;mLr6Cu?(lK%MeW~M$Jfv4{g*LoBi=kZI|$)&>h0ytJALrl~~46k@Qel)z4c?~IKf zrZg$hpp5auAaj5k;BKeB78wo-5vF{mDo2%0(k+ z4la%}m3-URb)OCffNz56ECW4Osp{J~Vg>>w%@W8bbbQH0&3XBr-suKu1+ zx1LoFozGx{Q`5zRo*@}iS&OkuYO$iPL7AFL#7s5YGK`a-l1U=DkjNds0iWw*$V)gU z0|bfRPRw@T*23ZIyf9rXZ)X}kxXaLIrqm6_P6L)MRGkFCcEk;{zw~r?|Dv}w0t{)1 z7E~CzASQa0d4T5w-Q|VVeXThYKhr`o<{Sq%{jEplC;P8P8tssN=b-cR^j-26kF_as zGmR{!n)3K>1eEV7lK6jYD~-kF3?}v=4VXDsI(qPCeKE~z%SaXpN2yUwd|K6v0t#3F zVXkR+DzOl{jTr84_{}Vv{v;s^e!1WtdmUO+!Tza= z^36y!{w*F1_&(L9xsF%fJLVY!4oxh0Lk`geYfn8tz!|1euPM;XP&%ITOz~5Eo|^F; zk;4qfHKXS-piSKPWgi~q@#E055POg#!aYcS1$~5S9M$Nzf1iPu7WYxZTDPPxM8i;NHs#t?HoNx%%D7@^hUp zar6#UT~HE4g_gnEvE)1t;+FFTA86u4ln%t+@e9{z>kxGPEk4vSO!BG@K12H6o{DmG z?&?8`E0z4mF!pv9_F;_Vx%A1bF1 z@+xaZ$R+<`Xh0%yA}VN!*shqTa^b&nO<#4fXlp?S6H7v%Aly`;S4ylZ_$MT*1b`Sd zkFsV2Dr}h^u9T^5vBV0ANWgZ)JR!jjkr@s1(vs0m=IDKo4Kx&NE1P#I6oKTZt^#i@^lDxwR9d10fQ<^Gl_{18MxkjkJQ4lv_SFRBZY3nM*= z9I5Xsli-sSfn@3X{{#NAKww35;rIi&V42({vn&=~z$AyoA)s;eK8i#@48s%KG3p*L zNMc5iJSybyKfDlh9}q+H!tOSg9t~wdngsnFHey#+m}jAj9#QWcT9HEd2 zuaAHT1%YL2Bqn_j6cWvouOy$s(VK~#n#irU81-*5Y4)kD3|6%q)U~xtC_96qiEUKj06oduI1sJc1Y^g6GK#rm;5+N7&i=pnX zmnc#eGEY;pR*=Vp>%7@)uja8yQv=vWezzh%%A@$rEt>N*ES&KqB2Sy3Ipv2}TR-`C zH4$(e{8|au!S-{{!jZ;uP-xfel4C!v1Chu(1jO=nq`1Y-(%L(d4>vK$9w>DEPzef> zWQ_}@2JVFbwwud`%%nZH-Aw=JM(_OJWYlVwKYLD`l1V8fd=}5WiMyU%jN(G9D8kK^6`Zk=9W?LoGffBq+r(lC4y6b3(NEm%`#;Pp7PWdd~^TRf;Se z`fQN^U*FKKl0&TrLPK5eGjYFh9}zKONFVB(yFjZK2@DMn(e}N=9jk*)6&Vs?J<@nG zuDGs8qe`a3cpl8o&tvtwDei>uqnrCVJx2S&4?0Xc)*Q&Hh$^+;S$#$(gIEF-$nO0+QFdv^u$kear>>m zmpy`w0;m{mlKnuyS3GPKCqjl8gZr_11W!Ax1GeZABCg7c#QUp4C~2ju1C}ka`InvP z5tAk(g*xYHyXbw)9-(8>%O{+OY-UdW_27FeW1lj2e$H1q($_o1_KoaniC~@|?uEr* zuw-c{I*7MOMvFv10QJxWNwX-2HgpdOf5 zGj~q2NU=zt`?8)BIbGMo7%^Gak6XAB7B!6YGDqQpQqQ3T_DdB#vIya>SC5n+85-^e zJw%y7ubMY^e)TD(*zY2S%Qzk`t2+SZQx-Q%P4Mg~<6~r4f7Na4DXRD=MR}RG zhc96v|7f%cj}ihoeZ)f3oLXd~%~Jes9vpL@fi7pd1C=OaEVu9xMpeQVXZyYhKJ*7s zd;;slO>WmTaj8-8AHSKVY;dY+f=J0;Cr zx;SJ3yFPt#+a;Hz6?<&gn$Pgb_HZPUWABY#p(n;|;jMbSVFaO|*YtA}-8Yx42t@-C z704(y89sX*Zj0L`3Wx&E42Ji;mTOY#Hp+|qgvsD@$97r&yoIg7oShDfC80b%t2z7n zV>-eq*^)P&#;eHN_eDosPn8s{ZOTc?s>@Q=bR_d&>XUdYyA`+DzQ)4 zNMaeZed~xB%q7c_K1Ii@^LA9&MK@;ow?X78d%h}zlKrT#lkT_iMj;TwA3ZM$?41u! z#G3xCk!+vUX~>(4Pk2aIrV0(vlM{_-+Ion^J42M1`|F*iOZ9Qtkpgm!S~O~4R}4Nb z|D3Ay?P_PA9%BqSV%QL#ge?93fC?Yk5LLL`Nx~DQv9He@n3{w@EaWKp=W<2%&KEs? z9!OEt1#n*pLTepT>jTCglgXk_IAVN}vLKc>&z#cdHSRy%q0E^!_UOL|})3 zn!m3tjY5}|Ba{;9c+vatvhr_f0DV@^V>%$|?Tst-md1_==Ec$J|8ZuGM< z=AEnt9(c_xTDxYc6jp2sX$x>{4Bg^0`hjP3-<8i3Sc}EM5!G85NzjXLPel8QS{efm z0*QHh+35JoN%4$)7oHi^56pJX3A zU-0&yb==-aQ-8(Kuy=cOu`=b@QmHNAIZGLL)d9Ad^FKFdRv5b5f!P|1VcP|ebU(+mRNqydpxcPi3dp^FqdHLdeyDd=4&{0IsEwlI{ zd5oQ=VfSL;*TLnbPlKdM_V;b$^#vbaVey}nQd}FmYkSwu5}%DFsf@EL1N~l3?N$Xe z&9@qIRr<;^>hte)(wrCMud?jn19KV55-Ro1DUMSayI!mD7nd^D#d$cCEOm#H@;THT z>S*ES?g}+?zy|*1^vV`nh?bic@wc#mATRHKFADs7QCyr$*V`G&rD{`G8+*1Fu8foL;+{L*0N+(R6h( z*MYhlaB0dZ{6Cdj%Wm5+5WMp%cnQ#6N>LUi3J82?;yh5GE#%yS92AR62{=|D(uMo? z-6i#)DI`F25?4FJ*;y`6Hf0a$WC6H2+1std^2|eSI)HQBy&~&1VOOvQMnm&4G^oZc zQ1#^{uz^DABpS+o=*G}T;whdeFdUH**#;<|pab%%w)MVy87aK_IUl9@t-KeLYWA~! zRjkU`L&feJkg4sz8RkkFa8*WJfx*|n;fg1OqoozAcuIIWysij$T3A(*5@P`z(+BeH z@!4XJ&<4)F*G8d>>`v|fDyw(3SM6e6Fi!%a$PI>h*UIdA{bsw~EgcGc?6v9xB+C3) z!hl%{NCTR=lkD@Atk~wT>l%G{q1+vjfEd?jeH${_wQgGl2Nh1{BS}-q>IN!PMw_P@ zE+h1NzDzdHQ0&#m#!R4vHDyo`PG;EiaZ<;j97{hhh)d31k3l6tpI{1`<4*NoHrwCy z_D^T5Z!|5wCF1LPJxs`{S1m~>mn4}UnhUWKw52{KMVWy`_|U@`%L`aVF(D=Rah@m2 z5}FgmiZtef1iT93yaaqWkL6hzV1Fv6yMnU@xeee+ee(3~d%#wX%Sd)edehJOq^8AKH$(ive#k1HbUKu1hj6L_#huHotDj Ee`WdLivR!s literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries new file mode 100644 index 00000000..b2d32af2 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries @@ -0,0 +1,10 @@ +/architecture.eps/1.4/Thu Apr 14 21:18:11 2005/-kb/ +/architecture.fig/1.4/Thu Apr 14 21:18:11 2005/-kb/ +/initialization.eps/1.2/Thu Mar 16 15:41:16 2006/-kb/ +/initialization.fig/1.2/Thu Mar 16 15:41:16 2006/-kb/ +/memory_organization.eps/1.2/Thu Mar 16 16:50:57 2006/-kb/ +/memory_organization.fig/1.2/Thu Mar 16 16:50:57 2006/-kb/ +/spi_boot.sxw/1.6/Thu Mar 16 17:09:31 2006/-kb/ +/transfer.eps/1.1/Sun Feb 27 19:24:30 2005/-kb/ +/transfer.fig/1.1/Sun Feb 27 19:24:35 2005/-kb/ +D diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository new file mode 100644 index 00000000..5f8aafef --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository @@ -0,0 +1 @@ +spi_boot/doc/src diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Template b/usrp2/fpga/opencores/spi_boot/doc/src/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps b/usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps new file mode 100644 index 00000000..3e70efdb --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps @@ -0,0 +1,512 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: architecture.eps +%%Creator: fig2dev Version 3.2 Patchlevel 3d +%%CreationDate: Thu Apr 14 00:29:54 2005 +%%For: arnim@lola (Arnim Laeuger) +%%BoundingBox: 0 0 452 241 +%%Magnification: 1.0000 +%%EndComments +/$F2psDict 200 dict def +$F2psDict begin +$F2psDict /mtrx matrix put +/col-1 {0 setgray} bind def +/col0 {0.000 0.000 0.000 srgb} bind def +/col1 {0.000 0.000 1.000 srgb} bind def +/col2 {0.000 1.000 0.000 srgb} bind def +/col3 {0.000 1.000 1.000 srgb} bind def +/col4 {1.000 0.000 0.000 srgb} bind def +/col5 {1.000 0.000 1.000 srgb} bind def +/col6 {1.000 1.000 0.000 srgb} bind def +/col7 {1.000 1.000 1.000 srgb} bind def +/col8 {0.000 0.000 0.560 srgb} bind def +/col9 {0.000 0.000 0.690 srgb} bind def +/col10 {0.000 0.000 0.820 srgb} bind def +/col11 {0.530 0.810 1.000 srgb} bind def +/col12 {0.000 0.560 0.000 srgb} bind def +/col13 {0.000 0.690 0.000 srgb} bind def +/col14 {0.000 0.820 0.000 srgb} bind def +/col15 {0.000 0.560 0.560 srgb} bind def +/col16 {0.000 0.690 0.690 srgb} bind def +/col17 {0.000 0.820 0.820 srgb} bind def +/col18 {0.560 0.000 0.000 srgb} bind def +/col19 {0.690 0.000 0.000 srgb} bind def +/col20 {0.820 0.000 0.000 srgb} bind def +/col21 {0.560 0.000 0.560 srgb} bind def +/col22 {0.690 0.000 0.690 srgb} bind def +/col23 {0.820 0.000 0.820 srgb} bind def +/col24 {0.500 0.190 0.000 srgb} bind def +/col25 {0.630 0.250 0.000 srgb} bind def +/col26 {0.750 0.380 0.000 srgb} bind def +/col27 {1.000 0.500 0.500 srgb} bind def +/col28 {1.000 0.630 0.630 srgb} bind def +/col29 {1.000 0.750 0.750 srgb} bind def +/col30 {1.000 0.880 0.880 srgb} bind def +/col31 {1.000 0.840 0.000 srgb} bind def + +end +save +newpath 0 241 moveto 0 0 lineto 452 0 lineto 452 241 lineto closepath clip newpath +-194.1 454.9 translate +1 -1 scale + +/cp {closepath} bind def +/ef {eofill} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth} bind def +/tr {translate} bind def +/tnt {dup dup currentrgbcolor + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add srgb} + bind def +/shd {dup dup currentrgbcolor 4 -2 roll mul 4 -2 roll mul + 4 -2 roll mul srgb} bind def + /DrawEllipse { + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def + /savematrix mtrx currentmatrix def + x y tr xrad yrad sc 0 0 1 startangle endangle arc + closepath + savematrix setmatrix + } def + +/$F2psBegin {$F2psDict begin /$F2psEnteredState save def} def +/$F2psEnd {$F2psEnteredState restore end} def + +$F2psBegin +10 setmiterlimit + 0.06299 0.06299 sc +% +% Fig objects follow +% +/Courier ff 150.00 scf sf +6570 4005 m +gs 1 -1 sc (controller) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +6570 4185 m +gs 1 -1 sc (FSM) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +7.500 slw +gs clippath +5025 5107 m 5025 5152 l 5146 5152 l 5056 5130 l 5146 5107 l cp +eoclip +n 8100 5130 m + 5040 5130 l gs col0 s gr gr + +% arrowhead +n 5146 5107 m 5056 5130 l 5146 5152 l 5146 5107 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +7290 5085 m +gs 1 -1 sc (spi_data) col0 sh gr +% Polyline +gs clippath +8115 3712 m 8115 3667 l 7994 3667 l 8084 3690 l 7994 3712 l cp +eoclip +n 7200 3690 m + 8100 3690 l gs col0 s gr gr + +% arrowhead +n 7994 3712 m 8084 3690 l 7994 3667 l 7994 3712 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +7605 3645 m +gs 1 -1 sc (control) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +gs clippath +5025 3667 m 5025 3712 l 5146 3712 l 5056 3690 l 5146 3667 l cp +eoclip +n 5940 3690 m + 5040 3690 l gs col0 s gr gr + +% arrowhead +n 5146 3667 m 5056 3690 l 5146 3712 l 5146 3667 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +5220 3645 m +gs 1 -1 sc (control) col0 sh gr +% Polyline +gs clippath +5955 4252 m 5955 4207 l 5834 4207 l 5924 4230 l 5834 4252 l cp +eoclip +n 5040 4230 m + 5940 4230 l gs col0 s gr gr + +% arrowhead +n 5834 4252 m 5924 4230 l 5834 4207 l 5834 4252 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +5175 4185 m +gs 1 -1 sc (status) col0 sh gr +% Polyline +gs clippath +4035 3667 m 4035 3712 l 4156 3712 l 4066 3690 l 4156 3667 l cp +eoclip +n 4320 3690 m + 4050 3690 l gs col0 s gr gr + +% arrowhead +n 4156 3667 m 4066 3690 l 4156 3712 l 4156 3667 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 3735 m +gs 1 -1 sc (config_n) dup sw pop neg 0 rm col0 sh gr +% Polyline +gs clippath +4335 3937 m 4335 3892 l 4214 3892 l 4304 3915 l 4214 3937 l cp +eoclip +n 4320 3915 m + 4050 3915 l gs col0 s gr gr + +% arrowhead +n 4214 3937 m 4304 3915 l 4214 3892 l 4214 3937 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 3960 m +gs 1 -1 sc (cfg_init_n) dup sw pop neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +4680 3870 m +gs 1 -1 sc (config) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +4680 4050 m +gs 1 -1 sc (port) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +15.000 slw +n 4320 3420 m 5040 3420 l 5040 5310 l 4320 5310 l + cp gs col0 s gr +% Polyline +7.500 slw +gs clippath +4335 4162 m 4335 4117 l 4214 4117 l 4304 4140 l 4214 4162 l cp +eoclip +n 4320 4140 m + 4050 4140 l gs col0 s gr gr + +% arrowhead +n 4214 4162 m 4304 4140 l 4214 4117 l 4214 4162 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 4185 m +gs 1 -1 sc (cfg_done) dup sw pop neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +4680 6120 m +gs 1 -1 sc (data) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +4680 6300 m +gs 1 -1 sc (port) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +15.000 slw +n 4320 5670 m 5040 5670 l 5040 7200 l 4320 7200 l + cp gs col0 s gr +/Courier ff 150.00 scf sf +8460 3870 m +gs 1 -1 sc (SPI) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +8460 4050 m +gs 1 -1 sc (port) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 8100 3420 m 8820 3420 l 8820 5310 l 8100 5310 l + cp gs col0 s gr +% Polyline +7.500 slw +gs clippath +9105 3712 m 9105 3667 l 8984 3667 l 9074 3690 l 8984 3712 l cp +eoclip +n 8820 3690 m + 9090 3690 l gs col0 s gr gr + +% arrowhead +n 8984 3712 m 9074 3690 l 8984 3667 l 8984 3712 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +9135 3735 m +gs 1 -1 sc (spi_clk) col0 sh gr +% Polyline +gs clippath +9105 3937 m 9105 3892 l 8984 3892 l 9074 3915 l 8984 3937 l cp +eoclip +n 8820 3915 m + 9090 3915 l gs col0 s gr gr + +% arrowhead +n 8984 3937 m 9074 3915 l 8984 3892 l 8984 3937 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +9135 3960 m +gs 1 -1 sc (spi_cs_n) col0 sh gr +% Polyline +gs clippath +9105 4162 m 9105 4117 l 8984 4117 l 9074 4140 l 8984 4162 l cp +eoclip +n 8820 4140 m + 9090 4140 l gs col0 s gr gr + +% arrowhead +n 8984 4162 m 9074 4140 l 8984 4117 l 8984 4162 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +9135 4185 m +gs 1 -1 sc (spi_data_in) col0 sh gr +% Polyline +gs clippath +9105 4387 m 9105 4342 l 8984 4342 l 9074 4365 l 8984 4387 l cp +eoclip +n 8820 4365 m + 9090 4365 l gs col0 s gr gr + +% arrowhead +n 8984 4387 m 9074 4365 l 8984 4342 l 8984 4387 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +9135 4410 m +gs 1 -1 sc (spi_data_out) col0 sh gr +/Courier ff 150.00 scf sf +8460 6480 m +gs 1 -1 sc (cmd) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +8460 6660 m +gs 1 -1 sc (FSM) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +15.000 slw +n 8100 5850 m 8820 5850 l 8820 7200 l 8100 7200 l + cp gs col0 s gr +% Polyline +7.500 slw +gs clippath +8482 5295 m 8437 5295 l 8437 5416 l 8460 5326 l 8482 5416 l cp +eoclip +n 8460 5850 m + 8460 5310 l gs col0 s gr gr + +% arrowhead +n 8482 5416 m 8460 5326 l 8437 5416 l 8482 5416 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +8550 5625 m +gs 1 -1 sc (spi_data) col0 sh gr +% Polyline +gs clippath +4035 4882 m 4035 4927 l 4156 4927 l 4066 4905 l 4156 4882 l cp +eoclip +n 4320 4905 m + 4050 4905 l gs col0 s gr gr + +% arrowhead +n 4156 4882 m 4066 4905 l 4156 4927 l 4156 4882 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 4950 m +gs 1 -1 sc (cfg_clk) dup sw pop neg 0 rm col0 sh gr +% Polyline +gs clippath +4035 5107 m 4035 5152 l 4156 5152 l 4066 5130 l 4156 5107 l cp +eoclip +n 4320 5130 m + 4050 5130 l gs col0 s gr gr + +% arrowhead +n 4156 5107 m 4066 5130 l 4156 5152 l 4156 5107 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 5175 m +gs 1 -1 sc (cfg_dat) dup sw pop neg 0 rm col0 sh gr +% Polyline +gs clippath +4335 5962 m 4335 5917 l 4214 5917 l 4304 5940 l 4214 5962 l cp +eoclip +n 4320 5940 m + 4050 5940 l gs col0 s gr gr + +% arrowhead +n 4214 5962 m 4304 5940 l 4214 5917 l 4214 5962 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 5985 m +gs 1 -1 sc (start) dup sw pop neg 0 rm col0 sh gr +% Polyline +gs clippath +4335 6412 m 4335 6367 l 4214 6367 l 4304 6390 l 4214 6412 l cp +eoclip +n 4320 6390 m + 4050 6390 l gs col0 s gr gr + +% arrowhead +n 4214 6412 m 4304 6390 l 4214 6367 l 4214 6412 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 6435 m +gs 1 -1 sc (dat_done) dup sw pop neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +6660 6390 m +gs 1 -1 sc (MMC) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +6660 6570 m +gs 1 -1 sc (comp.) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +15.000 slw +n 6390 6210 m 6930 6210 l 6930 6660 l 6390 6660 l + cp gs col0 s gr +/Courier ff 150.00 scf sf +7515 6390 m +gs 1 -1 sc (bit) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +7515 6570 m +gs 1 -1 sc (cnt) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 7245 6210 m 7785 6210 l 7785 6660 l 7245 6660 l + cp gs col0 s gr +/Courier ff 150.00 scf sf +7515 6930 m +gs 1 -1 sc (img) dup sw pop 2 div neg 0 rm col0 sh gr +/Courier ff 150.00 scf sf +7515 7110 m +gs 1 -1 sc (cnt) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 7245 6750 m 7785 6750 l 7785 7200 l 7245 7200 l + cp gs col0 s gr +% Polyline +7.500 slw +gs clippath +4335 6187 m 4335 6142 l 4214 6142 l 4304 6165 l 4214 6187 l cp +eoclip +n 4320 6165 m + 4050 6165 l gs col0 s gr gr + +% arrowhead +n 4214 6187 m 4304 6165 l 4214 6142 l 4214 6187 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 6210 m +gs 1 -1 sc (mode) dup sw pop neg 0 rm col0 sh gr +% Polyline +n 4050 7020 m 4185 7020 l 4185 6975 l 4275 7065 l 4185 7155 l 4185 7110 l + 4050 7110 l + cp gs col0 s gr +/Courier ff 150.00 scf sf +3960 7110 m +gs 1 -1 sc (set_sel) dup sw pop neg 0 rm col0 sh gr +% Polyline +gs clippath +4035 6592 m 4035 6637 l 4156 6637 l 4066 6615 l 4156 6592 l cp +eoclip +n 4320 6615 m + 4050 6615 l gs col0 s gr gr + +% arrowhead +n 4156 6592 m 4066 6615 l 4156 6637 l 4156 6592 l cp gs 0.00 setgray ef gr col0 s +/Courier ff 150.00 scf sf +4005 6660 m +gs 1 -1 sc (detached) dup sw pop neg 0 rm col0 sh gr +0.000 slw +% Ellipse +n 7020 5130 35 35 0 360 DrawEllipse gs 0.00 setgray ef gr +% Polyline +7.500 slw +gs clippath +7042 4665 m 6997 4665 l 6997 4786 l 7020 4696 l 7042 4786 l cp +eoclip +n 7020 5130 m + 7020 4680 l gs col0 s gr gr + +% arrowhead +n 7042 4786 m 7020 4696 l 6997 4786 l 7042 4786 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +8115 5962 m 8115 5917 l 7994 5917 l 8084 5940 l 7994 5962 l cp +eoclip +n 6840 4680 m 6840 5940 l + 8100 5940 l gs col0 s gr gr + +% arrowhead +n 7994 5962 m 8084 5940 l 7994 5917 l 7994 5962 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6682 4665 m 6637 4665 l 6637 4786 l 6660 4696 l 6682 4786 l cp +eoclip +n 8100 6120 m 6660 6120 l + 6660 4680 l gs col0 s gr gr + +% arrowhead +n 6682 4786 m 6660 4696 l 6637 4786 l 6682 4786 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6142 4665 m 6097 4665 l 6097 4786 l 6120 4696 l 6142 4786 l cp +eoclip +n 6120 4680 m 6120 5940 l + 5040 5940 l gs col0 s gr gr + +% arrowhead +n 6142 4786 m 6120 4696 l 6097 4786 l 6142 4786 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +7260 6907 m 7260 6862 l 7139 6862 l 7229 6885 l 7139 6907 l cp +eoclip +n 6300 4680 m 6300 6885 l + 7245 6885 l gs col0 s gr gr + +% arrowhead +n 7139 6907 m 7229 6885 l 7139 6862 l 7139 6907 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +8115 6457 m 8115 6412 l 7994 6412 l 8084 6435 l 7994 6457 l cp +eoclip +n 7785 6435 m + 8100 6435 l gs col0 s gr gr + +% arrowhead +n 7994 6457 m 8084 6435 l 7994 6412 l 7994 6457 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +8115 6997 m 8115 6952 l 7994 6952 l 8084 6975 l 7994 6997 l cp +eoclip +n 7785 6975 m + 8100 6975 l gs col0 s gr gr + +% arrowhead +n 7994 6997 m 8084 6975 l 7994 6952 l 7994 6997 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6457 6225 m 6502 6225 l 6502 6104 l 6480 6194 l 6457 6104 l cp +eoclip +n 6480 4680 m + 6480 6210 l gs col0 s gr gr + +% arrowhead +n 6457 6104 m 6480 6194 l 6502 6104 l 6457 6104 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +7260 6457 m 7260 6412 l 7139 6412 l 7229 6435 l 7139 6457 l cp +eoclip +n 6930 6435 m + 7245 6435 l gs col0 s gr gr + +% arrowhead +n 7139 6457 m 7229 6435 l 7139 6412 l 7139 6457 l cp gs 0.00 setgray ef gr col0 s +% Polyline +n 5040 7020 m 7110 7020 l 7110 6975 l 7200 7065 l 7110 7155 l 7110 7110 l + 5040 7110 l + cp gs col0 s gr +/Courier ff 150.00 scf sf +7155 5895 m +gs 1 -1 sc (state) col0 sh gr +/Courier ff 150.00 scf sf +7155 6075 m +gs 1 -1 sc (finished) col0 sh gr +/Courier ff 150.00 scf sf +5265 5895 m +gs 1 -1 sc (status) col0 sh gr +/Courier ff 150.00 scf sf +6705 6840 m +gs 1 -1 sc (cnt) col0 sh gr +% Polyline +15.000 slw +n 5940 3420 m 7200 3420 l 7200 4680 l 5940 4680 l + cp gs col0 s gr +$F2psEnd +rs diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig b/usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig new file mode 100644 index 00000000..708e166a --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig @@ -0,0 +1,222 @@ +#FIG 3.2 +Landscape +Center +Metric +A4 +100.00 +Single +-2 +1200 2 +6 5895 3375 7245 4725 +6 6120 3915 7020 4185 +4 1 0 50 0 12 10 0.0000 4 90 900 6570 4005 controller\001 +4 1 0 50 0 12 10 0.0000 4 90 270 6570 4185 FSM\001 +-6 +2 2 0 2 0 7 40 0 -1 0.000 0 0 -1 0 0 5 + 5940 3420 7200 3420 7200 4680 5940 4680 5940 3420 +-6 +6 5040 4995 8100 5175 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8100 5130 5040 5130 +4 0 0 50 0 12 10 0.0000 4 120 720 7290 5085 spi_data\001 +-6 +6 7200 3555 8100 3735 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 7200 3690 8100 3690 +4 1 0 50 0 12 10 0.0000 4 90 630 7605 3645 control\001 +-6 +6 5040 3555 5940 3735 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 5940 3690 5040 3690 +4 0 0 50 0 12 10 0.0000 4 90 630 5220 3645 control\001 +-6 +6 5040 4095 5940 4275 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 5040 4230 5940 4230 +4 0 0 50 0 12 10 0.0000 4 90 540 5175 4185 status\001 +-6 +6 3285 3645 4320 3780 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 4320 3690 4050 3690 +4 2 0 50 0 12 10 0.0000 4 120 720 4005 3735 config_n\001 +-6 +6 3105 3870 4320 4005 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 2 + 1 1 1.00 45.00 90.00 + 4320 3915 4050 3915 +4 2 0 50 0 12 10 0.0000 4 120 900 4005 3960 cfg_init_n\001 +-6 +6 4275 3375 5085 5355 +6 4410 3780 4950 4095 +4 1 0 50 0 12 10 0.0000 4 120 540 4680 3870 config\001 +4 1 0 50 0 12 10 0.0000 4 120 360 4680 4050 port\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 4320 3420 5040 3420 5040 5310 4320 5310 4320 3420 +-6 +6 3285 4095 4320 4230 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 2 + 1 1 1.00 45.00 90.00 + 4320 4140 4050 4140 +4 2 0 50 0 12 10 0.0000 4 120 720 4005 4185 cfg_done\001 +-6 +6 4275 5625 5085 7245 +6 4500 6030 4860 6345 +4 1 0 50 0 12 10 0.0000 4 90 360 4680 6120 data\001 +4 1 0 50 0 12 10 0.0000 4 120 360 4680 6300 port\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 4320 5670 5040 5670 5040 7200 4320 7200 4320 5670 +-6 +6 8055 3375 8865 5355 +6 8280 3780 8640 4095 +4 1 0 50 0 12 10 0.0000 4 90 270 8460 3870 SPI\001 +4 1 0 50 0 12 10 0.0000 4 120 360 8460 4050 port\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 8100 3420 8820 3420 8820 5310 8100 5310 8100 3420 +-6 +6 8820 3645 9765 3780 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8820 3690 9090 3690 +4 0 0 50 0 12 10 0.0000 4 120 630 9135 3735 spi_clk\001 +-6 +6 8820 3870 9855 4005 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8820 3915 9090 3915 +4 0 0 50 0 12 10 0.0000 4 120 720 9135 3960 spi_cs_n\001 +-6 +6 8820 4095 10125 4230 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8820 4140 9090 4140 +4 0 0 50 0 12 10 0.0000 4 120 990 9135 4185 spi_data_in\001 +-6 +6 8820 4320 10215 4455 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8820 4365 9090 4365 +4 0 0 50 0 12 10 0.0000 4 120 1080 9135 4410 spi_data_out\001 +-6 +6 8055 5805 8865 7245 +6 8325 6390 8595 6660 +4 1 0 50 0 12 10 0.0000 4 90 270 8460 6480 cmd\001 +4 1 0 50 0 12 10 0.0000 4 90 270 8460 6660 FSM\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 8100 5850 8820 5850 8820 7200 8100 7200 8100 5850 +-6 +6 8415 5310 9270 5850 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 8460 5850 8460 5310 +4 0 0 50 0 12 10 0.0000 4 120 720 8550 5625 spi_data\001 +-6 +6 3375 4860 4320 4995 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 4320 4905 4050 4905 +4 2 0 50 0 12 10 0.0000 4 120 630 4005 4950 cfg_clk\001 +-6 +6 3375 5085 4320 5220 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 4320 5130 4050 5130 +4 2 0 50 0 12 10 0.0000 4 120 630 4005 5175 cfg_dat\001 +-6 +6 3555 5895 4320 5985 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 2 + 1 1 1.00 45.00 90.00 + 4320 5940 4050 5940 +4 2 0 50 0 12 10 0.0000 4 90 450 4005 5985 start\001 +-6 +6 3285 6345 4320 6480 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 2 + 1 1 1.00 45.00 90.00 + 4320 6390 4050 6390 +4 2 0 50 0 12 10 0.0000 4 105 720 4005 6435 dat_done\001 +-6 +6 6345 6165 6975 6705 +6 6435 6300 6885 6615 +4 1 0 50 0 12 10 0.0000 4 90 270 6660 6390 MMC\001 +4 1 0 50 0 12 10 0.0000 4 90 450 6660 6570 comp.\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 6390 6210 6930 6210 6930 6660 6390 6660 6390 6210 +-6 +6 7200 6165 7830 6705 +6 7380 6300 7650 6570 +4 1 0 50 0 12 10 0.0000 4 90 270 7515 6390 bit\001 +4 1 0 50 0 12 10 0.0000 4 90 270 7515 6570 cnt\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 7245 6210 7785 6210 7785 6660 7245 6660 7245 6210 +-6 +6 7200 6705 7830 7245 +6 7380 6840 7650 7110 +4 1 0 50 0 12 10 0.0000 4 120 270 7515 6930 img\001 +4 1 0 50 0 12 10 0.0000 4 90 270 7515 7110 cnt\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 7245 6750 7785 6750 7785 7200 7245 7200 7245 6750 +-6 +6 3645 6120 4320 6210 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 2 + 1 1 1.00 45.00 90.00 + 4320 6165 4050 6165 +4 2 0 50 0 12 10 0.0000 4 90 360 4005 6210 mode\001 +-6 +6 3330 6975 4275 7155 +2 3 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 8 + 4050 7020 4185 7020 4185 6975 4275 7065 4185 7155 4185 7110 + 4050 7110 4050 7020 +4 2 0 50 0 12 10 0.0000 4 105 630 3960 7110 set_sel\001 +-6 +6 3285 6570 4320 6660 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 4320 6615 4050 6615 +4 2 0 50 0 12 10 0.0000 4 90 720 4005 6660 detached\001 +-6 +1 3 0 0 0 -1 50 0 20 0.000 1 0.0000 7020 5130 35 35 7020 5130 7055 5130 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 7020 5130 7020 4680 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 6840 4680 6840 5940 8100 5940 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 8100 6120 6660 6120 6660 4680 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 1 3 + 1 1 1.00 45.00 90.00 + 6120 4680 6120 5940 5040 5940 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 6300 4680 6300 6885 7245 6885 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 7785 6435 8100 6435 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 7785 6975 8100 6975 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6480 4680 6480 6210 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6930 6435 7245 6435 +2 3 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 8 + 5040 7020 7110 7020 7110 6975 7200 7065 7110 7155 7110 7110 + 5040 7110 5040 7020 +4 0 0 50 0 12 10 0.0000 4 90 450 7155 5895 state\001 +4 0 0 50 0 12 10 0.0000 4 90 720 7155 6075 finished\001 +4 0 0 50 0 12 10 0.0000 4 90 540 5265 5895 status\001 +4 0 0 50 0 12 10 0.0000 4 90 270 6705 6840 cnt\001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps b/usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps new file mode 100644 index 00000000..ff4ec89e --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps @@ -0,0 +1,303 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: initialization.eps +%%Creator: fig2dev Version 3.2 Patchlevel 3d +%%CreationDate: Thu Mar 16 15:45:46 2006 +%%For: arnim@lola (Arnim Laeuger) +%%BoundingBox: 0 0 194 312 +%%Magnification: 0.6500 +%%EndComments +/$F2psDict 200 dict def +$F2psDict begin +$F2psDict /mtrx matrix put +/col-1 {0 setgray} bind def +/col0 {0.000 0.000 0.000 srgb} bind def +/col1 {0.000 0.000 1.000 srgb} bind def +/col2 {0.000 1.000 0.000 srgb} bind def +/col3 {0.000 1.000 1.000 srgb} bind def +/col4 {1.000 0.000 0.000 srgb} bind def +/col5 {1.000 0.000 1.000 srgb} bind def +/col6 {1.000 1.000 0.000 srgb} bind def +/col7 {1.000 1.000 1.000 srgb} bind def +/col8 {0.000 0.000 0.560 srgb} bind def +/col9 {0.000 0.000 0.690 srgb} bind def +/col10 {0.000 0.000 0.820 srgb} bind def +/col11 {0.530 0.810 1.000 srgb} bind def +/col12 {0.000 0.560 0.000 srgb} bind def +/col13 {0.000 0.690 0.000 srgb} bind def +/col14 {0.000 0.820 0.000 srgb} bind def +/col15 {0.000 0.560 0.560 srgb} bind def +/col16 {0.000 0.690 0.690 srgb} bind def +/col17 {0.000 0.820 0.820 srgb} bind def +/col18 {0.560 0.000 0.000 srgb} bind def +/col19 {0.690 0.000 0.000 srgb} bind def +/col20 {0.820 0.000 0.000 srgb} bind def +/col21 {0.560 0.000 0.560 srgb} bind def +/col22 {0.690 0.000 0.690 srgb} bind def +/col23 {0.820 0.000 0.820 srgb} bind def +/col24 {0.500 0.190 0.000 srgb} bind def +/col25 {0.630 0.250 0.000 srgb} bind def +/col26 {0.750 0.380 0.000 srgb} bind def +/col27 {1.000 0.500 0.500 srgb} bind def +/col28 {1.000 0.630 0.630 srgb} bind def +/col29 {1.000 0.750 0.750 srgb} bind def +/col30 {1.000 0.880 0.880 srgb} bind def +/col31 {1.000 0.840 0.000 srgb} bind def + +end +save +newpath 0 312 moveto 0 0 lineto 194 0 lineto 194 312 lineto closepath clip newpath +-124.4 424.7 translate +1 -1 scale + +/cp {closepath} bind def +/ef {eofill} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth} bind def +/tr {translate} bind def +/tnt {dup dup currentrgbcolor + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add srgb} + bind def +/shd {dup dup currentrgbcolor 4 -2 roll mul 4 -2 roll mul + 4 -2 roll mul srgb} bind def +/$F2psBegin {$F2psDict begin /$F2psEnteredState save def} def +/$F2psEnd {$F2psEnteredState restore end} def + +$F2psBegin +10 setmiterlimit + 0.04094 0.04094 sc +% +% Fig objects follow +% +% Polyline +15.000 slw +n 3060 2790 m 4500 2790 l 4500 3420 l 3060 3420 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 3150 m +gs 1 -1 sc (Power up) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 4027 m +gs 1 -1 sc (CMD0) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 4252 m +gs 1 -1 sc (GO_IDLE_STATE) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3060 3780 m 4500 3780 l 4500 4410 l 3060 4410 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 5017 m +gs 1 -1 sc (CMD55) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 5242 m +gs 1 -1 sc (APP_CMD) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3060 4770 m 4500 4770 l 4500 5400 l 3060 5400 l + cp gs col0 s gr +% Polyline +n 3780 5760 m 4500 6030 l 3780 6390 l 3060 6030 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 6112 m +gs 1 -1 sc (Response ok?) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 6997 m +gs 1 -1 sc (ACMD41) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 7222 m +gs 1 -1 sc (SEND_OP_CMD) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3060 6750 m 4500 6750 l 4500 7380 l 3060 7380 l + cp gs col0 s gr +% Polyline +n 3780 7740 m 4500 8010 l 3780 8370 l 3060 8010 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 8092 m +gs 1 -1 sc (Card idle?) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +6390 6997 m +gs 1 -1 sc (CMD1) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +6390 7222 m +gs 1 -1 sc (SEND_OP_CMD) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 5670 6750 m 7110 6750 l 7110 7380 l 5670 7380 l + cp gs col0 s gr +% Polyline +n 6390 7740 m 7110 8010 l 6390 8370 l 5670 8010 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +6390 8092 m +gs 1 -1 sc (Card idle?) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 8977 m +gs 1 -1 sc (CMD16) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 9202 m +gs 1 -1 sc (SET_BLOCKLEN) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3060 8730 m 4500 8730 l 4500 9360 l 3060 9360 l + cp gs col0 s gr +% Polyline +n 3060 9720 m 4500 9720 l 4500 10350 l 3060 10350 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 10080 m +gs 1 -1 sc (Wait for start) dup sw pop 2 div neg 0 rm col0 sh gr +% Arc +7.500 slw +n 5130.0 6030.0 45.0 180.0 0.0 arc +gs col0 s gr + +% Polyline +gs clippath +3757 3795 m 3802 3795 l 3802 3674 l 3780 3764 l 3757 3674 l cp +eoclip +n 3780 3420 m + 3780 3780 l gs col0 s gr gr + +% arrowhead +n 3757 3674 m 3780 3764 l 3802 3674 l 3757 3674 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 4785 m 3802 4785 l 3802 4664 l 3780 4754 l 3757 4664 l cp +eoclip +n 3780 4410 m + 3780 4770 l gs col0 s gr gr + +% arrowhead +n 3757 4664 m 3780 4754 l 3802 4664 l 3757 4664 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 5775 m 3802 5775 l 3802 5654 l 3780 5744 l 3757 5654 l cp +eoclip +n 3780 5400 m + 3780 5760 l gs col0 s gr gr + +% arrowhead +n 3757 5654 m 3780 5744 l 3802 5654 l 3757 5654 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 6765 m 3802 6765 l 3802 6644 l 3780 6734 l 3757 6644 l cp +eoclip +n 3780 6390 m + 3780 6750 l gs col0 s gr gr + +% arrowhead +n 3757 6644 m 3780 6734 l 3802 6644 l 3757 6644 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 7755 m 3802 7755 l 3802 7634 l 3780 7724 l 3757 7634 l cp +eoclip +n 3780 7380 m + 3780 7740 l gs col0 s gr gr + +% arrowhead +n 3757 7634 m 3780 7724 l 3802 7634 l 3757 7634 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3765 4522 m 3765 4567 l 3886 4567 l 3796 4545 l 3886 4522 l cp +eoclip +n 4500 8010 m 5130 8010 l 5130 4545 l + 3780 4545 l gs col0 s gr gr + +% arrowhead +n 3886 4522 m 3796 4545 l 3886 4567 l 3886 4522 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6367 6765 m 6412 6765 l 6412 6644 l 6390 6734 l 6367 6644 l cp +eoclip +n 5175 6030 m 6390 6030 l + 6390 6750 l gs col0 s gr gr + +% arrowhead +n 6367 6644 m 6390 6734 l 6412 6644 l 6367 6644 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6367 7755 m 6412 7755 l 6412 7634 l 6390 7724 l 6367 7634 l cp +eoclip +n 6390 7380 m + 6390 7740 l gs col0 s gr gr + +% arrowhead +n 6367 7634 m 6390 7724 l 6412 7634 l 6367 7634 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6375 6007 m 6375 6052 l 6496 6052 l 6406 6030 l 6496 6007 l cp +eoclip +n 7110 8010 m 7740 8010 l 7740 6030 l + 6390 6030 l gs col0 s gr gr + +% arrowhead +n 6496 6007 m 6406 6030 l 6496 6052 l 6496 6007 l cp gs 0.00 setgray ef gr col0 s +% Polyline +n 4500 6030 m + 5085 6030 l gs col0 s gr +% Polyline +gs clippath +3757 8745 m 3802 8745 l 3802 8624 l 3780 8714 l 3757 8624 l cp +eoclip +n 3780 8370 m + 3780 8730 l gs col0 s gr gr + +% arrowhead +n 3757 8624 m 3780 8714 l 3802 8624 l 3757 8624 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3765 8482 m 3765 8527 l 3886 8527 l 3796 8505 l 3886 8482 l cp +eoclip +n 6390 8370 m 6390 8505 l + 3780 8505 l gs col0 s gr gr + +% arrowhead +n 3886 8482 m 3796 8505 l 3886 8527 l 3886 8482 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 9735 m 3802 9735 l 3802 9614 l 3780 9704 l 3757 9614 l cp +eoclip +n 3780 9360 m + 3780 9720 l gs col0 s gr gr + +% arrowhead +n 3757 9614 m 3780 9704 l 3802 9614 l 3757 9614 l cp gs 0.00 setgray ef gr col0 s +/Helvetica ff 150.00 scf sf +4590 7965 m +gs 1 -1 sc (Yes) col0 sh gr +/Helvetica ff 150.00 scf sf +3870 6570 m +gs 1 -1 sc (Yes) col0 sh gr +/Helvetica ff 150.00 scf sf +4590 5985 m +gs 1 -1 sc (No) col0 sh gr +/Helvetica ff 150.00 scf sf +7200 7965 m +gs 1 -1 sc (Yes) col0 sh gr +/Helvetica ff 150.00 scf sf +6480 8550 m +gs 1 -1 sc (No) col0 sh gr +/Helvetica ff 150.00 scf sf +3690 8550 m +gs 1 -1 sc (No) dup sw pop neg 0 rm col0 sh gr +$F2psEnd +rs diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig b/usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig new file mode 100644 index 00000000..96ec5f50 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig @@ -0,0 +1,119 @@ +#FIG 3.2 +Landscape +Center +Metric +A4 +65.00 +Single +-2 +1200 2 +5 1 0 1 0 7 50 0 -1 0.000 0 0 0 0 5130.000 6030.000 5085 6030 5130 5985 5175 6030 +6 3015 2745 4545 3465 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 2790 4500 2790 4500 3420 3060 3420 3060 2790 +4 1 0 50 0 16 10 0.0000 4 135 540 3780 3150 Power up\001 +-6 +6 3015 3735 4545 4455 +6 3285 3915 4275 4275 +4 1 0 50 0 16 10 0.0000 4 105 360 3780 4027 CMD0\001 +4 1 0 50 0 16 10 0.0000 4 120 975 3780 4252 GO_IDLE_STATE\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 3780 4500 3780 4500 4410 3060 4410 3060 3780 +-6 +6 3015 4725 4545 5445 +6 3465 4905 4095 5265 +4 1 0 50 0 16 10 0.0000 4 105 435 3780 5017 CMD55\001 +4 1 0 50 0 16 10 0.0000 4 120 585 3780 5242 APP_CMD\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 4770 4500 4770 4500 5400 3060 5400 3060 4770 +-6 +6 3015 5715 4545 6435 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3780 5760 4500 6030 3780 6390 3060 6030 3780 5760 +4 1 0 50 0 16 10 0.0000 4 135 825 3780 6112 Response ok?\001 +-6 +6 3015 6705 4545 7425 +6 3285 6840 4275 7245 +4 1 0 50 0 16 10 0.0000 4 120 510 3780 6997 ACMD41\001 +4 1 0 50 0 16 10 0.0000 4 120 930 3780 7222 SEND_OP_CMD\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 6750 4500 6750 4500 7380 3060 7380 3060 6750 +-6 +6 3015 7695 4545 8415 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3780 7740 4500 8010 3780 8370 3060 8010 3780 7740 +4 1 0 50 0 16 10 0.0000 4 120 600 3780 8092 Card idle?\001 +-6 +6 5625 6705 7155 7425 +6 5895 6840 6885 7245 +4 1 0 50 0 16 10 0.0000 4 120 360 6390 6997 CMD1\001 +4 1 0 50 0 16 10 0.0000 4 120 930 6390 7222 SEND_OP_CMD\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 5670 6750 7110 6750 7110 7380 5670 7380 5670 6750 +-6 +6 5625 7695 7155 8415 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 6390 7740 7110 8010 6390 8370 5670 8010 6390 7740 +4 1 0 50 0 16 10 0.0000 4 120 600 6390 8092 Card idle?\001 +-6 +6 3015 8685 4545 9405 +6 3285 8820 4275 9225 +4 1 0 50 0 16 10 0.0000 4 120 435 3780 8977 CMD16\001 +4 1 0 50 0 16 10 0.0000 4 120 945 3780 9202 SET_BLOCKLEN\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 8730 4500 8730 4500 9360 3060 9360 3060 8730 +-6 +6 3015 9675 4545 10395 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 9720 4500 9720 4500 10350 3060 10350 3060 9720 +4 1 0 50 0 16 10 0.0000 4 120 705 3780 10080 Wait for start\001 +-6 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 3420 3780 3780 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 4410 3780 4770 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 5400 3780 5760 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 6390 3780 6750 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 7380 3780 7740 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 4 + 1 1 1.00 45.00 90.00 + 4500 8010 5130 8010 5130 4545 3780 4545 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 5175 6030 6390 6030 6390 6750 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6390 7380 6390 7740 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 4 + 1 1 1.00 45.00 90.00 + 7110 8010 7740 8010 7740 6030 6390 6030 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 4500 6030 5085 6030 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 8370 3780 8730 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 6390 8370 6390 8505 3780 8505 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 9360 3780 9720 +4 0 0 50 0 16 10 0.0000 4 105 210 4590 7965 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 210 3870 6570 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 165 4590 5985 No\001 +4 0 0 50 0 16 10 0.0000 4 105 210 7200 7965 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 165 6480 8550 No\001 +4 2 0 50 0 16 10 0.0000 4 105 165 3690 8550 No\001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps b/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps new file mode 100644 index 00000000..7f48f591 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps @@ -0,0 +1,421 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: memory_organization.eps +%%Creator: fig2dev Version 3.2 Patchlevel 3d +%%CreationDate: Thu Mar 16 15:57:13 2006 +%%For: arnim@lola (Arnim Laeuger) +%%BoundingBox: 0 0 339 316 +%%Magnification: 1.0000 +%%EndComments +/$F2psDict 200 dict def +$F2psDict begin +$F2psDict /mtrx matrix put +/col-1 {0 setgray} bind def +/col0 {0.000 0.000 0.000 srgb} bind def +/col1 {0.000 0.000 1.000 srgb} bind def +/col2 {0.000 1.000 0.000 srgb} bind def +/col3 {0.000 1.000 1.000 srgb} bind def +/col4 {1.000 0.000 0.000 srgb} bind def +/col5 {1.000 0.000 1.000 srgb} bind def +/col6 {1.000 1.000 0.000 srgb} bind def +/col7 {1.000 1.000 1.000 srgb} bind def +/col8 {0.000 0.000 0.560 srgb} bind def +/col9 {0.000 0.000 0.690 srgb} bind def +/col10 {0.000 0.000 0.820 srgb} bind def +/col11 {0.530 0.810 1.000 srgb} bind def +/col12 {0.000 0.560 0.000 srgb} bind def +/col13 {0.000 0.690 0.000 srgb} bind def +/col14 {0.000 0.820 0.000 srgb} bind def +/col15 {0.000 0.560 0.560 srgb} bind def +/col16 {0.000 0.690 0.690 srgb} bind def +/col17 {0.000 0.820 0.820 srgb} bind def +/col18 {0.560 0.000 0.000 srgb} bind def +/col19 {0.690 0.000 0.000 srgb} bind def +/col20 {0.820 0.000 0.000 srgb} bind def +/col21 {0.560 0.000 0.560 srgb} bind def +/col22 {0.690 0.000 0.690 srgb} bind def +/col23 {0.820 0.000 0.820 srgb} bind def +/col24 {0.500 0.190 0.000 srgb} bind def +/col25 {0.630 0.250 0.000 srgb} bind def +/col26 {0.750 0.380 0.000 srgb} bind def +/col27 {1.000 0.500 0.500 srgb} bind def +/col28 {1.000 0.630 0.630 srgb} bind def +/col29 {1.000 0.750 0.750 srgb} bind def +/col30 {1.000 0.880 0.880 srgb} bind def +/col31 {1.000 0.840 0.000 srgb} bind def + +end +save +newpath 0 316 moveto 0 0 lineto 339 0 lineto 339 316 lineto closepath clip newpath +-180.3 421.6 translate +1 -1 scale + +/cp {closepath} bind def +/ef {eofill} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth} bind def +/tr {translate} bind def +/tnt {dup dup currentrgbcolor + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add srgb} + bind def +/shd {dup dup currentrgbcolor 4 -2 roll mul 4 -2 roll mul + 4 -2 roll mul srgb} bind def +/reencdict 12 dict def /ReEncode { reencdict begin +/newcodesandnames exch def /newfontname exch def /basefontname exch def +/basefontdict basefontname findfont def /newfont basefontdict maxlength dict def +basefontdict { exch dup /FID ne { dup /Encoding eq +{ exch dup length array copy newfont 3 1 roll put } +{ exch newfont 3 1 roll put } ifelse } { pop pop } ifelse } forall +newfont /FontName newfontname put newcodesandnames aload pop +128 1 255 { newfont /Encoding get exch /.notdef put } for +newcodesandnames length 2 idiv { newfont /Encoding get 3 1 roll put } repeat +newfontname newfont definefont pop end } def +/isovec [ +8#055 /minus 8#200 /grave 8#201 /acute 8#202 /circumflex 8#203 /tilde +8#204 /macron 8#205 /breve 8#206 /dotaccent 8#207 /dieresis +8#210 /ring 8#211 /cedilla 8#212 /hungarumlaut 8#213 /ogonek 8#214 /caron +8#220 /dotlessi 8#230 /oe 8#231 /OE +8#240 /space 8#241 /exclamdown 8#242 /cent 8#243 /sterling +8#244 /currency 8#245 /yen 8#246 /brokenbar 8#247 /section 8#250 /dieresis +8#251 /copyright 8#252 /ordfeminine 8#253 /guillemotleft 8#254 /logicalnot +8#255 /hyphen 8#256 /registered 8#257 /macron 8#260 /degree 8#261 /plusminus +8#262 /twosuperior 8#263 /threesuperior 8#264 /acute 8#265 /mu 8#266 /paragraph +8#267 /periodcentered 8#270 /cedilla 8#271 /onesuperior 8#272 /ordmasculine +8#273 /guillemotright 8#274 /onequarter 8#275 /onehalf +8#276 /threequarters 8#277 /questiondown 8#300 /Agrave 8#301 /Aacute +8#302 /Acircumflex 8#303 /Atilde 8#304 /Adieresis 8#305 /Aring +8#306 /AE 8#307 /Ccedilla 8#310 /Egrave 8#311 /Eacute +8#312 /Ecircumflex 8#313 /Edieresis 8#314 /Igrave 8#315 /Iacute +8#316 /Icircumflex 8#317 /Idieresis 8#320 /Eth 8#321 /Ntilde 8#322 /Ograve +8#323 /Oacute 8#324 /Ocircumflex 8#325 /Otilde 8#326 /Odieresis 8#327 /multiply +8#330 /Oslash 8#331 /Ugrave 8#332 /Uacute 8#333 /Ucircumflex +8#334 /Udieresis 8#335 /Yacute 8#336 /Thorn 8#337 /germandbls 8#340 /agrave +8#341 /aacute 8#342 /acircumflex 8#343 /atilde 8#344 /adieresis 8#345 /aring +8#346 /ae 8#347 /ccedilla 8#350 /egrave 8#351 /eacute +8#352 /ecircumflex 8#353 /edieresis 8#354 /igrave 8#355 /iacute +8#356 /icircumflex 8#357 /idieresis 8#360 /eth 8#361 /ntilde 8#362 /ograve +8#363 /oacute 8#364 /ocircumflex 8#365 /otilde 8#366 /odieresis 8#367 /divide +8#370 /oslash 8#371 /ugrave 8#372 /uacute 8#373 /ucircumflex +8#374 /udieresis 8#375 /yacute 8#376 /thorn 8#377 /ydieresis] def +/Courier /Courier-iso isovec ReEncode +/$F2psBegin {$F2psDict begin /$F2psEnteredState save def} def +/$F2psEnd {$F2psEnteredState restore end} def + +$F2psBegin +10 setmiterlimit + 0.06299 0.06299 sc +% +% Fig objects follow +% +% Polyline +7.500 slw +n 3870 4860 m 6750 4860 l 6750 5220 l 3870 5220 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 5070 m +gs 1 -1 sc (Image 0) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 4500 m 6750 4500 l 6750 4860 l 3870 4860 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 4710 m +gs 1 -1 sc (Image 1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 4140 m 6750 4140 l 6750 4500 l 3870 4500 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 4350 m +gs 1 -1 sc (...) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 3780 m 6750 3780 l 6750 4140 l 3870 4140 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 3990 m +gs 1 -1 sc (Image i-1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +30.000 slw +n 3870 3780 m 6750 3780 l 6750 5220 l 3870 5220 l + cp gs col0 s gr +% Polyline +2 slj +7.500 slw +n 3780 3780 m 3778 3781 l 3775 3782 l 3769 3784 l 3761 3788 l 3753 3793 l + 3745 3799 l 3737 3807 l 3730 3816 l 3725 3827 l 3720 3840 l + 3717 3854 l 3714 3872 l 3713 3893 l 3712 3909 l 3711 3927 l + 3711 3946 l 3710 3967 l 3710 3989 l 3710 4013 l 3710 4038 l + 3710 4065 l 3710 4091 l 3709 4119 l 3709 4146 l 3708 4173 l + 3707 4199 l 3706 4225 l 3704 4249 l 3702 4272 l 3700 4294 l + 3697 4314 l 3694 4333 l 3690 4350 l 3682 4376 l 3673 4398 l + 3662 4418 l 3651 4436 l 3639 4450 l 3627 4463 l 3615 4473 l + 3605 4481 l 3597 4488 l 3590 4492 l 3586 4496 l 3585 4500 l + 3586 4504 l 3590 4508 l 3597 4512 l 3605 4519 l 3615 4527 l + 3627 4537 l 3639 4550 l 3651 4564 l 3662 4582 l 3673 4602 l + 3682 4624 l 3690 4650 l 3694 4667 l 3697 4686 l 3700 4706 l + 3702 4728 l 3704 4751 l 3706 4775 l 3707 4801 l 3708 4827 l + 3709 4854 l 3709 4881 l 3710 4909 l 3710 4935 l 3710 4962 l + 3710 4987 l 3710 5011 l 3710 5033 l 3711 5054 l 3711 5073 l + 3712 5091 l 3713 5108 l 3714 5128 l 3717 5146 l 3720 5160 l + 3725 5173 l 3730 5184 l 3737 5193 l 3745 5201 l 3753 5207 l + 3761 5212 l 3769 5216 l 3775 5218 l 3778 5219 l + 3780 5220 l gs col0 s gr +/Courier-iso ff 150.00 scf sf +3510 4545 m +gs 1 -1 sc (Set 1) dup sw pop neg 0 rm col0 sh gr +% Polyline +0 slj +n 3870 2790 m 6750 2790 l 6750 3150 l 3870 3150 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 3000 m +gs 1 -1 sc (Image 0) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 2430 m 6750 2430 l 6750 2790 l 3870 2790 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 2640 m +gs 1 -1 sc (Image 1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 2070 m 6750 2070 l 6750 2430 l 3870 2430 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 2280 m +gs 1 -1 sc (...) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 1710 m 6750 1710 l 6750 2070 l 3870 2070 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 1920 m +gs 1 -1 sc (Image i-1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +30.000 slw +n 3870 1710 m 6750 1710 l 6750 3150 l 3870 3150 l + cp gs col0 s gr +% Polyline +2 slj +7.500 slw +n 3780 1710 m 3778 1711 l 3775 1712 l 3769 1714 l 3761 1718 l 3753 1723 l + 3745 1729 l 3737 1737 l 3730 1746 l 3725 1757 l 3720 1770 l + 3717 1784 l 3714 1802 l 3713 1823 l 3712 1839 l 3711 1857 l + 3711 1876 l 3710 1897 l 3710 1919 l 3710 1943 l 3710 1968 l + 3710 1995 l 3710 2021 l 3709 2049 l 3709 2076 l 3708 2103 l + 3707 2129 l 3706 2155 l 3704 2179 l 3702 2202 l 3700 2224 l + 3697 2244 l 3694 2263 l 3690 2280 l 3682 2306 l 3673 2328 l + 3662 2348 l 3651 2366 l 3639 2380 l 3627 2393 l 3615 2403 l + 3605 2411 l 3597 2418 l 3590 2422 l 3586 2426 l 3585 2430 l + 3586 2434 l 3590 2438 l 3597 2442 l 3605 2449 l 3615 2457 l + 3627 2467 l 3639 2480 l 3651 2494 l 3662 2512 l 3673 2532 l + 3682 2554 l 3690 2580 l 3694 2597 l 3697 2616 l 3700 2636 l + 3702 2658 l 3704 2681 l 3706 2705 l 3707 2731 l 3708 2757 l + 3709 2784 l 3709 2811 l 3710 2839 l 3710 2865 l 3710 2892 l + 3710 2917 l 3710 2941 l 3710 2963 l 3711 2984 l 3711 3003 l + 3712 3021 l 3713 3038 l 3714 3058 l 3717 3076 l 3720 3090 l + 3725 3103 l 3730 3114 l 3737 3123 l 3745 3131 l 3753 3137 l + 3761 3142 l 3769 3146 l 3775 3148 l 3778 3149 l + 3780 3150 l gs col0 s gr +/Courier-iso ff 150.00 scf sf +3510 2475 m +gs 1 -1 sc (Set s-1) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 3060 m +gs 1 -1 sc (\(\(p-1\)*m\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 3105 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 2700 m +gs 1 -1 sc (\(\(p-1\)*m+1\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 2745 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 2340 m +gs 1 -1 sc (\(\(p-1\)*m+2\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 2385 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 1980 m +gs 1 -1 sc (\(\(p-1\)*m+m-1\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 2025 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 3690 m +gs 1 -1 sc (\(2*m\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 3735 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 4050 m +gs 1 -1 sc (\(1*m+m-1\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 4095 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 4410 m +gs 1 -1 sc (\(1*m+2\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 4455 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 4770 m +gs 1 -1 sc (\(1*m+1\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 4815 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 5130 m +gs 1 -1 sc (\(1*m\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 5175 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 6210 m +gs 1 -1 sc (1*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 6255 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 5850 m +gs 1 -1 sc (2*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 5895 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +/Courier-iso ff 120.00 scf sf +6930 5490 m +gs 1 -1 sc (\(m-1\)*bpi) col0 sh gr +/Courier-iso ff 150.00 scf sf +6930 5535 m +gs 1 -1 sc (2) dup sw pop neg 0 rm col0 sh gr +% Polyline +0 slj +n 3870 6300 m 6750 6300 l 6750 6660 l 3870 6660 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 6510 m +gs 1 -1 sc (Image 0) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 5940 m 6750 5940 l 6750 6300 l 3870 6300 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 6150 m +gs 1 -1 sc (Image 1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 5580 m 6750 5580 l 6750 5940 l 3870 5940 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 5790 m +gs 1 -1 sc (...) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3870 5220 m 6750 5220 l 6750 5580 l 3870 5580 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 5430 m +gs 1 -1 sc (Image i-1) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +30.000 slw +n 3870 5220 m 6750 5220 l 6750 6660 l 3870 6660 l + cp gs col0 s gr +% Polyline +2 slj +7.500 slw +n 3780 5220 m 3778 5221 l 3775 5222 l 3769 5224 l 3761 5228 l 3753 5233 l + 3745 5239 l 3737 5247 l 3730 5256 l 3725 5267 l 3720 5280 l + 3717 5294 l 3714 5312 l 3713 5333 l 3712 5349 l 3711 5367 l + 3711 5386 l 3710 5407 l 3710 5429 l 3710 5453 l 3710 5478 l + 3710 5505 l 3710 5531 l 3709 5559 l 3709 5586 l 3708 5613 l + 3707 5639 l 3706 5665 l 3704 5689 l 3702 5712 l 3700 5734 l + 3697 5754 l 3694 5773 l 3690 5790 l 3682 5816 l 3673 5838 l + 3662 5858 l 3651 5876 l 3639 5890 l 3627 5903 l 3615 5913 l + 3605 5921 l 3597 5928 l 3590 5932 l 3586 5936 l 3585 5940 l + 3586 5944 l 3590 5948 l 3597 5952 l 3605 5959 l 3615 5967 l + 3627 5977 l 3639 5990 l 3651 6004 l 3662 6022 l 3673 6042 l + 3682 6064 l 3690 6090 l 3694 6107 l 3697 6126 l 3700 6146 l + 3702 6168 l 3704 6191 l 3706 6215 l 3707 6241 l 3708 6267 l + 3709 6294 l 3709 6321 l 3710 6349 l 3710 6375 l 3710 6402 l + 3710 6427 l 3710 6451 l 3710 6473 l 3711 6494 l 3711 6513 l + 3712 6531 l 3713 6548 l 3714 6568 l 3717 6586 l 3720 6600 l + 3725 6613 l 3730 6624 l 3737 6633 l 3745 6641 l 3753 6647 l + 3761 6652 l 3769 6656 l 3775 6658 l 3778 6659 l + 3780 6660 l gs col0 s gr +/Courier-iso ff 150.00 scf sf +3510 5985 m +gs 1 -1 sc (Set 0) dup sw pop neg 0 rm col0 sh gr +% Polyline +0 slj +30.000 slw +n 3870 3780 m 6750 3780 l 6750 3150 l 3870 3150 l + cp gs col0 s gr +/Courier-iso ff 150.00 scf sf +5310 3480 m +gs 1 -1 sc (...) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +7.500 slw +n 6750 2790 m + 6840 2790 l gs col0 s gr +% Polyline +n 6750 2430 m + 6840 2430 l gs col0 s gr +% Polyline +n 6750 2070 m + 6840 2070 l gs col0 s gr +% Polyline +30.000 slw +n 6750 3780 m + 6930 3780 l gs col0 s gr +% Polyline +n 6750 5220 m + 6930 5220 l gs col0 s gr +% Polyline +7.500 slw +n 6750 4140 m + 6840 4140 l gs col0 s gr +% Polyline +n 6750 4500 m + 6840 4500 l gs col0 s gr +% Polyline +n 6750 4860 m + 6840 4860 l gs col0 s gr +% Polyline +30.000 slw +n 6750 6660 m + 6930 6660 l gs col0 s gr +% Polyline +7.500 slw +n 6750 5580 m + 6840 5580 l gs col0 s gr +% Polyline +n 6750 5940 m + 6840 5940 l gs col0 s gr +% Polyline +n 6750 6300 m + 6840 6300 l gs col0 s gr +% Polyline +30.000 slw +n 6750 3150 m + 6930 3150 l gs col0 s gr +/Courier-iso ff 150.00 scf sf +6840 6615 m +gs 1 -1 sc (0) col0 sh gr +$F2psEnd +rs diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig b/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig new file mode 100644 index 00000000..e9413110 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig @@ -0,0 +1,176 @@ +#FIG 3.2 +Landscape +Center +Metric +A4 +100.00 +Single +-2 +1200 2 +6 3060 3735 6795 5265 +6 3870 4860 6750 5220 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 4860 6750 4860 6750 5220 3870 5220 3870 4860 +4 1 0 50 0 12 10 0.0000 4 120 630 5310 5070 Image 0\001 +-6 +6 3870 4500 6750 4860 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 4500 6750 4500 6750 4860 3870 4860 3870 4500 +4 1 0 50 0 12 10 0.0000 4 135 630 5310 4710 Image 1\001 +-6 +6 3870 4140 6750 4500 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 4140 6750 4140 6750 4500 3870 4500 3870 4140 +4 1 0 50 0 12 10 0.0000 4 30 270 5310 4350 ...\001 +-6 +6 3870 3780 6750 4140 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 3780 6750 3780 6750 4140 3870 4140 3870 3780 +4 1 0 50 0 12 10 0.0000 4 135 810 5310 3990 Image i-1\001 +-6 +2 2 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 3780 6750 3780 6750 5220 3870 5220 3870 3780 +3 0 0 1 0 7 50 0 -1 0.000 0 0 0 7 + 3780 3780 3690 3780 3735 4455 3510 4500 3735 4545 3690 5220 + 3780 5220 + 0.000 1.000 1.000 1.000 1.000 1.000 0.000 +4 2 0 50 0 12 10 0.0000 4 120 450 3510 4545 Set 1\001 +-6 +6 2880 1665 6795 3195 +6 3870 2790 6750 3150 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 2790 6750 2790 6750 3150 3870 3150 3870 2790 +4 1 0 50 0 12 10 0.0000 4 120 630 5310 3000 Image 0\001 +-6 +6 3870 2430 6750 2790 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 2430 6750 2430 6750 2790 3870 2790 3870 2430 +4 1 0 50 0 12 10 0.0000 4 135 630 5310 2640 Image 1\001 +-6 +6 3870 2070 6750 2430 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 2070 6750 2070 6750 2430 3870 2430 3870 2070 +4 1 0 50 0 12 10 0.0000 4 30 270 5310 2280 ...\001 +-6 +6 3870 1710 6750 2070 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 1710 6750 1710 6750 2070 3870 2070 3870 1710 +4 1 0 50 0 12 10 0.0000 4 135 810 5310 1920 Image i-1\001 +-6 +2 2 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 1710 6750 1710 6750 3150 3870 3150 3870 1710 +3 0 0 1 0 7 50 0 -1 0.000 0 0 0 7 + 3780 1710 3690 1710 3735 2385 3510 2430 3735 2475 3690 3150 + 3780 3150 + 0.000 1.000 1.000 1.000 1.000 1.000 0.000 +4 2 0 50 0 12 10 0.0000 4 120 630 3510 2475 Set s-1\001 +-6 +6 6840 2970 7920 3105 +4 0 0 50 0 12 8 0.0000 4 90 975 6930 3060 ((p-1)*m)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 3105 2\001 +-6 +6 6840 2610 8055 2745 +4 0 0 50 0 12 8 0.0000 4 90 1125 6930 2700 ((p-1)*m+1)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 2745 2\001 +-6 +6 6840 2250 8055 2385 +4 0 0 50 0 12 8 0.0000 4 90 1125 6930 2340 ((p-1)*m+2)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 2385 2\001 +-6 +6 6840 1890 8235 2025 +4 0 0 50 0 12 8 0.0000 4 90 1275 6930 1980 ((p-1)*m+m-1)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 2025 2\001 +-6 +6 6840 3600 7605 3735 +4 0 0 50 0 12 8 0.0000 4 90 675 6930 3690 (2*m)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 3735 2\001 +-6 +6 6840 3960 7920 4095 +4 0 0 50 0 12 8 0.0000 4 90 975 6930 4050 (1*m+m-1)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 4095 2\001 +-6 +6 6840 4320 7785 4455 +4 0 0 50 0 12 8 0.0000 4 90 825 6930 4410 (1*m+2)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 4455 2\001 +-6 +6 6840 4680 7785 4815 +4 0 0 50 0 12 8 0.0000 4 90 825 6930 4770 (1*m+1)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 4815 2\001 +-6 +6 6840 5040 7605 5175 +4 0 0 50 0 12 8 0.0000 4 90 675 6930 5130 (1*m)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 5175 2\001 +-6 +6 6840 6120 7335 6255 +4 0 0 50 0 12 8 0.0000 4 90 375 6930 6210 1*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 6255 2\001 +-6 +6 6840 5760 7335 5895 +4 0 0 50 0 12 8 0.0000 4 90 375 6930 5850 2*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 5895 2\001 +-6 +6 6840 5400 7605 5535 +4 0 0 50 0 12 8 0.0000 4 90 675 6930 5490 (m-1)*bpi\001 +4 2 0 50 0 12 10 0.0000 4 90 90 6930 5535 2\001 +-6 +6 3060 5175 6795 6705 +6 3870 6300 6750 6660 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 6300 6750 6300 6750 6660 3870 6660 3870 6300 +4 1 0 50 0 12 10 0.0000 4 120 630 5310 6510 Image 0\001 +-6 +6 3870 5940 6750 6300 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 5940 6750 5940 6750 6300 3870 6300 3870 5940 +4 1 0 50 0 12 10 0.0000 4 135 630 5310 6150 Image 1\001 +-6 +6 3870 5580 6750 5940 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 5580 6750 5580 6750 5940 3870 5940 3870 5580 +4 1 0 50 0 12 10 0.0000 4 30 270 5310 5790 ...\001 +-6 +6 3870 5220 6750 5580 +2 2 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 5220 6750 5220 6750 5580 3870 5580 3870 5220 +4 1 0 50 0 12 10 0.0000 4 135 810 5310 5430 Image i-1\001 +-6 +2 2 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 5220 6750 5220 6750 6660 3870 6660 3870 5220 +3 0 0 1 0 7 50 0 -1 0.000 0 0 0 7 + 3780 5220 3690 5220 3735 5895 3510 5940 3735 5985 3690 6660 + 3780 6660 + 0.000 1.000 1.000 1.000 1.000 1.000 0.000 +4 2 0 50 0 12 10 0.0000 4 105 450 3510 5985 Set 0\001 +-6 +6 3825 3105 6795 3825 +2 2 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3870 3780 6750 3780 6750 3150 3870 3150 3870 3780 +4 1 0 50 0 12 10 0.0000 4 30 270 5310 3480 ...\001 +-6 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 2790 6840 2790 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 2430 6840 2430 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 2070 6840 2070 +2 1 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 3780 6930 3780 +2 1 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 5220 6930 5220 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 4140 6840 4140 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 4500 6840 4500 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 4860 6840 4860 +2 1 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 6660 6930 6660 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 5580 6840 5580 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 5940 6840 5940 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 6300 6840 6300 +2 1 0 3 0 7 50 0 -1 0.000 0 0 -1 0 0 2 + 6750 3150 6930 3150 +4 0 0 50 0 12 10 0.0000 4 90 90 6840 6615 0\001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw b/usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw new file mode 100644 index 0000000000000000000000000000000000000000..634cda5c845b059fdd9bcefabf77abb7b52542a9 GIT binary patch literal 39665 zcmagF2Uru&_vpQV^roU9NRuix(xi9kO+b(uq<7FzLszOG(m}c+NbgNBf`HUeR6u%9 zKsp2|q27h>?|bik|M&gho99Vp&N*|=PIhN^=X`edu?F6?TfpV#+so&|3k3q=j9~rp zO9Xyh9bIib;m>U?pFekTw6^qgba#VzyV>x2c)9WWy1MZDz#KhoVUMq35P=?-F+jKf z`Jn-8I#x~!%F4=*l!XN#*6wbewr-x6zA4FV+Ea4ZBenyhR~bvFAEk!G6U8#TW=r9| z@#+!F_k!P6mDwqaGb574@=>H_zIbjsZc@O|H;KWUDit%!R+UdLPER)9*iUA!`;r9v z=7lFxI6m|ye!f~N*VA)v>1SNF;;$F7^|FT%Ge6PBOjZ#|A2)F9mzI)p&6y>WDeTQC zIvyV(J|sr{nk^#4Te?BQ_^mUDhJfSQbKI^LHOGr&pqG~phv2(wB`L4&9?k6L#);jRd?%^}Mc3E}tm5hqq;3h}2x0^5m-Z zLyi6M^Y-7Zf6YbuD$Lou#sD7H74}iK>4j>~rwppGYW4jz>%ReZX}@M_)te%?SE_y3 zANvdC__EmDu&^&=FXc`i>n@adS5nn@vT`hGb|&fE!b_89!nauT{!@WRi5k-`*5x`l<&xCD;JH^(1r?JXSL&#*T6QiuaFET(kSDe z-GOXLKGq;4@>|{*`ho)ho7Vu~zd!zW{>$9~ zd~z+%NSSCnUO$@Awv^|uSZlfY`}M8H!NY}_XXoqZT?R(O4 z8*wUlgrg5yNMFuuc8xX}SxRM5W*_-|4(|WS{KoxLk9_sB8GXhzZ`kNQZ#v?QyTylk zy#@9zGmj(5!chm|=K-+?lrDjNP>LndOsznVhPzWPjZ?lpqO@zUhWt|8O^&yb@7V~L z^1R>q3!>dP8Cz&7bn##AE^P$cvHj47a=B3O>;4vE>Xu#ImBz6dR7{@Mb^p+!n65CR zOjvlkr9vX2poaNGQ@VcemusWx3OYX+*SuV4B_QbMVCvw}&$4f0zj<1suIc)rFKie5 zWXuJUhE+ULocaH{WEO^h&|#Z~eG=@5S8dcDSytbDrtm$w#sy6^Y;|3Y&*hW!=vbpC z>1=h&O^LE1$@zr$%voG6C2|8qN#glA@4r`u;k@GaVD_L35w^=BWW4T8HyGkAaJN_H zFpjlxewwiTv5#5+aRD)_Qo!1z)uVoqDb-0YjCS>!T^f=Y>5Y45T$qIVnEbEoV~_Dq z(J|zMR6nPlFN6l_zt||_n0;v}vZ<+6>%>YhzW>bWlR1?@TM22cT3JREPA6k>l=^jw zlcAEh*82_pZ&3a0GJgYFAyFr|YoGI;EZDLQL~DK~r2ekO10C@fxy$KoaoC*9ef`t4 z-BaV!rY^jrW}Kk#z~_-qxZ9_bp;E(ClBcX)1HbXP?Ef}0^!Za|pHM((4}~4SO9ejb zUpOry6Z(Xsg$_pF3S1tGWXai5;ifb-6wXeGn=MCQheme`l&dMaah<$~ky1bz9% z+EcW)q#cRg5GJSF(kZ-KDP=KjK6@>c_s7K~vB2lLi~Uc9=2sEoQHCO9*|QJ1IPmQ5 zz0ksGNWisj!Kp62*4f#dA4~jmJAO0dME4uXW|jf&v9Oap`o5a($zQboFRga2zj_Zl z8O5H4ha?_chgg3tpoc`*S+ejiu6%_&(osM4MA-Ss=yb>r{UJP%g6bX_`f& z=p4U=#rgU2C?q)eX!$(1#eY8tez?OKbbQ{89!C2Fnaa#w?4sko_#g|BxqiXel{m`Q z;ETEAg@w7Znc5s$RTt*lj1VJn*?ud{ZN@jzRPrx<9fPXeokiSa$e?eRU1b{OzSJ63_Ea6*{; zb=LCFdu*@_a&h^$S&5~%8Wh!s%R_+YQDi_1r4F+bA2&caEQKoxeSzIUmP z{eEK9p4!vPzS7%MT=DHGYay&oha=!~f9Xy#^}U2)mq`P*fG^*YcG6`)X~!?Z^L!Im zr@bUt6(!7V!oo@XRF#v7f3GARuENw`Z~+I`l%;o)QU1QUi!nHPHMoE)W(@= z4llL=KEA{?a#LJ-h*76JwP%&<0QMvsp*BFW?CO!?7GTd_r>GqZF>7&Sc7{BULJ zWaIZH;Y4>`_cM$49S+&S^!E&Ud%b3X^$E$>bl?4n@V0s)F)J`(6)2pUfq2x^~pv%dXwqq z9fL;7Y8^g{sdI60uYJUHi_=HTO{SCwszaokABlaCrxHud(%W@$9Mc2!_8T11I`xoG zbbL0WMOqscx-aT>8?x7|6{+ufEvU%|*;KsrVLZqCxJ>82S>4L-#6x^S6njw3WMO-s z@8lNd^+sBnQSprv=E>I^-C&L3gttyuS(WEREb+reTIBX{Y#@sX9cz9{;QfD!ijJ6x zp~0-q(mz%QjbK@^=y0$?G0pa%RnEl1@!A=Xc<$G|(uhM&fU|Y=^~DXkq9sOq|bgdjiIJuXiA6I7U`upI9iyNzH4hYl=vXpO14?&9s7rt*I_` z&|-9v(Iq*3c(#LXCgiJ?hu?YoZ`oVjVU1xX|Lau!uV*l)N8WuiR!*Yqq1Smks)Hj6(4;1bWVD8 zEOx=DOrT02UtHb#yCw9lL6AVv1*f$r9o}E_IQm~M4})nvlBG--S>s&K%tGI$cK2AL zU(^u)-0`?LR6J&oKP>YaQb}Y*>K<>OIKVu`Bk1+tjvi7wykYH5S?b+IUxO)#CuA9E zvv8&)_4cA~!PLc5vP`sD3sZ)BSJ7lJW$~me<3Setl-k}2G(AjPJT1#~kaZO?n;G%5&};_Eiqgd(*-?owuBJLOWqzKtBqZ`SDjHU0rdGlGG@-O_6txB;Z~A1W zQNcHsu->pjeVA5t;}uQF>>EHW!$_M7rq$i}8WX1aHcp(4Fh~W8B6^#ed`*T6fG&~NoXTnd{ zNjx}Q-t5Q@)%J=gl69xIA8)NU21&iYII##j{xM9mbkT9Rq$U2ja{X|B$B+rU+cl-S z32Wbxuq#!zxY+n9X+K{SxZS3^FRmC3rkh(v8%5XVJm!KRe+F6|PYx&j&e&sJ#ar9mKE)6|d}!j4eYS1sc&Z z>dfb}y^8Gpz3#t%D!9!RTd-eUe{3P^_-CDS|F_Uy%~LYBuR#Vw7rFknp8@dlZK8 zIR$}ke}6LvYH|uZKgcHdQ}WcC{zveykNXs^XAXaH+#lNA`H^8Or=zp&66)9W=ZtJI z(sI_Kd~D^<>dD4pVaw39$d<|B6Kq?Y>vostaRt+lld~*os>9zxeIdW6%bzN*(TB{}?p81sS_r-kWeDGrXjq_LWqTMo?0k~WLg+;+1PAULUCxU}1 z4M#kfwm!rCKdT%YLVbS%=M-pgf$L-#mXr^c@-~26_B}`Gr4#ja2k-IX%V zZ&?ZFO4(Ut3B5&qrF`9tR%*La+7=l=uMv~7-~lD_ftXj10H8-f3-js?SgJDoQ);94 zUgZP8jzaxErBe7mrK-U{r3U-nt18g0y^pzU*Ap6z#<#=);;9V!NZWA%W?AkenlOAo zuHp$RgoqRfK@`hDuTuk$-GUegmqycd;yL@0P`#L2@DeGl9R8tr`@UM#1cn}7A*GW8 zfyC4F#h^MdH{rzyO(=h5{L{Wt)F_4yUXIX)Lfqp;`!Z1j7;1PaLTi?PJKm@73u*?# z2(Luw%tDyrDf=Q(9he)~q5w@9{@i$-zCzS6h89~Epe+Njh==s0p^%u{*pdLP7XG<- z_r6Bd6ovs?5unook&S2Si$ir|sIbMSnnC=n@fLlRs4>h_%os)#(}?lG9AfTb39%|z zPOLqa2Ky8%iY-6=avFJBc&dG_ac+995(K&6367WT%S8>rRCxkzKdQ{30P)8ZiL5<0 z(5f&S@o0L3w;U>I);$7fBbbZ$Tl%MOIlI%SdWg}FU{>OF_Jp5kFb@fM3u%9q|5G$Tx>DQ((xi}f(&r1uPs zgOP*BOBpe;P^LuocA#%yRN?>JBT~A1m(awRNAN@`;~W;9l)~O&G%ZFGo+@RU!#b3* z(z}Po$0)!P5JpfINJ?5S5`7z^4o^XtKv^qO#(LM$+?e z=8O9mY!|#2EEn7t9IYwAX&3Pq$#cEIy}`(fo^3F1q{OITlTM8(60|1nykD`Ph{r=S zi@&1Reaohj`mskB{qHT1y*qWO=LOmq79jpI>#;T)XKGT98rlX16A#bQ(`GMB9qw^N zd&2z0L$h=T+3ZtmdyLU8FmLh5Ed4?DmDIf+I643p)D&X&n4gU%HKs=iZ3TPL6lSK! z&z_cw?6F0|VDP3_X1WxqJiRGsZkRz+hM9TAy|HBH-g>kI%)BYb%)*UXH2H3CESdwR z-;_RW=60_!*|fJD{Sao_lsygIV)jXv?9E2=!=5%}PMdGtJ52WPz1O!9`1h;@Cfn31 zCC7N5GLaCei28te0RJqdz{nNH^f<#JTtr>p(f+8D1&|X+mbf~_+wZ$yp5lO#-Y*0* zF948ydIL`o;3erHRUiRMR8}!8lT}e42!N2&`%j_YX{oD6@qlW>isRa?s(xY{+P9%Wl#bk@J#6xuA5usP$@JP3jjtp z;84_YvHv$KO?5uz69DLcEe=_ur4HW&S!A@s9!tX(ofI>8X(rOhg5NN8CTAoEubS65 z+YwRa#RQc2;5E>Sxd9PX^^rj5+LcfO3fTlhxS+stF9#IT2y}2jVewufBC0lqAPxWp z#E(B=9c=IsJEb~4Vc!c*LBYf`9Gv?i4A$+~CZh9eN>j3-K0z$3k|_KU>W=&>KjC&6 zc>&R(dDq;Y9tt?l{`ZHxWVvrcbRMI!g?xuN1#1+ca?&r5F(ZU*BUHEGQ)IK7ugSpc z;A6%9T5u2C2<{-8T?@^X6JdtDk1#rGzlTBGS861iU9lDmBaws3kyrbbno6_c%gWn2 zrnF@Su&rFbUOJS}&$)V_3%Mj`#z$g>z8;Q|#7MlAs+TSpCY|{8n=tZgQN%MsBpNFA){S@oXx)mI> zh_5PMbe+$R0*s{v86drat_PEtJFCzAidF0-Ye&g~(zM_G2#5%SyR0{w3wtR<(rK=} zcsJo>Zm&LeFZA$WoPy1ro>3sFuxmRDy4+i1CNM?z*|t? zUO!1((KH`RpO5|7BuW609JJnjJ0?glHZ8 zLs}@lJK1!x=&NM(+qIajg#~f$21yEPXZjgNoYb)R3b8>Ju$kT|z;pY$`@@A*%1?AcrJFR^i?t@uPVgp!Nes_qc{sb(U8$egqGDH!L}9E_De z=kHy5GQ;l)opeHsx)5_ZDjYZ_`K(n1Lefn664sw}Xr*6A>?hE$N0V(2Ij#YXgoS5b zJXsp*jE?p72kH8RMp|3dBO`m797|!nQ^IB9akORX171}NZ}o_0{~|;?=2OxZle4&x z`oGVcZx6Dw$JI?{@HHg)^NO6wQT2*trY-eZ6O`(CFt~jFX!EM^OO}M?&LR@_xWO0x zM4xjPGhf&07Ve?e%Ua%G7qf|C)X7Z)P^bA&f<8@ek@28U z2W7gBm8l*^6+-yVmUTIFN@Kt`f`e6(Kcm|io-XBev((&1d~>AD@PzK|{6P6T#yf~} z7(*IywX|(-M^>&(WX6m-Oj2$yR%~qIgwmOgEnM&rMq+GMv;4lFg*C+%_x!E+ladoT!J_@8O@HL(v1(Z*Gi zutvJ+3O<*a5x9#E*Akk+{&~^d>CL@kS05TgVCRqH=&}b#AK+4r3lHF$g3#F)Ay_K= znxaiM3U;r|9}T{unDLqgw%IiAobH1tgvG4PHyfG=(}$2*C+D`iPm2Z(zv(^-+JI+S z#ut`N)i2}UkqM1R%hb$7UrVeMq|G(SnMx&JQG<@zkQNJ#GluuxoP}(QeS6MYd>JFa zxy{t@n`h67CW-0&lHozjxLs4j5?jMq!`S=-#RG8$ryc)|2$~E{hkDP{a7>FcZQMu$ zcL(X$jme$K`2p^dcX!@e9o#$}{}}xyi}CcAr9Z{Q7b?$uj%}MH%&W#?F>5O^l5ve4 z)A=)g&wxG$zvXd8e3djR5jbaIDh)!uGxydesxfB%kj2I3vvkU6*N&W6GorXdQoN}> zy8e^X_0vzcsA(gP_&&XP?w9Zw>jP6rFj!N)&FTyNPDLApybsBOvOPJ;o-QBc-p^CN zDFv~LB5TD@X3&P*e;U_ zm|q|vDx{$_Xr|dkDed}0B-@f+eIapp-3u&GwtrCi_Pk5I9XTugea(Hbk^V2MFVxozOIG z63||36qv!aZwKOpWGF(Ph=5A)nJ*?U5x#Kv7662MW4M>Y=caIg zAB1q-YQ&B|1OOrwps2A(4P7=M1k7M{h0XU?($*D9;0Fa<_a)f; z2ml!FLHcrNf^-;x_jg|`D+zt-iGq_dQqlv=v>2Ac9C}wv0C0PhNi!hE!aBJqog)N< z5Tlf6_u7~4;Q@DUdO(F0Rg|-A{iUD);K2g!R`FIFi!1{iz~&|5zAp4gLJt547eW0* z%XR%Qc2Hk<3;vULbnJIj71+g%WOhgfJ9hoojnr$veE3bY64Or(C2cgkm;_*!EI`KC zeb4RaHogsn(Dc2d34Ao31RkuS2k3zqnMKDQFjak$S+mmlxV^y&91`3*wip)POC=+Q zMG`DWa4;;am*q7K3+ZJ^h-s$<8#>{`7YM+-*DDn9JOn({;9(e70FS2hJxCci2Y%lW zPqTcP_S*N5Qb}=KX2|T}2-R?K!++k`R=XSo6Zaqyta165p1AQe zfv8(lmwkxHSW&7FxU{Df*+Xw#zf!&dQ~V?gV{itnH-&J(_=6Mgha=*Uj8n(^0ATHu zL!))B#|VVbqLh*#Y(&5_0REHkGC?PU3)})X%8Y}tGP+F6-QsCFz|eqa7{Vd)vR{#i zKSW@|2lOZ<(aQ#w_aHYfr}N-&U{eGe{*{O3gMSemGo(VG9l+oMfF?6IUcO!Xe^$|* z-w481N<;}oQq9k*0qx)fHII&$Z$1Q~dFSgg6SC@ujC;sfyD71zH!24_ee;}rQ zWrZT=!TX75g^eX$$9E8dDD? zS_NZ`eSP{Qh(k8bq6dP0igCvBV4bmdv8Gr_tUosPH1zc2Y0_!!Y0PQqY38Znx%s)` zxqcAm1^Wfx1#4^CMT%?>6IusjFaGGE?Rwm^zdbmx_w;Nk@vMCbs2W5K_ zmu6`V@~^~u^fjTTVT|IHSvrFdnt0N_a8x_&MpKcQCO>~#yhdLE>KBZ*smx59AM!Mw zr>_mh-c)0zRKfi;sT3)K%7F1THJGVX@Qfv`Bgs(_FxIB(=|^tdqDh%ZK2$1TBg z*^Q?$X$r}JdJE%hs-0Ha;`T}Uf|N$(z#vVH(<)m$he;<$Jk(1JGrUUb5hFKcQY4ZU zm4LYquai<{g=1LY)rdz> zZb(uZk_VN7;fB{El%YJ8Nn^;ns8|dKycVG}%k7@jh?GQSWBB2X2$fl$?W98_Au1Ge z4_g)RNQRp!DGterO2Tkq>jIQzcyg15kTj?m3_G?aK&ge>BB>H7ips?BVH*NeT6pG? zwvm*mNDM2s`t(r{w`@`_5`s#@@L=mtQ%>toV^7OZvroUCDxb@r>z=Ef8=otk8=Pwe z@m%m<+`HgvO}a?DNWDmyLtgYTq2e%{*jjp)%o+jJp;mfk!ajM}HSs)pwYR(~2_O4@ zqW-|h#6Qt%yyfdoSnAtF9l>zLKV+$D^KvF6_4T4qFcR^CEOl+Z!i3?zRn%V?f%wNP zwLxC{gxbCd)CP<~yf8~+kZ&bnuMdklh2b>4H&f;3rAdhC>qITWh@0}w)cN_+5|Djp z6b6Rhlt<6|G?52sfGUBBG&P$kR&b3aIwQSM%`oYvmT5V+`=W_=ky@xvFrlWVX$3c~ z#za%3E2;q|+4OZEH8KApxRZ8iNUX`bMy;sBs zq5t(t#=N(JU*1ztk5Vv~l(;9Z4|PR{c}9D#=&(C?{1qL>NtV7sM^^W}uFz4q?(9{d z==}Vv0Jk)0_gJirZh@_D0Z-z7p_S?^Zzz%j09LmG5hcWHRZ0jjQ>rJ^OmwvS2OsDq zsu{460@n(8LDXY(q)w7FdDKY+e3#?G;E)Gz| zfmP=6zDqWdJHP?nQF%Z|gm_7&ZU7;-e=aMz%!v5Pfq4FUsu;+Ve$z?OUGgOD zFmNOKzB0>5FYLY_l(B|HHIN%9e8hAA3|b})6=IoGkVVLQRYee5LB6}IPb1`O`nYgeN?G7LDm?|UTecXB}gNJNO=Vk%8BrD_r9eM|!_Vv33uddZ94 z*~@cbSk5D85u=2%FP*M%(Q&vU3M2+@w1}bZ=R~(d5FvC{`-Y8v$|OOr`hIbTiegm< z?=c(ZvZm|&+WZLR_I$_2iO9<7t(#ckby>|CWSjINuP!O?>C+hD4D~tkeVg}8LdI+` z&a{!VRx`8u;odFjg zxk8a(d$XEBbyiHuibE%MPW)?sl5LY!1MPEUP+CKFo5mSM%%{QhIa-O0L7N%gE=W81#? zWpyVK8oHZI`FvhD8WDhUtUl~V`0hzdFohplS5MYp>nQ4J`B%(>yAu&)4U^hyH;dVZ z(wi%U+U|bza3_O$XLTphB?Qxx8uPi94{7vO2jn4*jzZuk(AaN${@UPVm5gNZ1wMKTr0$n^mp7lBlQB zeEWBh!9lw8;PPRe*78t`S(ln7|Ijv>E8$(YzW90aAJ(#D(125wbGcTbB9N8+$gnA)(yqd zlBIq*Id2LTQdDJ3TNf9vq-%B&Cp9`6c`ynYrDTBUiJ{;oVioQl(Z&PmG&l_;){on?v!pa!-8dr@#iYN*W7)?z1rtH)6nl-b}>btv=`BBj=?h| zD~X9JCawe2Q@zru8A0z~i|H7Q8#ifL)vH&84v3D%&d2hPJp`G~&FmEMU0GWwN3Y%$ z4ElqJLG2pb?TLLp`v|AcNE6rRB=(Bfy!1(!=i}f04+pvj`b01Z=6-L;M>UIJxA%6xJf5{5cdhEEYcRd5zSCf6gGbg9{wv!^@QOSkG_4Hu z)*f^uX6+i-HwtV{RnKIMV)NaE_T}{Kdjt)n?BAKS?#bi3J9PTA&VRYT^uddD17vaU z3#gZ>QY{CTQzUuQ@FVHS;`mRtTnOK7|J-`R;>+oS;KqLJ_23v=t@<)w&imw$r)FfH zb9w!TL}ItTT}||+N182nN9+lA?*fq2TdbW#x@R<2RkcxQBN^&Z+^caHYGu&cvGq!{ zx~jagM~Rj-=uWB8tFJx$;JWLEsaFz5Ha2E>Ohi?^mJ~kF(1|K1Q96?}>FV>limW50E|xARvc`rawdy zvsu^*%G66W^4%RwLR9op>)BUHlO(Z&80tmHg0kLa4D9b$jb$OL@rUWR8Xi9>%tlua zz+Ai4Tq<2F+bknCJS0Zu568(N!s$PpR(VO$fn$P<#jXYsG=3BH3-xW822TV8=sP#q zkE&H}(~3(U6?7cX*Z6S$QPrC5%Zvw{`^)|+I-`;6Z1bu$v^-0S4)$;<>z$5 zXlwI9d_$vUxh8wvY1+1x#(SRobCsuilI@ym(k}dE z`GDC*g|U>5BycP5Afh-0Pg8ZFVqaB@4kVjQvklgiQrJMUbe%Sc^uFXJSvty|t{&;D zd+$Ug1;Ko_xb5FU5-}VTsXiN1gvmSFOv{+JOjtKB{nl~&x;BKd#1pdE8?$%__5Oz% z8G33+OlqV4!9+8vM=3X4IZggCMX+7SI@VAHTy7mL5Mwhe#aCBz4m7I#F4Sy!b-og>;j?qT+DLaIIovL{sy6k3@J__BP5^8(lJ z4q9MK)Gc+NyOZVMRx=O*$**`VxT@#R^`pV38oeqo3gEG{V36&M`oY4@6uK2+K#sll zJY}5JK_2b&uI-C7m>$FD(H2`pHYa@617M1dimVJ?E%y7`_~sJU#4|Bo*nX3u(^WgM z-x<7wMn0$K8a1Qq&HqB9i?nW@-!KhxDKHY_ZVt^rgW;-e;;;JQdD~0alO~196W46) zmr>ktzdeo%Hk#<=f@HLMB6-KU_R8tLfcZExDHg3%+h{3aac8vAX#<>Qh47*>Xa=vj z7mf~+wu+JhP72@*1(AKcR^8@&35!@!O64HL8Nj_ z!VpD@(4(*Nz+;`Bm_Cq0eZd3j!x2T^pi<!M^Q{NGH$Xhp(i0U-6EwsG zyl24V;eyR~Z~zGfC^#WJ&5;7+L>K#G>@*W@>`_ZGiUD$hC?#!a@yT`on2ve~$$0Td zxvtY+${ql~6%&HX;oXV^zyr2rghRyJH)6;GUjzUwKLU3vEU33dtP~gM!G-JQA$GjL zl?oK!b7=-@0`Gky(g5|^;LOmtq_X^mr5XTCaA`n=H85iZth~g)H&XD)q)eVxbXMP< z-fck6TRn|tAWAkeu9h1BAjtxdJJw3n?$r5iOXPfE$&=MRitPKJcAkhD3yd)BHbRYD00^FA5N7 zfeoLD^~AVcHs}D+ksO#0fWtvG;<6!_E&Ydq*fW@AikR%gGB$?5FQ z&~$fKXCWUm44*@TAI0oPk_ow)ZZ_?=%(WZ_A9_K9*}C@$|F&NI{*~1D^>^-`f*fZvJ#vtICWMd9VkHwq6sJ6?fnm@dC$pw7h-?(XHuXKiWiV2cCb0MCO!gYsR_ zf&);1zr5fw@%P|Pmjiil5h1uBoEXezFAY}}#(yhL|5iNzt%QP=t2hJzC0NA=eYJ0c zYv{+|~Yd zCjr3TU9}Mdv){{qG6Qz!%AEwb`Cm-k;GewOx(?`mRWbgzVt-k={BJM7O2NO{mzS0Q z_C=}7wVnUl%Tb$wQ?MoVxAP}K_+wwCbwylfAPuEDaKqFMZl#lk)U^?D9SU`IHG2L0 zi2O1PJfkpnd~Am4-T3%f!mR8n#285L{lcb~XERaYQC!n&!*NrN1&1x<6m1ct>4{D^ zZm^@vjZ?=iCbYk45&!Y#=gLR-nbPUipRHLJg%;-?>lG7cXXknXk&5L*GUWZ|F70HT zW1{4Q;K*z>H*3u7)2(>?Ia6@yZ3bG)`N{pdX1?%?*_#$z541l#hx_N#@1A4u?4Ns{ zBl8AvlsnG9&jrcQNe=$i|M0B+!6#A8CL>8*F%45|yvFKD z)1RXC@dJdZ5*CwmcY#6MlMky6IYTR0c(_UG;NT!ht5*f-(@!G0=0-t(GIr~#q`#(U z#ZKgRm!ac}-qL-GIg^TB&tlz~z;BW@EJq)Gq-Ip+4!wP+n9Rod-G*=5H62pXoy7Cc zO$R^hwNky`l22pz`COanVY4VzSg^%Y*OR>)D}=keRS{-}%S_bMbCKVEHsP$VA7CM2 zHrub;gGEP(cMQ69%LkG9_G>DAKTxp|YmbI4UTp^iGJCrc>>VZ4VyD`p1*rF#HZxoM zV&Ap#mS13d1UXA?I0%ep$;AILt`{CW%#`~rY@gc2i%uQ*IDeKTA+p(eoUucwv5@@^ z&yJQWg0Eb(;#>RUlQx1<d=BKn4?tgbNSWJ-c2q~a1&;7_hE&b-4 zj5vyM*vk+`NcA38pc*7v|E#v2p8P07_MJ1{^dF7-fTqdZADWycBEQ~b4MtSGytUWq zBz^SC?hJdMu+r&obi`5q+9c6K>)D>{z3E@{KWbo~nF3^fm1hlq(o`jMwRwHJ$=~<}BSLnrx$onrnxXrNh{GbN1X1&!i-v$cb0he!h z1KwP|=;7M>s*6PH5eZfl*8&LobQTKeWd-=b{dCOEtNUY z`iy(}iL9UY*_12SjV;B3hq@~LA4^rD|E?d&tq~OyCm*V;{eC&Jp5VuBaF}}#3$v>W z*2oh*eb67)rakuK)vTb&7>&o2vh(`PN0D^Z35gTK)Ollsyb7P_ci8FrlwNgCJQ4kD z;HQq#DxZ(djFU0`LnkLZ#dr7d2KDEqJ`Ia}M`noWa6_q!^4=EE9XzRjw}9aMNu`)r zUh-zZc4X>MshWqR?86kozs{{}$|huG@|b@?&-JCw=Z0}kGk(4U;toQcH(G6E1U%#K zhl9H_s(G_J>s_)sQvx>Y>PF^_OH*T38KUc55S_Q@TMNzy^{{1nri2JhL}ww^uK+Q^ z;IAiPPU>&!-A%DO*SP!{p0+hU*)UV>o;b4oi-A;OMQ$y3guztuvvs2-YK&rQeDi%h zcI#&|<(5%A$*v)C>f2sR^9MO@gl*kcDJx!n`xljU88N{{9f#Rc){luPtRIb_PSI)H zoxkXEUa1>ey6-2UgHrX%jf@EqZ`x-|QVIk@3#`gNM}UpB#;4R;d`&FY?74ocbFPQ@ z?9`sJ1*P`24Pk1JjJc;M{QX<=gZ8d8HROu*u$@{L!t%(f#+iAzBkzxvCAh^IZwh)r z=H%_~!2Q}M6gaGsZ5{!t%l@EHyIY&Q_skJ;cq_{gxvI76^bqWZxP`1~&Y4kpZux>k zF{2s2Y)t8Uzvs74>kh2Wo#{QaU>*|?JicfSi^`@s+Mc@y$$s~M+Gw*6k;h#L( zQ^ummr%X=#o|$xWvBd@P_^FllqZ_0_|=Leq|NSb7D{W(K|)I zR z;!8Gb>CKWBjW4w8MMmRukD!=Jl=C^?-zXm`wFaArskzoc7nQp$a%o*eKn~t(StfFW znS&}qGsZkMy5A%S{=UOhxx#KA{A$PtEi7ZbCN_B z{{*n+@i#q)^Q94d_ra5&EV3f?ln(Qi@|h6NaCzmE>9-u`qQi2bs$6{EilX9g4JHn+ zsXc)Gsue0hM>jqo(ytynCRWe-M6+Eub#wd0f%1!lAFA@z^)7|{8I^sJ=>uhP$kbhh ztj_oB`2Efk+QiQe^gF%(*v<0Nbep6q<5o$N`+a*w=eaIO9x(OesIgX%XZ3bv-|t(M z17q_h)QU-S*W9{EI_v8qU?Nb*>v5i+@`ed2`OgEAhfGqUBE0hD6>!b-=x15ZtiJHZ z>UwU*;mf8tb;?#22B)0e{^}jqS6{bT2~a&~TqJpFUK`3+p;7Ad2SaUU`*ZJaKfeCz zhD*XTZViaj8Ux?5*IbsS*8%HUYGXQMb9`Pkip1I;$L{;mrdtMDQ@C%0YGrm?uZ4cH zGXIO@k*BExtabPej;5|>muyE&q{qv&V%^NwQvq5d)S_LbjSmj5*H7(iRmQYFYIlwK zJRT7$jnCg8R?ElTzgldj)g1mJ@Q=%DiCZUwGtGlx6?LVyG)x%_tzyo1e-a#`>+3L& zOrBUyRApkzXt*7W(^q*?GCJQzkJpXdLb?iZ!c6*o4eR|~HYZ27k{ZKWTpfP$BqZau zxPCUFx*_mpyzWHKR*1ptDXCCrSi|D2ziphchv@|Z8cnu)FuFet=<})PFAW~*ds1)F zqzt&!-J%-T%rzE#X?quHTf2t||7fVMahm_ztSn6X<+7&t(P!fAXdS_cs3nf7WS!rW ztq=E{HfN8G5NGZvs|uS%Srd2muZbS6&e2c2G$OOUyvY2{SaamP_{+`p3%RYMmk`r$ zov7VgpUriKUfoN{5%--arrFV26r~Cj(BI?;8Mu}xw4dA<^c?~FIN?i@UQ{~u-o%$i zMAJEMP2=EaC;4=?@VL!g`f5|3F@itS9tAcU5^z_Z`$1nen$xLVi8Bsq(rF*8q-s}+ zwoOumEiXrvx^~olrs*;!_&o7z*DG*Nk;N&Kfxj^4DAm3;l{%}ZytY-Pd&U7S`NtJa ztE~Cz3Fh9%{bFjeRnsr0!(X_BBp_5qpKmB?R2HXkb`ay?5 zFK)2}*JMJEJi3DHm-OX#>!>TYNv0jpvHOO7=Z~K`C|wiUd}J~Qt)ZPUx8Rs`#-#i* zJ`G}>+mTs*kS~?9tTXKdgvf;b%0dO)&YNze9=2{6s&;e?2AptnSyctd+oj27Byf@o zEK=Ef;kd2WV9$#8bcQm@Ep_p}#jVYme}qWi<~|+-pCZeab~ncVoTv}LqWWE51q1q; z#!S$C_!D2cW)<)5Yo4t&^OagDF1y^c=rK;e2NOJ8ol8a8xWx%yC2{mxdpN$lV2UxZ z3%Ku0FwmVUeiw&yQT#3?2h!Jkna$q~A@emSLLfyR^%eJLJM)65;H&4BuRl0N-Jnj! zzmfI8kZ@Tls_3`cCAENdX5f`%2x66k(GCt4+&c9yF?G(zYESP+| zozO|pGN(*!4Zg~sBeNGQtH()oJBiZ9r`ho(1!Y)`qs~pYV82!TI|mlH%=4nB2iHs| zpK$$t^yurU8om}uveMtB&^v0($~SLXy(Z&2;g*gFQ=Kjiy)}`2>-8PW%KJ+3DW2I) zb{vPFS9Z|J-P_M`1x8*S|au63qT)h5)$3)#ZeN|2^o^+6Q ztJz26vY!q%Cnn^hZP`0n&fk6=2|v)`RicM%%kzFE|5B1z*&UPgCni*QqFnejb*>tV zwx+Y-@MtF#$;_i&*CrQN!&OZQm#tm;`dw%nC}wrjxXXyUm^LkU$Aj|O8)S`9Stlvp zuJXZJBv0P9wB=iUrUnCgqUUTZ*IBKR-epN;g@ z#68soy(5hP?e&PD{n}+dkq&+BAP2jXTMg6K<6IvoNxy9$8NF5<#qLm`Gn&gnR{?uf zYfj)2U7S3u-I+rkIZ0U-n&1?~EPvb|8wpu<4(K+PIV4*#hNr-{yx)$Fr7sOS8vCcf zQ+*`5kJtNOtkg+?Cc|g4)0)f1L{#6+lk7`nZCSD})Y;;wug zo@>5%142#8PPmcsPg*C=^P9zaOQCWUuj_+2OFr&U&ip>bJ2?i@4gYen4v&9V5g6+x~>d&67zTF+te;Mp3o+T@=UPCRP1Ia@0P_ zkmmf1%${W&bbO^XipE#*jgiE|lSW#h5NpD+%BdHW;_DQ`{w1XlagXIc8Er5tgI=Z1 zl^?(N(>IN{*+Xd_ZoJtdn?}$ay;#yVNtGBAvlLxhQNKIDyUh2;2&MDUYK>9nL6K(Y z#L3g&J}{04lxJK2gRggv@hteZ#oM-R+qP}nwl!_rwrzJ$+s3qQf7-^p`QCefc*)EA zV<#&owNI*YDyh`kXRYlh{;YaI{r4MTQ-FI3pc?nH-s&)AJyZcJFP1h|KUwb&Y=?SZEtUCwR-LsH@%4cIdzLho3TKT1HRsqA9j;5>AftyZP zPEPqlOzND3ob14Dm^BZN+bo?lp4BCQQAoKPq~2*atGN%NCNk5H9qH9P!?xL9mz&3N z29M~nA}z&ME1ctg-u47@?eFd183|&&+I+j`bG^}`r@t^c#fuU23v)TERD&69WvV;7 zTOW(>puTgoX@1q9k@r`;zI55}OK9ptYKQfO6x0?9#IO5p_Y}y-?bi zc!{wy@d>R}UitIkC*13e;#0*?fXAyV#3fBLDL=dv-aEsccYH>e_L9I}VO7P)G08XO z>{CJOP(ds(lEz96mpX|GdN2mc};it;`d9Pn` zkI8R5yVka~aLPy`mATZyp)_F9`WPA|+6K01k5ohN_s-PXw+}eYx#R;HXP}~Rih>BY zUYtv>(H8X?Exzd>fTz(I*vy-~%+$+5D_Rb>%pO>X_vLjeZH@B+;-HQj2;<$pJ@^i7 z!%WVKUR5{Dm|#w4*;b`3^yiVr7^uL;~kd+l1Uq?&!*lwvYX3ZG+j|M-X=k z;BX{f%hIjlOKU!naC&2r3!|3&iz=5L`c2vH_<6?2er%|l@T-%+Y9xXFv@&}RVrrnp z70tCW_CL%`L!qkA5Z(e$iGgN920Bs~44m!e)PGnRTrHU#Z$p4HrTs$)7Vk0p0cf_U zw-V}YR!WhK>a^RwX6>8YnOYDjx@qlY{P#?);DV#2Rr^&pEMjuYY}Sh9*VO`dsP6~# zHnKno(n`_J+HwE9yxP^I!BcVqS$x{ID!0uDF4wv5JhvUoin4c%oZ+E7vH%_CVv;m- zuRZG@RWBE2${qkv8Ie(M&yQB#Vc6!^T`kfw2;qo;rZ1DdD^2b{F0YENg|BzH>wQ)+ z`ccw3?+q~KfykmE=Lh;UB0kb}PW|`kJPJ15by4;GVi6Tn_N=(#W4VNqVP;NT@uosj zQ4cLYCjUb*A-@xdACsR_kl*LfCRbuzA302UZ5wum z=nNrjVrk>-jan};08)LIU9O=BKpbY7e2ylY>?*qjgAj1H0Hqgo|fno6=yo(!oOkld`^@>{(=o#nY`Qqxb6DoB-jk zMvSfuRa&bf#y%D;e^fphKeU_Z(0+DhUBr6~Lu7A`C-Eky{{X1 z(k2vr#bJRBw4E0TU{^S_<6Tr}Yvv zMqTzHHlu(ld|3a6p_uZo^^|*bISZFep1Up-1N5Ec7m%VQDfvN zM34FlH#}g*1SRLnrjFPYF}@;|NIVLH1mZ+2l`et>l=sCwC8y4cRA3V1vZ-j#6fffWz`heX5{~@6Xj3qSpqy=ON^fq#6V)T|s!GAXvv|9^I z$fxXvi-n;nH#*C7y_$zjOXlaD6qox1-~LN4O0vY`({Bd<0KE_$1ha*h$3`8Q@$xC@?j3F-i5Wv z720}A`VK~BIJQZc8v`V^4g{esjI`;JipXIqlW=j$hiXBU;Wu?8^EAxBhjRb}SJ?Mj zEH}%&uF*p6P)w#QglDXyamOT};R{oLX_y#u}$#6a^ICA#E_|MaY8t_XTu*w(WG=QDgSg?lfe`JOX}RBVIBZ^iQsK+^-&rO4uB9 z@1EK}7y8~-e}_Q+eR5=7ezz?FEqElXl0JIzJbCE&jF?uC?DAFGRYY;<}E)cDWLY$oSHomeWd-`?6flM=;>ef z(!2rC$Gd}vu%~{GiFWLKdF*&80s8s3_uLuKbB$_ttPMCfIWy?j*&L02qwo4WBq> zFolf`-;Nj0&z%H(Ld5NtE!k|d^$o-d)Cz$ul)m2_JU|eKZFu(SyLI0HIsj!4CxCkK z^BX;ZuT2L7kDqG2J$ER{J)VqlCXo(dP*Kc4A}@GBL%*w5X!V;dz{&7%$EU+5;DvPI zm6r(A$Znv5e&}L{ULO6<<@Ju&BbtjSm5_F?-(ctwQjFBNI*95VXe?VlfE5c7Y5jRt zx$F0r%g&FB_g3#nhv)kAtH;>`sZ!<6AcVcZ^(#c|dt7;JDsbgnf2N;mn|s;f@ZL~qg0FPo!wSJcM!c;#nFesFuDg_1K1kUB_p zl>kccrKM2xuqj?UKBxn@m1eW%E`}7Gd;NOLpGaA2I!F~9!avMd!O|)?;zK4?>5OYK z4=Cod6O*N*|0)MM3DP>X^id|r7dap{4znYHdeDJiqbd=bszY>gz3W|!AcB9-Hva5#L8~@8IYrSOc=u;W8Knr~Jmd_VEcd5=b-nfR z{7_o}zq+;jEe#xknaF$l(+||5d>*eQ-zc&ao$SAV6*vy=POQF`b})1P2uI6=-pdYG zsR`(OHG<=Z=?}GddfpIvB7zU#=3FZaP7<-$`;*pn8Y}Aamzgs+v;rm;Trb@QQG+T@ zGI5YF9gF>v9kl?|MESI^0aW*rE=u;O&o6k44pS;>!P`(EEz_-Eg-qK%tm?bzslIbL zL;gM>8i#a6xez_|PnGq8!Q%3Qv=Os=vL-_n>14KY(vZ~r~jWHc+`ty@=XLv7zm27J< zU?1*ewK!w^u5(-x3jG{}9R)v1Hh==o9xW!ktKkVf)upy{wO8-@_g?iBnpc_6oz z7Pu86OPC7*LWbWxF_3-3J#PF`A7}y?I^3%!VhXTI*$}%(sU^_K<(87uh#BqwJHEUo z*qPJ97}_PpDSiScZuzfvo<~uba(pBp^#oJh9Y?!u$|j6WxOJ8WQEQAUUPNDs;Z!YW ztUX?5@95>J2d3O|e{2+(AN4#L=YojXszO)@wSy4BzF?5?m}f%(>7jE@)76Fiqbay- zQFpIm#4-~BNi}}e)5q-t-0l26+(!N{Qvb!%|Af_#lLmEmTU>GwZz~&1G<<`7YS`9s zZnicF!~WMd+2QKyY)o&%fZ2lN+FC&~sVl|?%d3&rT725+^IkKl7lsqNbH|2$w;V)j z8)3%dIf9h0A+>9CdSG$h8U>Z`GM=q!*|6IaMu1fy;CUwXE^4$d1*0xj(mtTl*QIv9 zYG=pJ`{8CzD%J)3K0HG=eRm*pU*_h5I03Tap1Q5|Ln|ZRBf$ zF^+hUNL-oZ$*F!jGc5IVEQz$#aAoq}#%j`zSn5ld8r60UjVk5=c2!dr=y6}lybZ82 zL;x#SrCnv`vMGs6VodKW>z}k|cTu1*tKA)gw*G5yx>rO`LjoMmfT{SC=0=*WV_7jr zPdT=FwAY?xZO-H0i+9L*C7(mT@*GM&>1k_RS+zDhZUFgByCD*T!FEh04_@F2R(EW+ zQUUzs(H)~blA>*G#8($ET7esn{xNeeBaeQWM>RXa!`eEt@yK*D%gw>I-~Kh<#R0MCm_E1LwM^Pg-(+jz2sME|{8N z)ZidJsLXOL2X#2c4t%P)Ny34!dV#!pFKdo00(e|el`2AB5I~HE#K4&1k9W-_Usv9S z>X_>}vD*1FoZqz$0(T=D%`ABP%$CY@Rmm$M?`NgKuFldLa*h33l<>Vaa=249D4Im5 zEklAv?f7B{NNAdx!&p6O)e`X?4m|A}1DncEQ+3h|t!CS?(MSu4>=_yupL(~Ba zS={^jQE>-Ht05snuujoMs&ygdW>%|>dMxeBIN<1AUvva(OYsrto{~gXBbPhEm*$L5 zrMB3}O=Z;vXVIL*#(+-u09w@Oez=pPG-ys_+pGW@-rC;Rp;=aKnR2ZuV61~PEmo@= z58Cf7i$*ffHZ!R*gKlgJQ{zC?o&{+bPgS*PX&6W9T~W|tl$#*e3)@rCl#+a%*%2xeirjxUe?{vO6H6aN!L$P8+e~Lbf_@>P zaysGvQgPVPBlJ0cx976@$;*7I4{6Vu?1vX=r zRksOU63q8)^#rhEXD1tB8TC3-t)>UFeY_T#t&d!kW9F!1_leg;p?JfVUG-zCKt4_c zutjdeHXmOAG+P<7m^j`ssjC@Gt+-96MJVc(6;ypEgqoirdaYEqjzO2XAk|jl0QR|@ z+wgRI7bpUj$h(|t;g_Is11v7ojTdJwTZ3PY3Q22?qHN9}Cn#>YEO99aYO`6EBZdQr zdt#4srz(yQobEyQp<)_271~#2$meSSm*ci4m`8k(kB)dW>vT zYc3uGC0#p_?uxM!vK0+g3)LnI`|4IXfAH~E_6$c8#Xq$ zl90NFe~z52LYA%v1crUbi%W^7C@E$T$$00>J64J+1$i@}TRZ>kzcHh4fgL%^fdOA2 zhU7*{Z-MkTW_5C|KH`s!JlHG+ki9e;_P5ugJBKL|A|iaD%c?j6sj>g5t2f?a4PfDu z9L(q$_qh6aKnCSvOhcT_(YPmFqf`n#=UE<2%I~DC-avjN_Gsf@9U!(cl+5)8w~AUt z-8-F)4bn9X+qc=?6C7$}^Qe1C;u-|hsGg?n36WrHDX6U;C=ER$on_a@@DPJ!WYbsU z0ih1CNvIj8B}~x4wmQw%aT_5hE)d%C*%@1aZUJ+VcfE!4*^kq1ZgFtw&aGhT3e|s! zTMr$s1ZcD(XvCAH!-l5 zv>by19^&?7=t;m_^PKJ1H{3;WE9=&B3u;$0onFfScGn?&4Ww?R<_kvuS?9z%0i_1f zrB!Mi!c6jkmg3-SY=kyRSwaos6i-i!b%rJ&0LFg1s%kIBu^@L*q4`ZP|?neRF!y1$TQU19W2LlOy}D=R>M%b{4@Qb zf*E{Uh5EVTy+uaPxg%vZ?V|;&tS{flM9@#>yBy}H_#zJi-EWesp>R`^L-S_X7pC&1 zzE{5VPstsafh2tbhnxiMw8JE?nR^U`?uI zM(|}nad{T&^gE5p&=BXs8jN2^^0)*{bWsr1Sd)KmE~%zn!vy_d4m`sYTeB}a!5E_t z!gJPD%~1}^i%hmz!=cu)Y?|a?GBhtG&-T>g2s@8H4sn^5{JBsrY^x3^B$PiD3l}dk zmCPY;QaVd#+71No2XB{&IC28rL|pg?RllBK;gNp>o0L@ulojMx-1RhL1o$tNt9oxm z(30?NO)M+uQL^@>G<5ejiRGMfa~q8HM@L#Nl!=Mo@h z#H}99c3e4p64+_7xbxI|OG305ErZwU?RcbHM?D9i7h}U9Le4#1kY;-j+&$`d^F${>%MEKo z9x#PzbR1JtQ?shx*4ku{JmrpAt0@)Ee>w6{O(M*^hAWZldA-Vi{H#2Q9(WoWbWM4P zt9b!CxZN4L7Ns^+rTE3`OqPO)?x%q(<(&7=kh^n^^=>5WMAl#59ainDH9@p@^S$TmlbW8#I!ge>L62ZltT(8*&<}uO88C-`*S5SEy z-DCA5RH07Iop)r#`_#G2g|T6D9mJMaU$WpK*e8X#=OQTQ+ojq-T)t!`+1{eXGOkwH z4|+Gxn7i%lJiyMk>1&bmR+qIW&kgT^A8mkJ&9PJXz;Qk1sB!_;dt4Xl##wEK0d2Q- z6bb#e1`qa{$!oEbQeVLRs8NZmLGUThE#y}=9pDP*XjZ(2qmqEh3Q3!xSS9fr{Sbtv zVk;i@&M-OC13a=u{`bdR&3PgAw#Z$iOf) zi&@iJ@T|M$bOM#IUk3B{blkY!v=^r)_jB}^FE)~xqRW7Hv6}19h4$e@a1Ht)S8NX? zFV-`BibF@%y#JUf-t=`)(C3h3n_#pl)8?m?1U6ic+F*2%bPk$vAdxXLm`ip{)S|re zb|~hI^b~Er$yD7wXf(y%eIbZNQoVCTD=d`u^C{~2)1E$UDEvxR{GvJn&0Z-KtmS~` zY7Zprq9{rpLXf`@qys;o*+~weA zS|lGlX<_iU6mKHc!ld4%uKr8j%GKx?JwPqy4)Mn+TJX-#61CzYRyD~Fh@vJVa4?mz zV^3;(7EeB6RB|mPYIyQuCOex0wkPw@%7C6A?=L3!$7*+?WAN{ehs}FRPxkN5^6u1<{>fY^*$Q% z9A7MPoRp)Js0DT5IH-1YMPbP_b*BKxudbxK79VW5k(7=-uzPT1_zMq_Xt$sM5~;9 zMM?q_kMrQ19|yhnVk%xXe!JZZ*3~x{P-V~U>4v&WL_#XNi%6?jW4^*Unu|v_=AOmB6#e}dhjOae@P z7xD-Ql--}I*q{Rz8sAp*_ELUJt{TYNz>ZG`8NM@EwDJz9rE z5!sZ}7AUa8@z40`i}I7TQ0tg8b0e@T(?Ko+~MpTdprEc+NJ>5GCi zZm4tVrVh8ip223IH2b4Yea3bTbU{k8sLPuC+6VZ+Ox_6LmFUk{ouGW#a=XPaD0mgF zS&?(3xg=$FW-{GNZ);ifijgx)bSTqG;F?!q-4NWo%%4RM!IA~d3xFvp&+wQQRP_^r)-|o|4Ljnk< zL13&7g7tNzCE#K{Nan5!6KFa_4#&t+K^g9Ya_zWPXTQ9r^0Edrch$(*Wz6#@g>xmo zCwr`Sa@y|W*O}w!rsS#^d_YKx(nII8ofGKY;vvDe6D}1+rbE>gQ<|$eu+P%s-Rhx8dc|QeBoiLE4jqMem~n<_d66PL+*kroK`58_|`q74+goXRl}S17F^W zH$Mn%y5JV{n0+-sOz6BjQkKc>Q+fnWKOYWg{Y7>Sc5^P)Sj%iV6xPRQ0GY$Arcg8@ zi_uA`o@yj>V)~sz9H8_`nbzDYVs=D^WX~WWxY|2Nd94SRyABF+zP9!B(z><_XLq3( z2ZHWE_2d*JeBLC^xWRBGD!Pn~<>twNU2Ti4wNHiGa?4ecT_^h+Y}0?tD-qvS4alDL zq7x6`MLlq_zHPdJ;4lirl1ax$p|IjMS?xAwrK|@FXgc>j>5V&cJa;Z@{deHX0hT3i z*Z0_zc3u@Qu9;2Iv@!U0C2RgQ6k*CMTb9+M08P0+ptcW}(-5G`{)=Y;XFCcXo{mRg zo~1~eV8ry{fcG?(l7bLE6U7_$9#mtlQk}F_&`p*VLHYOpEe(4&>cfc@<#E<23qP6v zr$EeP`5RKh9G*10nkpr zd^3R7IJ=W6yr7pPPM)rC^`%6`geKMyr$~w(oaL{#>Ydt;F{2H~?UBHT17^f1T+I zEg+KV_Ot&JApA=7ag?~6RQuwbcAXK5Z$YGcrO>LkwdPYa4jetB3&RGZ2uJj8YtP6r z^_`5wg7sgw*&ETgYB2vf#e!EfMf7{Yc$@JQ_5BcyU9)7<#(!;W=!^RG92_^)*1AV9 z-IbP%hytLO>NJ`ZEX`$PzmM}(GSj&WLfs>v{7@m^qrAffnDK6X;a)i-c7v zn}CXMO(-;Yi(77+X&nd^ay6XY8j2}kiL*+}cFtFIeO{kYsnE2)9ftspb7#mSh29se z=!YSN2UJ`(Ui0i4a=JA@!_J^j?3V^RzNA-x9wkl5HRjq%)YTXIz#hidJ4{)xU_3Io z%u9@E^vFL0;VGt|VtVM~KV)PTY}%OseVJ+{^0Y;PW&?6w@n5>Vk~WX_jU#cIX6#y5 z;p-Rt_lZ>R&6c&UwY|vg7p=Wdw@OXO&aM`%Bofl^Vqr(#Rr%+iB)x>0sZ0Rdmv}D* z9Zu8v^#rmtj_otu{Q+F%@;DCZXoMJQ3o^HQ^V-uD3azhi-orsD^Q>QHgV(tZy5_=~ z`da~W+>>PM7-e1fm%aKHot4f(e0w zV>+SGFsWOVQJ2++eh79SfW3eW|DN0%(Y{i=(64o2TMgd}G2`#B(^-15zCCZ%b>n=U z%nJ7Yj3XNE27D$o2vP$)Y%fk}hgXI}F2Je@`8LWM{Jx)e#tnCALEYIt4zuPkb!^TL zcdVWcwjjg4e~{Ew3Ue0EE9ODX2eNT-f|$J?yG!k{ijMkCvN%J2A$c`OkYf5crx;Ki z-FuDrBNoH7dYF6b1Y;u3j2AYuQ6phW^6nfDmw4eAf(kr@pD^v2>$?5Tg^)M1Uv44PNJqZ>FP+Sj3OpQqgWeVWy8az*ECjn=C$F`5C^tcO~ zz^itAb2d{yd_K*%KXzwdhnHzHeT&Uabf+me^E~|#_ZA4qi1v6C z9T%G2flLv$)qf<+YTXFpr_$!d>*8UlOeW*piU=mpRJT7Sc14;%u%nY{eO?IZ_8ga7 zWo9XEG6Tm%Kd^PW856zpcg^<*MR!;hAar|vB@zmAbX;&rfY;N=m?*^{t}t~_wUPpj z;l_ox4o__9b_?!@@xuwALSjTR1u-w6y?LV>MO1wdECH`p`eN$#i&jkZVaGT0~@vz4F4WXBV4+SFSK=h)p9IaUYu!o3QcBh0qx14#iRw8R5K1wL1A6YJ#pI3hS) zJcu`ilP6&=9L~Y8I$y8!>$TC}F8$@LQ^vF@91G64>roLoFRQ6&FH}4c^X&!F zJ#jFpt~uuDFFTo10xi+Qf@Ceg-b}E|Wyz(*+dC2bi>Da}K5#FqF&k?~EFDrN64B>e(EzEe6l@zH<;+b3RH zqJT!!?FbT2l$ffQEF2(G^oSXsUMZv3CxDnHAMr8mCI(?_q8l-Je2|(U2#IhtFoZbu zxAT&n8OE!PgY?~`C^wy&|OH0-#VRv(`c6BRAew4*SvVDKogN1#i~X>QZ!PMgbHAwxLY?o*3x{ea<&4k zwoQ2EX-L7-qoP%WO^#wvv`a=VtFq)MM27JCK{v#NBWGa~f8#MwWE6cgP_U?k_e0Mj zU`uohDTIf04aGh##|*duW(}lcH)j9wjvuhPm4o#%P6-9FNnN_u4uGj%cCBGESXh3Fy@stKVBcz)+S9n!n@14tndTt(mn}- zZ8&bkXLZD=ku%Z7sAD!iMymfTQ+DT3S1Q|MA9GLiuT}`>>t~cQxgX<_mr)sN)fd5}l_*S8vod-;=-;Jx<~wr{Q9W#H72 z9-y@8gqgUJQSv0(9A-FlU}&;jWMmpU7p^TmDMX}jXYC|Kz9fvJYP5!E8yZa3T7J*l zw9~x^ZaVQ9uG|SeuR-fnT!nggm}qEnTcZ~W<1*UFZN9l}tBBDn^FdeM?IyR7#q)of$25>4V*1Xl*W8Zs- z{yLjWP+OXyA4&xI@fXOQ`55@sQIGpXsuE1OtL#Eg?OT=d7zb8HeH&zfl^rlgmX;To zHiyEjs0_uoqxodIE#i8vVd}C#=btS{ZSAzGIRgWn#XH}y@~Z!Sc@M4U4eFdEB1GMH zcx|=1OPV0{MP3UkXjsSPa|6wIN`o0*sy6E@+2R^=z$kt@!=nafgVb;kcyun!V#5t; z{PUX~&hDdc0}~yaN}9uCj_2DpynYY%T~phfpl%w;0QxGkFKr z2aw*2=Qr(4PD(}e9&>m@RJ}Zn72xJnV78%907X${{B)?mer3 zY_DD0$Y7>O>=E|*=~Kq2`2c}vFhlivilYexV0L>GD42FdRsz5);hALsIu9Q5_N z>xrml-96F-yoy$AJ>^>wKvo8p9V-!Lbbroz5n?=gyTPkL{epPpk-%JEyRS#7+Qp9U zz5R_7svCukIV2Uk+07SGeoH*e)oAvyX9a}AaTQ@TBKcQMg9l%x){j;Q;joaj+Bs9jO1M02>g>Gt@^C@ZiYodf$omNxyF2s zSVn2P0WKhv0)exq>oidlPxX|cO+0&NfV#^vuZPb?1MbHxd$Um?;&X%mXK@_+1q#h< zT^ebj0LW%vu%qtdx$ua)!@?%tDOb?~Kw-DBbFV$zQ&o)6%Opb%+4GQjZC6Xy5ZvL8 z-s=(hCgv>0r1A<>83rCjB*A03NE}*bFjRb0cP8w)dbF|7rPVlfQbD3Ioq!=^>HVgY zSfxF&%Q_?%sJgdN|D#WQ)el(rF-c)fThv#=diNY1^pQ>YVfWq@2KYx}6?fDbRevys ziWOdsfCz)R(_v+?K23Uk9c7u?VthKW47A7~X}S;b zvWE1vH4;+w=YB&NG}CWrT{X+F`$H$1d*|ILv|1DAeRCts0yxUN<0`aa@*QNem45F z$BPW>M)2HmA@Ev?j}mJ&M1p)w#K)6V?8VNXMxx@6GIXGGFQU-#$I;tR=9f@uc@SQa zO-7@j&gZy=3+}G*+*bQYmjJ`qxpQ;IWgL15jPD{)l&#nV=R=L2qL!?djHQK}4>%N0 z`c_*Ow2r%}_KR^_yL9KVus7SxGzY_%?~O%izWn5NS^|U|t<5jg#>0pIdE~ALje9yD z2v3GJ=`v&ybC1vt$4mCS>7-lHYJlr;mAXzl?3lOYV~z`aBxWu(%fcIT(Cwyi(bCl@_;DXOH{~I$VvpBx(WAv`gI@E!F&nov-r%)m+t$JtiGFc z>P5Q~;BU42UIm_)U3?O)%fL1ua7ylR@BFi0RgBxJ;!t9vpb6-bt_WDV*AfrX;-n;i zc6e9#W9b83F3h*kqJ96%BSfNMq}{#pXGJ1?S(-}Z7oqA2&gI<;!iI5RcfX$IthPta z-t}W(a&A#*w=56r<{loF}mVc};Zw4-no|-433Q4^1 ztlF}YNwnaLOk=I4O5Fe;&(ZNHntF&)*{C5qwz zp)+Mxd5p{^HfJlN?L<^s1kWa3z#N(2F%dZRfr>YIY$L%!j{|M3Rryk@eB)iR86(k_ z5ognYvtqzmT^Bc9ogl+by75G}kwem)kt*ko|Eu~^E8%>;Qs|ij6T6hqp<|WQd$S>H zJt3mynTP(ByrfQex|CAK5Anj{OKIDS%MzoBlcxf+sKpS+GNY*JwJX;!bWXZ~je$Yb z^wzbj7b@=1=S{Ir@4{p(c_b zdl4o^{hCM}QM8T3&7n>u`iQE0WnQkE2>%joWKH%W?{9(~^byV3bA5f-wqU9n=(gpn zCbeR%8tkr^xwH;~(?idO^+>n1dwG7iTHpACWJm6mt!|ruNv@hh+@9-QK>UjAdYlh{ zSDw)r^P=k(>38T(K}KWCBZ`N;!AShf^t$~)#(cx}<2WaI*;PipVYmOq$A5z#486Zk zANqnlVATBmPhU%qvh$4p4LbJUE!P0=M{BnKhm_u+D@?e7ncufgBiuT~s6zJvf1d^G z#(>epl-OV~lLCc7AfU0xj1vEWa6v31ttW6Sj65KS!8_n6EHabCNMRC){{}_aCR#6H zSn2r05J{Dw|4+{UBo|>DX+48uY5XVC2>kz$$teUUer+AZ%Oa)R?Rl|V=9>Y~{}0IN zdVQlVpYsG;GGw%AB`3=GM!}TQBXSNJ0sjwJKxeS}n>WOwS7`1W6d^y=6Rb#Fkx3Pg z&|1WigVDGcs7xxUSU`j3r>x^ZEp<**6cUPn!t-AM%PsS35fMyF90)5vE?;X{z`7`n zDB|4UG%VML*?L(6MBp7f8TS3tWXa-Y+uu51^>QH4Wa+eS8!R88&3w8=1Ckgmr5))- z%kJ)bQ3H}2ZMhw-++O`)qIetjAj)J)V+df+QIpA@|BE_q~-^4A7h%CFdI)erSbUi zCR_{=g+peOSovRIQw&~ySN|&nPf6-8!*|cq?NYz&Kk(V|cq^YTUE4w1$c3EsrXWEf z^Z#G<@Uv(H#Vz@22!bRc1;;u`;<->UXcPh&&;NjKF-Um=Nj`TcCkUX(;FB`SjC?&G zmy5~&0nCcK$BRQg2{?5pB@+MJhj7~IG+H`t8djzC!^WZd|K{^=V*2l|bypDaxS4%0 z0)DnPBC#OT?j#)!;7^#2(cM2=mEkCLISJ7YdPh~Ad@fJFV`8!fUqO)&{`i_jjF3x2 zO+xXnbx09%f+F6`$mLr`gd$L{Si?gAmfC^5}YI#XL zf3SO+w5x&ne6u*jToC!xALRVmGo8bk16w=u7XyS3R)8drR#r@IN=E05)ob4 zv{y({CBOMIW9f}>;$qKR;Qm9S6x-b!%fUt{GqCn^5wXN$QyE3|!QMw)l)gn;Sk8;> z+BfCwQw2F;^U@3?0p2{1wnx%mH7Wz+O>eiVD9loUa{WdoIKAukfc| zX1A2n2R<6HSG8I|z#I_OG4x2HXvEr>pz<1t>2y3zU)JMfS&Fs-5zvUYUYA2SzNfqTyUSp7CBy-RkIgvyy zwasR;#1m+i!dYk{L8_jv{lq)$R86sVA`_9w+UOFxz4`;L$4 z{#RpH0uNR9{`F=nB>NJXCR=4@jG3`aDBDohvZS(&nad2bnZ;6hr9~lmrKm*p6R%Jt zTV;7ep)6TSQj)z5Efm7<&M=~XzyIewrwY#k9uYFW)6>R*kOB$AZumcHRD-Scl74h+=+xs z=&~0CmRQW})7GPFQ)Wq3E`RQr{W38zTATN*ej==1J^sC( z;Uffb2~opcHZ9-N9a+%{zhFMlgyM+RL?3vOrQ}vzstUd8kwcJhZVOOU=&Nn}r|&p6 zE&D}pN-_Am3-88`xiT-wZj#uzOx5Q4(^$r$VrcJCN?N6d_$S?5}!x2}J1h(1`Zr|NKQz(0*{ zUOIB5Bg0Q!l?>K)U8H^XrTEvii82!B1LB$kcF)?cc-oy{dom)k;l(D~kU;!4r;1k5 zQ_TIz$85|il{Qt_er(?J?Q0&~NKjPvMt1sJjN((W0*(WX%SLCnW_jJ(Wzv$;njkb7 z9JCuy@bBqzXBCfBm{tV$9ZfpM59SWuI^k{3+H3vUxDniJm3xSSGAh8v z!$YYQ<89y@Bp6__$aI!fHps`_GMGz-fbGscD5GV|RaAW{9M;-=FXZj-$mM)f%`Ml)<1p-!rKv+3I zA<%hca3Y%sH8uXNX3#ns3p-zA0wuB83@idc2kTg%a_i93)zwAJ=b?~!LMVky3tYs9 zgoMCDP&|8(Mn*;mUMU|+BrM_>943W_A`%b)1>m-ig+RiQ2tJnE*hTd3tl$Em{61RN ztBdX9LQIXh?^u6;1~Bn#I@5G{uYo;;grgzac4QhSbdxdS7qIaL7)&3Hp6uhu#E(nHm!bST>nW0Zg4O5%%^L5OX@6O`+q702AWO00?Ao=bj7%WO3tBYPRemRa?C!e4X(?!9M zAi)5OL}PVzd8Y9S=WAdv$)K104voTM3>VZd0daLx@HBr8-XAapXfRi2p2kJdyjFln zW`iCMBZLva+lr=0J^ckuzZ5J-6FJ~$f!$~7s(c$wJA@fW&KxI(C zu7U7e4$BT>047it859DbPjQ_Tizl!-Ai@Mnr|kxUH~@>yFJaOtpqQ_MFC{SnKNBb% zj`-zoxeIYU2!-IV049R30Kr=70^WQvoW%~|>*hHRXy9*wPzbN3+@Uam{@6j{0CAo}!&5=d4w@ewg8X-|f2j@i@3f&8VTt3Rb(k->+BP=%(3N zIx)c6PIIkWw4cw+u7X#aX&=OmU zyO=iDXPnlGwpq;PSW8>&I$y3eB-3QS@^VFjS{yDVovoho$nUl}`hZ5Z^daA2`hAC0 zsD%D=k`;YL2F2bNE_J0wH%A(X$LLg~)%*w)hZUbet&Ho=)w@G#3K`gHx86{+_+)CC z@>N1goZ@*+*4rC)*n34=UPj&7%9cxgV)eZDK)$C%dbL&QnX}RzHSmoVYH&2RD6#39 z+e-SH`m9K_{6-rk^W2T!qK>TCpn1gbLi*_wZXLUDP8JR!r1Lhxb#*{OzFo^I^eYTn zZq?OKf!RHxDynNGUWxsP68b2yGH_UhT=Fd<=$R!;@u*?nJ*!<-_wd=asv~JCj!tB7 zU#TSU2G7<+Pu1YPQ`?@OS$}QMaZX%h^oYsy#&-AN&E_|4_4NJtKg%Y^wBjRX-*(z+a#*j!crx~l#*<1=7*A*yZY&DR{pl)d4MwPCna#SiGAN#Ogt z(Hx`9!$l=J@29u@c-#Ev_*6;<0zOmeF?CsFwl;Du<)1n9*fy~r+XQDXSJj_*)8EJV zOf?Lbcc@(B9WGaKKuYp)8H#b!eE)`|kC0@8*>6p~QflZ6bh|=pVcV`oj3WM_u+@ok z5Mrn1!PC)C1D@d4bhKHv-`hJqO3*lUB|WC5>D;Mj1X5!ZAR5ooGD z_ecDh&Zt^qxw(6=6be2B@yCyCS1_ro6OKAn%S!VK_g;%(`EVZQAG}iX_~3+qft{K2y-R?JYlHQUtn%r*{P|B*)Vxb@W%J*5DItLM6zQ6b%U)8kh8f`8SoAfSK zYn6}O*&8T_6Zt2zyw9Y8;dsz+8hr3+ zL%wx3n-<_!3ott#P6s;%_$&F9dy@J^q)RyN!>R^RF`Fc(cmFLEPyI4{wh`7mL5s*s zx$eyp&V4NF5*L2miMo!R67O}WntZiuUvz3> ztHhp6cb5T@_oTJiP_xqPa8P)ch5pUGSJhbN}d{I9-gD&L*w6++0+6d1NY#m12f0(2Mz+PRjmp>l0RO^u5Z7uI7$i zBIS2=j`;S+uU9Bfj8KKhNW}>l>*QpJysX@wlGk@JVpWI8ub1#~sh z0o}4TwbxrV`_FEYp2~{^<&m99Q{RU@wtE3DI)Y(a40fAuE z|1T^8H|B%Am5Ui{hXW2l#nZ@s;M9z}WV(@PMn9q}dw6tOE;mG*qKS$n399ccu$MgW z{8p7k;7;3Ni-Fg9>I9vXHd2oOj9fWd-qF$0e_kw5GGlP(R1M3+H`31K(ZEBk9DpKO zPdsFBH-7NVX9DszQ!`9h`Eplek-JbH;;I#8=a5icSkfm!6<3;eTvEdLvzsW&PXEyw z=tqRsgR_d?y)#$sd^dXCvH0WzRK_DPI6wr$Grl-hyzZTil7i3Cnu1v=*|3Z#08zuBd)9N8ubl^1$ea?Uc$UKJlWgRqUvzMYgpxTt~WvQ zL2KPk>s3zgRn5Vm-*>K{!NZqMK6O48EltU4!rY-4LC-Qfs*^u-sCxT3@R zr^b!-MTj%tMxnuRB#}wwQ`=T>SF%Fgj{CrXE&?9ldezBx#UHY~@Er4N**6(TBW`#Q z?!jHlE>Bc4I2Quv*?g8Lh~b7OIWYL4`5vh8qo4pUAjeOZCHSwSZ-6-thqJ_?k%%S1 zFn(1cG*R%E8yL4l0bFE*K|GcuOE4JmQYA}gTfb_FxtbpD1~yE+X@vl6i4FmQ9&U5V z;EI3=uz2fQaA|7*9=c{Y3oGs?&fF4bj?y(WGelazL#Tegs$LqNg&Wx8XG#FGiw0Vv z`9v<@chOsZ67Qpy$8zB_`5n9TAnurM}2LEBs8ylK#gz!pT+$Ji!PEh=H%$ Lpl4{G=jQ$k%5h7s literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps b/usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps new file mode 100644 index 00000000..b28abc02 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps @@ -0,0 +1,323 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: transfer.eps +%%Creator: fig2dev Version 3.2 Patchlevel 3d +%%CreationDate: Sun Feb 27 18:38:40 2005 +%%For: arnim@lola (Arnim Laeuger) +%%BoundingBox: 0 0 223 252 +%%Magnification: 0.6500 +%%EndComments +/$F2psDict 200 dict def +$F2psDict begin +$F2psDict /mtrx matrix put +/col-1 {0 setgray} bind def +/col0 {0.000 0.000 0.000 srgb} bind def +/col1 {0.000 0.000 1.000 srgb} bind def +/col2 {0.000 1.000 0.000 srgb} bind def +/col3 {0.000 1.000 1.000 srgb} bind def +/col4 {1.000 0.000 0.000 srgb} bind def +/col5 {1.000 0.000 1.000 srgb} bind def +/col6 {1.000 1.000 0.000 srgb} bind def +/col7 {1.000 1.000 1.000 srgb} bind def +/col8 {0.000 0.000 0.560 srgb} bind def +/col9 {0.000 0.000 0.690 srgb} bind def +/col10 {0.000 0.000 0.820 srgb} bind def +/col11 {0.530 0.810 1.000 srgb} bind def +/col12 {0.000 0.560 0.000 srgb} bind def +/col13 {0.000 0.690 0.000 srgb} bind def +/col14 {0.000 0.820 0.000 srgb} bind def +/col15 {0.000 0.560 0.560 srgb} bind def +/col16 {0.000 0.690 0.690 srgb} bind def +/col17 {0.000 0.820 0.820 srgb} bind def +/col18 {0.560 0.000 0.000 srgb} bind def +/col19 {0.690 0.000 0.000 srgb} bind def +/col20 {0.820 0.000 0.000 srgb} bind def +/col21 {0.560 0.000 0.560 srgb} bind def +/col22 {0.690 0.000 0.690 srgb} bind def +/col23 {0.820 0.000 0.820 srgb} bind def +/col24 {0.500 0.190 0.000 srgb} bind def +/col25 {0.630 0.250 0.000 srgb} bind def +/col26 {0.750 0.380 0.000 srgb} bind def +/col27 {1.000 0.500 0.500 srgb} bind def +/col28 {1.000 0.630 0.630 srgb} bind def +/col29 {1.000 0.750 0.750 srgb} bind def +/col30 {1.000 0.880 0.880 srgb} bind def +/col31 {1.000 0.840 0.000 srgb} bind def + +end +save +newpath 0 252 moveto 0 0 lineto 223 0 lineto 223 252 lineto closepath clip newpath +-102.7 354.3 translate +1 -1 scale + +/cp {closepath} bind def +/ef {eofill} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth} bind def +/tr {translate} bind def +/tnt {dup dup currentrgbcolor + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add + 4 -2 roll dup 1 exch sub 3 -1 roll mul add srgb} + bind def +/shd {dup dup currentrgbcolor 4 -2 roll mul 4 -2 roll mul + 4 -2 roll mul srgb} bind def +/$F2psBegin {$F2psDict begin /$F2psEnteredState save def} def +/$F2psEnd {$F2psEnteredState restore end} def + +$F2psBegin +10 setmiterlimit + 0.04094 0.04094 sc +% +% Fig objects follow +% +/Helvetica ff 150.00 scf sf +3780 5017 m +gs 1 -1 sc (CMD18) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 5242 m +gs 1 -1 sc (READ_MULTIPLE_BLOCKS) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +15.000 slw +n 2745 4770 m 4815 4770 l 4815 5400 l 2745 5400 l + cp gs col0 s gr +% Polyline +n 3060 2790 m 4500 2790 l 4500 3420 l 3060 3420 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 3150 m +gs 1 -1 sc (Wait for start) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3780 3780 m 4500 4050 l 3780 4410 l 3060 4050 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 4132 m +gs 1 -1 sc (mode = 1?) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3060 5760 m 4500 5760 l 4500 6390 l 3060 6390 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 6120 m +gs 1 -1 sc (Read block) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 3780 6750 m 4500 7020 l 3780 7380 l 3060 7020 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +3780 7102 m +gs 1 -1 sc (???_done?) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 7987 m +gs 1 -1 sc (CMD12) dup sw pop 2 div neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +3780 8212 m +gs 1 -1 sc (STOP_TRANSMISSION) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 2880 7740 m 4680 7740 l 4680 8370 l 2880 8370 l + cp gs col0 s gr +% Polyline +n 5940 4770 m 7380 4770 l 7380 5400 l 5940 5400 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +6660 5130 m +gs 1 -1 sc (Activate config_n) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 6660 5760 m 7380 6030 l 6660 6390 l 5940 6030 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +6660 6112 m +gs 1 -1 sc (cfg_init_n low?) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 5940 6750 m 7380 6750 l 7380 7380 l 5940 7380 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +6660 7110 m +gs 1 -1 sc (Deactivate config_n) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +n 6660 7740 m 7380 8010 l 6660 8370 l 5940 8010 l + cp gs col0 s gr +/Helvetica ff 150.00 scf sf +6660 8092 m +gs 1 -1 sc (cfg_init_n high?) dup sw pop 2 div neg 0 rm col0 sh gr +% Polyline +7.500 slw +gs clippath +3757 3795 m 3802 3795 l 3802 3674 l 3780 3764 l 3757 3674 l cp +eoclip +n 3780 3420 m + 3780 3780 l gs col0 s gr gr + +% arrowhead +n 3757 3674 m 3780 3764 l 3802 3674 l 3757 3674 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 4785 m 3802 4785 l 3802 4664 l 3780 4754 l 3757 4664 l cp +eoclip +n 3780 4410 m + 3780 4770 l gs col0 s gr gr + +% arrowhead +n 3757 4664 m 3780 4754 l 3802 4664 l 3757 4664 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 5775 m 3802 5775 l 3802 5654 l 3780 5744 l 3757 5654 l cp +eoclip +n 3780 5400 m + 3780 5760 l gs col0 s gr gr + +% arrowhead +n 3757 5654 m 3780 5744 l 3802 5654 l 3757 5654 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 6765 m 3802 6765 l 3802 6644 l 3780 6734 l 3757 6644 l cp +eoclip +n 3780 6390 m + 3780 6750 l gs col0 s gr gr + +% arrowhead +n 3757 6644 m 3780 6734 l 3802 6644 l 3757 6644 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 7755 m 3802 7755 l 3802 7634 l 3780 7724 l 3757 7634 l cp +eoclip +n 3780 7380 m + 3780 7740 l gs col0 s gr gr + +% arrowhead +n 3757 7634 m 3780 7724 l 3802 7634 l 3757 7634 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3765 5512 m 3765 5557 l 3886 5557 l 3796 5535 l 3886 5512 l cp +eoclip +n 4545 7020 m 5130 7020 l 5130 5535 l + 3780 5535 l gs col0 s gr gr + +% arrowhead +n 3886 5512 m 3796 5535 l 3886 5557 l 3886 5512 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3757 2805 m 3802 2805 l 3802 2684 l 3780 2774 l 3757 2684 l cp +eoclip +n 3780 8370 m 3780 8640 l 2520 8640 l 2520 2520 l 3780 2520 l + 3780 2790 l gs col0 s gr gr + +% arrowhead +n 3757 2684 m 3780 2774 l 3802 2684 l 3757 2684 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3765 3532 m 3765 3577 l 3886 3577 l 3796 3555 l 3886 3532 l cp +eoclip +n 4680 3555 m + 3780 3555 l gs col0 s gr gr + +% arrowhead +n 3886 3532 m 3796 3555 l 3886 3577 l 3886 3532 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6637 4785 m 6682 4785 l 6682 4664 l 6660 4754 l 6637 4664 l cp +eoclip +n 4500 4050 m 6660 4050 l + 6660 4770 l gs col0 s gr gr + +% arrowhead +n 6637 4664 m 6660 4754 l 6682 4664 l 6637 4664 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6645 4027 m 6645 4072 l 6766 4072 l 6676 4050 l 6766 4027 l cp +eoclip +n 7380 6030 m 7920 6030 l 7920 4050 l + 6660 4050 l gs col0 s gr gr + +% arrowhead +n 6766 4027 m 6676 4050 l 6766 4072 l 6766 4027 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6645 6502 m 6645 6547 l 6766 6547 l 6676 6525 l 6766 6502 l cp +eoclip +n 7380 8010 m 7920 8010 l 7920 6525 l + 6660 6525 l gs col0 s gr gr + +% arrowhead +n 6766 6502 m 6676 6525 l 6766 6547 l 6766 6502 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +3765 4522 m 3765 4567 l 3886 4567 l 3796 4545 l 3886 4522 l cp +eoclip +n 6660 8370 m 6660 8640 l 5310 8640 l 5310 4545 l + 3780 4545 l gs col0 s gr gr + +% arrowhead +n 3886 4522 m 3796 4545 l 3886 4567 l 3886 4522 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6637 5775 m 6682 5775 l 6682 5654 l 6660 5744 l 6637 5654 l cp +eoclip +n 6660 5400 m + 6660 5760 l gs col0 s gr gr + +% arrowhead +n 6637 5654 m 6660 5744 l 6682 5654 l 6637 5654 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6637 6765 m 6682 6765 l 6682 6644 l 6660 6734 l 6637 6644 l cp +eoclip +n 6660 6390 m + 6660 6750 l gs col0 s gr gr + +% arrowhead +n 6637 6644 m 6660 6734 l 6682 6644 l 6637 6644 l cp gs 0.00 setgray ef gr col0 s +% Polyline +gs clippath +6637 7755 m 6682 7755 l 6682 7634 l 6660 7724 l 6637 7634 l cp +eoclip +n 6660 7380 m + 6660 7740 l gs col0 s gr gr + +% arrowhead +n 6637 7634 m 6660 7724 l 6682 7634 l 6637 7634 l cp gs 0.00 setgray ef gr col0 s +/Helvetica ff 150.00 scf sf +3870 7560 m +gs 1 -1 sc (Yes) col0 sh gr +/Helvetica ff 150.00 scf sf +4590 6930 m +gs 1 -1 sc (No) col0 sh gr +/Helvetica ff 150.00 scf sf +4770 3600 m +gs 1 -1 sc (start) col0 sh gr +/Helvetica ff 150.00 scf sf +3690 4590 m +gs 1 -1 sc (No) dup sw pop neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +4590 3960 m +gs 1 -1 sc (Yes) col0 sh gr +/Helvetica ff 150.00 scf sf +7470 5940 m +gs 1 -1 sc (No) col0 sh gr +/Helvetica ff 150.00 scf sf +6570 6570 m +gs 1 -1 sc (Yes) dup sw pop neg 0 rm col0 sh gr +/Helvetica ff 150.00 scf sf +7470 7920 m +gs 1 -1 sc (No) col0 sh gr +/Helvetica ff 150.00 scf sf +6750 8550 m +gs 1 -1 sc (Yes) col0 sh gr +$F2psEnd +rs diff --git a/usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig b/usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig new file mode 100644 index 00000000..3d172405 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig @@ -0,0 +1,119 @@ +#FIG 3.2 +Landscape +Center +Metric +A4 +65.00 +Single +-2 +1200 2 +6 2700 4725 4860 5445 +6 2970 4860 4590 5265 +4 1 0 50 0 16 10 0.0000 4 120 435 3780 5017 CMD18\001 +4 1 0 50 0 16 10 0.0000 4 120 1560 3780 5242 READ_MULTIPLE_BLOCKS\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 2745 4770 4815 4770 4815 5400 2745 5400 2745 4770 +-6 +6 3015 2745 4545 3465 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 2790 4500 2790 4500 3420 3060 3420 3060 2790 +4 1 0 50 0 16 10 0.0000 4 120 705 3780 3150 Wait for start\001 +-6 +6 3015 3735 4545 4455 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3780 3780 4500 4050 3780 4410 3060 4050 3780 3780 +4 1 0 50 0 16 10 0.0000 4 135 615 3780 4132 mode = 1?\001 +-6 +6 3015 5715 4545 6435 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3060 5760 4500 5760 4500 6390 3060 6390 3060 5760 +4 1 0 50 0 16 10 0.0000 4 120 645 3780 6120 Read block\001 +-6 +6 3015 6705 4545 7425 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 3780 6750 4500 7020 3780 7380 3060 7020 3780 6750 +4 1 0 50 0 16 10 0.0000 4 120 675 3780 7102 ???_done?\001 +-6 +6 2835 7695 4725 8415 +6 3105 7830 4455 8235 +4 1 0 50 0 16 10 0.0000 4 120 435 3780 7987 CMD12\001 +4 1 0 50 0 16 10 0.0000 4 120 1290 3780 8212 STOP_TRANSMISSION\001 +-6 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 2880 7740 4680 7740 4680 8370 2880 8370 2880 7740 +-6 +6 5895 4725 7425 5445 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 5940 4770 7380 4770 7380 5400 5940 5400 5940 4770 +4 1 0 50 0 16 10 0.0000 4 135 960 6660 5130 Activate config_n\001 +-6 +6 5895 5715 7425 6435 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 6660 5760 7380 6030 6660 6390 5940 6030 6660 5760 +4 1 0 50 0 16 10 0.0000 4 135 855 6660 6112 cfg_init_n low?\001 +-6 +6 5895 6705 7425 7425 +2 2 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 5940 6750 7380 6750 7380 7380 5940 7380 5940 6750 +4 1 0 50 0 16 10 0.0000 4 135 1125 6660 7110 Deactivate config_n\001 +-6 +6 5895 7695 7425 8415 +2 3 0 2 0 7 50 0 -1 0.000 0 0 -1 0 0 5 + 6660 7740 7380 8010 6660 8370 5940 8010 6660 7740 +4 1 0 50 0 16 10 0.0000 4 135 915 6660 8092 cfg_init_n high?\001 +-6 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 3420 3780 3780 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 4410 3780 4770 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 5400 3780 5760 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 6390 3780 6750 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 3780 7380 3780 7740 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 4 + 1 1 1.00 45.00 90.00 + 4545 7020 5130 7020 5130 5535 3780 5535 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 6 + 1 1 1.00 45.00 90.00 + 3780 8370 3780 8640 2520 8640 2520 2520 3780 2520 3780 2790 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 4680 3555 3780 3555 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 3 + 1 1 1.00 45.00 90.00 + 4500 4050 6660 4050 6660 4770 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 4 + 1 1 1.00 45.00 90.00 + 7380 6030 7920 6030 7920 4050 6660 4050 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 4 + 1 1 1.00 45.00 90.00 + 7380 8010 7920 8010 7920 6525 6660 6525 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 5 + 1 1 1.00 45.00 90.00 + 6660 8370 6660 8640 5310 8640 5310 4545 3780 4545 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6660 5400 6660 5760 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6660 6390 6660 6750 +2 1 0 1 0 7 50 0 -1 0.000 0 0 -1 1 0 2 + 1 1 1.00 45.00 90.00 + 6660 7380 6660 7740 +4 0 0 50 0 16 10 0.0000 4 105 210 3870 7560 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 165 4590 6930 No\001 +4 0 0 50 0 16 10 0.0000 4 105 240 4770 3600 start\001 +4 2 0 50 0 16 10 0.0000 4 105 165 3690 4590 No\001 +4 0 0 50 0 16 10 0.0000 4 105 210 4590 3960 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 165 7470 5940 No\001 +4 2 0 50 0 16 10 0.0000 4 105 210 6570 6570 Yes\001 +4 0 0 50 0 16 10 0.0000 4 105 165 7470 7920 No\001 +4 0 0 50 0 16 10 0.0000 4 105 210 6750 8550 Yes\001 diff --git a/usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries new file mode 100644 index 00000000..a4756ee6 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries @@ -0,0 +1 @@ +D/vhdl//// diff --git a/usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository new file mode 100644 index 00000000..dcb0a69b --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository @@ -0,0 +1 @@ +spi_boot/rtl diff --git a/usrp2/fpga/opencores/spi_boot/rtl/CVS/Root b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/rtl/CVS/Template b/usrp2/fpga/opencores/spi_boot/rtl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries new file mode 100644 index 00000000..880f353c --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries @@ -0,0 +1,15 @@ +/chip-e.vhd/1.3/Thu Apr 7 20:44:23 2005// +/chip-full-a.vhd/1.6/Thu Apr 7 20:44:23 2005// +/chip-full-c.vhd/1.1/Tue Feb 8 20:41:31 2005// +/chip-minimal-a.vhd/1.6/Thu Apr 7 20:44:23 2005// +/chip-minimal-c.vhd/1.1/Tue Feb 8 20:41:32 2005// +/chip-mmc-a.vhd/1.6/Thu Apr 7 20:44:23 2005// +/chip-mmc-c.vhd/1.1/Tue Feb 8 20:41:32 2005// +/chip-sd-a.vhd/1.6/Thu Apr 7 20:44:23 2005// +/chip-sd-c.vhd/1.1/Tue Feb 8 20:41:33 2005// +/spi_boot-c.vhd/1.2/Fri Feb 18 06:42:11 2005// +/spi_boot.vhd/1.9/Sun Feb 25 18:24:12 2007// +/spi_boot_pack-p.vhd/1.1/Tue Feb 8 20:41:33 2005// +/spi_counter-c.vhd/1.1/Tue Feb 8 20:41:33 2005// +/spi_counter.vhd/1.2/Sun Feb 25 18:24:12 2007// +D/sample//// diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository new file mode 100644 index 00000000..a09f391e --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository @@ -0,0 +1 @@ +spi_boot/rtl/vhdl diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Template b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd new file mode 100644 index 00000000..0bdd05af --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd @@ -0,0 +1,91 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Chip toplevel +-- +-- $Id: chip-e.vhd,v 1.3 2005/04/07 20:44:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity chip is + + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_n_i : in std_logic_vector(3 downto 0); + -- SD Card Interface ------------------------------------------------------ + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + -- FPGA Configuration Interface ------------------------------------------- + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + +end chip; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: chip-e.vhd,v $ +-- Revision 1.3 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.2 2005/03/08 22:07:11 arniml +-- added set selection +-- +-- Revision 1.1 2005/02/08 20:41:30 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd new file mode 100644 index 00000000..e43ecb3c --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd @@ -0,0 +1,164 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Chip toplevel design with full feature set +-- +-- $Id: chip-full-a.vhd,v 1.6 2005/04/07 20:44:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +architecture full of chip is + + component spi_boot + generic ( + width_set_sel_g : integer := 4; + width_bit_cnt_g : integer := 6; + width_img_cnt_g : integer := 2; + num_bits_per_img_g : integer := 18; + sd_init_g : integer := 0; + mmc_compat_clk_div_g : integer := 0; + width_mmc_clk_div_g : integer := 0; + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_i : in std_logic_vector(width_set_sel_g-1 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + spi_en_outs_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + signal spi_clk_s : std_logic; + signal spi_cs_n_s : std_logic; + signal spi_data_out_s : std_logic; + signal spi_en_outs_s : std_logic; + + signal set_sel_s : std_logic_vector(3 downto 0); + +begin + + set_sel_s <= not set_sel_n_i; + + spi_boot_b : spi_boot + generic map ( + width_set_sel_g => 4, -- 16 sets + width_bit_cnt_g => 12, -- 512 bytes per block + width_img_cnt_g => 2, -- 4 images + num_bits_per_img_g => 18, -- 256 kByte per image + sd_init_g => 1, -- use SD specific initialization + mmc_compat_clk_div_g => 13, -- MMC compat 400 kHz > 10 MHz / (13*2) + width_mmc_clk_div_g => 4 -- need 5 bits for MMC compat divider + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + set_sel_i => set_sel_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_in_i, + spi_data_out_o => spi_data_out_s, + spi_en_outs_o => spi_en_outs_s, + start_i => start_i, + mode_i => mode_i, + config_n_o => config_n_o, + detached_o => detached_o, + cfg_init_n_i => cfg_init_n_i, + cfg_done_i => cfg_done_i, + dat_done_i => dat_done_i, + cfg_clk_o => cfg_clk_o, + cfg_dat_o => cfg_dat_o + ); + + ----------------------------------------------------------------------------- + -- Three state drivers for SPI outputs. + ----------------------------------------------------------------------------- + spi_clk_o <= spi_clk_s + when spi_en_outs_s = '1' else + 'Z'; + spi_cs_n_o <= spi_cs_n_s + when spi_en_outs_s = '1' else + 'Z'; + spi_data_out_o <= spi_data_out_s + when spi_en_outs_s = '1' else + 'Z'; + +end full; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: chip-full-a.vhd,v $ +-- Revision 1.6 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.5 2005/03/09 19:48:34 arniml +-- invert level of set_sel input +-- +-- Revision 1.4 2005/03/08 22:07:12 arniml +-- added set selection +-- +-- Revision 1.3 2005/02/18 06:42:11 arniml +-- clarify wording for images +-- +-- Revision 1.2 2005/02/16 18:54:37 arniml +-- added tri-state drivers for spi outputs +-- +-- Revision 1.1 2005/02/08 20:41:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd new file mode 100644 index 00000000..da88552c --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: chip-full-c.vhd,v 1.1 2005/02/08 20:41:31 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration chip_full_c0 of chip is + + for full + + for spi_boot_b : spi_boot + use configuration work.spi_boot_rtl_c0; + end for; + + end for; + +end chip_full_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd new file mode 100644 index 00000000..090d0b79 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd @@ -0,0 +1,164 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Chip toplevel design with minimal feature set +-- +-- $Id: chip-minimal-a.vhd,v 1.6 2005/04/07 20:44:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +architecture minimal of chip is + + component spi_boot + generic ( + width_set_sel_g : integer := 4; + width_bit_cnt_g : integer := 6; + width_img_cnt_g : integer := 2; + num_bits_per_img_g : integer := 18; + sd_init_g : integer := 0; + mmc_compat_clk_div_g : integer := 0; + width_mmc_clk_div_g : integer := 0; + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_i : in std_logic_vector(width_set_sel_g-1 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + spi_en_outs_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + signal spi_clk_s : std_logic; + signal spi_cs_n_s : std_logic; + signal spi_data_out_s : std_logic; + signal spi_en_outs_s : std_logic; + + signal set_sel_s : std_logic_vector(3 downto 0); + +begin + + set_sel_s <= not set_sel_n_i; + + spi_boot_b : spi_boot + generic map ( + width_set_sel_g => 4, -- 16 sets + width_bit_cnt_g => 6, -- 8 bytes per block + width_img_cnt_g => 2, -- 4 images + num_bits_per_img_g => 18, -- 256 kByte per image + sd_init_g => 0, -- no SD specific initialization + mmc_compat_clk_div_g => 0, -- no MMC compatibility + width_mmc_clk_div_g => 0 -- no MMC compatibility + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + set_sel_i => set_sel_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_in_i, + spi_data_out_o => spi_data_out_s, + spi_en_outs_o => spi_en_outs_s, + start_i => start_i, + mode_i => mode_i, + config_n_o => config_n_o, + detached_o => detached_o, + cfg_init_n_i => cfg_init_n_i, + cfg_done_i => cfg_done_i, + dat_done_i => dat_done_i, + cfg_clk_o => cfg_clk_o, + cfg_dat_o => cfg_dat_o + ); + + ----------------------------------------------------------------------------- + -- Three state drivers for SPI outputs. + ----------------------------------------------------------------------------- + spi_clk_o <= spi_clk_s + when spi_en_outs_s = '1' else + 'Z'; + spi_cs_n_o <= spi_cs_n_s + when spi_en_outs_s = '1' else + 'Z'; + spi_data_out_o <= spi_data_out_s + when spi_en_outs_s = '1' else + 'Z'; + +end minimal; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: chip-minimal-a.vhd,v $ +-- Revision 1.6 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.5 2005/03/09 19:48:34 arniml +-- invert level of set_sel input +-- +-- Revision 1.4 2005/03/08 22:07:12 arniml +-- added set selection +-- +-- Revision 1.3 2005/02/18 06:42:12 arniml +-- clarify wording for images +-- +-- Revision 1.2 2005/02/16 18:54:39 arniml +-- added tri-state drivers for spi outputs +-- +-- Revision 1.1 2005/02/08 20:41:31 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd new file mode 100644 index 00000000..5547747b --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: chip-minimal-c.vhd,v 1.1 2005/02/08 20:41:32 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration chip_minimal_c0 of chip is + + for minimal + + for spi_boot_b : spi_boot + use configuration work.spi_boot_rtl_c0; + end for; + + end for; + +end chip_minimal_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd new file mode 100644 index 00000000..cef42d26 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd @@ -0,0 +1,164 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Chip toplevel design with MMC feature set +-- +-- $Id: chip-mmc-a.vhd,v 1.6 2005/04/07 20:44:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +architecture mmc of chip is + + component spi_boot + generic ( + width_set_sel_g : integer := 4; + width_bit_cnt_g : integer := 6; + width_img_cnt_g : integer := 2; + num_bits_per_img_g : integer := 18; + sd_init_g : integer := 0; + mmc_compat_clk_div_g : integer := 0; + width_mmc_clk_div_g : integer := 0; + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_i : in std_logic_vector(width_set_sel_g-1 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + spi_en_outs_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + signal spi_clk_s : std_logic; + signal spi_cs_n_s : std_logic; + signal spi_data_out_s : std_logic; + signal spi_en_outs_s : std_logic; + + signal set_sel_s : std_logic_vector(3 downto 0); + +begin + + set_sel_s <= not set_sel_n_i; + + spi_boot_b : spi_boot + generic map ( + width_set_sel_g => 4, -- 16 sets + width_bit_cnt_g => 12, -- 512 bytes per block + width_img_cnt_g => 2, -- 4 images + num_bits_per_img_g => 18, -- 256 kByte per image + sd_init_g => 0, -- no SD specific initialization + mmc_compat_clk_div_g => 13, -- MMC compat 400 kHz > 10 MHz / (13*2) + width_mmc_clk_div_g => 4 -- need 5 bits for MMC compat divider + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + set_sel_i => set_sel_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_in_i, + spi_data_out_o => spi_data_out_s, + spi_en_outs_o => spi_en_outs_s, + start_i => start_i, + mode_i => mode_i, + config_n_o => config_n_o, + detached_o => detached_o, + cfg_init_n_i => cfg_init_n_i, + cfg_done_i => cfg_done_i, + dat_done_i => dat_done_i, + cfg_clk_o => cfg_clk_o, + cfg_dat_o => cfg_dat_o + ); + + ----------------------------------------------------------------------------- + -- Three state drivers for SPI outputs. + ----------------------------------------------------------------------------- + spi_clk_o <= spi_clk_s + when spi_en_outs_s = '1' else + 'Z'; + spi_cs_n_o <= spi_cs_n_s + when spi_en_outs_s = '1' else + 'Z'; + spi_data_out_o <= spi_data_out_s + when spi_en_outs_s = '1' else + 'Z'; + +end mmc; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: chip-mmc-a.vhd,v $ +-- Revision 1.6 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.5 2005/03/09 19:48:34 arniml +-- invert level of set_sel input +-- +-- Revision 1.4 2005/03/08 22:07:12 arniml +-- added set selection +-- +-- Revision 1.3 2005/02/18 06:42:13 arniml +-- clarify wording for images +-- +-- Revision 1.2 2005/02/16 18:54:39 arniml +-- added tri-state drivers for spi outputs +-- +-- Revision 1.1 2005/02/08 20:41:32 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd new file mode 100644 index 00000000..6131013e --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: chip-mmc-c.vhd,v 1.1 2005/02/08 20:41:32 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration chip_mmc_c0 of chip is + + for mmc + + for spi_boot_b : spi_boot + use configuration work.spi_boot_rtl_c0; + end for; + + end for; + +end chip_mmc_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd new file mode 100644 index 00000000..c955a5f3 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd @@ -0,0 +1,164 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Chip toplevel design with SD feature set +-- +-- $Id: chip-sd-a.vhd,v 1.6 2005/04/07 20:44:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +architecture sd of chip is + + component spi_boot + generic ( + width_set_sel_g : integer := 4; + width_bit_cnt_g : integer := 6; + width_img_cnt_g : integer := 2; + num_bits_per_img_g : integer := 18; + sd_init_g : integer := 0; + mmc_compat_clk_div_g : integer := 0; + width_mmc_clk_div_g : integer := 0; + reset_level_g : integer := 0 + ); + port ( + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_i : in std_logic_vector(width_set_sel_g-1 downto 0); + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + spi_en_outs_o : out std_logic; + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + end component; + + signal spi_clk_s : std_logic; + signal spi_cs_n_s : std_logic; + signal spi_data_out_s : std_logic; + signal spi_en_outs_s : std_logic; + + signal set_sel_s : std_logic_vector(3 downto 0); + +begin + + set_sel_s <= not set_sel_n_i; + + spi_boot_b : spi_boot + generic map ( + width_set_sel_g => 4, -- 16 sets + width_bit_cnt_g => 12, -- 512 bytes per block + width_img_cnt_g => 2, -- 4 images + num_bits_per_img_g => 18, -- 256 kByte per image + sd_init_g => 1, -- SD specific initialization + mmc_compat_clk_div_g => 0, -- no MMC compatibility + width_mmc_clk_div_g => 0 -- no MMC compatibility + ) + port map ( + clk_i => clk_i, + reset_i => reset_i, + set_sel_i => set_sel_s, + spi_clk_o => spi_clk_s, + spi_cs_n_o => spi_cs_n_s, + spi_data_in_i => spi_data_in_i, + spi_data_out_o => spi_data_out_s, + spi_en_outs_o => spi_en_outs_s, + start_i => start_i, + mode_i => mode_i, + config_n_o => config_n_o, + detached_o => detached_o, + cfg_init_n_i => cfg_init_n_i, + cfg_done_i => cfg_done_i, + dat_done_i => dat_done_i, + cfg_clk_o => cfg_clk_o, + cfg_dat_o => cfg_dat_o + ); + + ----------------------------------------------------------------------------- + -- Three state drivers for SPI outputs. + ----------------------------------------------------------------------------- + spi_clk_o <= spi_clk_s + when spi_en_outs_s = '1' else + 'Z'; + spi_cs_n_o <= spi_cs_n_s + when spi_en_outs_s = '1' else + 'Z'; + spi_data_out_o <= spi_data_out_s + when spi_en_outs_s = '1' else + 'Z'; + +end sd; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: chip-sd-a.vhd,v $ +-- Revision 1.6 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.5 2005/03/09 19:48:34 arniml +-- invert level of set_sel input +-- +-- Revision 1.4 2005/03/08 22:07:12 arniml +-- added set selection +-- +-- Revision 1.3 2005/02/18 06:42:14 arniml +-- clarify wording for images +-- +-- Revision 1.2 2005/02/16 18:54:39 arniml +-- added tri-state drivers for spi outputs +-- +-- Revision 1.1 2005/02/08 20:41:32 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd new file mode 100644 index 00000000..91e41ddf --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd @@ -0,0 +1,19 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: chip-sd-c.vhd,v 1.1 2005/02/08 20:41:33 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration chip_sd_c0 of chip is + + for sd + + for spi_boot_b : spi_boot + use configuration work.spi_boot_rtl_c0; + end for; + + end for; + +end chip_sd_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries new file mode 100644 index 00000000..552a7baa --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries @@ -0,0 +1,3 @@ +/ram_loader-c.vhd/1.1/Sun Apr 10 18:02:32 2005// +/ram_loader.vhd/1.2/Sun Apr 10 17:17:23 2005// +D diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository new file mode 100644 index 00000000..026a7398 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository @@ -0,0 +1 @@ +spi_boot/rtl/vhdl/sample diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Template b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd new file mode 100644 index 00000000..8b26c4d5 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd @@ -0,0 +1,10 @@ +------------------------------------------------------------------------------- +-- $Id: ram_loader-c.vhd,v 1.1 2005/04/10 18:02:32 arniml Exp $ +------------------------------------------------------------------------------- + +configuration ram_loader_rtl_c0 of ram_loader is + + for rtl + end for; + +end ram_loader_rtl_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd new file mode 100644 index 00000000..c604876d --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd @@ -0,0 +1,355 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Sample client for loading an image to asynchronous SRAM +-- +-- $Id: ram_loader.vhd,v 1.2 2005/04/10 17:17:23 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity ram_loader is + + port ( + -- Global Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + lamp_o : out std_logic; + -- Config Interface ------------------------------------------------------- + cfg_clk_i : in std_logic; + cfg_data_i : in std_logic; + start_o : out std_logic; + mode_o : out std_logic; + done_o : out std_logic; + detached_i : in std_logic; + -- Asynchronous RAM Interface --------------------------------------------- + ram_addr_o : out std_logic_vector(15 downto 0); + ram_data_b : out std_logic_vector( 7 downto 0); + ram_ce_no : out std_logic_vector( 3 downto 0); + ram_oe_no : out std_logic; + ram_we_no : out std_logic + ); + +end ram_loader; + + +library ieee; +use ieee.numeric_std.all; + +architecture rtl of ram_loader is + + signal addr_q : unsigned(17 downto 0); + signal inc_addr_s : boolean; + + signal shift_dat_q : std_logic_vector(7 downto 0); + signal ser_dat_q : std_logic_vector(7 downto 0); + signal bit_q : unsigned(2 downto 0); + signal bit_ovfl_q : boolean; + + type fsm_t is (IDLE, + WE_ON, + WE_OFF, + INC_ADDR1, INC_ADDR2, + FINISHED); + signal fsm_s, + fsm_q : fsm_t; + signal done_q : std_logic; + signal done_s : boolean; + signal mode_q, + mode_s : std_logic; + + signal ram_we_n_q, + ram_we_n_s : std_logic; + signal ram_ce_n_q, + ram_ce_n_s : std_logic_vector(3 downto 0); + + type start_fsm_t is (WAIT_DETACH, + CHECK_NO_DONE, + WAIT_DONE); + signal start_fsm_s, + start_fsm_q : start_fsm_t; + + signal start_s, + start_q : std_logic; + signal enable_s, + enable_q : boolean; + +begin + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements the sequential elements clocked with cfg_clk_i. + -- + seq: process (cfg_clk_i, reset_i) + begin + if reset_i = '0' then + addr_q <= (others => '0'); + shift_dat_q <= (others => '0'); + ser_dat_q <= (others => '0'); + bit_q <= (others => '0'); + bit_ovfl_q <= false; + fsm_q <= IDLE; + ram_we_n_q <= '1'; + ram_ce_n_q <= (others => '1'); + done_q <= '0'; + mode_q <= '0'; + + elsif cfg_clk_i'event and cfg_clk_i = '1' then + if inc_addr_s then + addr_q <= addr_q + 1; + end if; + + if enable_q then + bit_q <= bit_q + 1; + bit_ovfl_q <= bit_q = 7; + + shift_dat_q(0) <= cfg_data_i; + shift_dat_q(7 downto 1) <= shift_dat_q(6 downto 0); + end if; + + -- update register when 8 serial bits have been shifted in + if bit_ovfl_q then + ser_dat_q <= shift_dat_q; + end if; + + fsm_q <= fsm_s; + + ram_we_n_q <= ram_we_n_s; + ram_ce_n_q <= ram_ce_n_s; + + -- done only settable once + if done_s then + done_q <= '1'; + end if; + + mode_q <= mode_s; + + end if; + end process seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process fsm + -- + -- Purpose: + -- Implements the combinational logic of the RAM loader FSM. + -- + fsm: process (fsm_q, + bit_ovfl_q, + start_q, + addr_q) + begin + -- default assignments + inc_addr_s <= false; + ram_we_n_s <= '1'; + done_s <= false; + fsm_s <= IDLE; + lamp_o <= '1'; + mode_s <= '0'; + + case fsm_q is + when IDLE => + lamp_o <= '0'; + if start_q = '1' then + if bit_ovfl_q then + fsm_s <= WE_ON; + end if; + end if; + + when WE_ON => + ram_we_n_s <= '0'; + fsm_s <= WE_OFF; + + when WE_OFF => + fsm_s <= INC_ADDR1; + + when INC_ADDR1 => + fsm_s <= INC_ADDR2; + + when INC_ADDR2 => + if addr_q = "001111111111111111" then -- load only 64k + fsm_s <= FINISHED; + done_s <= true; + mode_s <= '1'; + else + inc_addr_s <= true; + fsm_s <= IDLE; + end if; + + when FINISHED => + fsm_s <= FINISHED; + lamp_o <= '1'; + mode_s <= '1'; + + when others => + end case; + + end process fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process ce_gen + -- + -- Purpose: + -- Generates the four CE signals for the external RAM chips. + -- + ce_gen: process (addr_q) + begin + ram_ce_n_s <= (others => '1'); + ram_ce_n_s(to_integer(addr_q(17 downto 16))) <= '0'; + end process ce_gen; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process start_seq + -- + -- Purpose: + -- Implements the sequential elements clocked with clk_i. + -- + start_seq: process (clk_i, reset_i) + begin + if reset_i = '0' then + start_fsm_q <= WAIT_DETACH; + start_q <= '0'; + enable_q <= false; + + elsif clk_i'event and clk_i = '1' then + start_fsm_q <= start_fsm_s; + + enable_q <= enable_s; + + start_q <= start_s; + + end if; + end process start_seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process start_comb + -- + -- Purpose: + -- Implements the combinational logic of the start FSM. + -- + start_comb: process (start_fsm_q, + detached_i, + done_q, + enable_q, + start_q) + begin + -- default assignments + start_fsm_s <= WAIT_DETACH; + enable_s <= enable_q; + start_s <= start_q; + + case start_fsm_q is + -- Wait for detached_i to become '1' + -- This state is entered/left twice: + -- 1. after reset to start the data download + -- 2. after data download to start the next configuration cycle + when WAIT_DETACH => + if detached_i = '1' then + start_fsm_s <= CHECK_NO_DONE; + enable_s <= true; + start_s <= '1'; + + else + start_fsm_s <= WAIT_DETACH; + end if; + + -- Wait until done_q is '0' + -- This ensures that the FSM stalls when it has started the configuration + -- download. There must be no further action in this case. + when CHECK_NO_DONE => + if done_q = '0' then + start_fsm_s <= WAIT_DONE; + else + start_fsm_s <= CHECK_NO_DONE; + end if; + + -- Wait until done_q is '1' + -- done_q is the signal that the main FSM has finished its work. We + -- need to start the configuration download. + when WAIT_DONE => + if done_q = '1' then + start_fsm_s <= WAIT_DETACH; + enable_s <= false; + start_s <= '0'; + else + start_fsm_s <= WAIT_DONE; + end if; + + when others => + null; + + end case; + + end process start_comb; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + start_o <= start_q; + mode_o <= mode_q; + done_o <= done_q + when start_q = '1' else + '1'; + ram_addr_o <= std_logic_vector(addr_q(15 downto 0)); + ram_data_b <= ser_dat_q; + ram_oe_no <= '1'; + ram_ce_no <= ram_ce_n_q; + ram_we_no <= ram_we_n_q; + +end rtl; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd new file mode 100644 index 00000000..6f11ed34 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd @@ -0,0 +1,27 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: spi_boot-c.vhd,v 1.2 2005/02/18 06:42:11 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration spi_boot_rtl_c0 of spi_boot is + + for rtl + + for img_cnt + for img_cnt_b : spi_counter + use configuration work.spi_counter_rtl_c0; + end for; + end for; + + for mmc_cnt + for mmc_cnt_b : spi_counter + use configuration work.spi_counter_rtl_c0; + end for; + end for; + + end for; + +end spi_boot_rtl_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd new file mode 100644 index 00000000..3d2b81da --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd @@ -0,0 +1,979 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: spi_boot.vhd,v 1.9 2007/02/25 18:24:12 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity spi_boot is + + generic ( + -- width of set selection + width_set_sel_g : integer := 4; + -- width of bit counter: minimum 6, maximum 12 + width_bit_cnt_g : integer := 6; + -- width of image counter: minimum 0, maximum n + width_img_cnt_g : integer := 2; + -- number of bits required to address one image + num_bits_per_img_g : integer := 18; + -- SD specific initialization + sd_init_g : integer := 0; + -- clock divider to reach 400 kHz for MMC compatibility + mmc_compat_clk_div_g : integer := 0; + width_mmc_clk_div_g : integer := 0; + -- active level of reset_i + reset_level_g : integer := 0 + ); + + port ( + -- System Interface ------------------------------------------------------- + clk_i : in std_logic; + reset_i : in std_logic; + set_sel_i : in std_logic_vector(width_set_sel_g-1 downto 0); + -- Card Interface --------------------------------------------------------- + spi_clk_o : out std_logic; + spi_cs_n_o : out std_logic; + spi_data_in_i : in std_logic; + spi_data_out_o : out std_logic; + spi_en_outs_o : out std_logic; + -- FPGA Configuration Interface ------------------------------------------- + start_i : in std_logic; + mode_i : in std_logic; + config_n_o : out std_logic; + detached_o : out std_logic; + cfg_init_n_i : in std_logic; + cfg_done_i : in std_logic; + dat_done_i : in std_logic; + cfg_clk_o : out std_logic; + cfg_dat_o : out std_logic + ); + +end spi_boot; + + +library ieee; +use ieee.numeric_std.all; +use work.spi_boot_pack.all; + +architecture rtl of spi_boot is + + component spi_counter + generic ( + cnt_width_g : integer := 4; + cnt_max_g : integer := 15 + ); + port ( + clk_i : in std_logic; + reset_i : in boolean; + cnt_en_i : in boolean; + cnt_o : out std_logic_vector(cnt_width_g-1 downto 0); + cnt_ovfl_o : out boolean + ); + end component; + + + ----------------------------------------------------------------------------- + -- States of the controller FSM + -- + type ctrl_states_t is (POWER_UP1, POWER_UP2, + CMD0, + CMD1, + CMD55, ACMD41, + CMD16, + WAIT_START, + WAIT_INIT_LOW, WAIT_INIT_HIGH, + CMD18, CMD18_DATA, + CMD12, + INC_IMG_CNT); + -- + signal ctrl_fsm_q, + ctrl_fsm_s : ctrl_states_t; + -- + ----------------------------------------------------------------------------- + + ----------------------------------------------------------------------------- + -- States of the command FSM + -- + type cmd_states_t is (CMD, START, R1, PAUSE); + -- + signal cmd_fsm_q, + cmd_fsm_s : cmd_states_t; + -- + ----------------------------------------------------------------------------- + + subtype op_r is integer range 5 downto 0; + type res_bc_t is (NONE, RES_MAX, RES_47, RES_15, RES_7); + signal bit_cnt_q : unsigned(width_bit_cnt_g-1 downto 0); + signal res_bc_s : res_bc_t; + signal upper_bitcnt_zero_s : boolean; + + signal cfg_dat_q : std_logic; + + signal spi_clk_q : std_logic; + signal spi_clk_rising_q : boolean; + signal spi_clk_falling_q : boolean; + signal spi_dat_q, + spi_dat_s : std_logic; + signal spi_cs_n_q, + spi_cs_n_s : std_logic; + + signal cfg_clk_q : std_logic; + + signal start_q : std_logic; + + signal img_cnt_s : std_logic_vector(width_img_cnt_g downto 0); + signal cnt_en_img_s : boolean; + signal mmc_cnt_ovfl_s : boolean; + signal mmc_compat_s : boolean; + + signal cmd_finished_s : boolean; + + signal r1_result_q : std_logic; + signal done_q, + send_cmd12_q : boolean; + + signal en_outs_s, + en_outs_q : boolean; + + signal reset_s : boolean; + + signal true_s : boolean; + +begin + + true_s <= true; + + reset_s <= true + when (reset_level_g = 1 and reset_i = '1') or + (reset_level_g = 0 and reset_i = '0') else + false; + + ----------------------------------------------------------------------------- + -- Process seq + -- + -- Purpose: + -- Implements several sequential elements. + -- + seq: process (clk_i, reset_s) + + variable bit_cnt_v : unsigned(1 downto 0); + + begin + if reset_s then + -- reset bit counter to 63 for power up + bit_cnt_q <= (others => '0'); + bit_cnt_q(op_r) <= "111111"; + spi_dat_q <= '1'; + spi_cs_n_q <= '1'; + cfg_dat_q <= '1'; + start_q <= '0'; + done_q <= false; + send_cmd12_q <= false; + ctrl_fsm_q <= POWER_UP1; + cmd_fsm_q <= CMD; + r1_result_q <= '0'; + en_outs_q <= false; + + elsif clk_i'event and clk_i = '1' then + -- bit counter control + if spi_clk_rising_q then + case res_bc_s is + when NONE => + bit_cnt_q <= bit_cnt_q - 1; + when RES_MAX => + bit_cnt_q <= (others => '1'); + when RES_47 => + bit_cnt_q <= (others => '0'); + bit_cnt_q(op_r) <= "101111"; + when RES_15 => + bit_cnt_q <= (others => '0'); + bit_cnt_q(op_r) <= "001111"; + when RES_7 => + bit_cnt_q <= (others => '0'); + bit_cnt_q(op_r) <= "000111"; + when others => + bit_cnt_q <= (others => '0'); + end case; + end if; + + -- Card data output register + -- spi_clk_falling_q acts as enable during MMC clock compatibility mode. + -- As soon as this mode is left, the register must start latching. + -- There is no explicit relation to spi_clk_q anymore in normal mode. + -- Instead, spi_dat_s is operated by bit_cnt_q above which changes its + -- value after the rising edge of spi_clk_q. + -- -> spi_dat_q changes upon falling edge of spi_clk_q + if spi_clk_falling_q or not mmc_compat_s then + spi_dat_q <= spi_dat_s; + end if; + + -- config data output register + -- a new value is loaded when config clock is high, + -- i.e. input data is sampled with rising spi_clk + -- while output value changes on falling edge of cfg_clk + if cfg_clk_q = '1' and spi_clk_rising_q then + cfg_dat_q <= spi_data_in_i; + end if; + + -- Controller FSM state + ctrl_fsm_q <= ctrl_fsm_s; + + -- Command FSM state + cmd_fsm_q <= cmd_fsm_s; + + -- CS signal for SPI card + if spi_clk_q = '1' then + spi_cs_n_q <= spi_cs_n_s; + end if; + + -- Extract flags from R1 response + if cmd_fsm_q = R1 then + bit_cnt_v := bit_cnt_q(1 downto 0); + case bit_cnt_v(1 downto 0) is + when "10" => + -- always save "Illegal Command" flag + r1_result_q <= to_X01(spi_data_in_i); + when "00" => + -- overwrite with "Idle State" flag when not in CMD55 + if ctrl_fsm_q /= CMD55 then + r1_result_q <= to_X01(spi_data_in_i); + end if; + when others => + null; + end case; + end if; + + -- Start trigger register for rising edge detection + -- the reset value is '0' thus a rising edge will always be detected + -- after reset even though start_i is tied to '1' + if start_i = '0' then + start_q <= '0'; + elsif ctrl_fsm_q = WAIT_START and cmd_finished_s then + start_q <= start_i; + end if; + + -- Marker for cfg_done and dat_done + if ctrl_fsm_q = CMD18_DATA then + if cfg_done_i = '1' and dat_done_i = '1' then + done_q <= true; + end if; + + if done_q and + (not upper_bitcnt_zero_s or cmd_fsm_q = START) then + -- activate sending of CMD12 when it is safe: + -- * upper bits of bit counter are not zero + -- -> transmission of CMD12 is not running + -- * cmd FSM is in START state + -- -> also no transmission running + send_cmd12_q <= true; + end if; + elsif ctrl_fsm_q = WAIT_START then + -- reset done_q when WAIT_START has been reached + -- this is necessary to let the stop transmission process come to + -- an end without interruption or generation of unwanted cfg_clk_q + done_q <= false; + send_cmd12_q <= false; + end if; + + -- output enable + if spi_clk_rising_q then + en_outs_q <= en_outs_s; + end if; + + end if; + + end process seq; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process upper_bits + -- + -- Purpose: + -- Detects that the upper bits of the bit counter are zero. + -- Upper bits = n downto 6, i.e. the optional part that is not required for + -- commands but for extension of data blocks. + -- + upper_bits: process (bit_cnt_q) + variable zero_v : boolean; + begin + + zero_v := true; + for i in bit_cnt_q'high downto 6 loop + if bit_cnt_q(i) = '1' then + zero_v := false; + end if; + end loop; + + upper_bitcnt_zero_s <= zero_v; + + end process upper_bits; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process clk_gen + -- + -- Purpose: + -- Generates clocks for card and FPGA configuration. + -- The card clock is free running with a divide by two of clk_i. + -- The clock for FPGA config has an enable and is stopped on high level. + -- There is a phase shift of half a period between spi_clk and cfg_clk. + -- + clk_gen: process (clk_i, reset_s) + begin + if reset_s then + spi_clk_q <= '0'; + cfg_clk_q <= '1'; + + elsif clk_i'event and clk_i = '1' then + + -- spi_clk_q rises according to the flag + -- it falls with overflow indication + -- the resulting duty cycle is not exactly 50:50, + -- high time is a bit longer + if mmc_compat_s then + -- MMC clock compatibility mode: + -- spi_clk_q rises when flagged by spi_clk_rising_q + if spi_clk_rising_q then + spi_clk_q <= '1'; + elsif mmc_cnt_ovfl_s then + -- upon counter overflow spi_clk_q falls in case it does not rise + spi_clk_q <= '0'; + end if; + else + -- normal mode + -- spi_clk_q follows spi_clk_rising_q + if spi_clk_rising_q then + spi_clk_q <= '1'; + else + spi_clk_q <= '0'; + end if; + end if; + + -- clock for FPGA config must be enabled and follows spi_clk + if ctrl_fsm_q = CMD18_DATA and cmd_fsm_q = CMD and + not done_q then + cfg_clk_q <= spi_clk_q; + else + cfg_clk_q <= '1'; + end if; + + end if; + + end process clk_gen; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Indication flags for rising and falling spi_clk_q. + -- Essential for MMC clock compatibility mode. + ----------------------------------------------------------------------------- + mmc_comap: if mmc_compat_clk_div_g > 0 generate + mmc_compat_sig: process (clk_i, reset_s) + begin + if reset_s then + spi_clk_rising_q <= false; + spi_clk_falling_q <= false; + + elsif clk_i'event and clk_i = '1' then + if mmc_compat_s then + -- MMC clock compatibility mode: + -- spi_clk_rising_q is an impulse right before rising edge of spi_clk_q + -- spi_clk_falling_q is an impulse right before falling edge of spi_clk_q + if mmc_cnt_ovfl_s then + spi_clk_rising_q <= spi_clk_q = '0'; + spi_clk_falling_q <= spi_clk_q = '1'; + else + spi_clk_rising_q <= false; + spi_clk_falling_q <= false; + end if; + else + -- normal mode + spi_clk_rising_q <= not spi_clk_rising_q; + spi_clk_falling_q <= true; + end if; + + end if; + end process mmc_compat_sig; + end generate; + + no_mmc_compat: if mmc_compat_clk_div_g = 0 generate + -- SPI clock rising whenever spi_clk_q is '0' + spi_clk_rising_q <= spi_clk_q = '0'; + -- SPI clock falling whenever spi_clk_q is '1' + spi_clk_falling_q <= spi_clk_q = '1'; + end generate; + + + ----------------------------------------------------------------------------- + -- Process ctrl_fsm + -- + -- Purpose: + -- Implements the controller FSM. + -- + ctrl_fsm: process (ctrl_fsm_q, + cmd_finished_s, r1_result_q, + start_i, start_q, mode_i, + cfg_init_n_i) + + variable mmc_compat_v : boolean; + + begin + -- default assignments + ctrl_fsm_s <= POWER_UP1; + config_n_o <= '1'; + cnt_en_img_s <= false; + spi_cs_n_s <= '0'; + mmc_compat_v := false; + en_outs_s <= true; + + case ctrl_fsm_q is + -- Let card finish power up, step 1 ------------------------------------- + when POWER_UP1 => + mmc_compat_v := true; + spi_cs_n_s <= '1'; + if cmd_finished_s then + ctrl_fsm_s <= POWER_UP2; + else + ctrl_fsm_s <= POWER_UP1; + end if; + + + -- Let card finish power up, step 2 ------------------------------------- + when POWER_UP2 => + mmc_compat_v := true; + if cmd_finished_s then + ctrl_fsm_s <= CMD0; + else + spi_cs_n_s <= '1'; + ctrl_fsm_s <= POWER_UP2; + end if; + + + -- Issue CMD0: GO_IDLE_STATE -------------------------------------------- + when CMD0 => + mmc_compat_v := true; + if cmd_finished_s then + if sd_init_g = 1 then + ctrl_fsm_s <= CMD55; + else + ctrl_fsm_s <= CMD1; + end if; + else + ctrl_fsm_s <= CMD0; + end if; + + + -- Issue CMD55: APP_CMD ------------------------------------------------- + when CMD55 => + if sd_init_g = 1 then + + mmc_compat_v := true; + if cmd_finished_s then + if r1_result_q = '0' then + -- command accepted, it's an SD card + ctrl_fsm_s <= ACMD41; + else + -- command rejected, it's an MMC card + ctrl_fsm_s <= CMD1; + end if; + else + ctrl_fsm_s <= CMD55; + end if; + + end if; + + + -- Issue ACMD41: SEND_OP_COND ------------------------------------------- + when ACMD41 => + if sd_init_g = 1 then + + mmc_compat_v := true; + if cmd_finished_s then + if r1_result_q = '0' then + ctrl_fsm_s <= CMD16; + else + ctrl_fsm_s <= CMD55; + end if; + else + ctrl_fsm_s <= ACMD41; + end if; + + end if; + + + -- Issue CMD1: SEND_OP_COND --------------------------------------------- + when CMD1 => + mmc_compat_v := true; + if cmd_finished_s then + if r1_result_q = '0' then + ctrl_fsm_s <= CMD16; + else + ctrl_fsm_s <= CMD1; + end if; + else + ctrl_fsm_s <= CMD1; + end if; + + + -- Issue CMD16: SET_BLOCKLEN -------------------------------------------- + when CMD16 => + if cmd_finished_s then + ctrl_fsm_s <= WAIT_START; + else + ctrl_fsm_s <= CMD16; + end if; + + + -- Wait for configuration start request --------------------------------- + when WAIT_START => + spi_cs_n_s <= '1'; + + -- detect rising edge of start_i + if start_i = '1' and start_q = '0' then + -- decide which mode is requested + if cmd_finished_s then + if mode_i = '0' then + ctrl_fsm_s <= CMD18; + else + ctrl_fsm_s <= WAIT_INIT_LOW; + end if; + else + en_outs_s <= false; + ctrl_fsm_s <= WAIT_START; + end if; + else + en_outs_s <= false; + ctrl_fsm_s <= WAIT_START; + end if; + + + -- Wait for INIT to become low ------------------------------------------ + when WAIT_INIT_LOW => + spi_cs_n_s <= '1'; + -- activate FPGA configuration + config_n_o <= '0'; + + if cfg_init_n_i = '0' then + ctrl_fsm_s <= WAIT_INIT_HIGH; + else + ctrl_fsm_s <= WAIT_INIT_LOW; + end if; + + + -- Wait for INIT to become high ----------------------------------------- + when WAIT_INIT_HIGH => + spi_cs_n_s <= '1'; + + if cfg_init_n_i = '1' and cmd_finished_s then + ctrl_fsm_s <= CMD18; + else + ctrl_fsm_s <= WAIT_INIT_HIGH; + end if; + + + -- Issue CMD18: READ_MULTIPLE_BLOCKS ------------------------------------ + when CMD18 => + if cmd_finished_s then + ctrl_fsm_s <= CMD18_DATA; + else + ctrl_fsm_s <= CMD18; + end if; + -- + -- receive a data block + when CMD18_DATA => + if cmd_finished_s then + ctrl_fsm_s <= CMD12; + else + ctrl_fsm_s <= CMD18_DATA; + end if; + + + -- Issued CMD12: STOP_TRANSMISSION -------------------------------------- + when CMD12 => + if cmd_finished_s then + ctrl_fsm_s <= INC_IMG_CNT; + else + ctrl_fsm_s <= CMD12; + end if; + + + -- Increment Image Counter ---------------------------------------------- + when INC_IMG_CNT => + spi_cs_n_s <= '1'; + ctrl_fsm_s <= WAIT_START; + cnt_en_img_s <= true; + + + + when others => + null; + + end case; + + -- mmc_compat_s is suppressed if MMC clock compatibility is not required + if mmc_compat_clk_div_g > 0 then + mmc_compat_s <= mmc_compat_v; + else + mmc_compat_s <= false; + end if; + + end process ctrl_fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process cmd_fsm + -- + -- Purpose: + -- Implements the command FSM. + -- + cmd_fsm: process (spi_clk_rising_q, + spi_data_in_i, + bit_cnt_q, + ctrl_fsm_q, + cmd_fsm_q, + send_cmd12_q) + + variable cnt_zero_v : boolean; + variable spi_data_low_v : boolean; + variable no_startbit_v : boolean; + + begin + -- default assignments + cmd_finished_s <= false; + cmd_fsm_s <= CMD; + res_bc_s <= NONE; + + cnt_zero_v := spi_clk_rising_q and bit_cnt_q = 0; + spi_data_low_v := spi_clk_rising_q and spi_data_in_i = '0'; + + -- these are no real commands thus there will be no startbit + case ctrl_fsm_q is + when POWER_UP1 | POWER_UP2 | + WAIT_START | WAIT_INIT_HIGH | WAIT_INIT_LOW => + no_startbit_v := true; + when others => + no_startbit_v := false; + end case; + + + case cmd_fsm_q is + -- Send the command ----------------------------------------------------- + when CMD => + if cnt_zero_v then + if ctrl_fsm_q /= CMD18_DATA then + -- normal commands including CMD12 require startbit of R1 response + cmd_fsm_s <= START; + else + if not send_cmd12_q then + -- CMD18_DATA needs to read CRC + cmd_fsm_s <= R1; + res_bc_s <= RES_15; + else + -- CMD18_DATA finished, scan for startbit of response + cmd_finished_s <= true; + cmd_fsm_s <= START; + end if; + end if; + else + cmd_fsm_s <= CMD; + end if; + + -- Wait for startbit of response ---------------------------------------- + when START => + -- startbit detection or skip of this check + if no_startbit_v and spi_clk_rising_q then + cmd_fsm_s <= R1; + res_bc_s <= RES_7; + elsif spi_data_low_v then + if ctrl_fsm_q /= CMD18_DATA then + cmd_fsm_s <= R1; + else + -- CMD18_DATA startbit detected, read payload + cmd_fsm_s <= CMD; + res_bc_s <= RES_MAX; + end if; + else + cmd_fsm_s <= START; + res_bc_s <= RES_7; + end if; + + -- Read R1 response ----------------------------------------------------- + when R1 => + if cnt_zero_v then + res_bc_s <= RES_7; + + if not (ctrl_fsm_q = CMD18 or ctrl_fsm_q = CMD18_DATA) then + cmd_fsm_s <= PAUSE; + else + -- CMD18 needs another startbit detection for the data token. + -- CMD18_DATA needs a startbit after having received the CRC, either + -- * next data token + -- * R1 response of CMD12 + cmd_fsm_s <= START; + + if ctrl_fsm_q = CMD18 then + -- CMD18 response received -> advance to CMD18_DATA + cmd_finished_s <= true; + end if; + end if; + else + cmd_fsm_s <= R1; + end if; + + -- PAUSE state -> required for Nrc, card response to host command ------- + when PAUSE => + if cnt_zero_v then + cmd_fsm_s <= CMD; + res_bc_s <= RES_47; + cmd_finished_s <= true; + else + cmd_fsm_s <= PAUSE; + end if; + + when others => + null; + + end case; + + end process cmd_fsm; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Process transmit + -- + -- Purpose: + -- Generates the serial data output values based on the current FSM state + -- + -- The local variable cmd_v is 64 bits wide in contrast to an SPI command + -- with 48 bits. There are two reasons for this: + -- * During "overlaid" sending of CMD12 in FSM state CMD18_DATA, the bit + -- counter will start from 3F on its lowest 6 bits. Therefore, it is + -- necessary to provide all 64 positions in cmd_v. + -- * Reduces logic. + -- + transmit: process (ctrl_fsm_q, + cmd_fsm_q, + bit_cnt_q, + img_cnt_s, + send_cmd12_q, + set_sel_i, + upper_bitcnt_zero_s) + + subtype cmd_r is natural range 47 downto 0; + subtype cmd_t is std_logic_vector(cmd_r); + subtype ext_cmd_t is std_logic_vector(63 downto 0); + -- STCCCCCCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcccccccS + constant cmd0_c : cmd_t := "010000000000000000000000000000000000000010010101"; + constant cmd1_c : cmd_t := "0100000100000000000000000000000000000000-------1"; + constant cmd12_c : cmd_t := "0100110000000000000000000000000000000000-------1"; + constant cmd16_c : cmd_t := "0101000000000000000000000000000000000000-------1"; + constant cmd18_c : cmd_t := "0101001000000000000000000000000000000000-------1"; + constant cmd55_c : cmd_t := "0111011100000000000000000000000000000000-------1"; + constant acmd41_c : cmd_t := "0110100100000000000000000000000000000000-------1"; + + variable cmd_v : ext_cmd_t; + variable tx_v : boolean; + + begin + -- default assignments + spi_dat_s <= '1'; + cmd_v := (others => '1'); + tx_v := false; + + if cmd_fsm_q = CMD then + case ctrl_fsm_q is + when CMD0 => + cmd_v(cmd_r) := cmd0_c; + tx_v := true; + when CMD1 => + cmd_v(cmd_r) := cmd1_c; + tx_v := true; + when CMD16 => + cmd_v(cmd_r) := cmd16_c; + cmd_v(8 + width_bit_cnt_g-3) := '1'; + tx_v := true; + when CMD18 => + cmd_v(cmd_r) := cmd18_c; + -- insert image counter + cmd_v(8 + num_bits_per_img_g + width_img_cnt_g + downto 8 + num_bits_per_img_g) := img_cnt_s; + -- insert set selection + cmd_v(8 + num_bits_per_img_g + width_img_cnt_g + width_set_sel_g-1 + downto 8 + num_bits_per_img_g + width_img_cnt_g) := set_sel_i; + tx_v := true; + when CMD18_DATA => + cmd_v(cmd_r) := cmd12_c; + + if send_cmd12_q and upper_bitcnt_zero_s then + tx_v := true; + end if; + when CMD55 => + cmd_v(cmd_r) := cmd55_c; + tx_v := true; + when ACMD41 => + cmd_v(cmd_r) := acmd41_c; + tx_v := true; + + when others => + null; + end case; + end if; + + if tx_v then + spi_dat_s <= cmd_v(to_integer(bit_cnt_q(5 downto 0))); + end if; + + end process transmit; + -- + ----------------------------------------------------------------------------- + + + ----------------------------------------------------------------------------- + -- Optional Image Counter + ----------------------------------------------------------------------------- + img_cnt: if width_img_cnt_g > 0 generate + img_cnt_b : spi_counter + generic map ( + cnt_width_g => width_img_cnt_g, + cnt_max_g => 2**width_img_cnt_g - 1 + ) + port map ( + clk_i => clk_i, + reset_i => reset_s, + cnt_en_i => cnt_en_img_s, + cnt_o => img_cnt_s(width_img_cnt_g-1 downto 0), + cnt_ovfl_o => open + ); + img_cnt_s(width_img_cnt_g) <= '0'; + end generate; + + no_img_cnt: if width_img_cnt_g = 0 generate + img_cnt_s <= (others => '0'); + end generate; + + + ----------------------------------------------------------------------------- + -- Optional MMC compatibility counter + ----------------------------------------------------------------------------- + mmc_cnt: if mmc_compat_clk_div_g > 0 generate + mmc_cnt_b : spi_counter + generic map ( + cnt_width_g => width_mmc_clk_div_g, + cnt_max_g => mmc_compat_clk_div_g + ) + port map ( + clk_i => clk_i, + reset_i => reset_s, + cnt_en_i => true_s, + cnt_o => open, + cnt_ovfl_o => mmc_cnt_ovfl_s + ); + end generate; + + no_mmc_cnt: if mmc_compat_clk_div_g = 0 generate + mmc_cnt_ovfl_s <= true; + end generate; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + spi_clk_o <= spi_clk_q; + spi_cs_n_o <= spi_cs_n_q; + spi_data_out_o <= spi_dat_q; + spi_en_outs_o <= '1' + when en_outs_q else + '0'; + cfg_clk_o <= cfg_clk_q; + cfg_dat_o <= cfg_dat_q; + detached_o <= '0' + when en_outs_q else + '1'; + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: spi_boot.vhd,v $ +-- Revision 1.9 2007/02/25 18:24:12 arniml +-- fix type handling of resets +-- +-- Revision 1.8 2006/09/11 23:03:36 arniml +-- disable outputs with reset +-- +-- Revision 1.7 2005/04/07 20:44:23 arniml +-- add new port detached_o +-- +-- Revision 1.6 2005/03/09 19:48:34 arniml +-- invert level of set_sel input +-- +-- Revision 1.5 2005/03/08 22:07:12 arniml +-- added set selection +-- +-- Revision 1.4 2005/02/18 06:42:08 arniml +-- clarify wording for images +-- +-- Revision 1.3 2005/02/16 18:59:10 arniml +-- include output enable control for SPI outputs +-- +-- Revision 1.2 2005/02/13 17:25:51 arniml +-- major update to fix several problems +-- configuration/data download of multiple sets works now +-- +-- Revision 1.1 2005/02/08 20:41:33 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd new file mode 100644 index 00000000..ac8b544f --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd @@ -0,0 +1,54 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: spi_boot_pack-p.vhd,v 1.1 2005/02/08 20:41:33 arniml Exp $ +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +package spi_boot_pack is + + function "=" (a : std_logic; b : integer) return boolean; + +end spi_boot_pack; + +package body spi_boot_pack is + + function "=" (a : std_logic; b : integer) return boolean is + variable result_v : boolean; + begin + result_v := false; + + case a is + when '0' => + if b = 0 then + result_v := true; + end if; + + when '1' => + if b = 1 then + result_v := true; + end if; + + when others => + null; + + end case; + + return result_v; + end; + +end spi_boot_pack; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: spi_boot_pack-p.vhd,v $ +-- Revision 1.1 2005/02/08 20:41:33 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd new file mode 100644 index 00000000..d81e20db --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd @@ -0,0 +1,14 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- +-- $Id: spi_counter-c.vhd,v 1.1 2005/02/08 20:41:33 arniml Exp $ +-- +------------------------------------------------------------------------------- + +configuration spi_counter_rtl_c0 of spi_counter is + + for rtl + end for; + +end spi_counter_rtl_c0; diff --git a/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd new file mode 100644 index 00000000..8ec7357e --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd @@ -0,0 +1,118 @@ +------------------------------------------------------------------------------- +-- +-- SD/MMC Bootloader +-- Generic counter module +-- +-- $Id: spi_counter.vhd,v 1.2 2007/02/25 18:24:12 arniml Exp $ +-- +-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +-- +-- All rights reserved, see COPYING. +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/projects.cgi/web/spi_boot/overview +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + + +entity spi_counter is + + generic ( + cnt_width_g : integer := 4; + cnt_max_g : integer := 15 + ); + + port ( + clk_i : in std_logic; + reset_i : in boolean; + cnt_en_i : in boolean; + cnt_o : out std_logic_vector(cnt_width_g-1 downto 0); + cnt_ovfl_o : out boolean + ); + +end spi_counter; + + +library ieee; +use ieee.numeric_std.all; +use work.spi_boot_pack.all; + +architecture rtl of spi_counter is + + signal cnt_q : unsigned(cnt_width_g-1 downto 0); + signal cnt_ovfl_s : boolean; + +begin + + cnt: process (clk_i, reset_i) + begin + if reset_i then + cnt_q <= (others => '0'); + + elsif clk_i'event and clk_i = '1' then + if cnt_en_i then + if not cnt_ovfl_s then + cnt_q <= cnt_q + 1; + else + cnt_q <= (others => '0'); + end if; + end if; + end if; + end process cnt; + + cnt_ovfl_s <= cnt_q = cnt_max_g; + + + ----------------------------------------------------------------------------- + -- Output Mapping + ----------------------------------------------------------------------------- + cnt_ovfl_o <= cnt_ovfl_s; + cnt_o <= std_logic_vector(cnt_q); + +end rtl; + + +------------------------------------------------------------------------------- +-- File History: +-- +-- $Log: spi_counter.vhd,v $ +-- Revision 1.2 2007/02/25 18:24:12 arniml +-- fix type handling of resets +-- +-- Revision 1.1 2005/02/08 20:41:33 arniml +-- initial check-in +-- +------------------------------------------------------------------------------- diff --git a/usrp2/fpga/opencores/spi_boot/sim/CVS/Entries b/usrp2/fpga/opencores/spi_boot/sim/CVS/Entries new file mode 100644 index 00000000..9487498a --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/CVS/Entries @@ -0,0 +1 @@ +D/rtl_sim//// diff --git a/usrp2/fpga/opencores/spi_boot/sim/CVS/Repository b/usrp2/fpga/opencores/spi_boot/sim/CVS/Repository new file mode 100644 index 00000000..4e2e0974 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/CVS/Repository @@ -0,0 +1 @@ +spi_boot/sim diff --git a/usrp2/fpga/opencores/spi_boot/sim/CVS/Root b/usrp2/fpga/opencores/spi_boot/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/sim/CVS/Template b/usrp2/fpga/opencores/spi_boot/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries new file mode 100644 index 00000000..e3d0dc14 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries @@ -0,0 +1,2 @@ +/Makefile/1.2/Sun Apr 10 18:14:19 2005// +D diff --git a/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository new file mode 100644 index 00000000..114ab862 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository @@ -0,0 +1 @@ +spi_boot/sim/rtl_sim diff --git a/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Template b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile new file mode 100644 index 00000000..46fb3c63 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile @@ -0,0 +1,159 @@ +############################################################################## +# +# Makefile for the spi_boot project. +# +# The dependencies for all VHDL source files are stored here. +# +# Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org) +# +# All rights reserved +# +############################################################################## + + +PROJECT_DIR = ../.. +RTL_DIR = $(PROJECT_DIR)/rtl/vhdl +BENCH_DIR = $(PROJECT_DIR)/bench/vhdl + + + +ANALYZE=ghdl -a --std=87 --workdir=work +ELABORATE=ghdl -e --std=87 --workdir=work + +.PHONY: all +all: work elaborate + +work: + mkdir work + +work/spi_boot_pack-p.o: $(RTL_DIR)/spi_boot_pack-p.vhd + $(ANALYZE) $(RTL_DIR)/spi_boot_pack-p.vhd + +work/spi_counter.o: $(RTL_DIR)/spi_counter.vhd \ + work/spi_boot_pack-p.o + $(ANALYZE) $(RTL_DIR)/spi_counter.vhd +work/spi_counter-c.o: $(RTL_DIR)/spi_counter-c.vhd \ + work/spi_counter.o + $(ANALYZE) $(RTL_DIR)/spi_counter-c.vhd + +work/spi_boot.o: $(RTL_DIR)/spi_boot.vhd \ + work/spi_boot_pack-p.o + $(ANALYZE) $(RTL_DIR)/spi_boot.vhd +work/spi_boot-c.o: $(RTL_DIR)/spi_boot-c.vhd \ + work/spi_boot.o \ + work/spi_counter-c.o + $(ANALYZE) $(RTL_DIR)/spi_boot-c.vhd + +work/chip-e.o: $(RTL_DIR)/chip-e.vhd + $(ANALYZE) $(RTL_DIR)/chip-e.vhd + +work/chip-full-a.o: $(RTL_DIR)/chip-full-a.vhd \ + work/chip-e.o + $(ANALYZE) $(RTL_DIR)/chip-full-a.vhd +work/chip-full-c.o: $(RTL_DIR)/chip-full-c.vhd \ + work/chip-full-a.o \ + work/spi_boot-c.o + $(ANALYZE) $(RTL_DIR)/chip-full-c.vhd + +work/chip-mmc-a.o: $(RTL_DIR)/chip-mmc-a.vhd \ + work/chip-e.o + $(ANALYZE) $(RTL_DIR)/chip-mmc-a.vhd +work/chip-mmc-c.o: $(RTL_DIR)/chip-mmc-c.vhd \ + work/chip-mmc-a.o \ + work/spi_boot-c.o + $(ANALYZE) $(RTL_DIR)/chip-mmc-c.vhd + +work/chip-sd-a.o: $(RTL_DIR)/chip-sd-a.vhd \ + work/chip-e.o + $(ANALYZE) $(RTL_DIR)/chip-sd-a.vhd +work/chip-sd-c.o: $(RTL_DIR)/chip-sd-c.vhd \ + work/chip-sd-a.o \ + work/spi_boot-c.o + $(ANALYZE) $(RTL_DIR)/chip-sd-c.vhd + +work/chip-minimal-a.o: $(RTL_DIR)/chip-minimal-a.vhd \ + work/chip-e.o + $(ANALYZE) $(RTL_DIR)/chip-minimal-a.vhd +work/chip-minimal-c.o: $(RTL_DIR)/chip-minimal-c.vhd \ + work/chip-minimal-a.o \ + work/spi_boot-c.o + $(ANALYZE) $(RTL_DIR)/chip-minimal-c.vhd + +work/ram_loader.o: $(RTL_DIR)/sample/ram_loader.vhd + $(ANALYZE) $(RTL_DIR)/sample/ram_loader.vhd +work/ram_loader-c.o: $(RTL_DIR)/sample/ram_loader-c.vhd \ + work/ram_loader.o + $(ANALYZE) $(RTL_DIR)/sample/ram_loader-c.vhd + +work/tb_pack-p.o: $(BENCH_DIR)/tb_pack-p.vhd + $(ANALYZE) $(BENCH_DIR)/tb_pack-p.vhd + +work/card.o: $(BENCH_DIR)/card.vhd \ + work/tb_pack-p.o + $(ANALYZE) $(BENCH_DIR)/card.vhd +work/card-c.o: $(BENCH_DIR)/card-c.vhd \ + work/card.o + $(ANALYZE) $(BENCH_DIR)/card-c.vhd + +work/tb_elem.o: $(BENCH_DIR)/tb_elem.vhd \ + work/spi_boot_pack-p.o \ + work/tb_pack-p.o + $(ANALYZE) $(BENCH_DIR)/tb_elem.vhd +work/tb_elem-full-c.o: $(BENCH_DIR)/tb_elem-full-c.vhd \ + work/tb_elem.o \ + work/chip-full-c.o \ + work/card-c.o + $(ANALYZE) $(BENCH_DIR)/tb_elem-full-c.vhd +work/tb_elem-mmc-c.o: $(BENCH_DIR)/tb_elem-mmc-c.vhd \ + work/tb_elem.o \ + work/chip-mmc-c.o \ + work/card-c.o + $(ANALYZE) $(BENCH_DIR)/tb_elem-mmc-c.vhd +work/tb_elem-sd-c.o: $(BENCH_DIR)/tb_elem-sd-c.vhd \ + work/tb_elem.o \ + work/chip-sd-c.o \ + work/card-c.o + $(ANALYZE) $(BENCH_DIR)/tb_elem-sd-c.vhd +work/tb_elem-minimal-c.o: $(BENCH_DIR)/tb_elem-minimal-c.vhd \ + work/tb_elem.o \ + work/chip-minimal-c.o \ + work/card-c.o + $(ANALYZE) $(BENCH_DIR)/tb_elem-minimal-c.vhd + +work/tb.o: $(BENCH_DIR)/tb.vhd + $(ANALYZE) $(BENCH_DIR)/tb.vhd +work/tb-c.o: $(BENCH_DIR)/tb-c.vhd \ + work/tb.o \ + work/tb_elem-full-c.o \ + work/tb_elem-mmc-c.o \ + work/tb_elem-sd-c.o \ + work/tb_elem-minimal-c.o + $(ANALYZE) $(BENCH_DIR)/tb-c.vhd + +work/tb_rl.o: $(BENCH_DIR)/tb_rl.vhd + $(ANALYZE) $(BENCH_DIR)/tb_rl.vhd +work/tb_rl-c.o: $(BENCH_DIR)/tb_rl-c.vhd \ + work/tb_rl.o \ + work/chip-full-c.o \ + work/card-c.o \ + work/ram_loader-c.o + $(ANALYZE) $(BENCH_DIR)/tb_rl-c.vhd + + +.PHONY: elaborate +elaborate: tb_behav_c0 tb_rl_behav_c0 + +tb_behav_c0: work/tb-c.o + $(ELABORATE) tb_behav_c0; \ + strip tb_behav_c0 + +tb_rl_behav_c0: work/tb_rl-c.o + $(ELABORATE) tb_rl_behav_c0; \ + strip tb_rl_behav_c0 + +.PHONY: analyze +analyze: work/tb-c.o work/tb_rl-c.o + +.PHONY: clean +clean: + rm -rf work tb_behav_c0 tb_rl_behav_c0 *~ diff --git a/usrp2/fpga/opencores/spi_boot/sw/CVS/Entries b/usrp2/fpga/opencores/spi_boot/sw/CVS/Entries new file mode 100644 index 00000000..0f2bd88d --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/CVS/Entries @@ -0,0 +1 @@ +D/misc//// diff --git a/usrp2/fpga/opencores/spi_boot/sw/CVS/Repository b/usrp2/fpga/opencores/spi_boot/sw/CVS/Repository new file mode 100644 index 00000000..98d181ec --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/CVS/Repository @@ -0,0 +1 @@ +spi_boot/sw diff --git a/usrp2/fpga/opencores/spi_boot/sw/CVS/Root b/usrp2/fpga/opencores/spi_boot/sw/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/sw/CVS/Template b/usrp2/fpga/opencores/spi_boot/sw/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries new file mode 100644 index 00000000..e46425fd --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries @@ -0,0 +1,2 @@ +/bit_reverse.c/1.1/Sun May 21 11:58:00 2006/-ko/ +D diff --git a/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository new file mode 100644 index 00000000..0519f4b5 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository @@ -0,0 +1 @@ +spi_boot/sw/misc diff --git a/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Template b/usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c b/usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c new file mode 100644 index 00000000..9defb106 --- /dev/null +++ b/usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c @@ -0,0 +1,74 @@ +// Altera requires configuration bytes to be sent LSB first but the +// SD Card reads bytes MSB first +// This code reverses the bits of the altera bitstream so +// it will come out correct when read from the SD card +// $Log: bit_reverse.c,v $ +// Revision 1.1 2006/01/06 14:44:17 mbl +// initial version +// + + + +#include "stdio.h" +#include "string.h" + +FILE* fileOut; +FILE* fileIn; + +void outIOerror(char* pfn); +void inIOerror(char* pfn); + +int main(int argc, char* arg[]) +{ + unsigned char input, output; + unsigned char in_mask, out_mask; + int i; + + fileOut = fopen(arg[2],"wb"); + if (fileOut == NULL) + { + outIOerror(arg[2]); + exit(-1); + } + + printf("Opening input file %s\n", arg[1]); + fileIn = fopen(arg[1],"rb"); + if (fileIn == NULL) + { + inIOerror(arg[1]); + exit(-1); + } + + while (!feof(fileIn) && fgets((char*)&input, 2 ,fileIn) != NULL) + { + in_mask = 1; + out_mask = 0x80; + output = 0; + + for ( i=0; i < 8; ++i ) + { + if (input & in_mask) + { + output |= out_mask; + } + out_mask = out_mask >> 1; + in_mask = in_mask << 1; + } + fwrite((void*)&output,sizeof(char),1,fileOut); + } + + fclose(fileIn); + fclose(fileOut); + printf("\n%s has been created\n", arg[2]); + exit(0); +} + +void outIOerror(char *pfn) +{ + printf("I/O Error while writing to file=%s\n",pfn); +} + +void inIOerror(char *pfn) +{ + printf("I/O Error while reading file=%s\n",pfn); +} diff --git a/usrp2/fpga/opencores/uart16550/CVS/Entries b/usrp2/fpga/opencores/uart16550/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/CVS/Entries.Log new file mode 100644 index 00000000..6b9716ed --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/CVS/Entries.Log @@ -0,0 +1,9 @@ +A D/Doc//// +A D/bench//// +A D/doc//// +A D/fv//// +A D/lint//// +A D/rtl//// +A D/sim//// +A D/syn//// +A D/verilog//// diff --git a/usrp2/fpga/opencores/uart16550/CVS/Repository b/usrp2/fpga/opencores/uart16550/CVS/Repository new file mode 100644 index 00000000..a210d158 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/CVS/Repository @@ -0,0 +1 @@ +uart16550 diff --git a/usrp2/fpga/opencores/uart16550/CVS/Root b/usrp2/fpga/opencores/uart16550/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/CVS/Template b/usrp2/fpga/opencores/uart16550/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/bench/CVS/Entries b/usrp2/fpga/opencores/uart16550/bench/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log new file mode 100644 index 00000000..7bac3d43 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log @@ -0,0 +1,2 @@ +A D/verilog//// +A D/vhdl//// diff --git a/usrp2/fpga/opencores/uart16550/bench/CVS/Repository b/usrp2/fpga/opencores/uart16550/bench/CVS/Repository new file mode 100644 index 00000000..21bbf05f --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/CVS/Repository @@ -0,0 +1 @@ +uart16550/bench diff --git a/usrp2/fpga/opencores/uart16550/bench/CVS/Root b/usrp2/fpga/opencores/uart16550/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/bench/CVS/Template b/usrp2/fpga/opencores/uart16550/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries new file mode 100644 index 00000000..5d793ffe --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries @@ -0,0 +1,14 @@ +/readme.txt/1.1/Fri Jan 25 08:54:56 2002// +/uart_device.v/1.1/Sat Mar 27 03:55:16 2004// +/uart_device_utilities.v/1.1/Sat Mar 27 03:55:16 2004// +/uart_log.v/1.1/Sat Mar 27 03:55:16 2004// +/uart_test.v/1.6/Sat Mar 27 03:55:17 2004/-kb/ +/uart_testbench.v/1.1/Sat Mar 27 03:55:17 2004// +/uart_testbench_defines.v/1.1/Sat Mar 27 03:55:17 2004// +/uart_testbench_utilities.v/1.1/Sat Mar 27 03:55:17 2004// +/uart_wb_utilities.v/1.1/Sat Mar 27 03:55:17 2004// +/vapi.log/1.1/Fri Jan 25 08:54:56 2002// +/wb_mast.v/1.1/Mon Dec 3 21:44:23 2001// +/wb_master_model.v/1.1/Sat Mar 27 03:55:17 2004// +/wb_model_defines.v/1.1/Sat Mar 27 03:55:17 2004// +D diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log new file mode 100644 index 00000000..39baa300 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log @@ -0,0 +1 @@ +A D/test_cases//// diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository new file mode 100644 index 00000000..1d1adf3d --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository @@ -0,0 +1 @@ +uart16550/bench/verilog diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Template b/usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt b/usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt new file mode 100644 index 00000000..4eff5571 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt @@ -0,0 +1,114 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// readme.txt //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Device interface for testing purposes //// +//// //// +//// Known problems (limits): //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created and updated: (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: readme.txt,v $ +// Revision 1.1 2002/01/25 08:54:56 mohor +// UART PHY added. Files are fully operational, working on HW. +// +// +// +// +// + + +Following files are making an UART16550 PHY and are used for testing: + +uart_device_if_defines.v - defines related to PHY +uart_device_if_memory.v - Module for initializing PHY (reading commands from vapi.log file) +uart_device_if.v - Uart PHY with additional feature for testing +vapi.log - File with commands (expected data, data to be send, etc.) +readme.txt - This file + + + + +OPERATION: +uart_device_if.v is a uart PHY and connects to the uart_top.v. PHY takes commands from vapi.log +file. Depending on command it can: +- set a mode (5, 6, 7, 8-bit, parity, stop bits, etc.) +- set a frequency divider (dll) +- send a character +- receive a character and compare it to the expected one +- send a glitch (after a certain period of time) +- send a break +- detect a break +- Check if fifo is empty/not empty (and generate an error if expected value differs from actual) +- delay (does nothing for certain number of characters) + +On the other side of uart some kind of host must be connected that controls the phy. + +This is the structure: + + + |||||||||||||| |||||||||||||||| |||||||||||||||| + | | | | | | + | Host | <----------> | UART | <----------> | PHY | + | | | | | | + |||||||||||||| |||||||||||||||| |||||||||||||||| + + + PHY must know how host sets th UART and work in the same mode. Besides that it must know what + host is sending or expecting to receive. Operation of the PHY must be written in the vapi.log + file. + + When I was using this testing environment, I used OpenRISC1200 as a host. Everything is fully + operational. UART was also tested in hardware (on two different boards), running uCLinux in + both, interrupt and polling mode. + + \ No newline at end of file diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries new file mode 100644 index 00000000..cb555d8d --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries @@ -0,0 +1,2 @@ +/uart_int.v/1.1/Sat Mar 27 04:04:57 2004// +D diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository new file mode 100644 index 00000000..56b75644 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository @@ -0,0 +1 @@ +uart16550/bench/verilog/test_cases diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Template b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v new file mode 100755 index 00000000..21ff66d2 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v @@ -0,0 +1,279 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_int.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_int.v,v $ +// Revision 1.1 2004/03/27 04:04:57 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_defines.v" +`include "uart_testbench_defines.v" +`include "timescale.v" + +module testcase; + + +// Testcase INDEPENDENT code - common to all testcases +//##################################################### + + // Variables + // Testbench reporting events & signals + event severe_err_event; + event err_event; + event wrn_event; + event msg_event; + event val_event; + event testbench_log_written; + reg [7999: 0] tim; + reg [7999: 0] severe_err_msg; + reg [7999: 0] msg; + integer val; + // Testcase reporting events & signals + event testcase_log_written; + event test_end; + reg [1599: 0] test_name; + reg error_detected; + + // Module for writing to log files + uart_log log (); + defparam log.testcase_name = "uart_interrupts"; + + // Log + initial + fork + begin: init_log + reg ok; + // Delay allows all other blocks in this fork - join block to execute + #1; + log.start_log(ok); + if (ok !== 1'b1) + begin + `SEVERE_ERROR("Failed to open log file(s)!"); + disable init_log; + end + testcase_init; + testcase_test; + log.end_log; + # 100; + $finish; + end + begin + forever + begin + @(test_name); + error_detected = 1'b0; + log.report_test_name(test_name); + log.verbose_test_name(test_name); + -> testcase_log_written; + end + end + begin + forever + begin + @(test_end); + if (error_detected) + begin + log.tests_failed = log.tests_failed + 1'b1; + end + else + begin + log.tests_ok = log.tests_ok + 1'b1; + log.report_test_ok; + end + -> testcase_log_written; + end + end + begin + @(severe_err_event); + error_detected = 1'b1; + -> test_end; + @(testcase_log_written); + log.report_test_failed(severe_err_msg); + if (testcase.log.free == 0) + begin + wait (testcase.log.free); + severe_err_msg = "Failed to write to log file(s)!"; + end + log.verbose_severe_err(tim, severe_err_msg); + -> testbench_log_written; + # 100; $finish; + end + begin + forever + begin + @(err_event); + error_detected = 1'b1; + -> test_end; + @(testcase_log_written); + log.report_test_failed(msg); + if (testcase.log.free == 0) + begin + `SEVERE_ERROR("Failed to write to log file(s)!"); + end + log.verbose_err(tim, msg); + -> testbench_log_written; + `PROMPT; + end + end + begin + forever + begin + @(wrn_event); + if (testcase.log.free == 0) + begin + `SEVERE_ERROR("Failed to write to log file(s)!"); + end + log.verbose_wrn(tim, msg); + -> testbench_log_written; + end + end + begin + forever + begin + @(msg_event); + if (testcase.log.free == 0) + begin + `SEVERE_ERROR("Failed to write to log file(s)!"); + end + log.verbose_msg(tim, msg); + -> testbench_log_written; + end + end + begin + forever + begin + @(val_event); + if (testcase.log.free == 0) + begin + `SEVERE_ERROR("Failed to write to log file(s)!"); + end + log.verbose_val(tim, msg, val); + -> testbench_log_written; + end + end + join + + +// Testcase (DEPENDENT) code +//########################### + + // Initialization + task testcase_init; + begin:init + test_name = "Initialization of UART."; + @(testcase_log_written); + // + testbench_utilities.do_reset; + testbench_utilities.disable_clk_generators(1, 1, 1, 1); + testbench_utilities.set_device_tx_rx_clk_divisor(32'h1000); + testbench_utilities.set_wb_clock_period(100); + testbench_utilities.enable_clk_generators(1, 1, 1, 1); + #100; + testbench_utilities.release_reset; + // + uart_wb_utilities.write_dlr(16'h1000); + uart_wb_utilities.write_ier(8'h07); + uart_wb_utilities.write_fcr(8'hC0); + uart_wb_utilities.write_lcr(8'h03); + // + uart_device_utilities.set_rx_length(8); + uart_device_utilities.disable_rx_parity; + uart_device_utilities.set_rx_second_stop_bit(0); + // + uart_device_utilities.set_tx_length(8); + uart_device_utilities.disable_tx_parity; + uart_device_utilities.correct_tx_parity; + uart_device_utilities.correct_tx_frame; + uart_device_utilities.generate_tx_glitch(0); + + -> test_end; + @(testcase_log_written); + end + endtask // testcase_init + + // Testcase + task testcase_test; + begin:test + test_name = "Interrupt test."; + @(testcase_log_written); + fork + begin: test + uart_wb_utilities.write_char(8'hAA); + @(testbench.int_aserted); + `TC_MSG("INT ASSERTED!"); + uart_wb_utilities.write_char(8'hAA); + @(testbench.int_released); + `TC_MSG("INT RELEASED!"); + @(testbench.int_aserted); + `TC_MSG("INT ASSERTED!"); + uart_wb_utilities.read_iir; + @(testbench.int_released); + `TC_MSG("INT RELEASED!"); + end + begin: wait_end + @(testbench.i_uart_device.device_received_packet); + @(testbench.i_uart_device.device_received_packet); + repeat(2) @(testbench.i_uart_device.rx_clk); + disable test; + disable wait_clk; + end + begin: wait_clk + testbench_utilities.wait_for_num_of_wb_clk(32'h450000); + disable test; + disable wait_end; + end + join + repeat (4) @(posedge testbench.wb_clk); + # 100; + + -> test_end; + @(testcase_log_written); + end + endtask // testcase_test + + +endmodule + diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v new file mode 100644 index 00000000..01e971fb --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v @@ -0,0 +1,717 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_device.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// - igorm@opencores.org (Igor Mohor) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_device.v,v $ +// Revision 1.1 2004/03/27 03:55:16 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_testbench_defines.v" +`include "timescale.v" + +module uart_device +( + // UART signals + stx_i, + srx_o, + // Modem signals + rts_i, + cts_o, + dtr_i, + dsr_o, + ri_o, + dcd_o +); + + +// IN/OUT signals +//############### + + // UART signals + input stx_i; + output srx_o; + // Modem signals + input rts_i; + output cts_o; + input dtr_i; + output dsr_o; + output ri_o; + output dcd_o; + + +// INTERNAL signals +//################# + + + // Clock generation signals + //######################### + + // Operational and transmission clock signals + reg rx_clk; // RX device clock with period T_clk_period (should be equal to wb_clk_period) + reg tx_clk; // TX device clock with period (T_clk_period + T_clk_delay) + reg tx_clk_divided; // divided TX device clock with period ((T_clk_period + T_clk_delay) * T_divisor * 16) + // Clock enable signals + reg rx_clk_en = 1'b1; + reg tx_clk_en = 1'b1; + reg tx_clk_divided_en = 1'b1; + // Clock period variables + real T_clk_period = 20; + real T_clk_delay = 0; + integer T_divisor = 5; + + + // IN/OUT assignment signals + //########################## + + // Modem signals + wire rts; + wire dtr; + + + // UART receiver signals + //###################### + + // RX packet control signals + wire rx; + reg [3:0] rx_length; + reg rx_odd_parity; + reg rx_even_parity; + reg rx_stick1_parity; + reg rx_stick0_parity; + reg rx_parity_enabled; + reg rx_stop_bit_1; + reg rx_stop_bit_1_5; + reg rx_stop_bit_2; + // RX logic signals + wire [3:0] rx_total_length; + wire [5:0] rx_break_detection_length; + reg rx_packet_end; + reg rx_packet_end_q; + reg rx_clk_cnt_en; + reg [31:0] rx_clk_cnt; + reg rx_sample_clock; + integer rx_bit_index; + integer rx_stop_bit_index; + reg [7:0] rx_data; + reg [1:0] rx_stop; + reg rx_framing_error; + reg rx_parity; + reg rx_parity_error; + reg rx_break_detected; + reg rx_break_detected_q; + reg [31:0] rx_break_cnt; + // RX events + event device_received_packet; + event device_received_last_bit; + event device_received_stop_bit; + event device_detected_rx_break; + + + // UART transmitter signals + //######################### + + // TX packet control signals + reg tx; + reg [3:0] tx_length; + reg tx_odd_parity; + reg tx_even_parity; + reg tx_stick1_parity; + reg tx_stick0_parity; + reg tx_parity_enabled; + reg tx_parity_wrong; + reg tx_framing_wrong; + // TX logic signals + reg [23:0] tx_glitch_num; + reg start_tx_glitch_cnt; + reg [31:0] tx_glitch_cnt; + reg tx_glitch; + reg tx_break_enable; + reg [15:0] tx_break_num; + reg start_tx_break_cnt; + reg [31:0] tx_break_cnt; + reg tx_break; + // TX test signals + reg [7:0] sent_data; + reg tx_accept_next_framing_err; + reg tx_framing_err; + reg tx_framing_glitch_err; + // TX events + event device_sent_packet; + event sent_packet_received; + + +// Clock generation +//################# + + // Example of TESTBENCH's task for setting UART clock period: + // ---------------- + // task set_uart_clk_period; + // input [31:0] clk_period; + // begin + // //@(posedge testbench.uart_device.clk); + // testbench.uart_device.T_clk_period = clk_period; + // end + // endtask // set_uart_clk_period + // ---------------- + // Example of TESTBENCH's task for setting UART clock rising edge + // delayed for time_delay_i after WB clock rising edge: + // ---------------- + // task uart_clk_follows_wb_clk; + // input [31:0] time_delay_i; + // integer time_delay; + // begin + // time_delay = time_delay_i; + // @(posedge testbench.uart_device.clk); + // testbench.uart_device.clk_en = 1'b0; + // @(posedge wb_clk); + // #time_delay testbench.uart_device.clk = 1'b1; + // testbench.uart_device.clk_en = 1'b1; + // end + // endtask // uart_clk_follows_wb_clk + // ---------------- + + // rx_clk rising edge + always@(posedge rx_clk) + if (rx_clk_en) + #(T_clk_period / 2) rx_clk = 1'b0; + // rx_clk falling edge + always@(negedge rx_clk) + if (rx_clk_en) + #(T_clk_period / 2) rx_clk = 1'b1; + + // tx_clk rising edge + always@(posedge tx_clk) + if (tx_clk_en) + #((T_clk_period + T_clk_delay) / 2) tx_clk = 1'b0; + // tx_clk falling edge + always@(negedge tx_clk) + if (tx_clk_en) + #((T_clk_period + T_clk_delay) / 2) tx_clk = 1'b1; + + // tx_clk_divided rising edge + always@(posedge tx_clk_divided) + if (tx_clk_divided_en) + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) tx_clk_divided = 1'b0; + // tx_clk_divided falling edge + always@(negedge tx_clk_divided) + if (tx_clk_divided_en) + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) tx_clk_divided = 1'b1; + + // Inital CLK values + initial + begin:device + rx_clk = 1'b0; + tx_clk = 1'b0; + tx_clk_divided = 1'b0; + end + + +// IN/OUT assignments +//################### + + // UART output + assign srx_o = (tx ^ tx_glitch) & ~tx_break; + // Modem output + assign cts_o = 0; + assign dsr_o = 0; + assign ri_o = 0; + assign dcd_o = 0; + // UART input + assign rx = stx_i; + // Modem input + assign rts = rts_i; + assign dtr = dtr_i; + + +// UART receiver +//############## + + // Initial values for RX + initial + begin + // Default LENGTH + rx_length = 8; + // Default PARITY + rx_odd_parity = 1'b0; + rx_even_parity = 1'b0; + rx_stick1_parity = 1'b0; + rx_stick0_parity = 1'b0; + rx_parity_enabled = 1'b0; + // Default STOP + rx_stop_bit_1 = 1'b1; + rx_stop_bit_1_5 = 1'b0; + rx_stop_bit_2 = 1'b0; + end + + // Total length of RX packet (for proper generation of the rx_packet_end signal): + // data length + parity + 1 stop bit + second stop bit (when enabled) + assign rx_total_length = rx_length + rx_parity_enabled + 1 + rx_stop_bit_2; + // +1 is used because start bit was not included in rx_total_length. + assign rx_break_detection_length = rx_total_length + 1; + + // Generating rx_clk_cnt_en signal. + always@(posedge rx_clk) + begin + if (~rx_clk_cnt_en) + begin + wait (~rx); + end + rx_clk_cnt_en = 1; + rx_packet_end = 0; + wait (rx_packet_end); + rx_clk_cnt_en = 0; + wait (rx); // Must be high to continue, because of break condition + end + + // Counter used in data reception + always@(posedge rx_clk) + begin + if (rx_clk_cnt_en) + begin + if (rx_clk_cnt == (8 * T_divisor - 1) & rx) // False start bit detection + rx_packet_end = 1; + if (rx_clk_cnt_en) // Checking is still enabled after devisor clocks + rx_clk_cnt <= #1 rx_clk_cnt + 1; + else + rx_clk_cnt <= #1 0; + end + else + rx_clk_cnt <= #1 0; + end + + // Delayed rx_packet_end signal + always@(posedge rx_clk) + rx_packet_end_q = rx_packet_end; + + // Generating sample clock and end of the frame (Received data is sampled with this clock) + always@(posedge rx_clk) + begin + if (rx_clk_cnt == 8 * T_divisor - 1) + rx_bit_index = 0; + else if (rx_clk_cnt == (8 * T_divisor + 16 * T_divisor * (rx_bit_index + 1) - 1)) + begin + rx_sample_clock = 1; + rx_bit_index = rx_bit_index + 1; + if (rx_bit_index == rx_total_length) + rx_packet_end = 1; + end + else + rx_sample_clock = 0; + end + + // Sampling data (received data) + always@(posedge rx_clk) + begin + if (rx_sample_clock) + begin + if (rx_bit_index <= rx_length) // Sampling data + begin + rx_stop_bit_index <= 0; // Stop bit index reset at the beginning of the data stage +// $display("\t\t\t\t\t\t\t(rx_bit_index = %0d) Reading data bits = %0x", rx_bit_index, rx); + rx_data[rx_bit_index - 1] = rx; + if (rx_bit_index == rx_length) + -> device_received_last_bit; + end + else + begin + if (rx_bit_index == (rx_length + 1)) + begin + if (rx_parity_enabled) + begin +// $display("\t\t\t\t\t\t\t(rx_bit_index = %0d) Reading parity bits = %0x", rx_bit_index, rx); + end + else + begin + -> device_received_stop_bit; + rx_stop[rx_stop_bit_index] = rx; + rx_stop_bit_index <= rx_stop_bit_index + 1; + end + rx_parity = rx & rx_parity_enabled; + end + if (rx_bit_index >= (rx_length + 1 + rx_parity_enabled)) + begin +// $display("\t\t\t\t\t\t\t(rx_bit_index = %0d) Reading stop bits = %0x", rx_bit_index, rx); + rx_stop[rx_stop_bit_index] = rx; + rx_stop_bit_index <= rx_stop_bit_index + 1; + end + end + end + + // Filling the rest of the data with 0 + if (rx_length == 5) + rx_data[7:5] = 0; + if (rx_length == 6) + rx_data[7:6] = 0; + if (rx_length == 7) + rx_data[7] = 0; + + // Framing error generation + // When 1 or 1.5 stop bits are used, only first stop bit is checked + rx_framing_error = (rx_stop_bit_1 | rx_stop_bit_1_5) ? ~rx_stop[0] : ~(&rx_stop[1:0]); + + // Parity error generation + if (rx_odd_parity) + rx_parity_error = ~(^{rx_data, rx_parity}); + else if (rx_even_parity) + rx_parity_error = ^{rx_data, rx_parity}; + else if (rx_stick0_parity) + rx_parity_error = rx_parity; + else if (rx_stick1_parity) + rx_parity_error = ~rx_parity; + else + rx_parity_error = 0; + end + + // Break detection + always@(posedge rx_clk) + begin + rx_break_detected_q <= rx_break_detected; + if (rx) + begin + rx_break_cnt = 0; // Reseting counter + rx_break_detected = 0; // Clearing break detected signal + end + else + rx_break_cnt = rx_break_cnt + 1; + if (rx_break_cnt == rx_break_detection_length * 16 * T_divisor) + begin +// $display("\n(%0t) Break_detected.", $time); + rx_break_detected <= 1; + -> device_detected_rx_break; + end + end + + // Writing received data + always@(posedge rx_clk) + begin + if ((rx_packet_end & ~rx_packet_end_q) | (rx_break_detected & ~rx_break_detected_q)) + begin + wait (rx | rx_break_detected); // Waiting for "end of cycle detected" or "break to be activated" + // rx_break_detected + // rx_length + // rx_parity_enabled + // rx_odd_parity | rx_even_parity | rx_stick1_parity | rx_stick0_parity + // rx_stop_bit_1 | rx_stop_bit_1_5 | rx_stop_bit_2 + -> device_received_packet; + end + end + + +// UART transmitter +//################# + + // Initial values for TX + initial + begin + // Default LENGTH + tx_length = 8; + // Default PARITY + tx_odd_parity = 1'b0; + tx_even_parity = 1'b0; + tx_stick1_parity = 1'b0; + tx_stick0_parity = 1'b0; + tx_parity_enabled = 1'b0; + // Default CORRECT PARITY + tx_parity_wrong = 1'b0; + // Default CORRECT FRAME + tx_framing_wrong = 1'b0; + tx_framing_err = 0; + tx_framing_glitch_err = 0; + // Default NO GLITCH + tx_glitch_num = 24'h0; + // Default NO BREAK + tx_break_enable = 1'b0; + tx_break_num = 16'h0; + end + + // Counter for TX glitch generation + always@(posedge tx_clk or posedge start_tx_glitch_cnt) + begin + if (start_tx_glitch_cnt) + begin + tx_glitch_cnt <= tx_glitch_cnt + 1; + if (tx_glitch_cnt == ((tx_glitch_num - 1) * T_divisor)) + tx_glitch = 1'b1; + else if (tx_glitch_cnt == (tx_glitch_num * T_divisor)) + begin + tx_glitch = 1'b0; + start_tx_glitch_cnt = 1'b0; + end + end + else + tx_glitch_cnt <= 0; + end + + // Break setting & break counter + always@(posedge tx_clk) + begin + if (tx_break_enable && (tx_break_cnt == (tx_break_num * T_divisor))) + begin + start_tx_break_cnt = 0; + end + else if (start_tx_break_cnt) + begin + tx_break_cnt = tx_break_cnt + 1; + tx_break = 1; + end + else + begin + tx_break_cnt = 0; + tx_break = 0; + end + end + + // Sending packets + task send_packet; + input tx_random_i; + input [7:0] tx_data_i; + input num_of_tx_data_i; + reg [7:0] tx_data; + reg tx_parity_xor; + integer tx_bit_index; + integer num_of_tx_data; + reg last_tx_data; + begin + // SEVERE ERROR + if (// WRONG combinations of parameters for testing + ((T_clk_delay != 0) && (tx_parity_wrong || tx_framing_wrong)) || + ((T_clk_delay != 0) && (tx_glitch_num != 0)) || + ((T_clk_delay != 0) && (tx_break_enable)) || + ((tx_parity_wrong || tx_framing_wrong) && (tx_glitch_num != 0)) || + ((tx_parity_wrong || tx_framing_wrong) && (tx_break_enable)) || + ((tx_glitch_num != 0) && (tx_break_enable)) || + (tx_glitch_num > ((tx_length + 2'h2 + tx_parity_enabled) * 16 * T_divisor)) || // with STOP bit +// (tx_glitch_num > ((tx_length + 2'h1 + tx_parity_enabled) * 16 * T_divisor)) || // without STOP bit + // WRONG input parameters + (num_of_tx_data_i == 0) || + ((num_of_tx_data_i > 1) && tx_break_enable) + ) + begin + `SEVERE_ERROR("WRONG combination of parameters for testing UART receiver"); + end + + for (num_of_tx_data = 0; + num_of_tx_data < num_of_tx_data_i; + num_of_tx_data = (num_of_tx_data + 1'b1)) + begin + + if (num_of_tx_data == (num_of_tx_data_i - 1'b1)) + last_tx_data = 1'b1; + else + last_tx_data = 0; + + // TX data + if (~tx_random_i) + tx_data = tx_data_i; + else + tx_data = {$random}%256; // 0..255 + + // Sending start bit + @(posedge tx_clk_divided); + tx = 0; + if (tx_glitch_num > 0) + start_tx_glitch_cnt = 1; // enabling tx_glitch generation + if (tx_break_enable) + start_tx_break_cnt = 1; // Start counter that counts break tx_length + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + + // Sending tx_data bits + for (tx_bit_index = 0; tx_bit_index < tx_length; tx_bit_index = tx_bit_index + 1) + begin + @(posedge tx_clk_divided); + tx = tx_data[tx_bit_index]; + end + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + + sent_data = tx_data; + + // Calculating parity + if(tx_length == 5) + begin + tx_parity_xor = ^tx_data[4:0]; + end + else if(tx_length == 6) + begin + tx_parity_xor = ^tx_data[5:0]; + end + else if(tx_length == 7) + begin + tx_parity_xor = ^tx_data[6:0]; + end + else if(tx_length == 8) + begin + tx_parity_xor = ^tx_data[7:0]; + end + else + $display("WRONG length of TX data packet"); + + // Sending parity bit + if (tx_parity_enabled) + begin + @(posedge tx_clk_divided); + if (tx_odd_parity) + tx = tx_parity_wrong ^ (~tx_parity_xor); + else if (tx_even_parity) + tx = tx_parity_wrong ^ tx_parity_xor; + else if (tx_stick1_parity) + tx = tx_parity_wrong ^ 1; + else if (tx_stick0_parity) + tx = tx_parity_wrong ^ 0; + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + end + + // Sending stop bit + if (~tx_framing_wrong || + (tx_glitch_num != ((((tx_length + 2'h2 + tx_parity_enabled) * 2) - 1'b1) * 8 * T_divisor))) + begin + @(posedge tx_clk_divided); + tx = 1; + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + -> device_sent_packet; + @(sent_packet_received); + end + else if (~tx_framing_wrong || + (tx_glitch_num == ((((tx_length + 2'h2 + tx_parity_enabled) * 2) - 1'b1) * 8 * T_divisor))) + begin + @(posedge tx_clk_divided); + tx = 1; + // Wait for 1 bit + @(posedge tx_clk_divided); // this will be like 2. stop bit + -> device_sent_packet; + @(sent_packet_received); + end + else if (tx_framing_wrong && last_tx_data) + begin + @(posedge tx_clk_divided); + // Wrong stop | start bit + tx = 0; + @(posedge tx_clk_divided); + -> device_sent_packet; + @(sent_packet_received); + tx_framing_wrong = 0; + // TX data + tx = 1; + tx_data = 8'hFF; + // Sending tx_data bits + for (tx_bit_index = 0; tx_bit_index < tx_length; tx_bit_index = tx_bit_index + 1) + begin + @(posedge tx_clk_divided); + tx = tx_data[tx_bit_index]; + end + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + + sent_data = tx_data; + + // Calculating parity + if(tx_length == 5) + begin + tx_parity_xor = ^tx_data[4:0]; + end + else if(tx_length == 6) + begin + tx_parity_xor = ^tx_data[5:0]; + end + else if(tx_length == 7) + begin + tx_parity_xor = ^tx_data[6:0]; + end + else if(tx_length == 8) + begin + tx_parity_xor = ^tx_data[7:0]; + end + else + $display("WRONG length of TX data packet"); + + // Sending parity bit + if (tx_parity_enabled) + begin + @(posedge tx_clk_divided); + if (tx_odd_parity) + tx = tx_parity_wrong ^ (~tx_parity_xor); + else if (tx_even_parity) + tx = tx_parity_wrong ^ tx_parity_xor; + else if (tx_stick1_parity) + tx = tx_parity_wrong ^ 1; + else if (tx_stick0_parity) + tx = tx_parity_wrong ^ 0; + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + end + + // Stop bit + @(posedge tx_clk_divided); + tx = 1; + // Wait for almost 1 bit + #(((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor); // wait half period + #((((T_clk_period + T_clk_delay) / 2) * 16 * T_divisor) - 2); // wait 2 less than half period + -> device_sent_packet; + @(sent_packet_received); + tx_framing_wrong = 1'b1; + end + else if (last_tx_data) + begin + @(posedge tx_clk_divided); + -> device_sent_packet; + @(sent_packet_received); + end + end + end + endtask // send_packet + + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v new file mode 100644 index 00000000..813929b7 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v @@ -0,0 +1,323 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_device_utilities.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_device_utilities.v,v $ +// Revision 1.1 2004/03/27 03:55:16 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_defines.v" +`include "uart_testbench_defines.v" +`include "wb_model_defines.v" +`include "timescale.v" + +module uart_device_utilities; + +// UART receiver setting TASKs +//############################ + + // Set RX length + task set_rx_length; + input [3:0] len; + begin + `UTILS_MSG("SETTING RX CHAR length."); + testbench.i_uart_device.rx_length = len; + `UTILS_VAL1("Length:", len); + end + endtask // set_rx_length + + // Enable RX odd parity + task enable_rx_odd_parity; + begin + `UTILS_MSG("ENABLING RX CHAR odd parity."); + testbench.i_uart_device.rx_odd_parity = 1'b1; + testbench.i_uart_device.rx_even_parity = 1'b0; + testbench.i_uart_device.rx_stick1_parity = 1'b0; + testbench.i_uart_device.rx_stick0_parity = 1'b0; + testbench.i_uart_device.rx_parity_enabled = 1'b1; + end + endtask // enable_rx_odd_parity + + // Enable RX even parity + task enable_rx_even_parity; + begin + `UTILS_MSG("ENABLING RX CHAR even parity."); + testbench.i_uart_device.rx_odd_parity = 1'b0; + testbench.i_uart_device.rx_even_parity = 1'b1; + testbench.i_uart_device.rx_stick1_parity = 1'b0; + testbench.i_uart_device.rx_stick0_parity = 1'b0; + testbench.i_uart_device.rx_parity_enabled = 1'b1; + end + endtask // enable_rx_even_parity + + // Enable RX stick1 parity + task enable_rx_stick1_parity; + begin + `UTILS_MSG("ENABLING RX CHAR stick1 parity."); + testbench.i_uart_device.rx_odd_parity = 1'b0; + testbench.i_uart_device.rx_even_parity = 1'b0; + testbench.i_uart_device.rx_stick1_parity = 1'b1; + testbench.i_uart_device.rx_stick0_parity = 1'b0; + testbench.i_uart_device.rx_parity_enabled = 1'b1; + end + endtask // enable_rx_stick1_parity + + // Enable RX stick0 parity + task enable_rx_stick0_parity; + begin + `UTILS_MSG("ENABLING RX CHAR stick0 parity."); + testbench.i_uart_device.rx_odd_parity = 1'b0; + testbench.i_uart_device.rx_even_parity = 1'b0; + testbench.i_uart_device.rx_stick1_parity = 1'b0; + testbench.i_uart_device.rx_stick0_parity = 1'b1; + testbench.i_uart_device.rx_parity_enabled = 1'b1; + end + endtask // enable_rx_stick0_parity + + // Disable RX parity + task disable_rx_parity; + begin + `UTILS_MSG("DISABLING RX CHAR parity."); + testbench.i_uart_device.rx_odd_parity = 1'b0; + testbench.i_uart_device.rx_even_parity = 1'b0; + testbench.i_uart_device.rx_stick1_parity = 1'b0; + testbench.i_uart_device.rx_stick0_parity = 1'b0; + testbench.i_uart_device.rx_parity_enabled = 1'b0; + end + endtask // disable_rx_parity + + // Set 1 or 2 (1.5) RX stop bits + task set_rx_second_stop_bit; + input second_stop_bit; + begin + if (~second_stop_bit) + begin + `UTILS_MSG("SETTING RX CHAR 1 stop bit."); + end + else if (second_stop_bit && (testbench.i_uart_device.rx_length == 5)) + begin + `UTILS_MSG("SETTING RX CHAR 1.5 stop bit."); + end + else + begin + `UTILS_MSG("SETTING RX CHAR 2 stop bits."); + end + testbench.i_uart_device.rx_stop_bit_1 = ~second_stop_bit; + testbench.i_uart_device.rx_stop_bit_1_5 = second_stop_bit & (testbench.i_uart_device.rx_length == 5); + testbench.i_uart_device.rx_stop_bit_2 = second_stop_bit & (testbench.i_uart_device.rx_length != 5); + end + endtask // set_rx_second_stop_bit + +// UART transmitter setting TASKs +//############################### + + // Set TX length + task set_tx_length; + input [3:0] len; + begin + `UTILS_MSG("SETTING TX CHAR length."); + testbench.i_uart_device.tx_length = len; + `UTILS_VAL1("Length:", len); + end + endtask // set_tx_length + + // Enable TX odd parity + task enable_tx_odd_parity; + begin + `UTILS_MSG("ENABLING TX CHAR odd parity."); + testbench.i_uart_device.tx_odd_parity = 1'b1; + testbench.i_uart_device.tx_even_parity = 1'b0; + testbench.i_uart_device.tx_stick1_parity = 1'b0; + testbench.i_uart_device.tx_stick0_parity = 1'b0; + testbench.i_uart_device.tx_parity_enabled = 1'b1; + end + endtask // enable_tx_odd_parity + + // Enable TX even parity + task enable_tx_even_parity; + begin + `UTILS_MSG("ENABLING TX CHAR even parity."); + testbench.i_uart_device.tx_odd_parity = 1'b0; + testbench.i_uart_device.tx_even_parity = 1'b1; + testbench.i_uart_device.tx_stick1_parity = 1'b0; + testbench.i_uart_device.tx_stick0_parity = 1'b0; + testbench.i_uart_device.tx_parity_enabled = 1'b1; + end + endtask // enable_tx_even_parity + + // Enable TX stick1 parity + task enable_tx_stick1_parity; + begin + `UTILS_MSG("ENABLING TX CHAR stick1 parity."); + testbench.i_uart_device.tx_odd_parity = 1'b0; + testbench.i_uart_device.tx_even_parity = 1'b0; + testbench.i_uart_device.tx_stick1_parity = 1'b1; + testbench.i_uart_device.tx_stick0_parity = 1'b0; + testbench.i_uart_device.tx_parity_enabled = 1'b1; + end + endtask // enable_tx_stick1_parity + + // Enable TX stick0 parity + task enable_tx_stick0_parity; + begin + `UTILS_MSG("ENABLING TX CHAR stick0 parity."); + testbench.i_uart_device.tx_odd_parity = 1'b0; + testbench.i_uart_device.tx_even_parity = 1'b0; + testbench.i_uart_device.tx_stick1_parity = 1'b0; + testbench.i_uart_device.tx_stick0_parity = 1'b1; + testbench.i_uart_device.tx_parity_enabled = 1'b1; + end + endtask // enable_tx_stick0_parity + + // Disable TX parity + task disable_tx_parity; + begin + `UTILS_MSG("DISABLING TX CHAR parity."); + testbench.i_uart_device.tx_odd_parity = 1'b0; + testbench.i_uart_device.tx_even_parity = 1'b0; + testbench.i_uart_device.tx_stick1_parity = 1'b0; + testbench.i_uart_device.tx_stick0_parity = 1'b0; + testbench.i_uart_device.tx_parity_enabled = 1'b0; + end + endtask // disable_tx_parity + + // Correct TX parity + task correct_tx_parity; + begin + `UTILS_MSG("DISABLING WRONG parity generation."); + testbench.i_uart_device.tx_parity_wrong = 1'b0; + end + endtask // correct_tx_parity + + // Wrong TX parity + task wrong_tx_parity; + begin + `UTILS_MSG("ENABLING WRONG parity generation."); + testbench.i_uart_device.tx_parity_wrong = 1'b1; + end + endtask // wrong_tx_parity + + // Correct TX frame + task correct_tx_frame; + begin + `UTILS_MSG("DISABLING WRONG frame generation."); + testbench.i_uart_device.tx_framing_wrong = 1'b0; + end + endtask // correct_tx_frame + + // Wrong TX frame + task wrong_tx_frame; + begin + `UTILS_MSG("ENABLING WRONG frame generation."); + testbench.i_uart_device.tx_framing_wrong = 1'b1; + end + endtask // wrong_tx_frame + + // Generate TX glitch + task generate_tx_glitch; + input [23:0] generate_glitch_num; + begin + if (generate_glitch_num == 0) + begin + `UTILS_MSG("DISABLING 1 TIME glitch generation with CLKs delay."); + end + else + begin + `UTILS_MSG("ENABLING 1 TIME glitch generation with CLKs delay."); + end + testbench.i_uart_device.tx_glitch_num = generate_glitch_num; + `UTILS_VAL1("CLKs delay from start bit edge:", generate_glitch_num); + end + endtask // generate_tx_glitch + + // Enable TX break + task enable_tx_break; + input [15:0] break_num; + begin + `UTILS_MSG("ENABLING brake generation with each TX CHAR with brake length."); + testbench.i_uart_device.tx_break_enable = 1'b1; + testbench.i_uart_device.tx_break_num = break_num; + `UTILS_VAL1("Brake bit length:", break_num); + end + endtask // enable_tx_break + + // Disable TX break + task disable_tx_break; + begin + `UTILS_MSG("DISABLING brake generation with each TX CHAR."); + testbench.i_uart_device.tx_break_enable = 1'b0; + end + endtask // disable_tx_break + +// UART transmitter send TASKs +//############################ + + // Send character + task send_char; + input [7:0] char; + begin + testbench.i_uart_device.send_packet(1'b0, char, 1); + end + endtask // Send character + + // Send random character + task send_rnd_char; + begin + testbench.i_uart_device.send_packet(1'b1, 8'h0, 1); + end + endtask // send_rnd_char + + // Send burst random character + task send_burst_rnd_char; + input [31:0] num_of_char; + integer i; + begin + testbench.i_uart_device.send_packet(1'b1, 8'h0, num_of_char); + end + endtask // send_burst_rnd_char + + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v new file mode 100644 index 00000000..0e87b9da --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v @@ -0,0 +1,209 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_log.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - Miha Dolenc, mihad@opencores.org //// +//// - Tadej Markovic, tadejm@opencores.org //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Miha Dolenc, mihad@opencores.org //// +//// Tadej Markovic, tadejm@opencores.org //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_log.v,v $ +// Revision 1.1 2004/03/27 03:55:16 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +module uart_log; + + +parameter testcase_name = ""; + +integer report_log_file_desc; +integer verbose_log_file_desc; + +reg free; +integer tests_ok; +integer tests_failed; + +initial free = 1; +initial tests_ok = 0; +initial tests_failed = 0; + +task start_log; + output ok_o; +begin + report_log_file_desc = $fopen({"../log/", testcase_name, "_report.log"}); + verbose_log_file_desc = $fopen({"../log/", testcase_name, "_verbose.log"}); + if ((report_log_file_desc == 0) || (verbose_log_file_desc == 0)) + ok_o = 1'b0; + else + ok_o = 1'b1; +end +endtask // start_log + +task end_log; +begin + report_add_delimiter; + $fdisplay(report_log_file_desc, "TEST CASE execution summary:"); + $fdisplay(report_log_file_desc, "Number of tests PASSED=%0d", tests_ok); + $fdisplay(report_log_file_desc, "Number of tests FAILED=%0d", tests_failed); + $fdisplay(report_log_file_desc, " Simulation End Time: %t", $time); + report_add_delimiter; + $fclose(report_log_file_desc); + $fclose(verbose_log_file_desc); +end +endtask // end_log + +task report_test_name; + input [1599:0] test_i; +begin + report_add_delimiter; + $fdisplay(report_log_file_desc, "%0s", test_i); +end +endtask // report_test_name + +task report_test_failed; + input [7999:0] message_i; +begin + $fdisplay(report_log_file_desc, " FAILED!"); + $fdisplay(report_log_file_desc, " Failure message: %0s.", message_i); + $fdisplay(report_log_file_desc, " Simulation Time: %t", $time); +end +endtask // report_test_failed + +task report_test_ok; +begin + $fdisplay(report_log_file_desc, " PASSED!"); + $fdisplay(report_log_file_desc, " Simulation Time: %t", $time); +end +endtask // report_test_ok + +task report_add_delimiter; +begin + $fdisplay(report_log_file_desc, ""); + $fdisplay(report_log_file_desc, "%0s", {75{"-"}}); + $fdisplay(report_log_file_desc, ""); +end +endtask // report_add_delimiter + +task report_add_text; + input [7999:0] text_i; +begin + $fdisplay(report_log_file_desc, " %0s", text_i); +end +endtask // report_add_text + +task verbose_test_name; + input [1599:0] test_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, ""); + $fdisplay(verbose_log_file_desc, "%0s", {75{"-"}}); + $fdisplay(verbose_log_file_desc, "- %0s", test_i); + $fdisplay(verbose_log_file_desc, "%0s", {75{"-"}}); + $fdisplay(verbose_log_file_desc, ""); + free = 1; +end +endtask // verbose_test_name + +task verbose_severe_err; + input [7999:0] time_i; + input [7999:0] severe_error_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, "%0s", time_i); + $fdisplay(verbose_log_file_desc, "*E, Reporting severe error:"); + $fdisplay(verbose_log_file_desc, " %0s", severe_error_i); + free = 1; +end +endtask // verbose_severe_err + +task verbose_err; + input [7999:0] time_i; + input [7999:0] error_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, "%0s", time_i); + $fdisplay(verbose_log_file_desc, "*E, %0s", error_i); + free = 1; +end +endtask // verbose_err + +task verbose_wrn; + input [7999:0] time_i; + input [7999:0] warning_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, "%0s", time_i); + $fdisplay(verbose_log_file_desc, "*W, %0s", warning_i); + free = 1; +end +endtask // verbose_wrn + +task verbose_msg; + input [7999:0] time_i; + input [7999:0] message_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, "%0s", time_i); + $fdisplay(verbose_log_file_desc, "*N, %0s", message_i); + free = 1; +end +endtask // verbose_msg + +task verbose_val; + input [7999:0] time_i; + input [7999:0] message_i; + input [31:0] value_i; +begin + free = 0; + $fdisplay(verbose_log_file_desc, "%0s", time_i); + $fdisplay(verbose_log_file_desc, "*N, %0s %0h.", message_i, value_i); + free = 1; +end +endtask // verbose_val + + +endmodule // uart_log + diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v new file mode 100644 index 00000000..5d61949b --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v @@ -0,0 +1,339 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_test.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core test bench //// +//// //// +//// Known problems (limits): //// +//// A very simple test bench. Creates two UARTS and sends //// +//// data on to the other. //// +//// //// +//// To Do: //// +//// More complete testing should be done!!! //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Jacob Gorban, gorban@opencores.org //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_test.v,v $ +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// +//`define DATA_BUS_WIDTH_8 +`include "timescale.v" +module uart_test (); + +`include "uart_defines.v" + +reg clkr; +reg wb_rst_ir; +wire [`UART_ADDR_WIDTH-1:0] wb_adr_i; +wire [31:0] wb_dat_i; +wire [31:0] wb_dat_o; +wire [3:0] wb_sel_i; +wire pad_stx_o; +reg pad_srx_ir; + +integer e; + +uart_top uart_snd( + clk, + + // Wishbone signals + wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_sel_i, + int_o, // interrupt request + + // UART signals + // serial input/output + pad_stx_o, pad_srx_i, + + // modem signals + rts_o, cts_i, dtr_o, dsr_i, ri_i, dcd_i +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud1_o +`endif + + + + ); + + +// All the signals and regs named with a 1 are receiver fifo signals + +wire [`UART_ADDR_WIDTH-1:0] wb1_adr_i; +wire [31:0] wb1_dat_i; +wire [31:0] wb1_dat_o; +wire [3:0] wb1_sel_i; +wire int1_o; +wire stx1_o; +reg srx1_ir; + +uart_top uart_rcv( + clk, + + // Wishbone signals + wb_rst_i, wb1_adr_i, wb1_dat_i, wb1_dat_o, wb1_we_i, wb1_stb_i, wb1_cyc_i, wb1_ack_o, wb1_sel_i, + int1_o, // interrupt request + + // UART signals + // serial input/output + stx1_o, srx1_i, + + // modem signals + rts1_o, cts1_i, dtr1_o, dsr1_i, ri1_i, dcd1_i +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud2_o +`endif + + ); + +assign clk = clkr; +assign wb_rst_i = wb_rst_ir; +assign pad_srx_i = pad_srx_ir; +assign cts_i = 1; //cts_ir; +assign dsr_i = 1; //dsr_ir; +assign ri_i = 1; //ri_ir; +assign dcd_i = 1; //dcd_ir; + +assign srx1_i = srx1_ir; +assign cts1_i = 1; //cts1_ir; +assign dsr1_i = 1; //dsr1_ir; +assign ri1_i = 1; //ri1_ir; +assign dcd1_i = 1; //dcd1_ir; + +reg [31:0] dat_o; +/////////// CONNECT THE UARTS +always @(pad_stx_o) +begin + srx1_ir = pad_stx_o; +end + +initial +begin + clkr = 0; + #50000 $finish; +end + +wb_mast wbm(// Outputs + .adr (wb_adr_i), + .dout (wb_dat_i), + .cyc (wb_cyc_i), + .stb (wb_stb_i), + .sel (wb_sel_i), + .we (wb_we_i), + // Inputs + .clk (clk), + .rst (wb_rst_i), + .din (wb_dat_o), + .ack (wb_ack_o), + .err (1'b0), + .rty (1'b0)); + +wb_mast wbm1(// Outputs + .adr (wb1_adr_i), + .dout (wb1_dat_i), + .cyc (wb1_cyc_i), + .stb (wb1_stb_i), + .sel (wb1_sel_i), + .we (wb1_we_i), + // Inputs + .clk (clk), + .rst (wb_rst_i), + .din (wb1_dat_o), + .ack (wb1_ack_o), + .err (1'b0), + .rty (1'b0)); + +// The test sequence +initial +begin + #1 wb_rst_ir = 1; + #10 wb_rst_ir = 0; + + //write to lcr. set bit 7 + //wb_cyc_ir = 1; + wbm.wb_wr1(`UART_REG_LC, 4'b1000, {8'b10011011, 24'b0}); + // set dl to divide by 3 + wbm.wb_wr1(`UART_REG_DL1,4'b0001, 32'd2); + @(posedge clk); + @(posedge clk); + // restore normal registers + wbm.wb_wr1(`UART_REG_LC, 4'b1000, {8'b00011011, 24'b0}); //00011011 + + fork + begin + $display("%m : %t : sending : %h", $time, 8'b10000001); + wbm.wb_wr1(0, 4'b1, 32'b10000001); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b01000010); + wbm.wb_wr1(0, 4'b1, 32'b01000010); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b11000011); + wbm.wb_wr1(0, 4'b1, 32'b11000011); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b00100100); + wbm.wb_wr1(0, 4'b1, 32'b00100100); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b10100101); + wbm.wb_wr1(0, 4'b1, 32'b10100101); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b01100110); + wbm.wb_wr1(0, 4'b1, 32'b01100110); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b11100111); + wbm.wb_wr1(0, 4'b1, 32'b11100111); + @(posedge clk); + @(posedge clk); + $display("%m : %t : sending : %h", $time, 8'b00011000); + wbm.wb_wr1(0, 4'b1, 32'b00011000); + wait (uart_snd.regs.tstate==0 && uart_snd.regs.transmitter.tf_count==0); +// disable check; + end +// begin: check +// end + join +end + +always @(int1_o) + if (int1_o) + $display("INT_O high (%g)", $time); + else + $display("INT_O low (%g)", $time); + +always @(int1_o) +begin + if (int1_o) begin + wbm1.wb_rd1(2,4'b0100, dat_o); + $display("IIR : %h", dat_o); + wbm1.wb_rd1(5,4'b0010, dat_o); + $display("LSR : %h", dat_o); + wbm1.wb_rd1(0, 4'b1, dat_o); + $display("%m : %t : Data out: %h", $time, dat_o); + end +end + +// receiver side +initial +begin + #11; + //write to lcr. set bit 7 + //wb_cyc_ir = 1; + wbm1.wb_wr1(`UART_REG_LC, 4'b1000, {8'b10011011, 24'b0}); + // set dl to divide by 3 + wbm1.wb_wr1(`UART_REG_DL1, 4'b1, 32'd2); + @(posedge clk); + @(posedge clk); + // restore normal registers + wbm1.wb_wr1(`UART_REG_LC, 4'b1000, {8'b00011011, 24'b0}); + wbm1.wb_wr1(`UART_REG_IE, 4'b0010, {16'b0, 8'b00001111, 8'b0}); + wait(uart_rcv.regs.receiver.rf_count == 2); + e = 800; + while (e > 0) + begin + @(posedge clk) + if (uart_rcv.regs.enable) e = e - 1; + end + wbm1.wb_rd1(0, 4'b1, dat_o); + $display("%m : %t : Data out: %h", $time, dat_o); + @(posedge clk); + wbm1.wb_rd1(0, 4'b1, dat_o); + $display("%m : %t : Data out: %h", $time, dat_o); + $display("%m : Finish"); + e = 800; + while (e > 0) + begin + @(posedge clk) + if (uart_rcv.regs.enable) e = e - 1; + end + e = 800; + while (e > 0) + begin + @(posedge clk) + if (uart_rcv.regs.enable) e = e - 1; + end + $finish; +end + +//always @(uart_rcv.regs.rstate) +//begin +// $display($time,": Receiver state changed to: ", uart_rcv.regs.rstate); +//end + +initial + begin + `ifdef DATA_BUS_WIDTH_8 +$display("DATA BUS IS 8"); +`else +$display("DATA BUS IS 32"); +`endif + $display("%d %d", `UART_ADDR_WIDTH, `UART_DATA_WIDTH); + + end + + +always +begin + #5 clkr = ~clk; +end + +endmodule diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v new file mode 100644 index 00000000..e126ade5 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v @@ -0,0 +1,1366 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_testbench.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_testbench.v,v $ +// Revision 1.1 2004/03/27 03:55:17 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_defines.v" +`include "uart_testbench_defines.v" +`include "wb_model_defines.v" +`include "timescale.v" + +module testbench; + + +parameter max_wait_cnt = 20000; + +// INTERNAL signals +//################# + + // WB slave signals + //################# + + // UART Wishbone Slave signals + wire wb_int_o; + wire [`UART_ADDR_WIDTH-1:0] wbs_adr_i; + wire [`UART_DATA_WIDTH-1:0] wbs_dat_i; + wire [`UART_DATA_WIDTH-1:0] wbs_dat_o; + wire [3:0] wbs_sel_i; + wire wbs_cyc_i; + wire wbs_stb_i; + wire [2:0] wbs_cti_i; + wire [1:0] wbs_bte_i; + wire wbs_we_i; + wire wbs_ack_o; + wire wbs_rty_o = 1'b0; + wire wbs_err_o = 1'b0; + + // UART signals + //############# + + // UART Serial Data I/O signals + wire stx_pad_o; + wire srx_pad_i; + // UART Modem I/O signals + wire rts_pad_o; + wire cts_pad_i; + wire dtr_pad_o; + wire dsr_pad_i; + wire ri_pad_i; + wire dcd_pad_i; + `ifdef UART_HAS_BAUDRATE_OUTPUT + wire baud_o; + `endif + + // System signals + //############### + + // WB clock signal + reg wb_clk; // divided device clock with period T_wb_clk_period + // WB clock enable signal + reg wb_clk_en = 1'b1; + // WB clock period variable + real T_wb_clk_period = 20; + // WB reset signal + reg wb_reset; + event reset_aserted; + event reset_released; + event int_aserted; + event int_released; + // Error detection event + event error_detected; + + // UART register monitor + //######################### + + // Line Status Register + // Reading LSR register + reg lsr_reg_read; + // Bit 0 - Data Ready + reg lsr_reg_bit0_change_allowed; + // Bit 1 - Overrun Error + reg lsr_reg_bit1_change_allowed; + // Bit 2 - Parity Error + reg lsr_reg_bit2_change_allowed; + reg [4:0] rx_fifo_par_rd_pointer; + integer i2; + // Bit 3 - Framing Error + reg lsr_reg_bit3_change_allowed; + reg [4:0] rx_fifo_frm_rd_pointer; + integer i3; + // Bit 4 - Break Interrupt + reg lsr_reg_bit4_change_allowed; + reg [4:0] rx_fifo_brk_rd_pointer; + integer i4; + // Bit 5 - Transmitter Holding Register Empty + reg lsr_reg_bit5_change_allowed; + // Bit 6 - Transmitter Empty + reg lsr_reg_bit6_change_allowed; + // Bit 7 - Error in RX FIFO + reg lsr_reg_bit7_change_allowed; + + // UART transmitter monitor + //######################### + + // TX FIFO signals + reg [7:0] tx_shift_reg; + reg tx_shift_reg_empty; + reg tx_start_bit_edge; + reg [7:0] tx_fifo [0:31]; + reg [4:0] tx_fifo_wr_pointer; + reg [4:0] tx_fifo_rd_pointer; + reg [4:0] tx_fifo_status; + + // UART receiver monitor + //###################### + + // RX FIFO signals + reg [7:0] rx_shift_reg; + reg rx_shift_reg_full; + reg rx_parity_err; + reg rx_framing_err; + reg rx_framing_glitch; + reg rx_break_int; + reg rx_overrun_err_occured; + reg [7:0] rx_fifo_data [0:31]; + reg [31:0] rx_fifo_par; + reg [31:0] rx_fifo_frm; + reg [31:0] rx_fifo_brk; + reg [4:0] rx_fifo_wr_pointer; + reg [4:0] rx_fifo_rd_pointer; + reg [4:0] rx_fifo_status; + reg rx_fifo_read; + + // UART register tracker + //###################### + + // Registers + wire [7:0] ier_reg; + wire [7:0] iir_reg; + wire [7:0] fcr_reg; + wire [7:0] lcr_reg; + wire [7:0] mcr_reg; + wire [7:0] lsr_reg; + wire [7:0] msr_reg; + wire [7:0] dll_reg; + wire [7:0] dlm_reg; + // Events + event ier_reg_changed; + event iir_reg_changed; + event fcr_reg_changed; + event lcr_reg_changed; + event mcr_reg_changed; + event lsr_reg_changed; + event msr_reg_changed; + event dll_reg_changed; + event dlm_reg_changed; + // Register access + reg [`UART_ADDR_WIDTH-1:0] reg_adr; + reg [`UART_DATA_WIDTH-1:0] reg_dat; + reg reg_dlab; + event reg_written; + event tx_reg_written; + event reg_read; + event rx_reg_read; + + + +uart_top #(`UART_DATA_WIDTH, `UART_ADDR_WIDTH) i_uart_top +( + .wb_clk_i (wb_clk), + .wb_rst_i (wb_reset), + .int_o (wb_int_o), +// WB slave signals - 2 address locations for two registers! + .wb_cyc_i (wbs_cyc_i), + .wb_stb_i (wbs_stb_i), + .wb_we_i (wbs_we_i), + .wb_sel_i (wbs_sel_i), + .wb_adr_i (wbs_adr_i), + .wb_dat_i (wbs_dat_i), + .wb_dat_o (wbs_dat_o), + .wb_ack_o (wbs_ack_o), +// UART signals + .stx_pad_o (stx_pad_o), + .srx_pad_i (srx_pad_i), +// Modem signals + .rts_pad_o (rts_pad_o), + .cts_pad_i (cts_pad_i), + .dtr_pad_o (dtr_pad_o), + .dsr_pad_i (dsr_pad_i), + .ri_pad_i (ri_pad_i), + .dcd_pad_i (dcd_pad_i) +`ifdef UART_HAS_BAUDRATE_OUTPUT + , + .baud_o (baud_o) +`endif +); + +uart_device i_uart_device +( +// UART signals + .stx_i (stx_pad_o), + .srx_o (srx_pad_i), +// Modem signals + .rts_i (rts_pad_o), + .cts_o (cts_pad_i), + .dtr_i (dtr_pad_o), + .dsr_o (dsr_pad_i), + .ri_o (ri_pad_i), + .dcd_o (dcd_pad_i) +); + +wb_master_model #(`UART_DATA_WIDTH, `UART_ADDR_WIDTH, 4) i_wb_master_model +( + .wb_rst_i (wb_reset), + .wb_clk_i (wb_clk), + .wbm_cyc_o (wbs_cyc_i), + .wbm_cti_o (), + .wbm_bte_o (), + .wbm_stb_o (wbs_stb_i), + .wbm_we_o (wbs_we_i), + .wbm_adr_o (wbs_adr_i), + .wbm_sel_o (wbs_sel_i), + .wbm_dat_o (wbs_dat_i), + .wbm_dat_i (wbs_dat_o), + .wbm_ack_i (wbs_ack_o), + .wbm_err_i (wbs_err_o), // inactive (1'b0) + .wbm_rty_i (wbs_rty_o) // inactive (1'b0) +); + + +initial +begin:system + // Initial system values + wb_reset = 1'b1; + wb_clk = 1'b0; +end + + +// WB clock generation (DEVICE clock is generated in uart_device.v) +//################################################################# + + // DEVICE's clock generation: + // ---------------- + // // rx_clk rising edge + // always@(posedge rx_clk) + // if (rx_clk_en) + // #(T_clk_period / 2) rx_clk = 1'b0; + // // rx_clk falling edge + // always@(negedge rx_clk) + // if (rx_clk_en) + // #(T_clk_period / 2) rx_clk = 1'b1; + // ---------------- + // DEVICE's transmit clocks generation: + // ---------------- + // // tx_clk rising edge + // always@(posedge tx_clk) + // if (tx_clk_en) + // #((T_clk_period / 2) * 16 * T_divisor) tx_clk = 1'b0; + // // tx_clk falling edge + // always@(negedge tx_clk) + // if (tx_clk_en) + // #((T_clk_period / 2) * 16 * T_divisor) tx_clk = 1'b1; + // ---------------- + + // WB clock + always@(posedge wb_clk) + if (wb_clk_en) + #(T_wb_clk_period / 2) wb_clk = 1'b0; + always@(negedge wb_clk) + if (wb_clk_en) + #(T_wb_clk_period / 2) wb_clk = 1'b1; + + +// SYSTEM signals tracker +//####################### + + // Reset + always@(posedge wb_reset) + -> reset_aserted; + always@(negedge wb_reset) + -> reset_released; + + // Interrupt + always@(posedge wb_int_o) + -> int_aserted; + always@(negedge wb_int_o) + -> int_released; + + +// UART register tracker +//###################### + + // UART registers: + // ---------------- + // RBR (R/ | ADR 0 | DLAB 0) + // [7:0] -RX---- "rxdata" Receiver Buffer Register + // ---------------- + // THR ( /W | ADR 0 | DLAB 0) + // [7:0] ----TX- "txdata" Transmitter Holding Register + // ---------------- + // IER (R/W | ADR 1 | DLAB 0) + // [0] -RX---- "1" Received Data Available & Receive Fifo Timeout + // [1] ----TX- "1" Transmitter Holding Register Empty + // [2] -RX---- "1" Receiver Line Status + // [3] -MODEM- "1" Modem Status + // ---------------- + // IIR (R/ | ADR 2) + // [0] ------- "0" Interrupt is Pending (decreasing priority level in following 3 bits) + // [3:1] -RX---- "011" Receiver Line Status - Overrun, Parity, Framing error or Break int. ---> READ LSR + // [3:1] -RX---- "010" Received Data Available - Fifo Trigger Level Reached ------------------> READ RBR (Fifo lower than trig.) + // [3:1] -RX---- "110" Timeout Indication - Fifo not empty & no Fifo action for 4 char times -> READ RBR + // [3:1] ----TX- "001" Transmitter Holding Register Empty - THR Empty ------------------------> READ IIR | WRITE THR + // [3:1] -MODEM- "000" Modem Status - CTS, DSR, DCD changed or RI changed from '0' to '1' ----> READ MSR + // ---------------- + // FCR ( /W | ADR 2) + // [1] -RX---- "1" Clear only Receiver Fifo (not shift register) + // [2] ----TX- "1" Clear only Transmitter Fifo (not shift register) + // [7:6] -RX---- "00" 1 BYTE Receiver Fifo Interrupt trigger level + // [7:6] -RX---- "01" 4 BYTEs Receiver Fifo Interrupt trigger level + // [7:6] -RX---- "10" 8 BYTEs Receiver Fifo Interrupt trigger level + // [7:6] -RX---- "11" 14 BYTEs Receiver Fifo Interrupt trigger level + // ---------------- + // LCR (R/W | ADR 3) + // [1:0] -RX-TX- "00" 5 bits in each character + // [1:0] -RX-TX- "01" 6 bits in each character + // [1:0] -RX-TX- "10" 7 bits in each character + // [1:0] -RX-TX- "11" 8 bits in each character + // [2] -RX-TX- "0" 1 stop bit + // [2] -RX-TX- "1" 1.5 stop bits (when 5 bits of char.) or 2 stop bits (when 6, 7 or 8 bits of char.) + // [3] -RX-TX- "1" Parity bit enabled + // [5:4] -RX-TX- "00" NO Stick Parity & ODD Parity bit - ODD num. of '1's is transmitted + // [5:4] -RX-TX- "01" NO Stick Parity & EVEN Parity bit - EVEN num. of '1's is transmitted + // [5:4] -RX-TX- "10" Stick Parity bit - Stick '1' as Parity bit + // [5:4] -RX-TX- "11" Stick Parity bit - Stick '0' as Parity bit + // [6] ----TX- "1" Break Control - Output is forced to '0' + // [7] ------- "1" DLAB - for access to DLL and DLM + // ---------------- + // MCR ( /W | ADR 4) + // [0] -MODEM- "1" Force DTR to '0' - in LoopBack connected to DSR input + // [1] -MODEM- "1" Force RTS to '0' - in LoopBack connected to CTS input + // [2] -MODEM- "1" Force N.C.1 to '0' - in LoopBack connected to RI input + // [3] -MODEM- "1" Force N.C.2 to '0' - in LoopBack connected to DCD input + // [4] -MODEM- "1" LoopBack mode + // ---------------- + // LSR (R/ | ADR 5) + // [0] -RX---- "1" Data Ready - At least 1 char. received and is in Fifo----------> READ RBR (Fifo empty) + // [1] -RX---- "1" Overrun Error - Fifo full & 1 char. received in shift reg. ----> READ LSR + // [2] -RX---- "1" Parity Error - top Fifo char. has invalid parity bit ----------> READ LSR + // [3] -RX---- "1" Framing Error - top Fifo char. has invalid stop bit -----------> READ LSR + // [4] -RX---- "1" Break Int. - top Fifo char. bits are '0' and it's ctrl. bits --> READ LSR + // [5] ----TX- "1" Transmitter Holding Register Empty - transmitter Fifo empty ---> WRITE THR + // [6] ----TX- "1" Transmitter EMpTy - transmitter Fifo empty & shift reg. empty -> WRITE THR + // [7] -RX---- "1" At least 1 Parity Error, Framing Error or Break Int. in Fifo --> READ LSR & No More Errors in Fifo + // ---------------- + // MSR (R/ | ADR 6) + // [0] -MODEM- "1" Delta CTS indicator - CTS has changed it's state --------------> READ MSR + // [1] -MODEM- "1" Delta DSR indicator - DSR has changed it's state --------------> READ MSR + // [2] -MODEM- "1" Trailing Edge of RI - RI has changed from '0' to '1' ----------> READ MSR + // [3] -MODEM- "1" Delta DCD indicator - DCD has changed it's state --------------> READ MSR + // [4] -MODEM- "x" Complement of CTS input | in LoopBack equal to RTS = MCR[1] + // [5] -MODEM- "x" Complement of DSR input | in LoopBack equal to DTR = MCR[0] + // [6] -MODEM- "x" Complement of RI input | in LoopBack equal to N.C.1 = MCR[2] + // [7] -MODEM- "x" Complement of DCD input | in LoopBack equal to N.C.2 = MCR[3] + // ---------------- + // DLL (R/W | ADR 0 | DLAB 1) + // [7:0] ------- "dl[ 7:0]" LSB of DL Reg. written 2. - dl == '0' disables outputs / dl = 1/(T_wb_clk_period*16*BaudRate) + // ---------------- + // DLM (R/W | ADR 1 | DLAB 1) + // [7:0] ------- "dl[15:8]" MSB of DL Reg. written 1. - dl == '0' disables outputs / dl = 1/(T_wb_clk_period*16*BaudRate) + // ---------------- + + // Transparent UART registers + assign ier_reg[7:0] = {4'h0, testbench.i_uart_top.regs.ier }; + assign iir_reg[7:0] = {4'hC, testbench.i_uart_top.regs.iir }; + assign fcr_reg[7:0] = { testbench.i_uart_top.regs.fcr, 6'h0}; + assign lcr_reg[7:0] = { testbench.i_uart_top.regs.lcr }; // lcr_reg[7] == DLAB !!! + assign mcr_reg[7:0] = {3'h0, testbench.i_uart_top.regs.mcr }; + assign lsr_reg[7:0] = { testbench.i_uart_top.regs.lsr }; + assign msr_reg[7:0] = { testbench.i_uart_top.regs.msr }; + assign dll_reg[7:0] = { testbench.i_uart_top.regs.dl[ 7:0] }; + assign dlm_reg[7:0] = { testbench.i_uart_top.regs.dl[15:8] }; + + // Tracking changes of registers + always@(ier_reg) + begin + -> ier_reg_changed; + end + always@(iir_reg) + begin + -> iir_reg_changed; + end + always@(fcr_reg) + begin + -> fcr_reg_changed; + end + always@(lcr_reg) + begin + -> lcr_reg_changed; + end + always@(mcr_reg) + begin + -> mcr_reg_changed; + end + always@(lsr_reg) + begin + -> lsr_reg_changed; + end + always@(msr_reg) + begin + -> msr_reg_changed; + end + always@(dll_reg) + begin + -> dll_reg_changed; + end + always@(dlm_reg) + begin + -> dlm_reg_changed; + end + + // Tracking read/write access to registers + always@(wbs_cyc_i or wbs_stb_i or wbs_we_i or wbs_sel_i or wbs_adr_i or + wbs_dat_i /*or wbs_ack_o*/ /*or posedge wb_clk*/) + begin + if (wbs_cyc_i && wbs_stb_i) + begin + if (wbs_we_i /*&& wbs_ack_o*/) // WRITE + begin + // LOG's example of detecting of register write: + // ---------------- + // case (wbs_adr_i) + // `UART_REG_TR: if (lcr_reg[7]) // lcr_reg[7] == DLAB !!! + // -> dll_reg_written; + // else + // -> thr_reg_written; + // `UART_REG_IE: if (lcr_reg[7]) // lcr_reg[7] == DLAB !!! + // -> dlm_reg_written; + // else + // -> ier_reg_written; + // `UART_REG_FC: -> fcr_reg_written; + // `UART_REG_LC: -> lcr_reg_written; + // `UART_REG_MC: -> mcr_reg_written; + // default: -> erroneous_write_location; + // endcase + // ---------------- + + reg_adr = wbs_adr_i; + reg_dat = wbs_dat_i; + reg_dlab = lcr_reg[7]; + -> reg_written; + if (~reg_dlab && (reg_adr == `UART_REG_TR)) // write to FIFO + -> tx_reg_written; + end + end + end + always@(wbs_cyc_i or wbs_stb_i or wbs_we_i or wbs_sel_i or wbs_adr_i or + wbs_dat_o or wbs_ack_o /*or posedge wb_clk*/) + begin + if (wbs_cyc_i && wbs_stb_i) + begin + if (~wbs_we_i && wbs_ack_o) // READ + begin + // LOG's example of detecting of register read: + // ---------------- + // case (wbs_adr_i) + // `UART_REG_RB: if (lcr_reg[7]) // lcr_reg[7] == DLAB !!! + // -> dll_reg_read; + // else + // -> rbr_reg_read; + // `UART_REG_IE: if (lcr_reg[7]) // lcr_reg[7] == DLAB !!! + // -> dlm_reg_read; + // else + // -> ier_reg_read; + // `UART_REG_II: -> iir_reg_read; + // `UART_REG_LC: -> lcr_reg_read; + // `UART_REG_LS: -> lsr_reg_read; + // `UART_REG_MS: -> msr_reg_read; + // default: -> erroneous_read_location; + // endcase + // ---------------- + + reg_adr = wbs_adr_i; + reg_dat = wbs_dat_o; + reg_dlab = lcr_reg[7]; + -> reg_read; + if (~reg_dlab && (reg_adr == `UART_REG_RB)) + -> rx_reg_read; + end + end + end + + +// UART register monitor +//####################### + + // Line Status Register + // Reading LSR register + initial + begin + lsr_reg_read = 0; + forever + begin + @(reg_read); + if (reg_adr == `UART_REG_LS) + begin + lsr_reg_read = 1'b1; + repeat (1) @(posedge wb_clk); + lsr_reg_read = 0; + end + end + end + // Bit 0 - Data Ready + initial + begin + lsr_reg_bit0_change_allowed = 0; + @(reset_released); + #10; + fork + begin: rx_fifo_status_changing + forever + begin + if (rx_fifo_status == 0) + begin + wait (rx_fifo_status > 0); + lsr_reg_bit0_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit0_change_allowed = 0; + if (~lsr_reg[0]) + begin + `BENCH_ERROR("Bit 0 of LSR register not '1'!"); + -> error_detected; + end + end + else + begin + wait (rx_fifo_status == 0); + lsr_reg_bit0_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit0_change_allowed = 0; + if (lsr_reg[0]) + begin + `BENCH_ERROR("Bit 0 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit0_changing + forever + begin + wait (~lsr_reg_bit0_change_allowed); + begin + @(lsr_reg[0] or lsr_reg_bit0_change_allowed); + if (~lsr_reg_bit0_change_allowed) + begin + `BENCH_ERROR("Bit 0 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 1 - Overrun Error + initial + begin + lsr_reg_bit1_change_allowed = 0; + @(reset_released); + #10; + fork + begin: rx_overrun_err_occured_changing + forever + begin + if (~rx_overrun_err_occured) + begin + wait (rx_overrun_err_occured); + lsr_reg_bit1_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit1_change_allowed = 0; + if (~lsr_reg[1]) + begin + `BENCH_ERROR("Bit 1 of LSR register not '1'!"); + -> error_detected; + end + end + else + begin + wait (lsr_reg_read); + lsr_reg_bit1_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit1_change_allowed = 0; + rx_overrun_err_occured = 0; + if (lsr_reg[1]) + begin + `BENCH_ERROR("Bit 1 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit1_changing + forever + begin + wait (~lsr_reg_bit1_change_allowed); + begin + @(lsr_reg[1] or lsr_reg_bit1_change_allowed); + if (~lsr_reg_bit1_change_allowed) + begin + `BENCH_ERROR("Bit 1 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 2 - Parity Error + initial + begin + lsr_reg_bit2_change_allowed = 0; + rx_fifo_par_rd_pointer = 0; + @(reset_released); + #10; + fork + begin: rx_parity_err_changing + forever + begin + if (~rx_fifo_par[rx_fifo_par_rd_pointer]) + begin + wait (rx_fifo_read); + lsr_reg_bit2_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit2_change_allowed = 0; + rx_fifo_par_rd_pointer = rx_fifo_par_rd_pointer + 1'b1; + // check bit + if (~lsr_reg[2] && rx_fifo_par[rx_fifo_par_rd_pointer]) + begin + `BENCH_ERROR("Bit 2 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[2] && ~rx_fifo_par[rx_fifo_par_rd_pointer]) + begin + `BENCH_ERROR("Bit 2 of LSR register not '0'!"); + -> error_detected; + end + end + else + begin + wait (lsr_reg_read); + lsr_reg_bit2_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit2_change_allowed = 0; + if (rx_fifo_par_rd_pointer < rx_fifo_rd_pointer) + begin + for (i2 = rx_fifo_par_rd_pointer; i2 <= rx_fifo_rd_pointer; i2 = i2 + 1) + rx_fifo_par[i2] = 0; + rx_fifo_par_rd_pointer = rx_fifo_rd_pointer; + end + else if (rx_fifo_par_rd_pointer > rx_fifo_rd_pointer) + begin + for (i2 = rx_fifo_par_rd_pointer; i2 <= 31; i2 = i2 + 1) + rx_fifo_par[i2] = 0; + for (i2 = 0; i2 <= rx_fifo_rd_pointer; i2 = i2 + 1) + rx_fifo_par[i2] = 0; + rx_fifo_par_rd_pointer = rx_fifo_rd_pointer; + end + else + begin + rx_fifo_par = 0; + rx_fifo_par_rd_pointer = rx_fifo_rd_pointer; + end + // check bit + if (~lsr_reg[2] && rx_fifo_par[rx_fifo_par_rd_pointer]) + begin + `BENCH_ERROR("Bit 2 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[2] && ~rx_fifo_par[rx_fifo_par_rd_pointer]) + begin + `BENCH_ERROR("Bit 2 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit2_changing + forever + begin + wait (~lsr_reg_bit2_change_allowed); + begin + @(lsr_reg[2] or lsr_reg_bit2_change_allowed); + if (~lsr_reg_bit2_change_allowed) + begin + `BENCH_ERROR("Bit 2 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 3 - Framing Error + initial + begin + lsr_reg_bit3_change_allowed = 0; + rx_fifo_frm_rd_pointer = 0; + @(reset_released); + #10; + fork + begin: rx_framing_err_changing + forever + begin + if (~rx_fifo_frm[rx_fifo_frm_rd_pointer]) + begin + wait (rx_fifo_read); + lsr_reg_bit3_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit3_change_allowed = 0; + rx_fifo_frm_rd_pointer = rx_fifo_frm_rd_pointer + 1'b1; + // check bit + if (~lsr_reg[3] && rx_fifo_frm[rx_fifo_frm_rd_pointer]) + begin + `BENCH_ERROR("Bit 3 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[3] && ~rx_fifo_frm[rx_fifo_frm_rd_pointer]) + begin + `BENCH_ERROR("Bit 3 of LSR register not '0'!"); + -> error_detected; + end + end + else + begin + wait (lsr_reg_read); + lsr_reg_bit3_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit3_change_allowed = 0; + if (rx_fifo_frm_rd_pointer < rx_fifo_rd_pointer) + begin + for (i3 = rx_fifo_frm_rd_pointer; i3 <= rx_fifo_rd_pointer; i3 = i3 + 1) + rx_fifo_frm[i3] = 0; + rx_fifo_frm_rd_pointer = rx_fifo_rd_pointer; + end + else if (rx_fifo_frm_rd_pointer > rx_fifo_rd_pointer) + begin + for (i3 = rx_fifo_frm_rd_pointer; i3 <= 31; i3 = i3 + 1) + rx_fifo_frm[i3] = 0; + for (i3 = 0; i3 <= rx_fifo_rd_pointer; i3 = i3 + 1) + rx_fifo_frm[i3] = 0; + rx_fifo_frm_rd_pointer = rx_fifo_rd_pointer; + end + else + begin + rx_fifo_frm = 0; + rx_fifo_frm_rd_pointer = rx_fifo_rd_pointer; + end + // check bit + if (~lsr_reg[3] && rx_fifo_frm[rx_fifo_frm_rd_pointer]) + begin + `BENCH_ERROR("Bit 3 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[3] && ~rx_fifo_frm[rx_fifo_frm_rd_pointer]) + begin + `BENCH_ERROR("Bit 3 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit3_changing + forever + begin + wait (~lsr_reg_bit3_change_allowed); + begin + @(lsr_reg[3] or lsr_reg_bit3_change_allowed); + if (~lsr_reg_bit3_change_allowed) + begin + `BENCH_ERROR("Bit 3 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 4 - Break Interrupt + initial + begin + lsr_reg_bit4_change_allowed = 0; + rx_fifo_brk_rd_pointer = 0; + @(reset_released); + #10; + fork + begin: rx_break_int_changing + forever + begin + if (~rx_fifo_brk[rx_fifo_brk_rd_pointer]) + begin + wait (rx_fifo_read); + lsr_reg_bit4_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit4_change_allowed = 0; + rx_fifo_brk_rd_pointer = rx_fifo_brk_rd_pointer + 1'b1; + // check bit + if (~lsr_reg[4] && rx_fifo_brk[rx_fifo_brk_rd_pointer]) + begin + `BENCH_ERROR("Bit 4 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[4] && ~rx_fifo_brk[rx_fifo_brk_rd_pointer]) + begin + `BENCH_ERROR("Bit 4 of LSR register not '0'!"); + -> error_detected; + end + end + else + begin + wait (lsr_reg_read); + lsr_reg_bit4_change_allowed = 1'b1; + repeat (1) @(posedge wb_clk); + #2; + lsr_reg_bit4_change_allowed = 0; + if (rx_fifo_brk_rd_pointer < rx_fifo_rd_pointer) + begin + for (i4 = rx_fifo_brk_rd_pointer; i4 <= rx_fifo_rd_pointer; i4 = i4 + 1) + rx_fifo_brk[i4] = 0; + rx_fifo_brk_rd_pointer = rx_fifo_rd_pointer; + end + else if (rx_fifo_brk_rd_pointer > rx_fifo_rd_pointer) + begin + for (i4 = rx_fifo_brk_rd_pointer; i4 <= 31; i4 = i4 + 1) + rx_fifo_brk[i4] = 0; + for (i4 = 0; i4 <= rx_fifo_rd_pointer; i4 = i4 + 1) + rx_fifo_brk[i4] = 0; + rx_fifo_brk_rd_pointer = rx_fifo_rd_pointer; + end + else + begin + rx_fifo_brk = 0; + rx_fifo_brk_rd_pointer = rx_fifo_rd_pointer; + end + // check bit + if (~lsr_reg[4] && rx_fifo_brk[rx_fifo_brk_rd_pointer]) + begin + `BENCH_ERROR("Bit 4 of LSR register not '1'!"); + -> error_detected; + end + else if (lsr_reg[4] && ~rx_fifo_brk[rx_fifo_brk_rd_pointer]) + begin + `BENCH_ERROR("Bit 4 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit4_changing + forever + begin + wait (~lsr_reg_bit4_change_allowed); + begin + @(lsr_reg[4] or lsr_reg_bit4_change_allowed); + if (~lsr_reg_bit4_change_allowed) + begin + `BENCH_ERROR("Bit 4 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 5 - Transmitter Holding Register Empty + initial + begin + lsr_reg_bit5_change_allowed = 0; + @(reset_released); + #10; + fork + begin: tx_fifo_status_changing + forever + begin + if (tx_fifo_status == 0) + begin +// @(tx_reg_written); + wait (tx_fifo_status > 0); + lsr_reg_bit5_change_allowed = 1'b1; + repeat (3) @(posedge wb_clk); + #2; + lsr_reg_bit5_change_allowed = 0; + if (lsr_reg[5]) + begin + `BENCH_ERROR("Bit 5 of LSR register not '0'!"); + -> error_detected; + end + end + else + begin + wait (tx_fifo_status == 0); + lsr_reg_bit5_change_allowed = 1'b1; + repeat (3) @(posedge wb_clk); + #2; + lsr_reg_bit5_change_allowed = 0; + if (~lsr_reg[5]) + begin + `BENCH_ERROR("Bit 5 of LSR register not '1'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit5_changing + forever + begin + wait (~lsr_reg_bit5_change_allowed); + begin + @(lsr_reg[5] or lsr_reg_bit5_change_allowed); + if (~lsr_reg_bit5_change_allowed) + begin + `BENCH_ERROR("Bit 5 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 6 - Transmitter Empty + initial + begin + lsr_reg_bit6_change_allowed = 0; + @(reset_released); + #10; + fork + begin: tx_fifo_status_and_shift_reg_changing + forever + begin + if ((tx_fifo_status == 0) && tx_shift_reg_empty) + begin +// @(tx_reg_written); + wait (tx_fifo_status > 0); + lsr_reg_bit6_change_allowed = 1'b1; + repeat (3) @(posedge wb_clk); + #2; + lsr_reg_bit6_change_allowed = 0; + if (lsr_reg[6]) + begin + `BENCH_ERROR("Bit 6 of LSR register not '0'!"); + -> error_detected; + end + end + else + begin + wait ((tx_fifo_status == 0) && tx_shift_reg_empty); + lsr_reg_bit6_change_allowed = 1'b1; + repeat (3) @(posedge wb_clk); + #2; + lsr_reg_bit6_change_allowed = 0; + if (~lsr_reg[6]) + begin + `BENCH_ERROR("Bit 6 of LSR register not '1'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit6_changing + forever + begin + wait (~lsr_reg_bit6_change_allowed); + begin + @(lsr_reg[6] or lsr_reg_bit6_change_allowed); + if (~lsr_reg_bit6_change_allowed) + begin + `BENCH_ERROR("Bit 6 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + // Bit 7 - Error in RX FIFO + initial + begin + lsr_reg_bit7_change_allowed = 0; + @(reset_released); + #10; + fork + begin: error_changing + forever + begin + if ((rx_fifo_par == 0) && (rx_fifo_frm == 0) && (rx_fifo_brk == 0)) + begin + wait (rx_parity_err || rx_framing_err || rx_framing_glitch || rx_break_int); + lsr_reg_bit7_change_allowed = 1'b1; + repeat (3) @(posedge wb_clk); + #2; + lsr_reg_bit7_change_allowed = 0; + // check bit + if (~lsr_reg[7]) + begin + `BENCH_ERROR("Bit 7 of LSR register not '1'!"); + -> error_detected; + end + end + else + begin + wait (lsr_reg_read && (rx_fifo_par == 0) && (rx_fifo_frm == 0) && (rx_fifo_brk == 0)); + lsr_reg_bit7_change_allowed = 1'b1; + repeat (2) @(posedge wb_clk); + #2; + lsr_reg_bit7_change_allowed = 0; + // check bit + if (lsr_reg[7]) + begin + `BENCH_ERROR("Bit 7 of LSR register not '0'!"); + -> error_detected; + end + end + end + end + begin: lsr_reg_bit7_changing + forever + begin + wait (~lsr_reg_bit7_change_allowed); + begin + @(lsr_reg[7] or lsr_reg_bit7_change_allowed); + if (~lsr_reg_bit7_change_allowed) + begin + `BENCH_ERROR("Bit 7 of LSR register should not change!"); + -> error_detected; + end + end + end + end + join + end + + +// UART transmitter monitor +//######################### + + // TX FIFO status + always@(tx_fifo_wr_pointer or tx_fifo_rd_pointer) + begin + if (tx_fifo_wr_pointer >= tx_fifo_rd_pointer) + tx_fifo_status = tx_fifo_wr_pointer - tx_fifo_rd_pointer; + else + tx_fifo_status = (5'h1F - tx_fifo_rd_pointer) + tx_fifo_wr_pointer; + end + // TX FIFO and TX data + initial + begin + tx_fifo_wr_pointer = 0; + tx_fifo_rd_pointer = 0; + tx_shift_reg_empty = 1; + tx_fifo_status = 0; + tx_start_bit_edge = 1; + fork + begin:write_tx_shift_reg_read_tx_fifo + forever + begin + wait ((tx_fifo_status !== 0) && tx_shift_reg_empty && tx_start_bit_edge && ~stx_pad_o); + tx_start_bit_edge = 0; + tx_shift_reg = tx_fifo[tx_fifo_rd_pointer]; + tx_shift_reg_empty = 0; + @(testbench.i_uart_device.device_received_last_bit); + repeat (16393) @(posedge wb_clk); + tx_fifo_rd_pointer = tx_fifo_rd_pointer + 1'b1; + @(posedge wb_clk); + if (tx_fifo_status == 0) + begin + `BENCH_MSG("TX FIFO is empty!"); + end + end + end + begin:write_tx_fifo + forever + begin + @(tx_reg_written); // write to FIFO + repeat (1) @(posedge wb_clk); // delay when writing into registers + if (tx_fifo_status <= 5'h0F) + begin + tx_fifo[tx_fifo_wr_pointer] = reg_dat; + tx_fifo_wr_pointer = tx_fifo_wr_pointer + 1'b1; + end + else // FIFO overflow + begin + `BENCH_WARNING("TX FIFO overflow!"); + end + end + end + begin:empty_tx_fifo + forever + begin + wait (fcr_reg[2]); + tx_fifo_wr_pointer = 0; + tx_fifo_rd_pointer = 0; + @(posedge wb_clk); + if (tx_fifo_status == 0) + begin + `BENCH_MSG("TX FIFO is empty!"); + end + end + end + begin:read_tx_shift_reg + forever + begin + @(testbench.i_uart_device.device_received_packet); + // Check data + if (tx_shift_reg != testbench.i_uart_device.rx_data) + begin + `BENCH_ERROR("TX data has ERROR!"); + -> error_detected; + end + else + `BENCH_MSG("TX data correct!"); + if (testbench.i_uart_device.rx_parity_error) + begin + `BENCH_ERROR("TX data has parity ERROR!"); + -> error_detected; + end + else + `BENCH_MSG("TX data parity correct!"); + if (testbench.i_uart_device.rx_framing_error) + begin + `BENCH_ERROR("TX data has framing ERROR!"); + -> error_detected; + end + else + `BENCH_MSG("TX data framing correct!"); + // Set TX FIFO read pointer + tx_start_bit_edge = 1; + repeat (7) @(wb_clk); + if (tx_shift_reg_empty == 0) + begin + tx_shift_reg_empty = 1'b1; + end + else + begin + `BENCH_ERROR("TX shift register empty while transmiting data!"); + -> error_detected; + end + end + end + join + end + + +// UART receiver monitor +//###################### + + // RX FIFO status + always@(rx_fifo_wr_pointer or rx_fifo_rd_pointer) + begin + if (rx_fifo_wr_pointer >= rx_fifo_rd_pointer) + rx_fifo_status = rx_fifo_wr_pointer - rx_fifo_rd_pointer; + else + rx_fifo_status = (5'h1F - rx_fifo_rd_pointer) + rx_fifo_wr_pointer; + end + // RX FIFO and RX data + initial + begin + rx_parity_err = 0; + rx_framing_err = 0; + rx_framing_glitch = 0; + rx_break_int = 0; + rx_overrun_err_occured = 0; + rx_fifo_par = 0; + rx_fifo_frm = 0; + rx_fifo_brk = 0; + rx_shift_reg_full = 0; + rx_fifo_wr_pointer = 0; + rx_fifo_rd_pointer = 0; + rx_fifo_status = 0; + fork + begin:write_rx_shift_reg + forever + begin + @(testbench.i_uart_device.device_sent_packet); + repeat (1) @(posedge wb_clk); + rx_shift_reg = testbench.i_uart_device.sent_data; + rx_parity_err = testbench.i_uart_device.tx_parity_enabled && + (testbench.i_uart_device.tx_parity_wrong || + ( // sample point is BIT_NUM * 2 - 1 => 3, 5, 7... + ((testbench.i_uart_device.tx_glitch_num == (3 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (5 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (7 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (9 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (11 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (13 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (15 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (17 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (19 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (21 * 8 * testbench.i_uart_device.T_divisor)) || + (testbench.i_uart_device.tx_glitch_num == (23 * 8 * testbench.i_uart_device.T_divisor))) && + (testbench.i_uart_device.tx_glitch_num[23:0] < ((testbench.i_uart_device.tx_length + 2'h1) * + 16 * testbench.i_uart_device.T_divisor)) + )); + rx_framing_err = testbench.i_uart_device.tx_framing_wrong; + rx_framing_glitch = (testbench.i_uart_device.tx_glitch_num == ((((testbench.i_uart_device.tx_length + 2'h2 + + testbench.i_uart_device.tx_parity_enabled) * + 2) - 1'b1) * 8 * testbench.i_uart_device.T_divisor)); + rx_break_int = testbench.i_uart_device.tx_break_enable && + (testbench.i_uart_device.tx_break_num[15:0] >= ((testbench.i_uart_device.tx_length + 2'h2 + + testbench.i_uart_device.tx_parity_enabled) * + 16 * testbench.i_uart_device.T_divisor)); + -> testbench.i_uart_device.sent_packet_received; + if (rx_fifo_status > 5'h0F) + rx_overrun_err_occured = 1'b1; + rx_shift_reg_full = 1'b1; + end + end + begin:write_rx_fifo_read_rx_shift_reg + forever + begin + wait (rx_shift_reg_full); + if (rx_fifo_status <= 5'h0F) + begin + rx_fifo_data[rx_fifo_wr_pointer] = testbench.i_uart_device.sent_data; + rx_fifo_par[rx_fifo_wr_pointer] = rx_parity_err; + rx_fifo_frm[rx_fifo_wr_pointer] = rx_framing_err || rx_framing_glitch; + rx_fifo_brk[rx_fifo_wr_pointer] = rx_break_int; + rx_fifo_wr_pointer = rx_fifo_wr_pointer + 1'b1; + end + else // FIFO overflow + begin + `BENCH_WARNING("RX FIFO overflow!"); + end + repeat (1) @(posedge wb_clk); + rx_shift_reg_full = 0; + end + end + begin:empty_rx_fifo + forever + begin + wait (fcr_reg[1]); + rx_fifo_wr_pointer = 0; + rx_fifo_rd_pointer = 0; +// rx_fifo_par = 0; +// rx_fifo_frm = 0; +// rx_fifo_brk = 0; + @(posedge wb_clk); + if (rx_fifo_status == 0) + begin + `BENCH_MSG("RX FIFO is empty!"); + end + end + end + begin:read_rx_fifo + rx_fifo_read = 0; + forever + begin + @(rx_reg_read); + if (rx_fifo_status > 0) + begin + rx_fifo_read = 1'b1; + // Check data + if (rx_fifo_data[rx_fifo_rd_pointer] != reg_dat) + begin + `BENCH_ERROR("RX data has ERROR!"); + -> error_detected; + end + else + begin + `BENCH_MSG("RX data correct!"); + end + // Set RX FIFO read pointer + repeat (1) @(posedge wb_clk); + rx_fifo_read = 0; + rx_fifo_rd_pointer = rx_fifo_rd_pointer + 1'b1; + end + else + begin + `BENCH_WARNING("Reading RX FIFO while RX FIFO is empty!"); + end + + + if ((~rx_fifo_frm[rx_fifo_rd_pointer] && lsr_reg[3]) || + (rx_fifo_frm[rx_fifo_rd_pointer] && ~lsr_reg[3])) + begin + `BENCH_ERROR("RX data has wrong framing ERROR!"); + -> error_detected; + end + else + `BENCH_MSG("RX data has correct framing error!"); + // Set RX FIFO read pointer + repeat (1) @(posedge wb_clk); + rx_fifo_read = 0; + if (rx_fifo_status > 0) + begin +// rx_fifo_par[rx_fifo_rd_pointer] = 1'b0; +// rx_fifo_frm[rx_fifo_rd_pointer] = 1'b0; +// rx_fifo_brk[rx_fifo_rd_pointer] = 1'b0; + rx_fifo_rd_pointer = rx_fifo_rd_pointer + 1'b1; + end + end + end + join + end + + +// UART interrupt monitor +//####################### + + + + +endmodule + + diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v new file mode 100644 index 00000000..43c21692 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v @@ -0,0 +1,89 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_testbench_defines.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_testbench_defines.v,v $ +// Revision 1.1 2004/03/27 03:55:17 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`ifdef VERBOSE + // Displaying messages to CRT and providing to "testcase" + `define SEVERE_ERROR(TEXT) $display("Time: %t (%m)", $time); $display("*E, Object %m reporting severe error:"); $display(" %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.severe_err_msg = TEXT; -> testcase.severe_err_event; @(testcase.testbench_log_written) + `define UTILS_WARNING(TEXT) $display("Time: %t (%m)", $time); $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define UTILS_ERROR(TEXT) $display("Time: %t (%m)", $time); $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define BENCH_WARNING(TEXT) $display("Time: %t (%m)", $time); $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define BENCH_ERROR(TEXT) $display("Time: %t (%m)", $time); $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define TC_ERROR(TEXT) $display("Time: %t (%m)", $time); $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define DEVICE_WARNING(TEXT) $display("Time: %t (%m)", $time); $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define DEVICE_ERROR(TEXT) $display("Time: %t (%m)", $time); $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + // Displaying messages to CRT + `define UTILS_MSG(TEXT) $display("Time %t (%m)", $time); $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define UTILS_VAL1(TEXT, VAL) $display("Time %t (%m)", $time); $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) + `define BENCH_MSG(TEXT) $display("Time %t (%m)", $time); $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define BENCH_VAL1(TEXT, VAL) $display("Time %t (%m)", $time); $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) + `define TC_MSG(TEXT) $display("Time %t (%m)", $time); $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define TC_VAL1(TEXT, VAL) $display("Time %t (%m)", $time); $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) +`else + // Displaying messages to CRT and providing to "testcase" + `define SEVERE_ERROR(TEXT) $display("*E, Object %m reporting severe error:"); $display(" %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.severe_err_msg = TEXT; -> testcase.severe_err_event; @(testcase.testbench_log_written) + `define UTILS_WARNING(TEXT) $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define UTILS_ERROR(TEXT) $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define BENCH_WARNING(TEXT) $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define BENCH_ERROR(TEXT) $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define TC_ERROR(TEXT) $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + `define DEVICE_WARNING(TEXT) $display("*W, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.wrn_event; @(testcase.testbench_log_written) + `define DEVICE_ERROR(TEXT) $display("*E, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.err_event; @(testcase.testbench_log_written) + // Displaying messages to CRT + `define UTILS_MSG(TEXT) $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define UTILS_VAL1(TEXT, VAL) $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) + `define BENCH_MSG(TEXT) $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define BENCH_VAL1(TEXT, VAL) $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) + `define TC_MSG(TEXT) $display("*N, %0s", TEXT); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; -> testcase.msg_event; @(testcase.testbench_log_written) + `define TC_VAL1(TEXT, VAL) $display("*N, %0s %0h.", TEXT, VAL); $sformat(testcase.tim, "Time: %t (%m)", $time); testcase.msg = TEXT; testcase.val = VAL; -> testcase.val_event; @(testcase.testbench_log_written) +`endif + +// Testcase end +`define PROMPT #1000000; log.end_log; $finish diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v new file mode 100644 index 00000000..88eaac27 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v @@ -0,0 +1,323 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_testbench_utilities.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_testbench_utilities.v,v $ +// Revision 1.1 2004/03/27 03:55:17 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_defines.v" +`include "uart_testbench_defines.v" +`include "wb_model_defines.v" +`include "timescale.v" + +module testbench_utilities; + +// Basic system TASKs +//################### + + // DO_RESET + task do_reset; + begin + testbench.wb_reset = 1'b1; + #1; + `UTILS_MSG("RESET signal asynchronously set."); + end + endtask // do_reset + + // RELEASE_RESET + task release_reset; + begin + @(posedge testbench.wb_clk); + #1; + testbench.wb_reset = 1'b0; + `UTILS_MSG("RESET signal released synchronously to WB clk."); + end + endtask // release_reset + + // DISABLE_CLK_GENERATORS + task disable_clk_generators; + input wb_clk_disable; + input rx_clk_disable; + input tx_clk_disable; + input tx_clk_divided_disable; + begin + `UTILS_MSG("Following clocks are DISABLED:"); + if (wb_clk_disable) + begin + testbench.wb_clk_en = 1'b0; + `UTILS_MSG("- WB_clk"); + end + if (rx_clk_disable) + begin + testbench.i_uart_device.rx_clk_en = 1'b0; + `UTILS_MSG("- RX_clk"); + end + if (tx_clk_disable) + begin + testbench.i_uart_device.tx_clk_en = 1'b0; + `UTILS_MSG("- TX_clk"); + end + if (tx_clk_divided_disable) + begin + testbench.i_uart_device.tx_clk_divided_en = 1'b0; + `UTILS_MSG("- TX_clk_divided"); + end + if (~wb_clk_disable && ~rx_clk_disable && ~tx_clk_disable && ~tx_clk_divided_disable) + begin + `UTILS_MSG("- NO clocks DISABLED"); + end + end + endtask // disable_clk_generators + + // ENABLE_CLK_GENERATORS + task enable_clk_generators; + input wb_clk_enable; + input rx_clk_enable; + input tx_clk_enable; + input tx_clk_divided_enable; + begin + `UTILS_MSG("Following clocks are ENABLED:"); + if (wb_clk_enable) + begin + testbench.wb_clk_en = 1'b1; + `UTILS_MSG("- WB_clk"); + end + if (rx_clk_enable) + begin + testbench.i_uart_device.rx_clk_en = 1'b1; + `UTILS_MSG("- RX_clk"); + end + if (tx_clk_enable) + begin + testbench.i_uart_device.tx_clk_en = 1'b1; + `UTILS_MSG("- TX_clk"); + end + if (tx_clk_divided_enable) + begin + testbench.i_uart_device.tx_clk_divided_en = 1'b1; + `UTILS_MSG("- TX_clk_divided"); + end + if (~wb_clk_enable && ~rx_clk_enable && ~tx_clk_enable && ~tx_clk_divided_enable) + begin + `UTILS_MSG("- NO clocks ENABLED"); + end + end + endtask // enable_clk_generators + + // SET_DEVICE_TX_RX_CLK_PERIOD + task set_device_tx_rx_clk_period; + input [31:0] clk_period; + begin + testbench.i_uart_device.T_clk_period = clk_period; + `UTILS_VAL1("UART DEVICE TX/RX clock period:", clk_period); + end + endtask // set_device_tx_rx_clk_period + + // SET_DEVICE_TX_CLK_DELAY + task set_device_tx_clk_delay; + input [31:0] tx_clk_delay; + begin + testbench.i_uart_device.T_clk_delay = tx_clk_delay; + `UTILS_VAL1("UART DEVICE TX clock delay:", tx_clk_delay); + end + endtask // set_device_tx_clk_delay + + // SET_DEVICE_TX_RX_CLK_DIVISOR + task set_device_tx_rx_clk_divisor; + input [31:0] clk_divisor; + begin + testbench.i_uart_device.T_divisor = clk_divisor; + `UTILS_VAL1("UART DEVICE TX/RX clock divisor:", clk_divisor); + end + endtask // set_device_tx_rx_clk_divisor + + // SET_WB_CLK_PERIOD + task set_wb_clock_period; + input [31:0] clk_period; + begin + testbench.T_wb_clk_period = clk_period; + testbench.i_uart_device.T_clk_period = clk_period; + `UTILS_VAL1("WB & UART DEVICE TX/RX clock period:", clk_period); + end + endtask // set_wb_clock_period + + // WB_CLK_FOLLOWS_DEVICE_RX_CLK + task wb_clk_follows_device_rx_clk; + input [31:0] time_delay_i; + integer time_delay; + begin + time_delay = time_delay_i; + @(posedge testbench.wb_clk); + testbench.wb_clk_en = 1'b0; + @(posedge testbench.i_uart_device.rx_clk); + #time_delay testbench.wb_clk = 1'b1; + testbench.wb_clk_en = 1'b1; + `UTILS_VAL1("WB followed UART DEVICE rising edge RX clock for time delay:", time_delay); + end + endtask // wb_clk_follows_device_rx_clk + + // DEVICE_RX_CLK_FOLLOWS_WB_CLK + task device_rx_clk_follows_wb_clk; + input [31:0] time_delay_i; + integer time_delay; + begin + time_delay = time_delay_i; + @(posedge testbench.i_uart_device.rx_clk); + testbench.i_uart_device.rx_clk_en = 1'b0; + @(posedge testbench.wb_clk); + #time_delay testbench.i_uart_device.rx_clk = 1'b1; + testbench.i_uart_device.rx_clk_en = 1'b1; + `UTILS_VAL1("UART DEVICE RX followed WB rising edge clock for time delay:", time_delay); + end + endtask // device_rx_clk_follows_wb_clk + +// Utility tasks +//############## + + // WAIT_FOR_NUM_OF_WB_CLK + task wait_for_num_of_wb_clk; + input [31:0] num_of_clk; + integer count; + begin + count = 0; + `UTILS_VAL1("Waiting for following number of WB CLK periods:", num_of_clk); + while (count < num_of_clk) + begin + @(testbench.wb_clk); + count = count + 1'b1; + #1; + end + `UTILS_MSG("Waiting expired."); + end + endtask // wait_for_num_of_wb_clk + + // WAIT_RX_FIFO_FULL_REGARDLESS_INT + task wait_rx_fifo_full_regardless_int; + integer count; + begin + count = 0; + `UTILS_MSG("Waiting for RX FIFO to get full regardless of interrupt."); + fork + begin:fifo_full_loop + while (testbench.i_uart_top.regs.receiver.fifo_rx.count < + testbench.i_uart_top.regs.receiver.fifo_rx.fifo_depth) // While RX fifo not full + begin + @(testbench.wb_clk); + end + disable counter; + `UTILS_MSG("RX FIFO got full."); + end + begin:counter + while (count < testbench.max_wait_cnt) + begin + @(testbench.wb_clk); + count = count + 1'b1; + #1; + end + disable fifo_full_loop; + `UTILS_ERROR("WAIT counter exceeded max value."); + end + join + end + endtask // wait_rx_fifo_full_regardless_int + + // WAIT_RX_FIFO_FULL_UNLESS_INT + task wait_rx_fifo_full_unless_int; + integer count; + begin + count = 0; + `UTILS_MSG("Waiting for RX FIFO to get full unless interrupt occures before."); + fork + begin:fifo_full_loop + while (testbench.i_uart_top.regs.receiver.fifo_rx.count < + testbench.i_uart_top.regs.receiver.fifo_rx.fifo_depth) // While RX fifo not full + begin + @(testbench.wb_clk); + end + disable counter; + disable int_loop; + `UTILS_MSG("RX FIFO got full."); + end + begin:int_loop + if (testbench.ier_reg[3:0] == 4'h0) + begin + `UTILS_MSG("All interrupts are disabled."); + end + else + begin + `UTILS_MSG("Interrupts are enabled in IE Register."); + `UTILS_VAL1("IER:", testbench.ier_reg); + @(testbench.int_aserted); + `UTILS_MSG("Interrupt is asserted. The pending interrupt of highest priority is in II Register."); + `UTILS_VAL1("IIR:", testbench.iir_reg); + disable counter; + disable fifo_full_loop; + end + end + begin:counter + while (count < testbench.max_wait_cnt) + begin + @(testbench.wb_clk); + count = count + 1'b1; + #1; + end + disable int_loop; + disable fifo_full_loop; + `UTILS_ERROR("WAIT counter exceeded max value."); + end + join + end + endtask // wait_rx_fifo_full_unless_int + + +// UART Initialize TASKs +//###################### + + // POSSIBLE INITIALIZE TASKS - NOW FEW STEPS ARE MADE IN EACH testcase!!! + + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v new file mode 100644 index 00000000..32b6bfce --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v @@ -0,0 +1,362 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_wb_utilities.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - tadej@opencores.org (Tadej Markovic) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: uart_wb_utilities.v,v $ +// Revision 1.1 2004/03/27 03:55:17 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`include "uart_defines.v" +`include "uart_testbench_defines.v" +`include "wb_model_defines.v" +`include "timescale.v" + +module uart_wb_utilities; + +// Single read/write TASKs +//######################## + + // SINGLE_READ + task single_read; + input [`UART_ADDR_WIDTH-1:0] read_adr_i; + reg [3:0] read_sel_i; + reg `WBM_MODEL_READ_IN_TYPE read_stim; + reg `WBM_MODEL_READ_OUT_TYPE read_result; + integer master_waits; + integer slave_waits; + integer num_of_reads; + reg fast_b2b; + begin + read_sel_i = 4'hF; + testbench.i_wb_master_model.next_read_adr = read_adr_i; + testbench.i_wb_master_model.next_read_sel = read_sel_i; + testbench.i_wb_master_model.next_read_cti = 3'b000; // Clasic WB + testbench.i_wb_master_model.next_read_bte = $random; // Don't care hwen Clasic WB + master_waits = {$random} % 13; + slave_waits = 4; + num_of_reads = 1; + fast_b2b = 1'b0; + read_stim`WBM_MODEL_READ_WAITS = master_waits; + read_stim`WBM_MODEL_READ_ALLOWED_SLAVE_WAITS = slave_waits; + read_stim`WBM_MODEL_READ_LAST = (num_of_reads == 1); + read_stim`WBM_MODEL_READ_FAST_B2B = fast_b2b; + // Start read + testbench.i_wb_master_model.start_read(read_stim, read_result); + // ACK response + if (read_result`WBM_MODEL_READ_SLAVE_ACK !== 1'b1) + begin + `TC_ERROR("Wishbone master model did not receive expected transfer termination from the design."); + end + // + if (read_result`WBM_MODEL_READ_STIM_ERR !== 1'b0) + begin + `TC_ERROR("No reads done since design's wishbone slave interface responded with an error."); + end + // + if (read_result`WBM_MODEL_READ_DESIGN_ERR !== 1'b0) + begin + `TC_ERROR("Wishbone master model detected a design response error during single read access."); + end + end + endtask // single_read + + // SINGLE_WRITE + task single_write; + input [`UART_ADDR_WIDTH-1:0] write_adr_i; + input [`UART_DATA_WIDTH-1:0] write_dat_i; + reg [3:0] write_sel_i; + reg `WBM_MODEL_WRITE_IN_TYPE write_stim; + reg `WBM_MODEL_WRITE_OUT_TYPE write_result; + integer master_waits; + integer slave_waits; + integer num_of_writes; + reg fast_b2b; + begin + write_sel_i = 4'hF; + testbench.i_wb_master_model.next_write_adr = write_adr_i; + testbench.i_wb_master_model.next_write_sel = write_sel_i; + testbench.i_wb_master_model.next_write_dat = write_dat_i; + testbench.i_wb_master_model.next_write_cti = 3'b000; // Clasic WB + testbench.i_wb_master_model.next_write_bte = $random; // Don't care hwen Clasic WB + master_waits = {$random} % 13; + slave_waits = 4; + num_of_writes = 1; + fast_b2b = 1'b0; + write_stim`WBM_MODEL_WRITE_WAITS = master_waits; + write_stim`WBM_MODEL_WRITE_ALLOWED_SLAVE_WAITS = slave_waits; + write_stim`WBM_MODEL_WRITE_LAST = (num_of_writes == 1); + write_stim`WBM_MODEL_WRITE_FAST_B2B = fast_b2b; + // Start write + testbench.i_wb_master_model.start_write(write_stim, write_result); + // ACK response + if (write_result`WBM_MODEL_WRITE_SLAVE_ACK !== 1'b1) + begin + `TC_ERROR("Wishbone master model did not receive expected transfer termination from the design."); + end + // + if (write_result`WBM_MODEL_WRITE_STIM_ERR !== 1'b0) + begin + `TC_ERROR("No writes done since wishbone master model reported an error."); + end + // + if (write_result`WBM_MODEL_WRITE_DESIGN_ERR !== 1'b0) + begin + `TC_ERROR("Wishbone master model detected a design response error during single write access."); + end + end + endtask // single_write + +// Char read/write TASKs +//###################### + + // READ_CHAR + task read_char; + begin + if (testbench.lcr_reg[7] === 1'b1) // dlab == 1 + begin + `UTILS_ERROR("READING of CHAR from RB Register NOT possible, since DLAB in LC Register is set."); + end + else + begin + `UTILS_MSG("READING of CHAR from UART's RB Register."); + single_read(`UART_REG_RB); + `UTILS_VAL1("Read RBR =", testbench.i_wb_master_model.read_dat); + end + end + endtask // read_char + + // WRITE_CHAR + task write_char; + input [7:0] char_i; + begin + if (testbench.lcr_reg[7] === 1'b1) // dlab == 1 + begin + `UTILS_ERROR("WRITING CHAR to TR Register NOT possible, since DLAB in LC Register is set."); + end + else + begin + `UTILS_MSG("WRITING CHAR to UART's TR Register."); + single_write(`UART_REG_TR, char_i); + `UTILS_VAL1("Write TRR =", testbench.i_wb_master_model.write_dat); + end + end + endtask // write_char + +// Register read/write TASKs +//########################## + + // READ_IER - adr 1 + task read_ier; + begin + if (testbench.lcr_reg[7] === 1'b1) // dlab == 1 + begin + `UTILS_ERROR("READING of IE Register NOT possible, since DLAB in LC Register is set."); + end + else + begin + `UTILS_MSG("READING UART's IE Register."); + single_read(`UART_REG_IE); + `UTILS_VAL1("Read IER =", testbench.i_wb_master_model.read_dat); + end + end + endtask // read_ier + + // WRITE_IER - adr 1 + task write_ier; + input [7:0] data_i; + begin + if (testbench.lcr_reg[7] === 1'b1) // dlab == 1 + begin + `UTILS_ERROR("WRITING to IE Register NOT possible, since DLAB in LC Register is set."); + end + else + begin + `UTILS_MSG("WRITING UART's IE Register."); + single_write(`UART_REG_IE, data_i); + `UTILS_VAL1("Write IER =", testbench.i_wb_master_model.write_dat); + end + end + endtask // write_ier + + // READ_IIR - adr 2 + task read_iir; + begin + `UTILS_MSG("READING UART's II Register."); + single_read(`UART_REG_II); + `UTILS_VAL1("Read IIR =", testbench.i_wb_master_model.read_dat); + end + endtask // read_iir + + // WRITE_FCR - adr 2 + task write_fcr; + input [7:0] data_i; + begin + `UTILS_MSG("WRITING UART's FC Register."); + single_write(`UART_REG_FC, data_i); + `UTILS_VAL1("Write FCR =", testbench.i_wb_master_model.write_dat); + end + endtask // write_fcr + + // READ_LCR - adr 3 + task read_lcr; + begin + `UTILS_MSG("READING UART's LC Register."); + single_read(`UART_REG_LC); + `UTILS_VAL1("Read LCR =", testbench.i_wb_master_model.read_dat); + end + endtask // read_lcr + + // WRITE_LCR - adr 3 + task write_lcr; + input [7:0] data_i; + begin + `UTILS_MSG("WRITING UART's LC Register."); + single_write(`UART_REG_LC, data_i); + `UTILS_VAL1("Write LCR =", testbench.i_wb_master_model.write_dat); + end + endtask // write_lcr + + // WRITE_MCR - adr 4 + task write_mcr; + input [7:0] data_i; + begin + `UTILS_MSG("WRITING UART's MC Register."); + single_write(`UART_REG_MC, data_i); + `UTILS_VAL1("Write MCR =", testbench.i_wb_master_model.write_dat); + end + endtask // write_mcr + + // READ_LSR - adr 5 + task read_lsr; + begin + `UTILS_MSG("READING UART's LS Register."); + single_read(`UART_REG_LS); + `UTILS_VAL1("Read LSR =", testbench.i_wb_master_model.read_dat); + end + endtask // read_lsr + + // READ_MSR - adr 6 + task read_msr; + begin + `UTILS_MSG("READING UART's MS Register."); + single_read(`UART_REG_MS); + `UTILS_VAL1("Read MSR =", testbench.i_wb_master_model.read_dat); + end + endtask // read_msr + + // READ_DLR - adr 0, 1 + task read_dlr; + begin + if (testbench.lcr_reg[7] === 1'b0) // dlab == 0 + begin + // Setting DLAB + `UTILS_MSG("DLAB in LC Register is going to be 1."); + `UTILS_VAL1("Current LCR =", testbench.lcr_reg); + write_lcr(testbench.lcr_reg | 8'h80); + // Reading DL Register + `UTILS_MSG("READING UART's DL Register [15:8]."); + single_read(`UART_REG_DL2); + `UTILS_VAL1("Read DLR [15:8] =", testbench.i_wb_master_model.read_dat); + `UTILS_MSG("READING UART's DL Register [ 7:0]."); + single_read(`UART_REG_DL1); + `UTILS_VAL1("Read DLR [ 7:0] =", testbench.i_wb_master_model.read_dat); + // Resetting DLAB + `UTILS_MSG("DLAB in LC Register is going to be 0."); + write_lcr(testbench.lcr_reg & 8'h7F); + end + else + begin + `UTILS_MSG("DLAB in LC Register is already 1."); + `UTILS_VAL1("Current LCR =", testbench.lcr_reg); + // Reading DL Register + `UTILS_MSG("READING UART's DL Register [15:8]."); + single_read(`UART_REG_DL2); + `UTILS_VAL1("Read DLR [15:8] =", testbench.i_wb_master_model.read_dat); + `UTILS_MSG("READING UART's DL Register [ 7:0]."); + single_read(`UART_REG_DL1); + `UTILS_VAL1("Read DLR [ 7:0] =", testbench.i_wb_master_model.read_dat); + end + end + endtask // read_dlr + + // WRITE_DLR - adr 0, 1 + task write_dlr; + input [15:0] data_i; + begin + if (testbench.lcr_reg[7] === 1'b0) // dlab == 0 + begin + // Setting DLAB + `UTILS_MSG("DLAB in LC Register is going to be 1."); + `UTILS_VAL1("Current LCR =", testbench.lcr_reg); + write_lcr(testbench.lcr_reg | 8'h80); + // Writing DL Register + `UTILS_MSG("WRITING UART's DL Register [15:8]."); + single_write(`UART_REG_DL2, data_i[15:8]); + `UTILS_VAL1("Write DLR [15:8] =", testbench.i_wb_master_model.write_dat); + `UTILS_MSG("WRITING UART's DL Register [ 7:0]."); + single_write(`UART_REG_DL1, data_i[ 7:0]); + `UTILS_VAL1("Write DLR [ 7:0] =", testbench.i_wb_master_model.write_dat); + // Resetting DLAB + `UTILS_MSG("DLAB in LC Register is going to be 0."); + write_lcr(testbench.lcr_reg & 8'h7F); + end + else + begin + `UTILS_MSG("DLAB in LC Register is already 1."); + `UTILS_VAL1("Current LCR =", testbench.lcr_reg); + // Writing DL Register + `UTILS_MSG("WRITING UART's DL Register [15:8]."); + single_write(`UART_REG_DL2, data_i[15:8]); + `UTILS_VAL1("Write DLR [15:8] =", testbench.i_wb_master_model.write_dat); + `UTILS_MSG("WRITING UART's DL Register [ 7:0]."); + single_write(`UART_REG_DL1, data_i[ 7:0]); + `UTILS_VAL1("Write DLR [ 7:0] =", testbench.i_wb_master_model.write_dat); + end + end + endtask // write_dlr + + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log b/usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log new file mode 100644 index 00000000..ebbfae16 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log @@ -0,0 +1,117 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// vapi.log //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Device interface for testing purposes //// +//// //// +//// Known problems (limits): //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created and updated: (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: vapi.log,v $ +// Revision 1.1 2002/01/25 08:54:56 mohor +// UART PHY added. Files are fully operational, working on HW. +// +// +// +// +// + + +001000020 // devisor 0x32 +002000300 // rx lcr 8n1 + +// Receive +10000034a // Receive J +100000361 // Receive a +100000363 // Receive c +10000030a // Receive \n + +// Send +000000371 // Transmit q - switching to interrupt mode +100000353 // Receive S +100000377 // Receive w +10000030a // Receive \n +006000020 // wait 32 tx cycles +000000331 // Transmit 1 +000000332 // Transmit 2 +000000333 // Transmit 3 +000000334 // Transmit 4 +000000335 // Transmit 5 +000000336 // Transmit 6 +000000337 // Transmit 7 +000000338 // Transmit 8 +000000339 // Transmit 9 +000000361 // Transmit a +000000362 // Transmit b +000000363 // Transmit c +000000364 // Transmit d +000000365 // Transmit e +000000366 // Transmit f +006000040 // wait 64 tx cycles +100000331 // Receive 1 +100000332 // Receive 2 +100000333 // Receive 3 +100000334 // Receive 4 +100000335 // Receive 5 +100000336 // Receive 6 +100000337 // Receive 7 +100000338 // Receive 8 +100000339 // Receive 9 +100000341 // Receive A +100000342 // Receive B +100000343 // Receive C +100000344 // Receive D +100000345 // Receive E +100000346 // Receive F + +200000000 // Exit simulation diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v b/usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v new file mode 100644 index 00000000..df257076 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v @@ -0,0 +1,640 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE Master Model //// +//// //// +//// //// +//// Author: Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Rudolf Usselmann //// +//// rudi@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_mast.v,v 1.1 2001/12/03 21:44:23 gorban Exp $ +// +// $Date: 2001/12/03 21:44:23 $ +// $Revision: 1.1 $ +// $Author: gorban $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: wb_mast.v,v $ +// Revision 1.1 2001/12/03 21:44:23 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// +// +// +// +// + +/* + +task mem_fill; + +- Fills local burst read (rd_buf[]) and write(wr_buf[]) buffers with random values. + + +task wb_wr1( 32 bit address, 4 bit byte select, 32 bit write data); + +- Performs a single WISHBONE write + + +task wb_wr4( 32 bit address, 4 bit byte select, integer delay, + 32 bit data 1, 32 bit data 2, 32 bit data 3, 32 bit data 4); + +- Performs 4 consecutive WISHBONE writes +- Strobe is deasserted between writes for 'delay' number of cycles + (This simulates wait state insertion ...) + + +task wb_wr_mult( 32 bit address, 4 bit byte select, integer delay, + integer count); + +- Simular to wb_wr4, except it pwrforms "count" number of write cycles. + The data is taken from the internal wr_bub[] memory. +- Strobe is deasserted between writes for 'delay' number of cycles + (This simulates wait state insertion ...) + + +task wb_rmw( 32 bit address, 4 bit byte select, integer delay, + integer rcount, integer wcount); + +- This task performs "rcount" read cycles, followed by wcount write cycles. +- read data is placed in to the internal rd_buf[] memory, write data is + taken from the internal wr_buf[] memory. +- Strobe is deasserted between writes for 'delay' number of cycles + (This simulates wait state insertion ...) + + +task wb_rd1( 32 bit address, 4 bit byte select, 32 bit read data); + +- Performs a single WISHBONE write + + +task wb_rd4( 32 bit address, 4 bit byte select, integer delay, + 32 bit data 1, 32 bit data 2, 32 bit data 3, 32 bit data 4); + +- Performs 4 consecutive WISHBONE reads +- Strobe is deasserted between reads for 'delay' number of cycles + (This simulates wait state insertion ...) + + +task wb_rd_mult( 32 bit address, 4 bit byte select, integer delay, + integer count); + +- Simular to wb_rd4, except it pwrforms "count" number of read cycles. + The data is read in to the internal rd_buf[] memory. +- Strobe is deasserted between reads for 'delay' number of cycles + (This simulates wait state insertion ...) + + +*/ + + +//`include "wb_model_defines.v" + +module wb_mast(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty); + +input clk, rst; +output [31:0] adr; +input [31:0] din; +output [31:0] dout; +output cyc, stb; +output [3:0] sel; +output we; +input ack, err, rty; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +parameter mem_size = 4096; + +reg [31:0] adr; +reg [31:0] dout; +reg cyc, stb; +reg [3:0] sel; +reg we; + +reg [31:0] rd_mem[mem_size:0]; +reg [31:0] wr_mem[mem_size:0]; +integer rd_cnt; +integer wr_cnt; + +//////////////////////////////////////////////////////////////////// +// +// Memory Logic +// + +initial + begin + adr = 32'hxxxx_xxxx; + dout = 32'hxxxx_xxxx; + cyc = 0; + stb = 0; + sel = 4'hx; + we = 1'hx; + rd_cnt = 0; + wr_cnt = 0; + #1; + $display("\nINFO: WISHBONE MASTER MODEL INSTANTIATED (%m)\n"); + end + + + +task mem_fill; + +integer n; +begin +rd_cnt = 0; +wr_cnt = 0; +for(n=0;n error_event ; + end + + if (wb_rst_i === `WB_MODEL_RST_ACTIVE) + begin + reset_done = 1'b0 ; + end + end +end +begin + forever + begin + @(wb_rst_i) ; + if (wb_rst_i === `WB_MODEL_RST_ACTIVE) + begin + @(posedge wb_clk_i or wb_rst_i) ; + if (wb_rst_i !== `WB_MODEL_RST_ACTIVE) + begin + error_message = "Reset de-activated prior to at least one positive clock transition" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + else + begin + reset_done = 1'b1 ; + end + end + end +end +join + +always@(wb_rst_i) +begin + if (wb_rst_i === `WB_MODEL_RST_ACTIVE) + wbm_cyc_o <= 1'b0 ; +end + +reg access_in_progress ; +initial access_in_progress = 1'b0 ; + +task start_write ; + input `WBM_MODEL_WRITE_IN_TYPE write_stim_i ; + output `WBM_MODEL_WRITE_OUT_TYPE write_res_o ; + reg [31: 0] num_of_slave_waits ; + reg end_access ; +begin:main + + write_res_o = 'h0 ; + + if (access_in_progress === 1'b1) + begin + error_message = "Task called when some other access was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + write_res_o`WBM_MODEL_WRITE_STIM_ERR = 1'b1 ; + disable main ; + end + + if (reset_done !== 1'b1) + begin + error_message = "Task called before reset was applied to the design" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + write_res_o`WBM_MODEL_WRITE_STIM_ERR = 1'b1 ; + disable main ; + end + + access_in_progress = 1'b1 ; + end_access = write_stim_i`WBM_MODEL_WRITE_LAST ; + + if (write_stim_i`WBM_MODEL_WRITE_FAST_B2B !== 1'b1) + @(posedge wb_clk_i) ; + + wbm_cyc_o <= #(Tperiod - Tsetup) 1'b1 ; + + insert_waits(write_stim_i`WBM_MODEL_WRITE_WAITS, 'h0, num_of_slave_waits) ; + + if ((num_of_slave_waits ^ num_of_slave_waits) === 'h0) + begin + error_message = "Slave responded to initial write access" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + num_of_slave_waits = 0 ; + + wbm_stb_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_we_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_adr_o <= #(Tperiod - Tsetup) next_write_adr ; + wbm_dat_o <= #(Tperiod - Tsetup) get_write_dat(next_write_dat, next_write_sel) ; + wbm_sel_o <= #(Tperiod - Tsetup) next_write_sel ; + wbm_cti_o <= #(Tperiod - Tsetup) next_write_cti ; + wbm_bte_o <= #(Tperiod - Tsetup) next_write_bte ; + + -> write_accepted ; + + @(posedge wb_clk_i) ; + + while((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0) & (num_of_slave_waits < write_stim_i`WBM_MODEL_WRITE_ALLOWED_SLAVE_WAITS)) + begin + num_of_slave_waits = num_of_slave_waits + 1'b1 ; + write_adr = wbm_adr_o ; + write_sel = wbm_sel_o ; + write_dat = wbm_dat_o ; + -> write_request ; + @(posedge wb_clk_i) ; + end + + if ((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0)) + begin + error_message = "Cycle terminated because allowed number of slave wait states constraint violation" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + write_res_o`WBM_MODEL_WRITE_DESIGN_ERR = 1'b1 ; + end + else if ((wbm_ack_i + wbm_err_i + wbm_rty_i) !== 'h1) + begin + error_message = "Cycle terminated because invalid slave response was received" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + write_res_o`WBM_MODEL_WRITE_DESIGN_ERR = 1'b1 ; + end + else + begin + write_res_o`WBM_MODEL_WRITE_SLAVE_WAITS = num_of_slave_waits ; + write_res_o`WBM_MODEL_WRITE_SLAVE_ACK = wbm_ack_i ; + write_res_o`WBM_MODEL_WRITE_SLAVE_ERR = wbm_err_i ; + write_res_o`WBM_MODEL_WRITE_SLAVE_RTY = wbm_rty_i ; + + if (wbm_ack_i === 1'b1) + begin + write_adr = wbm_adr_o ; + write_dat = wbm_dat_o ; + write_sel = wbm_sel_o ; + -> write_transfer ; + end + end + + if (end_access) + begin + wbm_cyc_o <= #(Thold) 1'b0 ; + wbm_stb_o <= #(Thold) 1'bx ; + wbm_we_o <= #(Thold) 1'bx ; + wbm_sel_o <= #(Thold) 'hx ; + wbm_adr_o <= #(Thold) 'hx ; + wbm_dat_o <= #(Thold) 'hx ; + wbm_cti_o <= #(Thold) 'hx ; + wbm_bte_o <= #(Thold) 'hx ; + access_in_progress = 1'b0 ; + end +end +endtask // start_write + +task subsequent_write ; + input `WBM_MODEL_WRITE_IN_TYPE write_stim_i ; + output `WBM_MODEL_WRITE_OUT_TYPE write_res_o ; + reg [31: 0] num_of_slave_waits ; + reg end_access ; +begin:main + + write_res_o = 'h0 ; + + if (access_in_progress !== 1'b1) + begin + error_message = "Task called when no access was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + write_res_o`WBM_MODEL_WRITE_STIM_ERR = 1'b1 ; + disable main ; + end + + end_access = write_stim_i`WBM_MODEL_WRITE_LAST ; + + insert_waits(write_stim_i`WBM_MODEL_WRITE_WAITS, 'h0, num_of_slave_waits) ; + + if ((num_of_slave_waits ^ num_of_slave_waits) !== 'h0) + begin + num_of_slave_waits = write_stim_i`WBM_MODEL_WRITE_WAITS ; + end + + wbm_stb_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_we_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_adr_o <= #(Tperiod - Tsetup) next_write_adr ; + wbm_dat_o <= #(Tperiod - Tsetup) get_write_dat(next_write_dat, next_write_sel) ; + wbm_sel_o <= #(Tperiod - Tsetup) next_write_sel ; + wbm_cti_o <= #(Tperiod - Tsetup) next_write_cti ; + wbm_bte_o <= #(Tperiod - Tsetup) next_write_bte ; + + -> write_accepted ; + + @(posedge wb_clk_i) ; + + while((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0) & (num_of_slave_waits < write_stim_i`WBM_MODEL_WRITE_ALLOWED_SLAVE_WAITS)) + begin + num_of_slave_waits = num_of_slave_waits + 1'b1 ; + write_adr = wbm_adr_o ; + write_sel = wbm_sel_o ; + write_dat = wbm_dat_o ; + -> write_request ; + @(posedge wb_clk_i) ; + end + + if ((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0)) + begin + error_message = "Cycle terminated because allowed number of slave wait states constraint violation" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + write_res_o`WBM_MODEL_WRITE_DESIGN_ERR = 1'b1 ; + end + else if ((wbm_ack_i + wbm_err_i + wbm_rty_i) !== 'h1) + begin + error_message = "Cycle terminated because invalid slave response was received" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + write_res_o`WBM_MODEL_WRITE_DESIGN_ERR = 1'b1 ; + end + else + begin + write_res_o`WBM_MODEL_WRITE_SLAVE_WAITS = num_of_slave_waits ; + write_res_o`WBM_MODEL_WRITE_SLAVE_ACK = wbm_ack_i ; + write_res_o`WBM_MODEL_WRITE_SLAVE_ERR = wbm_err_i ; + write_res_o`WBM_MODEL_WRITE_SLAVE_RTY = wbm_rty_i ; + + if (wbm_ack_i === 1'b1) + begin + write_adr = wbm_adr_o ; + write_dat = wbm_dat_o ; + write_sel = wbm_sel_o ; + -> write_transfer ; + end + end + + if (end_access) + begin + wbm_cyc_o <= #(Thold) 1'b0 ; + wbm_stb_o <= #(Thold) 1'bx ; + wbm_we_o <= #(Thold) 1'bx ; + wbm_sel_o <= #(Thold) 'hx ; + wbm_adr_o <= #(Thold) 'hx ; + wbm_dat_o <= #(Thold) 'hx ; + wbm_cti_o <= #(Thold) 'hx ; + wbm_bte_o <= #(Thold) 'hx ; + access_in_progress = 1'b0 ; + end +end +endtask // subsequent_write + +task start_read ; + input `WBM_MODEL_READ_IN_TYPE read_stim_i ; + output `WBM_MODEL_READ_OUT_TYPE read_res_o ; + reg [31: 0] num_of_slave_waits ; + reg end_access ; +begin:main + + read_res_o = 'h0 ; + + if (access_in_progress === 1'b1) + begin + error_message = "Task called when some other access was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + read_res_o`WBM_MODEL_READ_STIM_ERR = 1'b1 ; + disable main ; + end + + if (reset_done !== 1'b1) + begin + error_message = "Task called before reset was applied to the design" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + read_res_o`WBM_MODEL_READ_STIM_ERR = 1'b1 ; + disable main ; + end + + access_in_progress = 1'b1 ; + end_access = read_stim_i`WBM_MODEL_READ_LAST ; + + if (read_stim_i`WBM_MODEL_READ_FAST_B2B !== 1'b1) + @(posedge wb_clk_i) ; + + wbm_cyc_o <= #(Tperiod - Tsetup) 1'b1 ; + + insert_waits(read_stim_i`WBM_MODEL_READ_WAITS, 'h0, num_of_slave_waits) ; + + if ((num_of_slave_waits ^ num_of_slave_waits) === 'h0) + begin + error_message = "Slave responded to initial read access" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + num_of_slave_waits = 0 ; + + wbm_stb_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_we_o <= #(Tperiod - Tsetup) 1'b0 ; + wbm_adr_o <= #(Tperiod - Tsetup) next_read_adr ; + wbm_sel_o <= #(Tperiod - Tsetup) next_read_sel ; + wbm_cti_o <= #(Tperiod - Tsetup) next_read_cti ; + wbm_bte_o <= #(Tperiod - Tsetup) next_read_bte ; + + -> read_accepted ; + + @(posedge wb_clk_i) ; + + while((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0) & (num_of_slave_waits < read_stim_i`WBM_MODEL_READ_ALLOWED_SLAVE_WAITS)) + begin + num_of_slave_waits = num_of_slave_waits + 1'b1 ; + read_adr = wbm_adr_o ; + read_sel = wbm_sel_o ; + -> read_request ; + @(posedge wb_clk_i) ; + end + + if ((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0)) + begin + error_message = "Cycle terminated because allowed number of slave wait states constraint violation" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + read_res_o`WBM_MODEL_READ_DESIGN_ERR = 1'b1 ; + end + else if ((wbm_ack_i + wbm_err_i + wbm_rty_i) !== 'h1) + begin + error_message = "Cycle terminated because invalid slave response was received" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + read_res_o`WBM_MODEL_READ_DESIGN_ERR = 1'b1 ; + end + else + begin + read_res_o`WBM_MODEL_READ_SLAVE_WAITS = num_of_slave_waits ; + read_res_o`WBM_MODEL_READ_SLAVE_ACK = wbm_ack_i ; + read_res_o`WBM_MODEL_READ_SLAVE_ERR = wbm_err_i ; + read_res_o`WBM_MODEL_READ_SLAVE_RTY = wbm_rty_i ; + + if (wbm_ack_i === 1'b1) + begin + read_adr = wbm_adr_o ; + read_dat = wbm_dat_i ; + read_sel = wbm_sel_o ; + -> read_transfer ; + end + end + + if (end_access) + begin + wbm_cyc_o <= #(Thold) 1'b0 ; + wbm_stb_o <= #(Thold) 1'bx ; + wbm_we_o <= #(Thold) 1'bx ; + wbm_sel_o <= #(Thold) 'hx ; + wbm_adr_o <= #(Thold) 'hx ; + wbm_cti_o <= #(Thold) 'hx ; + wbm_bte_o <= #(Thold) 'hx ; + access_in_progress = 1'b0 ; + end +end +endtask // start_read + +task subsequent_read ; + input `WBM_MODEL_READ_IN_TYPE read_stim_i ; + output `WBM_MODEL_READ_OUT_TYPE read_res_o ; + reg [31: 0] num_of_slave_waits ; + reg end_access ; +begin:main + + read_res_o = 'h0 ; + + if (access_in_progress !== 1'b1) + begin + error_message = "Task called when no access was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + read_res_o`WBM_MODEL_READ_STIM_ERR = 1'b1 ; + disable main ; + end + + end_access = read_stim_i`WBM_MODEL_READ_LAST ; + + insert_waits(read_stim_i`WBM_MODEL_READ_WAITS, 'h1, num_of_slave_waits) ; + + if ((num_of_slave_waits ^ num_of_slave_waits) !== 'h0) + begin + num_of_slave_waits = read_stim_i`WBM_MODEL_READ_WAITS ; + end + + wbm_stb_o <= #(Tperiod - Tsetup) 1'b1 ; + wbm_we_o <= #(Tperiod - Tsetup) 1'b0 ; + wbm_adr_o <= #(Tperiod - Tsetup) next_read_adr ; + wbm_sel_o <= #(Tperiod - Tsetup) next_read_sel ; + wbm_cti_o <= #(Tperiod - Tsetup) next_read_cti ; + wbm_bte_o <= #(Tperiod - Tsetup) next_read_bte ; + + -> read_accepted ; + + @(posedge wb_clk_i) ; + + while((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0) & (num_of_slave_waits < read_stim_i`WBM_MODEL_READ_ALLOWED_SLAVE_WAITS)) + begin + num_of_slave_waits = num_of_slave_waits + 1'b1 ; + read_adr = wbm_adr_o ; + read_sel = wbm_sel_o ; + -> read_request ; + @(posedge wb_clk_i) ; + end + + if ((wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0)) + begin + error_message = "Cycle terminated because allowed number of slave wait states constraint violation" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + read_res_o`WBM_MODEL_READ_DESIGN_ERR = 1'b1 ; + end + else if ((wbm_ack_i + wbm_err_i + wbm_rty_i) !== 'h1) + begin + error_message = "Cycle terminated because invalid slave response was received" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end_access = 1'b1 ; + read_res_o`WBM_MODEL_WRITE_DESIGN_ERR = 1'b1 ; + end + else + begin + read_res_o`WBM_MODEL_READ_SLAVE_WAITS = num_of_slave_waits ; + read_res_o`WBM_MODEL_READ_SLAVE_ACK = wbm_ack_i ; + read_res_o`WBM_MODEL_READ_SLAVE_ERR = wbm_err_i ; + read_res_o`WBM_MODEL_READ_SLAVE_RTY = wbm_rty_i ; + + if (wbm_ack_i === 1'b1) + begin + read_adr = wbm_adr_o ; + read_dat = wbm_dat_i ; + read_sel = wbm_sel_o ; + -> read_transfer ; + end + end + + if (end_access) + begin + wbm_cyc_o <= #(Thold) 1'b0 ; + wbm_stb_o <= #(Thold) 1'bx ; + wbm_we_o <= #(Thold) 1'bx ; + wbm_sel_o <= #(Thold) 'hx ; + wbm_adr_o <= #(Thold) 'hx ; + wbm_cti_o <= #(Thold) 'hx ; + wbm_bte_o <= #(Thold) 'hx ; + access_in_progress = 1'b0 ; + end +end +endtask // subsequent_read + +task insert_waits ; + input [31: 0] num_of_waits_i ; + input read_req_on_wait_i ; + output [31: 0] num_of_slave_waits ; + reg [31: 0] cur_num_of_waits ; +begin + num_of_slave_waits = 'hx ; + + for (cur_num_of_waits = 0 ; cur_num_of_waits < num_of_waits_i ; cur_num_of_waits = cur_num_of_waits + 1'b1) + begin + wbm_stb_o <= #(Thold) 1'b0 ; + wbm_adr_o <= #(Thold) 'hx ; + wbm_sel_o <= #(Thold) 'hx ; + wbm_we_o <= #(Thold) 'hx ; + wbm_dat_o <= #(Thold) 'hx ; + wbm_cti_o <= #(Thold) 'hx ; + wbm_bte_o <= #(Thold) 'hx ; + + @(posedge wb_clk_i) ; + + if (read_req_on_wait_i) + begin + if ( (wbm_ack_i === 1'b0) & (wbm_err_i === 1'b0) & (wbm_rty_i === 1'b0) ) + begin + if ( (next_read_cti === 'h1) | (next_read_cti === 'h2) | (next_read_cti === 'h7) ) + begin + read_adr = next_read_adr ; + read_sel = next_read_sel ; + -> read_request ; + end + end + end + + if ((num_of_slave_waits ^ num_of_slave_waits) !== 'h0) + begin + if ((wbm_ack_i !== 1'b0) | (wbm_err_i !== 1'b0) | (wbm_rty_i !== 1'b0)) + num_of_slave_waits = cur_num_of_waits ; + end + end +end +endtask + +always@(posedge wb_clk_i) +begin:wb_monitoring_blk + reg burst_in_progress ; + reg ack_prev ; + reg rty_prev ; + reg err_prev ; + reg stb_prev ; + reg cyc_prev ; + reg [wb_dat_width - 1:0] sdat_prev ; + + ack_prev <= wbm_ack_i ; + rty_prev <= wbm_rty_i ; + err_prev <= wbm_err_i ; + stb_prev <= wbm_stb_o ; + cyc_prev <= wbm_cyc_o ; + sdat_prev <= wbm_dat_i ; + + if (wb_rst_i === `WB_MODEL_RST_ACTIVE) + begin + if (wbm_ack_i !== 1'b0) + begin + error_message = "ACK input signal was not de-asserted while reset was asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if (wbm_err_i !== 1'b0) + begin + error_message = "ERR input signal was not de-asserted while reset was asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if (wbm_rty_i !== 1'b0) + begin + error_message = "RTY input signal was not de-asserted while reset was asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + burst_in_progress <= 1'b0 ; + end + else + begin + if (wbm_cyc_o !== 1'b1) + begin + if (wbm_ack_i !== 1'b0) + begin + error_message = "ACK input signal was asserted while no cycle was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if (wbm_err_i !== 1'b0) + begin + error_message = "ERR input signal was asserted while no cycle was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if (wbm_rty_i !== 1'b0) + begin + error_message = "RTY input signal was asserted while no cycle was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + end + else + begin + if (burst_in_progress !== 1'b1) + begin + if ((wbm_ack_i !== 1'b0) & (wbm_stb_o !== 1'b1)) + begin + error_message = "ACK input signal was asserted while STB was de-asserted and no burst was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if ((wbm_err_i !== 1'b0) & (wbm_stb_o !== 1'b1)) + begin + error_message = "ERR input signal was asserted while STB was de-asserted and no burst was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if ((wbm_rty_i !== 1'b0) & (wbm_stb_o !== 1'b1)) + begin + error_message = "RTY input signal was asserted while STB was de-asserted and no burst was in progress" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + end + else + begin + if ((ack_prev !== 1'b0) & (stb_prev !== 1'b1)) + begin + if (wbm_ack_i !== 1'b1) + begin + error_message = "Slave de-asserted ACK signal during burst cycle without receiving STB asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if (wbm_we_o !== 'b1) + begin + if (sdat_prev !== wbm_dat_i) + begin + error_message = "Slave changed the value of data output bus during burst cycle without receiving STB asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + end + end + + if ((rty_prev !== 1'b0) & (stb_prev !== 1'b1) & (wbm_rty_i !== 1'b1)) + begin + error_message = "Slave de-asserted RTY signal during burst cycle without receiving STB asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + + if ((err_prev !== 1'b0) & (stb_prev !== 1'b1) & (wbm_err_i !== 1'b1)) + begin + error_message = "Slave de-asserted ERR signal during burst cycle without receiving STB asserted" ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + end + + if (wbm_stb_o === 1'b1) + begin + case (wbm_cti_o) + 3'b000:burst_in_progress <= 1'b0 ; + 3'b001:burst_in_progress <= 1'b1 ; + 3'b010:burst_in_progress <= 1'b1 ; + 3'b011:burst_in_progress <= 1'b0 ; + 3'b100:burst_in_progress <= 1'b0 ; + 3'b101:burst_in_progress <= 1'b0 ; + 3'b110:burst_in_progress <= 1'b0 ; + 3'b111:if (wbm_ack_i === 1'b1) burst_in_progress <= 1'b0 ; + default: + begin + error_message = "WISHBONE master sent invalid cycle type identifier" ; + burst_in_progress <= 1'bx ; + `WB_MODEL_ERR_MSG(error_message) ; + -> error_event ; + end + endcase + + if (wbm_err_i === 1'b1) + burst_in_progress <= 1'b0 ; + + if (wbm_rty_i === 1'b1) + burst_in_progress <= 1'b0 ; + + end + end + end +end + +function [wb_dat_width - 1:0] get_write_dat ; + input [wb_dat_width - 1:0] dat_i ; + input [wb_sel_width - 1:0] sel_i ; + + integer cur_bit ; + reg [wb_dat_width - 1:0] dat_o ; +begin + for (cur_bit = 0 ; cur_bit < wb_dat_width ; cur_bit = cur_bit + 1'b1) + begin + if (sel_i[cur_bit >> 3] === 1'b1) + dat_o[cur_bit] = dat_i[cur_bit] ; + else + dat_o[cur_bit] = 1'bx ; + end + + get_write_dat = dat_o ; +end +endfunction // get_write_dat + +endmodule + diff --git a/usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v b/usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v new file mode 100644 index 00000000..16a2ca16 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v @@ -0,0 +1,82 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// wb_model_defines.v //// +//// //// +//// This file is part of the "uart16550" project //// +//// http://www.opencores.org/projects/uart16550/ //// +//// //// +//// Author(s): //// +//// - mihad@opencores.org (Miha Dolenc) //// +//// //// +//// All additional information is avaliable in the README.txt //// +//// file. //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 - 2004 authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: wb_model_defines.v,v $ +// Revision 1.1 2004/03/27 03:55:17 tadejm +// Testbench with complete selfchecking. BUG is that THRE status is set at the end of last sent bit when TX FIFO is empty instead when only TX FIFO gets empty. This causes testcases not to finish. +// +// +// + + +`define WB_MODEL_RST_ACTIVE 1'b1 +`define WB_MODEL_ERR_MSG(TEXT) $display("Error detected at time %t!", $time) ; $display("%m reports: %0s.", TEXT) ; testcase.msg = TEXT ; -> testcase.err_event + +`define WBM_MODEL_WRITE_IN_TYPE [65: 0] +`define WBM_MODEL_WRITE_WAITS [31: 0] +`define WBM_MODEL_WRITE_ALLOWED_SLAVE_WAITS [63:32] +`define WBM_MODEL_WRITE_LAST [64:64] +`define WBM_MODEL_WRITE_FAST_B2B [65:65] + +`define WBM_MODEL_WRITE_OUT_TYPE [36: 0] +`define WBM_MODEL_WRITE_SLAVE_WAITS [31: 0] +`define WBM_MODEL_WRITE_STIM_ERR [32:32] +`define WBM_MODEL_WRITE_DESIGN_ERR [33:33] +`define WBM_MODEL_WRITE_SLAVE_ACK [34:34] +`define WBM_MODEL_WRITE_SLAVE_ERR [35:35] +`define WBM_MODEL_WRITE_SLAVE_RTY [36:36] + +`define WBM_MODEL_READ_IN_TYPE [65: 0] +`define WBM_MODEL_READ_WAITS [31: 0] +`define WBM_MODEL_READ_ALLOWED_SLAVE_WAITS [63:32] +`define WBM_MODEL_READ_LAST [64:64] +`define WBM_MODEL_READ_FAST_B2B [65:65] + +`define WBM_MODEL_READ_OUT_TYPE [36: 0] +`define WBM_MODEL_READ_SLAVE_WAITS [31: 0] +`define WBM_MODEL_READ_STIM_ERR [32:32] +`define WBM_MODEL_READ_DESIGN_ERR [33:33] +`define WBM_MODEL_READ_SLAVE_ACK [34:34] +`define WBM_MODEL_READ_SLAVE_ERR [35:35] +`define WBM_MODEL_READ_SLAVE_RTY [36:36] + diff --git a/usrp2/fpga/opencores/uart16550/bench/vhdl/.keepme b/usrp2/fpga/opencores/uart16550/bench/vhdl/.keepme new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries new file mode 100644 index 00000000..7af05a67 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries @@ -0,0 +1,2 @@ +/.keepme/1.1/Sun Aug 12 18:52:37 2001// +D diff --git a/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository new file mode 100644 index 00000000..f9f65b2e --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository @@ -0,0 +1 @@ +uart16550/bench/vhdl diff --git a/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Template b/usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/doc/CHANGES.txt b/usrp2/fpga/opencores/uart16550/doc/CHANGES.txt new file mode 100644 index 00000000..7e427eb1 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/CHANGES.txt @@ -0,0 +1,111 @@ +Note: This Changes file is being maintained since 25.5.2001. + +29.07.2002 +~~~~~~~~~~ +Reverted to have uart_defines.v file to be included in the verilog +files. It seems that it's been a bad idea in the first place. + +22.07.2002 +~~~~~~~~~~ +Notice that this file hasn't been updated for a while so not all changed are present. + +Bug Fixes: + * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. + Problem reported by Kenny.Tung. + * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. + +Improvements: + * Made FIFO's as general inferrable memory where possible. + So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). + This saves about 1/3 of the Slice count and reduces P&R and synthesis times. + + * Added optional baudrate output (baud_o). + This is identical to BAUDOUT* signal on 16550 chip. + It outputs 16xbit_clock_rate - the divided clock. + It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. + +Note: + The uart_defines.v file is no longer included in the source files. + So keep this in mind when doing simulation. Add it manually. + I've done this, so that you could you your own define files for + different configurations. I need this for the IrDA core I develop. + You can just uncomment the `includes if you want the old behaviour. + The uart_fifo.v file is no longer used. Intead uart_rfifo.v and uart_tfifo.v + file are now present. Also raminfr.v in the new inferred ram module. + + Check the new core and I hope you'll like it. + +10.08.2001 +~~~~~~~~~~ +* Modified naming of top signals and defines to be unique and easy to integrate +* Changed the directory structure of the core to new structure as described in OpenCores + coding guidelines. !!! +* Fixed (I hope) the detection of break condition +* Added top level parameters for data width and address line width + +23.06.2001 +~~~~~~~~~~ + +* With the help of Bob Kirstein another two bugs were fixed: + 1. Trasmitter was sending stop bit two 16xclock cycle slonger than needed. + 2. Receiver was losing 1 16xclock cycle on each character and went out of sync. + +* Major change: + I have modified the divisor latch register to be 16-bit long instead of 32 as I thought was + necessary for higher speed systems. Thanks to Rick Wright for pointing this out. + So now, DL3 and DL4 register bytes are not used. + Documentation is updated to follow this change. + +* Note that more than 1 stop bit in a byte i snot implemented. + +2.05.2001 +~~~~~~~~~ + +* Fixed transmitter and receiver - the start and the stop bits were sent and received complemented. + Big thanks go to Bob Kirstein for pointing this out to me. + + +31.05.2001 +~~~~~~~~~~ + +* Minor changes in register reading code +* Changed FCR to be 2 bits wide (reset bits are not needed) and instead enabled the rx_reset and tx_reset + signals which I forgot to implement. +* Changed defines for FCR. +* Cleaned ports that were not connected in top-level. +* Changed the code to have only one FIFO module instead of two to overcome versioning problem on the cost of + some additional gate count. UART_RX_FIFO was modified a little and renamed to UART_FIFO. +* UART_RX_FIFO.v and UART_TX_FIFO.v files removed from the project. +* Changes to receiver and transmitter modules concerning FIFO handling. +* Commented out `include "UART_defines" in all files but UART_top.v and test bench. +* Modified test bench a little for a little better check. + + +29.05.2001 +~~~~~~~~~~ + +* Fixed: Line Control Register block didn't have wb_rst_i in its sensitivity list +* Fixed: Modem Status Register block didn't have wb_rst_i in its sensitivity list and didn't set reset value +* Fixed rf_pop, lsr_mask, msi_reset and threi_clear not being synthesizable in release 1.7. (Thanks + to Pavel Korenski for pointing this to me) + + +27.05.2001 +~~~~~~~~~~ + +Thanks to Rick Wright for pointing me many of my bugs. + +* Fixed the rf_pop and lsr_mask flags not being deasserted. +* Fixed Time-Out interrupt not being masked by bit 0 in IER +* Fixed interrupt logic not being masked by IER +* Fixed bit 0 (interrupt pending) of IIR being set incorrectly +* Fixed Modem Status Register bits 3:0 handling (didn't work as should have) +* Fixed modem status interrupt to be related to bits [3:0] (deltas) instead of the bits 7:4 of MSR. + This way the interrupt is cleared upon reading from the MSR. +* Fixed THRE interrupt not being reset by reading IIR +* Changed Receiver and Transmitter FIFO, so that they do not use the FIFO_inc.v file because of problems + with #include command. +* Removed FIFO_inc.v from CVS tree. + +* Updated specifications .pdf file + diff --git a/usrp2/fpga/opencores/uart16550/doc/CVS/Entries b/usrp2/fpga/opencores/uart16550/doc/CVS/Entries new file mode 100644 index 00000000..15e067b1 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/CVS/Entries @@ -0,0 +1,3 @@ +/CHANGES.txt/1.3/Mon Jul 29 21:15:17 2002/-kb/ +/UART_spec.pdf/1.6/Thu Dec 4 11:00:47 2003/-kb/ +D diff --git a/usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log b/usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log new file mode 100644 index 00000000..d269bfed --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log @@ -0,0 +1 @@ +A D/src//// diff --git a/usrp2/fpga/opencores/uart16550/doc/CVS/Repository b/usrp2/fpga/opencores/uart16550/doc/CVS/Repository new file mode 100644 index 00000000..6e33cf21 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/CVS/Repository @@ -0,0 +1 @@ +uart16550/doc diff --git a/usrp2/fpga/opencores/uart16550/doc/CVS/Root b/usrp2/fpga/opencores/uart16550/doc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/uart16550/doc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/uart16550/doc/CVS/Template b/usrp2/fpga/opencores/uart16550/doc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf b/usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf new file mode 100644 index 0000000000000000000000000000000000000000..95ac20b2ba9f0a8505fe7eb9601c07b5f2e42c95 GIT binary patch literal 163447 zcmd3ORa_p)@;2^nA-KEqq9M4u26qYW9^5s-9fCUq_u%gC5F|iwf_uIfvb*2zIp<?X@L`G#dTVoz>2)Sy|J|;5f=b>ha#}9XzXC)WN&EfK*aJ}i;#`ABk&dnBG%s;WQ>i>^aX8P zi8O%?OhoL=EJPe!EJWJCr-kfoZ2$8WMH@$bM`Pgah`hW+h{o1NPjH@I@dqqVQ2S&PclXS?du)ryQHJ%*+_W61s{5so2Xd_avXPWy7Q1%hY9h&k0~ zRpUd?r`brHkP&nwjv1%_FGL^Q@lQt6ZomK8Xe#(5SMSWf~zrp{1QoYU3us}_kWnS7WiDsJwy z z{5oS;kKX}zv~E0ag2!YuolIM!l1T>YQw#hgiD5LlET-{GoIaP$8S8RDKPdEKOH9X1 zl9L8x-F)epThL}!f-B%g4l5Gm!Q>P{38tNXQ+;?)*C5HI!WqkLd^Vda>FC_O?ZlmK z3*J~pOh1g4PHernf-?F(T7Oi5p)!s;1py*Q(x783M_nH)hXhXP2vR_91{t7wLgI@m z!^3S$)dNNlK0`JKF%s@gv8fm;z;}fipl6Aq%?Fkx8pKjaK~;!3P1o006`w@Ou>jG! z)0D(qIpo+*GpR0OurbXfmu8nrv+#gXO!D_tL50EM8P8N-1QKMr=;U@O?B!ilE+*=idb{e2(Ayse7U zejTs5#d}d{yP#aM#bEb3tlLCX$1qzaVcrf1|8u@<*uXq7#e@717GKCSqK=oZgfM45 zky6D9%85ZZ-9Y3(rAXuts~fBbPM->}X*6h|B!xikP)oEX>~CXwnk~+qW-?cppIWRq zzt*VTs%wivO~7=@3vM4KOiNlRG0aZ%8cU5a%obMKobshpIAAG~g}l;YWVi zi+G3QvW<|^wMa2Dq>-ymhi#F-#9c(`bL%0fAT%P#+V=9BPV{C5mFE)E7j`<$Fmh(? zIFt3G#s$k_M2P)jf(NRrkX6bx_SoWyn8~;QuBEM{gTDRhg-xMe9N}* zxhAm!ccrYW&0b6MxA~cZE-vF3SYauhoy&ON*L`2d~-&OmfvzHS0$)^&Jc5i-PaL;O?%jw*g^y1+N@w#0c;v!*wmQ7m`d(#7p)r zJfV$`)+pp_@wruO>FGxD=QwON?T^RA8V_JOBt3oP>bII$CV~al&=ynRw{M6xdqSB? z7CxTwxPgdNNM;<{5dLULjVNp`m>RP95tJE-_C>{=wQlg*?#ym^nasuAbGP_GDzeGl zh3d+^mcZWM3hyvWm}hw*;wE$J^u*vWQ;m?Db21ny@TtF)kNCq=IGZ8ybSw35ez~(0cn4W8bHugq9JL}I) z;zW#ce=!!HhWpP$1F&&EV*{`Q%U{O!JeU6l?&s_=KJ)fO0UPUo&l?*j7f>iTh}fCf zev!9l0sH5%JrVZ=?wPn>2ltmF!0!V0Q^22z0|2G|mjsTDjRQy=6A>E+>#q{`r&9qU z<8Rnle=gUblgjxtssHU_cqR@A?uoen0o-3~_P)ylafR;3Xm52@Sb8QO!Wm*26 zmHNDG{j0?NL&O2WJrnoq;QnO7|1qn;sd(Cbf6gj9>o3eIkT?JrE08!2A~q(jUzNDO z=$+pt^?%3qBymsR032-p3%LKJ8#sO{s-MKMu>T@)>`c$P0l>ljtHk{$ zi=75d6u%+Ko-`C_qe*Kl@nSNmk3Lr>mXs@H8ckB~Lbi;yuRL`rS}!cOK0`pX8B+<2 ze`F}%7!@dD`_%r!pyWc27-6^Ehq%V_;t{>mTF(!jxRA4AtA(e6v1g^#dQMvxxk7Dm zGIZl?q&J66lt0r7`_jp@PUf1OT^pB>CJ!S}lejz=1r1C0LF{srb*CYWJ1Pgf3_+D2 z?=bVzLc+=|>%idmNznl_4hc+!^!_HKVDMvcO(JzIECu4@+ZEKrcX3)4g>?1olLwI4 z+(VJPd0To3a-p2>-H{;JFKpB}7pV#jH`XhmqH#5D>&I%aDo&8cZnKHa&XfY8PO;s! z6a}XEi@ADDqBh)RO!I78QasX5&Db3?5RH`(we2J6jfaEH!<^>cJH0}3=;6yBz+uZ{ zd#5>R!8Rek%HKnqXfbI{6Ptn{Y{fj!0!OAR+mCk;?0nFC{DBw=?Vjsy!jxF%13lB& zG(_Y~;-oA`ZL$#7Ew(*IimOY-u{(=Yy7BI}n+=v3aS^o}xKi&}eK{Kpm7p?j=XEhb zYLVo^5~_HG%BAquQH50ZIRwL%w}yjn7_p~*=pXQia1-91A5x|iLU=~ZnHI|0#>Nh@ zQ_?3|t)8#9zT9WxoutON3zu~8Ot;$JT>k_D4ida7jP>tE^Ru1!hn)XitNC}Y;{Ugr ze{L!Nq*crE7ft>@tU#b=iGv8J^`Df*KfD_Mq|bj}ja)!Q`Zrc%sfvuv5+};%ebr$F z8upq(PS_DBfi;CA;*ADW1JPiyK5R*e_d};s zuyZKcr(>}#0P+X|Ik$-6^w;G~K7Kmj#thbR36Wr--*+t~+9=qbitwDxSh z@vG(H3Dm`{O)M)iZ(wJPoUdz|_$+`7W5zc;SZ6-$&@DkwTpoL<^tI1mZtt_k`ZV?e zO@uS=_x=Tl5lYjetiZYfwQnCi9q{N-X38~+HJl_rGce?RD*{n+*#aB?(-bxA1T>F5U%o!4$i?ZPs2JH#gmL5)E@-V~z zDra0YzNPRts`S$O*#xV!I$(=h;WYZmb}15=y@_UXz7|S<(41pLcf~*_F!010#SB^I zXDeBCJcLg|qE;`uk(*;onfrb3m zth8YL45#XBHK@L7&%Gtp>tUcsj5dO!C#j6{CWEY;{CFY>k2Rlgbc9!jEwSue3?Mde zWN9YRaQ(2o{>UmBxT2}r85YFqT&tXZ0pSv^GNV!OZgFYjO!-Q!b&0>0nQ2kQ;}zDwB>2GC!Z%>t=GzQE!n3b$^3L@u z3sdGzo-U=MGv__oJ<#9&k`2S;KkSTu@ofLyo$+@E`~Tk=e{%r*gXevq$@ZsH_5ZLl z{=>WBpA6yOcSBZIAlSdL9Yz5C?6_iRo;#}No3@~}`JY(NsMLE!Q;Aq|QLDm@h{vbp zO*Y7D$uKF~Ds@|Cv{xL~l^1+L!@nl8s%U%eIbJw5H&|-Y$C+}#=ZV1nSd&}nZ^DYN ztwKHY#!5VXuT%N~mA=RBv!>SLgFtBFW_FyhI+)w%hJL~}IxmJJo#vJ1hpbm&TY;bU zs$5%|Y~o$YF)Xgt@pPLnoU64z$u2!G@F<&UV_oDaCN|O4sy#M8^lf-H7h0GJ5=a(n zsBPw=qV&yfjMKA+?>i?{6&Y^{4St_XGJjb`%lwH^x3&NE*SesZTn51)dvDj>uJuKH#|G+M$dE0}y-KgesCU;4-?0wXq6}Tm zO}vYb*2ybi3W^-dm*XEdXhR(o)6wNF$TU)3*PEk1;=afBYbRXdA5@uw(d8>XPEm*}kLHxeA_QW(a)I%1BJ~_gw7JLYn z!6wDJ&3dUXEA+)H-oz*tl{A(!{!{MMOFAJgvBEb;7N**RK_U*k%F3Nmc-X$j^^-^x zZz~Iry{-w;hTi1SVt6nu#`hc^T^wSx#?{i6^BvE%JseZbt}|M?1W;f)k-K)gnPpzF zfSI$nFE_$0Qe3Yi498dNNtu0*`t;F{i_8*MB+@1$r=pVAf!_6Ffgah|T8puf#>?EP zy&@wG9BvzNTFa8B=Ji}m?lK4#Gh>?cH}grn^!P}yXkwRmaxCPvs4Czu-_=>6d|eC@ z2v$Tf9Sn|;tc_&vK+dv>vy3RKQ1`nj+;E4LV$3)qTrAK;O%Z)*o3;#)6oA&o|FK-g zhkx1pfQy}N9zlE5Z0NGe)jP;-41Ocq1fJFUN{=}fj5v*>1;-5geM`WitO{Ysj2VAdrz}{6$U~d1d=ilUd2e9&-NK!(XoRNHYFaSA}QJ(@a{NR zW@BgvMf)hj36?6NY{&Ugm0+Z##Xl86Y0owHj!G;hf`z6ptQF}4?x=_Lue#hs@Y3u} z*5WYmlcU0|q+PWWq%gmNi7IlK$cKlU7ljoBU-9{sE!v^{q^3I*Mqht5anevQOb%=g$fhS1NMk}9h9S*LW5Tva z6BXprw>83eDwyDVHR9HxdewlHA-(l9R>Ym${{A@bOsWgLm*(=pgL^)pY{f!Z+(#SV z^aoj;mOrP<6D;nbZB@$g$($?PcwunQK?|1hqHLf0Qk5X5q+L7}L21u5_YO69N{dlW zw0>;p;Ozhj0Hv@;IEBI5C>%jrti^gcE5iYy5#MX8+ zImkiL$VigAH&FGyr|}}|b1y5UmYV4TPmA;Zp~(_{&u+ycJ_l2Ewq8DqZ=_>HBT@Gt zguX0ANEJ)gfcjIR-!Ji8dl6B4Qe`j#DKM&q4WN|H<|3w{$%{I<)?;v%55dRC&Jncy zetx8T98?tWra%4~CQ%2Y=Mp9-)b;g&F4D?(*L>8RyT)BDQkY5{fut#z>{3xv``M=g z+kWz?_y)P7LA5H0Vq6yBADl+*DjpUh4VxEmhF(Tynv0ho!(9k&{rR}HFIiZX)JnQ9 zy5z#9`5|#^DuNHiM$YQc9t(U;5wBXm{bRsJ@~_;dh?$`XsIHPH@^JiH7x z3+fO+Fc*pelp?I=X~XU@UJ_Ih!A+naI=8)#%lITcKXTy3OVivIgNXy-;&Kadx_l(N zqF;YY_kQWcwZpK@-0tCyTLXobD8-21%UbU)5U1-E@x#(y+1m=fG+`!9UCSE@HqPaEajjfY8E;$^8cX**7X&@kK&Of)U4x0El^=rkxTDaxCW`j8OK z_x-NTmC9+;Ou_`#}>BD1iksZ>M<*Q;I^OI^#ZL`EPs zreTsLA%ih_##i=Pz3v#ajT&v&V*KX8hlfv83!6gX2aTfaafTQ9;5U6u2r0UUAhXo{ zHBO$p0AZ4=!;|AvuYd{+#EpK_$qDPRL&{k*W`Gv8bi3)#meBI`r?zq9x3xtfLEu5E* zcJJU0tm|)KHvQ+VyEkV%@6K0dx|Gyr9#rlgcaJI`PUdATFFi8o*YL+yOq%^&0(Nc_ z=45BTw)={InSE%9uH(wCwj(VZq(GTgk}Hyc0AR9@&%y>!nB`ZC#=uA*YP?CtsagJZ zlPkaoNCcV1CgwyfM$Pp^pIvjp1`+k|mG`%;>va^=l^gG_<<^Q4UCx5Rcrno(xe#Fz znFRyEmK6$DZHnDyB1X{orW@@nwK~XIKY|X^Vem&SsBrLM#V)x(UU}kY8PX8c;~(N( zeT+R`)s1T2i>;4U82b;_!Ac$V`KV=`%EE6ofexZ0Kg z<`ASXm1WM$7Hp#?FisEd$4p(%@B$Doli}*rCT-V7$15D9C1Ij(h=j?}-AR@kqBuT^ zvfwp10L%lWD199TVab&IzzTWE4;`tX1EEjxL?b6Pn5b96_7fo#A36m*5n-5i1VwUh zAy*-3Q(f0Y9NJaK_98g<(WmQ$y$uRThFd^v6h>MQd|b#10-$G}ND1x~yiQ137nH5rF@M2WRlS*^ZdvYM%=MZ`e9zbS)(F;C z+=L){(qC!U-Gv$Z+dSX#QgF04ci+v9=hc_`BUiLT9UjN#9adu3GwWXJ0|c&9$lJP+ep~+p1+I{0C^(-vL&!K zhz%i+36WJxg`DI47Q47)ZKA7ih<7DS{Qy0(acrwS0Ozt<%)= zkRScw?ULNXI!grMm`ak4AnCWD$IH)Bz9q35HQ+?aV0!Uj@)=;|5!4_m)F>KKpoP+) zjO-a*3U%Q8i%1X}>{4q@$zN!zFpQE3cR7UYn|M*EN$jeEnD|fvm5{r4J->-fAlmQr zxn%%51J{cOm(Kt%kKh7P;X=_E0xcW{WyIQvy7N}yNFqLl0$VVlj!4y~t-`y$X-;nT zJqF!5;zRFP1|RJbw((k|+Z3`4!3DCAJ%QhH@v;Isr8MXnl=?ohYqacUE=LS%FW8d+2P3nxKEq)l?9(? zJuDFiE6_1V;Ivl)jdxlvSD;mn;L943X;yZ6Ht@7IzIuKnZae-R9NHD_54A>_B^Qe& z7k1dR#aehHWyaPs_%)Bf+CJby-({}jmv#?hW!UjL|1?k_I; z|1&4g=aK%4SDcv%!1;Gho*r+8te4p_R`zKd;;V$CvL0uLJ9VGn7HZda5$bPusbR}r$n-*Ad&E02U zK=ji57^6yg8;5rVpnz-f z+d2p1dR~Z${-L8s{cG}km|8s(xCKKvgtH37hHAsNv9pYyS3c`~^eD37y}zH7UWgq9 zH^x+x@Ytw2PxlpMGIc{@wI_-}m`=y6w^-g;U5ciG4K*l^;vs2biEWsRBy(eTHLv%1TFC!#A!Rx8Q=b=1S3%D} zaB^3%bm-K31_2go@CTDC#zrolXi+$gFGvO7*JmQD+uuSV%&J+pk8XXp=Dg_Za!~V1 zu-kkqV9OBiieL^aiK^X&@ga%%==Bh3y=8jn{BUmh9ZP1<4|dRYW{&^@;E$AlGtw2w+lfdrkhzg8V8Y6;3hssnNdHq+>^zBZjzYUCIpdh zS4r=i;U1cQ%0Qbk9+L}8XTG7_bfq54kR3~cui79`u6b z@k!D=j2-;>ya&^Mr5OAg!|g`=0z93IA{7B!HhuIDgucfg$>zd-5OI zMZcxLvi`jATmCES&n%SR@}hy6Bu@{q{)~6C{@mz+vGk`#z;EeF|7=FlGpIjC`&&#K z@D%O%J>Jg5OvJ&&{@!Z)^>5gIQuH&V4PYbU0LE|s>vSgo zFngQ@I4}S(SoCY@PS4Z#uh`gr&eStD77ngo#Ks0p#d=Oa`PG!7XPNppY(K^BH*COf z0)B}%pqw&uu@eD+BmG6*{^HU8P2Sjk`qF=517s#3IIm+e-YbXEXCh>`xCaO3^rf_-+#RnSfA2jfDZzI5PuQc zUrfdS0F4=#FUbm=8qrC!I%btZBdDQ$=i?iRy4KJD5NIRqjx*J zzL?Qcrx>5kPYnm3;Ie2>X=dh=bL!HHEK7&;2VCNp!9UizYHJ-zCz`Amj;6LRoop7e zuBhHVthY2i?569)DbL{TdkKFCmkFDHXY%N|`zB9z_EAd`^eGtGFR1JPV zd^@(Xe(uVB5InUNfy}0OI=BL7N6VFHd3U_R$If@aJ~{*E6r#bIA76y&?^I(rXMNV- zR;wxTrrerN^0Ljn2yf%>`r)KK~nfT4goea=A_Wb$ppJHVZ2&`f`)do`CAw ziL)6&18aLxEqX^un0Mb=tIGTdXIPDL(Xkm5|d(=9=Fg2N-Mxpq-@)$srdtskgllRG8(1BOL4~VXIc0R?NAMq7Ech zMqZgJB5bla&Y@~vwGU;K$Wqpvl*CBGWG`+&>WR*SHYu!hln|fP1sudpPZ9<0u9TIfD^E!z{V^>3t30%GEOI~u5 zOh|E#K;9axFU(hjD)y;_%ncMxR6x0NT>wQ^9A5SW_RMT=lwz#+J<}xLJ-%KU+HcVvf+F|S>xf7i=h!<5FLXE}& z$kDv^8|OR3Iag;N|DZJt^{rlssvK&?wg=8eOW-k498gh5t}mz4rT-S*k3?K5jEH{F zfK*ob@MD%9a_QPPDh*{Y2?ba(5o*xm!EC%osSbf@xc9OQg_2bCv{^L_LzJijw5k|5 zg;IbwD7y;r&It?`J{XeeP?Wez3{E&Qg4A5fMN+J@ZmIh%h)%7|M`N!qm-4w0$G2GY5{mzx7ruuhu?!94QLLti38WYTwX&S*BIJAN~*AOiT! z1rIJ>h8M+PP|I)jIT?Ub;Xmt4j~DsP5^)p@2WgOK<1*3n$Ezh8_-ks|1OG;1#K9zy zL;A6AdquBY<{rlJ7y9_-PnMZm@*>Wvzo;Em2*mWD5?678y`g#UlMt5E9@&FBu4p&D ztc(|}N%J0z3rh^4UK!6ZTM!AiMS`58v(_wz5;>BApfU*-N2U^M90L)NvN4yUSa`fi zIM9eSl=EbZ#LeMgv}@O{r1C82)zN)W5i#%lJ5b-6Dm4H-&nsPTb(UEFudnMoJ8+c- zP6KX~I4UZY*OoT7!gl=2FZU&MyR;?8cLe>Y_Qgu|9zw86bvJrbMfl-o8Etbe(ChUc z(74q20yQhVh!uE)Wx`bl00sc`eKAu!nUv%UDbtABfL>EL+c+tU>{1(yAl56d)`(l* zjf*WFEk?#Oa8L?RFX*iikNC}jVfnf+|T&q zF^y){_AXx&4z!8Hy!&jWpbF42?fWg%zs-TtT@@3bh$>yEj9 zV@xy*-g(VQdvSQ9MuPc%H!`wW=ELc%MMfFs0U{ffsFKBb)kOxD);7aoN~M^P?i-{` zB5I4&C7dIcAZI6jaiw>KU(Jvc=ww)Pa$c*k00yMJkcMN@&CDGgV5*HwSY8v`L4C|? za^iO)o^a0lD13emsoKtxT^fxp{K8zz16 zqzcWJZY;3_tmE1<5lV{02C7-{{pL%)G`CruwVt69qm6<)-|4LCUlbuC(;`9{zID zEIaBYP)PDdFQumfFs4MOc(6k?{8pu<)k#A^rG@*uB9f~_mB3RB0$K!CjO-ui@BhulxlvsIvBg^96TnpMa}tM&7`s}5q_XN*z$hc<=}ESPw<#{a51!X@~WB7 z^Yuj{Z7IGr+-sg{!g*V_y%T*bIdIKN_%!9tRSzCSHu!k|lH-hK*sBV@>JJs|>*E)m zE=FH6npqhJaWEG2i1myf!yOUvwBV4K4~1}%%TT_YM8u`REp%!@m+^B+OOTWzDPLwa zdB3~b>q_vIcXm53iFF}y-c$dA$m_|pHB3XkeUtkNEqj%po z_4TOCSXGkHI=`4TSV|~iDk_JE`pAWqd8vj_~fwMezRa*=?FClUGt6 zYe7grc~I;C44kt8F65lZeDO5`fSB1+xFe{GoanQrU1^5#XAhe#la^CyIKul(EihFp zP$VQB;dt{3b}7>sscZ@Qyih8ASaM7J0+Y_Xpix}M1&w`qkOVRLwMD(!lPH~VD=yoNk%79w2eD-z{K?|DzBGml|EizADo-I4K z7?oT_U(V->OvSoVh7`}JY)w9ZTpKpei9Bw0YfX75IRSBWAA?|?mInZ`Sl2Ob&_WC5 zw)-mDCK=svBa)4Kg%m8^$UKswHjbiL`z5Rl8GaRw3j!v0Z>dP&C2AEXGC|O)_ z2fHd?P|9fSmC**fcko*Lny*CZI-07#^I7wymqdy3X+yr{7uloEXQI*v%yteXLv{}m$Y~O2!ZqR6r|eu)w#!g z!j;c1!tqC>FP{?6+R#+(*sfotk4`;$2%mgh$N1otR=}Uz<;r?$MV4QqX2tEzAm&n`gbPU&E&w2^-F~pr|)5dO=L1FDyuRX^O}0! z?S%D)=P~4?#EZvyv8-Y|#5Kg0m=?*O!Z*h5nPGLC1!>pg>V?NM7ot(ddOQ?^aqZI| z!XEoEEoL0S)cb38tv~2%f3fji*PXpS9Gkj>2C#_4H`Nqd+m2*qPcZu7Hzi=pRIG5; zkj$V0UTDyehJI0DPE0!SjTHV-@u{ahH>N(m(dkVmssbVvZfz8p^k)n-55+*_U$NFDq5Q0>3)0pHwKc$IMbFh*>Df{Ts894B(E$~Mhj+>8RC`k ziOEVMP*iB)s<;J}nF9-jvm;Sn#LZl+!c-2Sf^iTk??{p6x~nh?YCy@5cCUT4_2(5L z_%Jp%wEl?VTX#ys9~J{8BFbNiC4u<(@;0~!3%@a;iM88WTo$4)P>H6D`+Law0+)eY zg6uB%%AQL);ndsPkTJ7N1vz9&hHa}({z$0-F0I6fye?)3LSu$ecu6OSd6lm0T$%dH zeo_9Om_fx^<(v?M03NzDYKtZn@s4XV>fRshR)WhnLSU`2*SiofGk&ylKCmh?$vzE~~$B zA|6(iu>t<@0GOAKFdnYe{`AL#nI#kS8qFH2sKP_yC4Umy_i%mebmSe65rSLs6NKd+{p^8sK*Ja z9>>hoyCAS@3&Q4M3^-NcF?@-+J1-Ebe%Hpwj!&0BGY5FMS+N?3i^DK)L0riyTI#DS zzjbb7MczuAQvQUkX(!1==SABlXFVuv5%Us1f(el%mJEED6o8ldmAR3Ik?d{C*Fo_* zmojDZX@vq{WGwPPK{K)nMlMpYiqE>&kwH{8z()`@PnsQ5ytjr#ak#p%lTHqEA|N!2 z$ablvQ*bj9gLKiu@+*@lnNGqSdKop{;1^0eby18nxkkKB-u+KeMEXBqq}5l|xCbhX zybEl(W^zv~k}deXVUCeS3JVr!`;;NK*AmRS3l-j&7{YX}ca__`?@JUy=4js2U(#b^ zw+g;mGrV2jzT7kr$6(GL#2gS2#|tEP7g}6QPP-WhYxFGkFn^_0Bd2Ore^-x$lasw- zmAP1^zvBF;`f6|)(7bIr0*m}H)7!iqJ83>{Yfc}N=fhBg+^0o?R>2(BQRCb4^3>7! z3|NY({rr*-haD!k=}FOeaq{`Wz4%gReV5k2I6Kwc8zy^dy(ZR7lG?upADT`6T__Ae3QZ^T^Gry8Kg5LovVCi5ZJFWJGIQ7#P(Ig{B#E;?Kk#a=(~3NbsiwV`EoI zqpn-~25m<{9fCwHWGAH4!8LZMzQJ|rwayAZaMs}#K)9$4?&3Mq<`{eR-P;D!LtO#0 zF3dmsjh*c<4N{~Ax!~m+JJV?z{Sj-fNwSCttPj1SQV0Z9P(siLByiSHn+L*mcnmVS zRmtkzrU`^s7O&(_Mt_V`14u=T3OT9vFrfR=HpUB;NQ{m#f<@EOW_jp>MS{b?4TZ=f zG8A=s5gUauM3>gk?Dj%!Uk@a9;wjX|j8b~AC^v0~6flFi$lWC#;t=4>A8}YA&O5Q# zq{NKBjT?ZmDg% z`i<_;Um0<|9{XSs%Jljm>7`fwhBNmMoDi7H%$(6NapF&F@Kng2-iWVmJ$lu`&rhUk zJ4HXclD&?@rj@G)bx+ZQFsRsg~+t}l5vZ<^X8`GHTPYIopO?G51jw!Lk z#%Ji!e=NfR!V6hQ!KOl*G9ud6`l;D2wcL7A8AW#TAq>8Ajqtu3HqsDHLIOR;OMPXz zmUuvu+&FinWM-s|kc+E^R`jJ|X4)tAhs~wEfjdWr;^ro5jjGiEh2fST_YE>`*bot4 zPf}`jNEok-Gn|aI*TteyhQn<*+fXB5s~%Y&j4za$!VLFv2-8lJ#Q8FLL0_>@$W$(V zvYvuZd`J={ZYo`+QGMy&SEFlVV^ah5#ojg?4*7MilLPkfE-MKQxr882kSTo)_NJXU zI9Gi>di6_IG$n?+fGDf)lC#V$Cu_pSACS4zra%a$?m|Bis2BW5O&jvWu|JEiBc7?> zhQ$z6JcdP%UyW+=5gN1%^)HrEx`<*yPjY9eeG3}M&&&=$&19DAWJXp|1&j;DW25YHW0Q8qxdVm|0@4y@Ob2!^oSElNA@X0fp#eB;)5Fq zqW!gF2PMLkgCQKrjolyNY*aToLQOcGM=4dV^!-cB`{G3^r-}K#DE*Z@c;R*aq=QY= z&7UAps%T66gY<71x1b0WMpYC(SB*iCdzMn8lZ7kzWi?Rn!t+^DM3HL}uF>?u{3Co>;Ej!U5mdv2L-N{c+B z&y5K5Na0?}Eugo;XG2Xfa5)l;`E44U0N6l<&^bbAZ$GIM2v|EIDE=)?`d%%zuGqv$ zf^I<0>zmKPdBr!m(4b91Xu|SKkaA-08Ln%RJ>tAxzmOzQz=Hm2{`n(?81EigIR}fr z<*8Q*OR;8ZCK`qqLJ{YYV^uH|c~KOZIOk*GDqvThHH(ofa!YwwLgGyf`I_4g)EAbw zB1HL}Ti@sMKHCMYXv6#a^qQd9Zf{mA(Pi2-<+0>G##fU{kgj8d6JBrPN4g6RbfI*(Mm|C!I8PPg}dF;<*(n1`P8p~ zE$D}!yBauRgX<-eZ%U7ZpJGysa<32H)t176^T8R~g0}WC?CWT}SnWbR&JTXf*xmlV zi@B~H-9$`y;TpZlgo71pzTn4SZLOjF*(&Vdhmow5P)v!J>k^3)J>k+vq;VD^#*}hc z=cImz-kXLQZTsSaShQF3xkgA$KGJZc7^vD6J;XCcb})#lc#1-p%{6bsw9P{dUdS5M ziodnUqzIO_{oK@x2*pQo5)g^=jVho+j~#hGfsa_MRx^fF@bSGU<#GrbJcq%z$t(55 zi{&IXlkQ?ZoFSd+(_E8t7xb;ou0A=4gpw}Mh;+RkZh`Eod$ofKVt%dLaBZcJnx|Ur zBx^~i-v&8d8dh1ip~vio1*Ma5`!$aEtFX8;hcQmHb#Dpv(zb$|f3JVXi>V$irS3JHLU+9Mt?Ejr)YCXGr55agwE-6Q@;<;z zrFFjO!%H-XtFt|XFk6e4NKJJHd>w39)b*ry`Mq?3uAn}7%@cQQqQt=^!?7;Vt5N0C zx>)q-6ABv)ZhTs_XWz?1gKo`?5MbrhDvCtt{C5!=bryDiZ*HGDqu z``ebfTWVcww4arw5_HYT2sUA)t0)9wb1kUhfPBQkr$mbc=i9saVx)2hSzftJ`>Kbm z`WyZ37{%1V&Ta0Rd;06H1Z7jnwD0+Oq+MRbw!^D(y$AW;!p55~hz76O=4+xiPBqxD zf50Mt7u!rYw5`E-4BtDGpww&}h`^JuHq znd@IltSlr7zz#mn^;-FUYJl~D{rf&@+-sUjE@9s*&ClS1zW5)`T^Mb<->z!kUi&Ts z{UK3@;0E6wk1{r7Q7JkOnpnB`Zy4IuTk$T@Wo;c2mFsZ8Cn4|e+xz6xuUYM1fkckK z=f|kh?+c?&WToauoF&T8Yo%<0%49GeCG#6M6#~;!NinAdc@-~!(`{{xXj@PRSgM^3 zVi}HOdrTix;D^@k=VuzK%?g%M2chZ795IgIt5ziT)>`x=j;yPWVqeiIbxL%~h-Q>E zMbNcZY4!Dn^M$kHFoU~;mbjY7;viKL&33Ifp6fJ7P-SUj z|NjRiFjM7Uj^1p)=Ry5*NAKsC{DJKM%+dRKq`$%X-R@$3$}9RCc2@+@?pk6;0oq-9 z`7esmJ3vAb-NO&_HwjW+2Ad(|z%x0neFI2Cp0biE>?3X&BH= z^V*kft>wJN#~)=JW}NfI_9K;3j0nH1VkyH3$U}Zm_+eRmTUkDsWsQ3mV-R6<>o*B6 z3L~`77p|+y_ky;}&e~00nr)-8oy(6?D`&KnKsB-F<(8HqIlb}Kv;uho{`VFgU0pl) zWtz-Db(5DHbxShqEy#gfVw$0OH4{c;*fVJGUU(yvCaiCT4F~%IGBwZqW^o9N)+Ty# zViEJy+UGXhJJ^KwH$DaGrWi_eY4Sq390%FxWy;@$6goO9?Lh>_^`Jqk>}2hs#a1v~ z(NAZ>E~;Hp8}GIG>GfcEZ(F$mjSq|0)08?w_|f|eZ_TTf}NvF=*U z)p3%kxT|-YFsmtp(~N5yO?Z;$mwBaENF})a1}?4yN3+;jsZjCA$hF$5sb<=*iwB`r zaFq{bcGdV-!w5a+5AmG$I|*)^7JRK%z^!!2Yh zEEThSUTKe0iq=kT-P?Ps8et~4rAD00N(ZRo{xbg~4K5L8tSs9^+6QaPWrT`?G-fl? zVh{q$5(4(k0gTGhai(E+(iicZ=m5i>f`^W60P{x*FbVyzsDlp|SoX~W4&vh@VJ1M=?!#N-ecwTOqYTdqvR_10|E&iSvQg3vcP-H9hHtJpkHkxlOpK(olU&tBRsy73x2Rzg>#IQ#x6(bU9zJHC|pI{q6i zFq_vup8O)RO!snNw4}k3z(>}Ge}?V;Xh~apeY!UZx8+q}^$@tY7e8{@ZmNwx@`l0r z$NfJ2z4tcqk%&QjR(euMi*24I+>YsHL6uG>GdoqZwC}c6Y++_cK!ZYe&uc}{Y@t|> zSS2iFchb=^%?kL*6Ce>z3dL)qSb2~N`^z{JdRtql4WpJV({?g>8fz|Rm{-)g71vq}rKO;+i+eK>FJp6;9i$o@ z&`q-FC(yB94zs9!W|{Eeuvq3*M6iSWlr6?k3EVIq@{3Mt8mfb~_?|o~B?V(Qsv3&~bQ|HeKBolhOiY8qF>L~$sRFPt_d7%yji9*H(<8XQg~<)@H$O^*WSMZ z;S<42{1005DXH=g-Sl_0=FjZfKY1&FKPmgENB=>s`Sg-Mkp17$nolGBSFOnf{PW)5 z(3)wgKfm7?Q$_hEs$^suolRBL+zlIsA)v%L3%Aj&nUcdj^X9ye%tJ2`D#>-edm37;ghD+8Rnt3TCntI?^ITCSvQ%rQ zi%n=hB>VUcfJ{e&y4CXL=APl{qpaiH0}+{qjjKZbz$ZqVx_}+GFdWy&uj!_Xo=ek) zOD^UL0%j?3ssUOPfIQQOzRQA{)Wc#ryZ^`BI|f&}Xj{Xvv0~e{ZCf4Nwr#VMbZp!1 z*zDNpm>nDaWuII7+1x(AsEme3)iD2B2d#m9beZb*o4k5a&z>P4CT+MQG!pJ zAE4o+7cP;0uGy`1Wc8+ovLnc6uc{nzHiw>2ct2Ri+YR1qi5hzdXW^9Z| z@8-*WACDY6!V*@Vt;F#7er2j_l(SIitu|3w!-e3xye-pIIkM(p|H=nu<<+?M)^(ZH z>P_W_*)7_75wRxUd99q#JyB?fO+=Kf$Kbii-_$@b+v#N!C)|?`GKJIS7@tu&^0|hY zy;7+0Z~qyzz}O-a^TZh+<&R6cYz42MVOFvgv-?+3bsQq`V?zH4DK1;r34pK-*VVr zc9dL=NAZTY{n=Ny{8rnZa%O%%m^0E$bhDc)K$}yR6N@lIRThPfv4CqyWV6h8iAQ}$ z8bcxz1&rw3n!2#ySOwDosW3;T>2Aw?Zbk@Tqj=s9ExO9%)*^I`_>W}e%7vZES$sVFQ1F{vXox=B5wmlcJ_L<$QtPsAeu6reg z8L@x!q1Apkm|~R?UKcuXz8fh#R_syIGXu{Rb;pdCv|1EtaBUS)0Yt&7E1hBWky~t+ zpuVq&{2VZ*5o_Yy#~w7iLn47Z->CxIb`9M4%?HHkDb}J zR~zh4Y%yBU%3xr6F(R8%!7YW>g>kfYHZN;Un}|G5ZgcuT;~Ug{Qvstl6sr>?4Em^MEpRL3e?I`F>-@$R!~`6t~@#14d14Mr~Bt?zMitL(xuCe?hEtfBwGh> z{aS`g9*p7rrj9IfPdHmH&=kA78VyuSv89&kK2t$)eE@IOSfesKto>dM78v`*#pgcg z1VeG3lvaUBPp+g$(#bThbD@V)<&x)ICp+2ZhqT8mANVEIdM6FR|38bK`NYavYflS%)>*Jw-!RlUwqk@1L55g|C(XQ zSt!X=MJ*-C$l@$H!yVQ3;eGZPf%}0)BGLgAOHzmQ$5~l1xRp)cvvO9PHfmXK zKi#S@lbt4KKV9^28yXAyMuSC+c4C$s*Aro09Je8Csa`Lf#ortE7;j zQq~u-kT13C;pz{dUK;TZ{yjF>Ll<>Umn7zX`gzT@gC{ z7=2sy2Bed+3`h{FLx^-wTe|PgBgCakJWz=uA4@yE<>;-S}ZGD!$9+6YG@p-1x&LmGN{`tn{yawQ)?#U^7=8_ zK`X7k(;<$-VZQ!YSCS&kIs5w)y|)16_xObMlj*LmwGTWXYJ6tme*upEB2NGR#9I6d zO5s1&d;SWJ{uFoqjo|3Nh)n+raP(<7$4SWfA1uhf*JA0@6ZC%qCH7DM>AwY();||r z0lwR{gtHj7iN#V;MMVXa2`geh3xuMYy?~R56-`%_IumuIA`@pM@n5>}wn(LAAZ(F( zkscg4)0{bR`DxrSOveCX2b*z!5Daf=7@RXo!(8-=&u;FHT+*uX*kl$}ots1M9H^V| zJfD#U20E7rziu5LnLV#(UDDoXV=Vj=H06_WbMR%TLkY{ma_63A7cO+QHB|mUN}rJi zH?YzSm_%?F{xsjWeYJnx;FeM&L|MjpP&-8RBF@asp8s;28E;IO$2C_Dt@2;YM@GYA za^&OZ-^DkoB%+-}MkD$a{<@mIN-~gDC~QRal>^H`+&L0l-7a)2c%@6? z71vMFK;j~Pnmxq`IQQTyhIP=x0l`)e>rm2~+A!p@^#ukdYT0)YRjbZ+mmuLW;ZV?} z_D>9Bgfg$6>P^f@TH&NZU*wePKskK-(c&3R|7wT*f>PwV9jC&Qr!jUru~*ssfUl84 z8&Q#2v%)?Pnn3GNk%jXpKt$@fneq~p=LwoibqUM_B&0|8QNT3vQYao%)&|f4?Dmxo{}nRu~rp| zt8h`|iA{op7BwC3KtY|TH6^!x)S4hg=pp$$^b7!}q*hMZP_(dyW= za|@9%eyqZTg~Ii(J>j+>M+}P{gDS9ln^SmBQN!= znIAgegvjt5W^l&Zksaxy6VBrr0PL%9h#U-)_mli7&_Jo%<+AV<$ihkZ>4Ncs|CbEwE9??Ya=m2 zh|!K2!iC8_&H3cWjZ%=myto>NIgqR!$g}K)VG5>AEw&Rd^GsRz$jb0X`zOD9a45yp z@VK15hHqECDEN9ix_a|n)7KPsoY!<}v#H;oiu3C++*ovmyU%Axw_!xUsaWPweuaor zvYe&-iexNPEL5l(X3Ty9bug>Ty&5JHJpHKFLc{{XQ`=W9;_^e-_UH7&b8+$uTq*y+ zdDc&t3C0-m7yhm)gN8uMql#}piW)x;zGie2yXWd0qR@fz&O~%L%+ibPOX5&gfP#*= z7|fO-eD*>}h}cs?+(xPJvV0v8+5sn&dWcvRL{}nkr6cAhdqL2y*^6zl6xyCB&UWsre@Vkn@29B6r&)?3UKDL^~g95YHR&{yzXDX zk1^?U>c6F|DZKk?S4P8-uzjrSL0sY0_a8$BP>J)Dhxa{|bZ4fzgS1)jJOy0H z6D(24+E&MposYsRZOvg#u=Wr$s5(s0<*LmZ9x%lpYmZu&I(r3#_kZzqw{Fi?fm*iH6?qn0kHYl25~@3yvp7uL#aT*z9}(Ww&SomWZ+a$7lHGbFMq+rV2fsKTBt`snsHIHxzR? z;_ULyLn_@#piQekjNH|^S-|Z(C!Bp-0h>zPf5F$HbO~I{Dw0kJzm$L-I<9vJ!H*t3 zj>N+8qQx$xKyH*7iB(kHSqR6ZBv^nDGuoOTn=r%QFo4tg&RR`3`xA@)TL>JT72G_l z3Y`g)9x_+_lF_i!`!wuHKwJLgS?^Vrvis!B;t5`p#xBCX04PuhMLXZWXzG6%H~8C4 z{nMlQzv%>@3y1%1#^WCc`G1e`_{Ww0H^zgR@pHfTZ#8mV=PY(q-);T;Z#{K#v?Kr! z{EI=$`LPA8aNZ%`-l`>;PGH2*t&ZOXzQ>mrix_o;p2=~15A@-$L?>C^we-=8o=Tz- zc}AVYNH9MkKR#&)<`m^9XH_5F?C&R76<(oXWwjZL&kDIv9hVwJ2m^qa%EfQ*9$$Q+ zYY04UOD;U(m&|FW`gd-JM~jU+hN+ONs4J*dIZV20<6@?ZG znnkSt@`Eb%ElO8TVVO*i8OH6C9Ci?nFFc9m!f_`Z2w8&{;&_9uX7K<7NT9{Tv4`w{ z@uS;Qv_n|vzVTnn7|BL>%8W!Tb{?W&CIFhXMbnvaALxnd%xkiPjY|(#un?xk7bu*c z41)}dogA6Pi3`C|OOHi19qy!3cI(5{HkZ41@H*i6eLC88D_8u4>v>bwOW19CW?6y? zS(P#g_likMmAgaJngs=b)Fe^K`oz?(^^n6Alfdjf^CVp>_c)TrkcPfCB4Wfl8XQ(&-is@?JehWz(kUWewpBUdFLzNm`E^_<#dC`1^qW z?DykkbhXY;`kC`LJ}z8)W!E8-zsofcQmcB$Wi-| z1_1?WpIKQX^w&XK*x#v?16++QQXwdZAz!bN*;#M*O_kjB3ae>qX|wjxM3@ZibTk

    O>oW?a)FKYLTRompA&X4zwq8L9ZqJq!E)V1O z@FrILD1L8~yf``wa2W?;>Om)4A8YhKgP_!D*{`Hs_z*ST7qP~)t~%U%T;@@uOQ7`p>PYt)9>MF22(oYci0 zA~bUR4O(EC6rglZ9-Y5$KXH2C72vFZwLGVtAnYb606M&7TvgOF8mqb!+npR!fN8~U zPHJB!A9O_ExaAPfc5#s?35ehx=~D%sc{WmABqlhEYOQIGvw6|kv9Zx35xF;L&XHo_ zf>eXHYUyGXoij0cY=MSTaUys<_nqyc}l&CiIz zCII^rix|5h7{0K-r7<$UF^XSQPQ4ghG`ux&S#;SF*z^@Pf@eV5G=G9Pmf+HePQ=nI zVxk!VKdFYaKs~j7Yc^d;)}g{4L3=!=YlM-^b1|;A-61yAQ_k|%?=+5@Eil}HnEV7V z;rwgYFbN7xeAWNxg#Nv@W^!=pJyjA(yCYUw4RekYRE zc>4R!_CSg!sUS2eUusQ=MDV&SSdgKH%b2-Isg1J>A35!kT&u7=i@O#{!!&Lh zOuu}C&I`d_ShRN85wgBib{QG?96wjz=RyVZ3biNWoCcL=xmrlUH}AGXVv!{o+^@ZruxF~YG7�yO zHO@KO*O_Ui=d%sx;`uE_M-TIqKl<=$B57dYfQUiv428G4WmN$!v*+_#F#3t^n9V+X zJ1==+60sKWTaGhIF8ma)@^xSZF_2vkc)?MK62EpB=E#-G?Pa&f`3Xvvs&7u68_~-( zD7IGFAvL{(BF)esKOpWGejKDp(MyMPUSz=CF%?xXH@)XU-<5c2)>#z6r4VaN(oS$w z9G*s{R7KSxF5L4&r1acqjxPhE@OEiQ{J{1r>^N!o7&_BD3An+wrkM!y0e9i8D{uNk zo(=ST=2|Bk*PO%*LugZaxI>MHS}yS*!`5K&Vxq3QedE}e&EwrB+J-Ro#D1v}#tFkY z`&k1ESpXX$ENR~`-8w5O!&~@y_q&a*2LDArjSPdN9M~rN*^`71Z_sJVL{PTe5l?Tx z*GmVtEMz<-qgS1SE}$b+613jhU;lp#w#WrrbD{Go|7P+jo` zy5kDZ2sY~AJ%+Zu&Pvm(WcOw}JD*bj21i=XeC%ej(wSZ4u?)(bI@a;rt%ureTOObOCo>?T##fvL(0+5fOjXiY=yhwoN`s@C_}ve ze#~dUvJ}+;)d9s4yp(-@|6TKM-X=5c? z`w5y~x)w&%LP~b?kP}AFD4Y;@g$5Re6K8YHop1gxp>O7#BF?g1U+A`aDLY!~ z%=)5Lj4rruh^Qt*nXeU4?dn9Lj4*;IL4z(;nSku%k*5UU+Si@HT7{uwOK1_H6Y) zEp>~bANrCz2b5Ru;TpHSVv{I^^sK z@v5XC6tn^P?TL;0KWM8prP@3)n1>hAD))ODju5et-1R5a(c+_b61PEWzzq#u#gHdM zZ=fiig>($Ht!&k1boWOJ*sUb=&NvMCXxQD0Rbp=bFAyF6q_*Y1Knu^XRJThlw#yK~ zWO02Z?vV~6o5J(!=f();JtPQO6UUZZ^}e^JoRCNsPE=VpQ(J8D@cFB3T7ll49?YEh z4efx=PsWq{*i7uMf0E=n;0|zEG%Kew;XEjqt})?blKf_?RG23xUY-f{xK}?yiG(sw%WQmGGcXW9#k5X|lDqqzXUL1Dzsh)oi)3j~Kb|KGpW4+a_za(_wQ; zx#mW`D6FxBuKjg#x#0X<9i=yOR#xer^V@9ih9n#N$$p!IAI+uU4b`Sa`Kl_H`f?ZW z+-I$=_F}RdNS7Q>Z@uD(%P@nqmi#xWI;B90uw>blgoyfwTkBSflJ>7{Sr_)Qd!hl4 znK$7T-!;wAYe}BS(G}!bm}#9GeIShrI%^c`mnfTLr80=Nul3(hEIuE&;W}xo{FLyH z$l<;*wMsL!?q!V=TY_%0PRgYTG!9E$s4TxGURfCzrWP+iql7;@t?tr)OCrDIi|Fnd1Q_O?BQ$(>_aaw@d56HA< zLpqvQu|Mwn?CXZ}%@&y}k;=KGx+jQg;$f)C&@0TR3Hkl6ZvB4+A^=Tz&FJAQJgb#p zX@54NX|u9HWBx~3mqvI5tQvK_XXsCo`cCJM>3&YR^WJ4=l`Y3duV) zhy-Z+pBQb>J=5pB=E|zC_CJ}l(d&7WG5g+fBUA%3mKKx1*p1pB$nP|CKl>5|&WJSt zpXaZc*HV&kgEQjvZ&XP|%3ATkw+dR!<-Mz$%7IxePgL_vZZ3MhE7zh;`9kNvL zmys&(msoGL7;;yizS6Ty8j*@6CEKA%ai*B$3$w0p(MkfP5p@q5(Hec=j9;pWPXdY; z!alieZ`|ZLvVMYG;-})E%Lj1%_N-!vF}xlTxB&hMx>p3bqNyxyKv@&ceA-wYVuD{o z|E(!j=d=1kwsv&|yR(jiY>SuI#2{uI1;3f%?S8X*WG0rmCPe~6qpndZ$M(o_6YSA? zq7PZM{LGrUoP~>Nm0!{kOB{+ZxhXaaD&!O1mv`+y-j@bm^wZ(N19a*qIGlK6Uy$=T zc|23#N%b&O_{!NsYxT@9!pasUy@;>cp%bMNy$nde5ztBzKm65KT#4_Y<9}8 zH-iyI?q|uaXga5BW{|^DAec*9eC@{ER^3GO-C5>FDciBCOv@2GK*f(~n!Iab&5<{n6xq&!|ZP zZ$!WJmb%WMbnx@MwJt{KbHA4v_4q9Z|f(Uk2%U)(QDdrF_I;cLAx-)dpQ zJFz|@X?u?+wx2mjc0^j9Qv?K}i_@Q)VQ&DR?%G@^Kk6M*iY3$w>!iS3&x~alQXq51 z!Iy2}i4L(95xAUE*%f1oWqp3guXC{9S2$~Rf*k$B|4W9XugFxtg`*^n%c~vBf7Dm? zpYRxe%ks+mNALYduivY$=0ykhEPVPZ<|4NF(5trFYpe7ap~Xa`6S1yPk~J^AGFAJw zWDE{k`gF_iuR(YHwGy+a*i{xWa>8cIctcreQ!~2|xKQE3Pg^1lPqjW&2w#z9+KsHM zR6%76Da|<_+nJg?nYQ74;%;lI^>i5rqy3pmh0N)b`G%4+th{c^*`hmpnexeEwZ%Jn zrbxO-S#vk~kYnlfSgw)}DDerjOaM|dAnfAKslEAxO!*+37SG{}!0YhL;Xd!#u)sv(WzuN|fW?0kg~(NWE8~1qrg)(Nsye zgJjpkz4|A#e42A4ODv~6Y{&Y^T_04+Ke#8W|1P#mR@hV;DWR0cedbBpHm8>Q|nlXefc`NPX?%E+}EfYG=P(}pDrjmKZ>t&9&E2n!rK9>Ym4^B z#g2ZWz|5tKHWHYh?ppiQT+sl|xt;Z?vMqTjW@2CT{JX-wTT2a8B`-U;)vuR2qJ@L$ z&tnq(i8kV>gOX};pZ=!cqB<(Cog2GBwYdGe;U})IQhvnx!5CFpHXd4y)|a}JxhDB~ zw4Y}6gaeZaTJGmF$qu0l0E(zDf5!?WAXpe6bg3{fRKzFzK`X~nUZW9#Z(8xV1$0l2 z2Z>e6)d`rEroeGhz_c_f&r$+ROC&5E#~@IwqLa}t*IQekwbn9-mHic@%4JJA;I5gQ zcDRe!HV`^cPmwjW!kQbnoVZJNggf6~V$CVkk6Ww4dhivEx)pSVlfO_cz4R1Qqo>W6 z1~EA)B6(B%uxm?UY-z(=T_wDo!*CPBLaj5H#EGj5iD|{$DE`1QMT1nTuoI)7XS6nD zb@L4-6fYVnrUzv4i%(lnP(YxmVfnX-s^8u}|Cc4M{(2$f1ok2J)XOjRVsLfQ=O5>DLux^J+lPHr}@W<4QuT@xqQ1&FIR z^Mh6V=f83qPk+hd3n_#zn{Xj;88$RAgK%qBdhxT#xjQ}6Ak1AnwE}$EtSGoFZv^8d z;6qem9wK&;otr{%vEd!=8TbSo#~3IX~_Jlq0^zQ0;1$qJ!~rE#O;QSI2yX7Q@` zmwkxhtQod?$Kd49Jl@uoY3nhD)>M1eoZUrPkRdas zfVbweV@~npX!5P=G+aD#gZ8T;43A{TY|o;YnER~|Lp~!3i$z=1_db(*f}!N}!a{be zHmBCl7W)?L>N8)EKVlYA3yUlT*Q+XBXze*K9Sb+2b@HV&4$mll%g$ZI=VEQVD+`*D zY-OHaqy2KE?Si0*9l|Eq9k8g=7)MD+ujjV4(0uvO_ZaEjJDJ*oCXz88a~SS8%lUz; zt}Pp*z1Zr$^4p*(6l9acZUJ%!?%6yH`RwBUeM$DoDS@~@ z=k<1%Eo&xI#cBQdcGHPTPMRtw~ zyY(U{a+HpR%o>@-Jr+^Dt%`0CO6OJjMcAKu!2?l#+; z+0~kw!s2{XTZB*vT1 zrkiD<`C6(GZnOLc7G{Oegyt6+oQ1lokqpnI?}|pjcC)tjU0pf3;gx&ohKsGn}?zqSx?L;Z8&h&~F2b?FOk`&y! zl+>tuT}{MgDoy&7-e&=K(sQ@p52p!ef=kh5&_k(`GRffM+9b`GqpPJcazTF1%LTwGf7ES3nR>4C4=*R zVx3(nCWzY)mDcM!IhI5m?r$yDFR-o3H*$@xx`lHMK9q%JsY{WmEG_LRx_E1LPlJTm6N3W)X<+h6@aq??XRnUT zOq=WK=MW@m5tkj1huVwtx(3!w1|4`jYK$`*!a7RmJ^GX~*7f#lqNr;UwUaB&3*Yo) zX%g)jB&#A9`3~lNuFoiT6E1HVGi_^buy1L8f=o}zdQTgG*7uXcZjvtUNN%t#knm+j zwA9|nTu@yseG-Ytu%Ef`s$IxT6^Gjb`~jqk{(bFfAgs?-Z>gkQr^cEC&(G8Ws{79R#4oEK(P?6@ULaarG$#oD%`v|g0?o)Tb z1vFD}4$+-dz9!0D?>6LEX~I~L)iBm0XarYafL@(LFx=vlpdu{I=8>1IcMFNJiqvb2 zjw%ht(Xs#=E9k?DY|S`ty)A=b)+1xSbZ+*`KvyKwvJoF*SZlJFGW;=uBc(d{S zMIB}HS8MRoVCm4I3&C_191NTyB`%5Kn&N`t$umV}!zy_LCxx={;+T#wf{i+b&}AHA zR3Jkaev{G(e2^mmCwS}yoMQhPad--7V#-VA3mnpeSe$&nz&*UM;a$D+Z$WCCsY|@eLBc~`;S4ol$a@$)^>_4Qz# zC10-3Wiza~0SAx9`8_rcE;D10pi~je^Brb z9Pa-mM)tqgtcz)2-*zos@jl5l@ub@;kA^7G6>nDG-8gO|Im^t6Qcn26TpGD4GO&I$ zgZU(NCIBa4E-FD?P2J3ayVo@X-*OI(nyDoXH<4Zm@#k_Fe_6#^N1{;D2hU{Qr{MkF z#^l2{7JghlDy1}K0>oEIqO8A%+yo-o2P1TKDW}T*22`ravsP?p`MMJu@o6FS8f{9F zbbefQCo}iC?;(u>8J|D2p6lOVIw3jU3gKB;+66!qJ`0;3Kv!(4VgoN zt~gV)e>GKXxc6dw-(YA&#a7lt3>>(uzj8TKPY>zsO73M5&9M`1Vo1CxYgs zzP+j1mrqzcbZrMCriZ7`EX$iF>&gAEQitC)q=X zgQ=*eTvaw<|9;^)3tYX!p|JsW_za)u9j6uv8uNwUlXU`BI`8$&w9=Wm&J(x(6{R?L zcgKr}S7Xi*Yv~)|F?XW_Gc1E75y^hkiK0T-;(+7w`BJdTyd@P5ks6FBfR}1vr-{0X zv-mEK3Gd?-lJ0vm8J!3B6S(em;43j-xWI#K%wXM}d|-Y+&FA**0vvo!!@)dME(^mc zxsr0E_5I*vXE_Ck`kVhc5A#2LUZ6XC5q0r)X}Z}I@nfy;#_)*w2=j1mSYeF<2DZ#4 z>KkL?+K5dkH5oRNg{9u*`eZJf-zZ<-wsM|A7S}enfu{C)Bg(~=ABK|4b077NWSI1Y zGV?^h&QdPk9(pG-hr&P;L{9d4WQNhP5+0c{NKSCcCWdG^}aK%@1>$(i|SrKV%-021^;r-q3s*~3AZ1UM`i@b0j z4P^RgucR9^eZ@>k(KDhP6ycUf3ox{Nz$x47pvxtVH8w{Z$y}F}+AUXKBXdYLV7f3* z3sHtMKD71nuB8UAp zxnwl-U3VV@U;PTw`e0K%AJ)b`0cjQZk648Y<_OtU14`{F2T${^mo8~9anFygU8!nT z0Z0w-e;iP~#qmHofhi$h9#AgXRAxmL{{+1gckuQ^q<0?*Jb1rE*6#*=Hv^|XjDBry znahS&VZv*VU}L{~Wpu@Dkb}R-*|qSeyYxcXRMtJ{I&t5bnj zN8CxIAl=rUY&E5zQTajDvC7TU&L-N-OIk9AG_MB7ZtEk<-18X-ozF62Bnh~jz%_78 zafU{iOsK6MQavbo*YIXn{(YJPEPwmKt^^~=TFU-*R_&gav#u@uwiCEyk7r)(Oi~J8 zOZvZ4Qu(*QBv4%6TH}<))N{&u?RyX4UpYly4I8c87HRyaUa|i=^4K3a0jO-y6-8wI zI{8MhOj&JH#C=CY9%}vPNObN_*cn_8fT$^;hx!zlE{?;k6Un#5o{fJ2nt(K|@|l+A z!tW0Dr)zw^h7Y%PA4eqoDJ3`8V3Z5#K8*Rc#|OLHsho?mcVzQ;Tb+7o<1ZmxA_)4v z9+-`IT+BALF;#rdiZXR)W33gQc)WFZRA(@`CSrn@q0PQ{WmAyl%l*&BaAqRC<8OW? z2K0-C+0*k&(*6Raq&RF(K(QjVABIWp#{&Iq>p1R3KSA&po6swsD17*@aI4bD>Q}gR zaOpTmy@qUQ++YflqXVXlS|j#uLP*1nOz?U5ZIjH*)))}lKsW7ch81k5xzi4bTg6wH z##zPJIafsOW}hN-N{U~CzX$Kc%cc$ODub@5m;L*E$pK9e7zh$|aITJ^6wG@5(`XDdFq`69Nz_E`WSCC4?Gnh-WJlC%; z1MStOGj^C5W!SqNhuxHcPwpE;I*b4^-1X*_sL%m{F8qz&fGB?8 zXXtI@x3yJBNN!Me^^Z!M2YQF|auC+pT+vlrsd`bd>ovhGVxHy|F^wwd}8W&+kn~_<%!OGuK9E-@O zQ+>8Y(qBqK%LqDeB7+Bfwz)i>){}R&&Fc#cv+0+6BJA5wQ)|Q~4dQI$Hf84M19~T! z$olm>=PaO&{>)*nUE4VlyVHUsXaPN_^&Gd#AH(j>G?M0rZrMrRd_FK_lJA#6$c$=$ zgWT*pueXBJo+NJRk6|HI*Vhglhp$(vbFe-Uw2#$lrI&DEFZ z@qV_i%yUUKtcTyJ{lDHrr}mX3IIm%83+59UH!9eTs_m~(;4{JUz_uM*@JIpz)&o(T z|LNTQZ+!p1rDXLFg7Ct66O|yZh?&qATPBCbx&3LbLqG_Lz47MX9-ZI&JYrVs+L4 zfMR#hRZsD&2W~P4dWfwHwp{!h$EkrE323)!MEz+%dJT#A3V+3iOOM2;Re9#J%Vk7Y zP2J4SP5=8?hMv|d{WXDKQU^faQO6i2B3No5TJoWEhcuz@3E-~M7-^w#|6T|46*?sh;(ejgRdkEE4WY_klqVg!H3#&aW|&cOEV5hY?x zDJb=(OW0M4^@klT9f;ePq$Zq^?oJhNJL8k3b)a0&$@#WTRh97rQ6lVsU&FO^|7O%?B z^;S!oqH+vmv#cMk$XqJP9mhJM;~371hoc6&C83MgoDRb|NJ$ z^vbSsCmWh5y~$gi;ik3TyIl}UztlB`PPe~U{GUl;2woUY?7x3Rda5~*tLKR!J5cdT zQdq+iOYRIg*7Dwmhz2?Api0K(SoTVNkr0%s?+(H4`pazY0?2F~_cU?m(CnBya#MCM z5Z*7;GY^C!x&gi%Lnz5Bsl}bI~Boa45BW{bbx0snm zduls!FJ^haV1-ZcY8F#wP8nr%);%quWGC8#LQ~>BWP*I=?9E*1Qx)&B$vu;?FLmAt zP+#s%mPArIm#o+dS2puSaKK-E3aioW_pD4>oD~j*eu4WRweeT%YN_@+nXh@D2bwS} zKkb5dTls>phCtHW5mb-!;F+qUUQfJ>cCV>s*jT@60&Cx*)bVn*gI#p;vNfvqBXxLT zrvuzoW8}c@Gb_rCETsedyQWHl=9fT9lR6DvJk}V~w%4mgi##)Lfr? z#Pe)4yd1NAz^lp$jP%(RS~h3n*CoG$W=?GK8TFyX{{Pr}@35$zE#JEdf|7GaRB~3y zSwM-BGfhx3w9w=XttcQ_vSb0tG)QQgoP%V^(&Q{TN~V3clkV@Fd(NCQGxt32-22QQ zJk&0B!=|eCs;X6MeZHZAB!_hUwx{Pir(2#>XlP;+Ypv3TS{s<&I>oBrRyuG3#Lo0& z>c_dsQx`!?V|t+*{@BYQd`bfA+Xe;I$=Bi+Qh+&!4}JOv&We967~}7$|A)+|e=*pj zxRQrm7ir^3jY%uVZ_$uD3&W&7mI*EfaVMVJ{{lT&+qelqKyO564fIUPJ2Wn+`2qJ^ z32d`_89=`M2L-ON!GB>gHVZxjAX}wg+AiHe{zrMG5K+~?7e@2?ce3*;x5NI zC)z@fKug`XclEc_w86r4k4;R~INR)&SQ@A}U%wY)#uMlQF;N?Po_mx|8}(2mi|4S# zQ>Wd3YS0kt__hMC2hW<|3BwBGmiewZ^$hy-to`!U>GoOfn8#b*wjE)fZ}PMov~v#* z)2)`C*E{CzRg}&^jJwk7{XOkjJdNFl;>0BuC65wpWZ5vDepes&dE7I|k-__$)cR2k zdc1|EI%Lam@-_+_WZVN^HSn^e%66+SXM4BZ`vAD^@v%B$-3m=-Hy^o^Z&Ojzx$`W{ zk(oT;bSkhDyGI_06%BX~yGA69mIi${cip96O5)}GSWOy8%d z!BR3lPH|7CX=J$5ZE>+lL}eo9Ie{Siuts*=koyhMsu{+oG-W*byihMFg-O)$xMRD{rvzlca<57EL;5(-0A4RDQS2 zMw!4Ssth7cR?~D~)y!BCzvC>b$-=!(A|J{U85t+rJM4Qs`L0N-9Ivtn<|ATt+BD+@ zzBC`d4khLi^;Z)gEg9VJYGAMQa-8G!PrOl)Idq0?=>q61z{>NsvvcPjXQ%KlwOf$n3=WjDFJDCJFObJbGx4L(by7K62o={6 zsbrxA)3U~&--fFpgUsE|X5L@Gsy8`7^s4WM`o+Xf?~O1F^@h@&mhI99iiZcAG)cQRTDk6N$v;;Db@km1DMNVmnTEAY3@ z5WU(~WjO^4IX0rvrK?4QA35r^oN~qz*-pTMNt4s%S^6G`wRuY5h@@aQlO6cxcSXLF zHDds7o?G8vh)sXBLesNtDwNzQgFinn3xO0vYcrpP3tdU(x;<*8<$lu(=O?tw`ksYq z*}MyzDPL>8O7n#F^p9`QZ1;?oWl0l}N7)(mO=@cZmcsA(E%DfL_0h!AJZgAmfzToI zl{}hKA@`>0R0E0LAGl)M=f3e>aoY2>6x&a&Sh@Y6?r{I@i`pow5WN;f1zLi9lG{QJ zD}>}gx-^mLrn%jf2ue8c%;u)S6r8URxFCuaqI1*eGpm#JAT8vu246wu^|RLnK|+konsEwQ z%5mIjVgl%TDj2U9`FNqH&K|{CSHQ42yZ3gx^LhDmux&bOD3nt?e}^DQH!>2?aLD|0 zcj9E@-A~xLk|cL7L|SngFtD$(8;`v90X#A>(i2E~x5bi9{OK?8KG>KnJn+a1GIhPP z5PQbJ9v!?UG7|MBSNzf<`ia57c48zo11Bx1u!oDowO zl*Q+=AhvxilNkONPpk?-f0hLRh|9GQ%B-3IF?3%h0Y`8FeK=rg|Bf@Z|I@Adx6UvH z)yP%8Ihsw$kMX$A*t!7-Vy6$eVj!yfPiR}Qq}!|i3gxzOX5}-PaOkJ`TL5#oa$?12 zfqB*Fek!);mK)1RIqlW7B&Oo$Ddt04wJg1JzmhJSxPCXxo&btR3Z@%=K2$DbZ-z{| zNl4S3hKL?IcaEJ_+iKK9_7#O6#~?e#^R7oA`}&e0;Z|fll8*+l!&tOA+}-b$Z~|iB zyq>)@IY zQL&xdLoQl&bi2_lgtI8C5JSg3y6?R)iQjLr6zxgB96njEkQDeNAFz1EGO^-%=E^9y zE@boMNJ*8_nV9<+qxzCy+?*iRGNyBruK2+=Ql&T-gi-aHyEzY!i;@A{Xl!O$etb== zUPcvEpx0KjIC?Zcc(DNEf4o<)Vk)80oH++a(7)de1E;0=>BrH#f>p^L<_YHQT2HUhB-AA|3kPGxsVi`kFNOJ)W6h{~-DR&$T3XkBbWEEihI>>7ZZ{Co zLHP@ZFAJ>Iez?LCigx z>9R6^S%*ow zJ%d&Oi;^cv&%)W`f5seQ8b~t< zlcZ}D^(Aq3tFwr@owKc+8^}ZPfJ3QA9EK~J;kYkwZn@Mu29VUQ_vFc9CCW{ex38ux|kGGeKmlk;SY=F>6ix(7nY64m{h2gFUV%JOvO- zsW;fRDEL@{iD~AV3Lk%x$^c+~o(5Cs*d&Qs_}F+v3)0%Uy~ZsPbh=~WL79~cq4Mo| zYxZ5qY+NFzH=4=*UhM7%pJJ*^&g!}`cW@`NL2n|TRFk{y}K%1>-=DD)lCNK5M?Bm3j$gu5%d;gt7kKr9uE2_T=sNLCTM zl9YC`v}(OUE{;-eD20)Ued^lLGw1uGY?*i3^kxUi)@t0oGUvOT>jaBw7?y7);^`EX z>YZMDir5v`>+Sd^rPu~w)^~W|slRC@piCf5_i8KA;}vaq%t}qQdmeLL~45n=z4&+WSfA3kG18&0VtnvKhs?D zghNTYh`8Mnw}Hv{io55yjzz3>6id`w=GT24*|^yS`nV6Z^aKYLW^{s-OJ^IExjSl$ zK$RKr$Wv_6EC-~zmW!ufB1dhr5jP+;G(6&A=;6(tiW1WnEn`6rye90Tw`aya{NQq3 zR9KUq^p%2Tc{S<%H$ap~8IL<{;@Z-(z`QBP*umha^GW)5RCPa&LZGX-%MgwR0-W5L z^k7P{7J2OSa>7Q*5p_={Ox4M;^986t>ZSx%qNglvU<MXk3yOC%A)1k~Hy==V%*K~OLNFsxgk}_jJq~^;k z>T6}&GWN}o%V2!&-eZlzJq_rzWyKPDJO`b*xJqA474H*E4Phx z+_hl8u_|-^bVa0mic`03s&ztW><9>Xn;Pgs^qNv%J~ZO9vEg$2K&g9cO9|wtDXv#e znF8)^CV2na@%b6w#>gaj)dfPL{XL&QczN(?g$3V(N_B571_vdk19q`;mGqZ*)NxG{ zX#d$F`yUG@F=h#zV;#rJW{2xPfhq}iedzEWYx5!NwRg>!yO;-~zUWBVi|{2fZqFA) z-HBiB^oU~R6Vp;cR1R6FJ$)mQHAkDrn{`)Kq>BtiDgZjSQhudHAk@jsgo8d)E57N`^&3cU>6HOC) z8S(Wkso#n}aujgNMeQW!?t4Hz{0gl0%AHE&;WhDUhbLTUlkb|Cz0@7f-ikJ8fc>Fp@G*^}?OC0Tem4SDXah;HP0-o+f_6Wpcqfi97l zJ5akW43jv{gO#T7v#jx?5x5<@Qx75HXsX^0uq#`viU69+0Z!*FOLV~%R!utT#|(%~$&5>|TDk(eYv zmqAkoE_8k9&2~5&L#a7>dzSu;qmA^wo1rn1Ab-I~55V}CP zW+X}vD+`}$e>!SVYAYQy8#)5Q`_haXtLiEpotEd!?&al$kKpC6YZB2r9VrkA7zY>& z#GOV2n)~h@IhI_)PYq1fnv3w_%qE;x?C0jy5P|h2=GN|`YO}itD2ZzRxw@1Y7za{B z#$Xht;NOc^sCM3St9}LX(t)n5dV#{R)bXSsvl}&S^wG4qY?@Z~W6_oYq|mG&PE^R; zWXiEoxzO9JLj@c%R?uRET3UWo8pQe-TXT_26#K)Y9^=tb{zVybp)338(VZD^De1?V zjWAgo=kMJj%F#_N9KnFhE7 zma4rOdOrWeWE&dtqf8dA{+^moWa)+!cnGI{<;yl@-19aY8kd$GWGkX zf;SZ@k~3EtoJ6V6IdShEIprVcMcAuHdSGJ(zp`BvR3kFRq!%oM* zRsZ?-nMR-7>XcmzqcY5RhF4cuA}=&V@BZwxlQ+p)mTZ~v(%)yS$yn!Ys(m18rq~L~ zG~AU>65VbsJFU~eBd$I#??PVn6IXZHf zLRu0G=9MgdiJCpjW0&){si^ZJp0{mCs&7sLX8nXYTqLw-Uq2NN(=s!s_8k%x*{^Vm zHj3o5xp4JM%ANOOe}I=N_3hA9#(Z1^;le#%uI$>gYl}qqHurHt&Kt}XF7Jj=Nu%DG z8qV`hHwAp}VuhKSmgjou+mv_W5Bg3ikF$=NO%6@YPptbDu&&vFGTvw4LO*8SaND*g zRT_EQsvxzZhs+@g02i%e-+CmBIRXz~8X@UKSRSbr$em>v$C+&dTF7qR8 zf5KlN=)xt7){^-Jr?eOl>cMnF1}Q-U+krhM^qK^0ogBRc+p~mrp_b3RwweGJahHp-B_>L(Le+5Avf%tRshy`1gao{*|f*$KD(=PUPzB~%@3q-c#aJl~bc z%8rpd*4u*+?uMV0)2!}gD9E~HaJHOEIv20?z&?DZM%k3RCiUWaGBG)vKUuS;W~!P# zic6xdG0i@8SuAk|ySQd7kp-(q#@H0xMXk9lLgy5bGgzWwSJAYjJbE9mmIb3>Ul_;b za_Z5N8gX0lPGrJ>YS8~mfMq3*1 zmFf^$R%$T5qx_L$EV0Rfg12;&j9vj(O|VE!QGV%ugNnK`IKX{mx5a$CNFB*5uHmSo zX0)I%n*KR4zPVmP0<1Vb=RG;$o`I`biS+x3fCL9?GG$;8HUtwU-ExUe*%Kc}X@ohk zPj;u2*pqL1k#j3U)>Y!}B)T*tvf$8e4o`mZ7_^dKks)H#z9TZdd1PkVgDIFZm*oI$ zrM<`4|KSd3BTs|y#EkinxBNYC&Ih}Cq0)WI@#2w-(XXF`C+?ADW2~M@Po#Co%I(n! z&h1wiRs>11f@|AK%hHVF=plG}%$z1LYuZsIo~LrRTJ;U%HYO}Y}U zIJnQx%1WV<_eC{Q`+7MYb5-Y#E3e8tZSdST$Ai_aDXkL9`~RiVJiha=;4NIJ&B+*C zm}3WY&{1zxMMzIkx66KbN7Ka5p7S(_Emhp;^o((dlK!C{W?vtj#qHIyDa|GgmKSsd z2S<}DTB#`RyqA_sy=>K?Ue`4rB{*Q`1l~;gQjZMiR(1o04xKU>%Av(-1wDix?PhMt zJ$j54Y3ctF@GB+ZMTrZs-=aWiG>26u4d-}WTrl(nb5T0TJ<_(9zGNE(OUHTz*6-M> zF2m(~uWlBZQjy5M3pI`r^~A9wrN)DzNcBPRtL9OZf=z_a(;xh%T}>q987R>rn&B-aGuxl#dRwARlc+ z&igAOBwSNH(~qo9eX{I&mdFDWRRO^1{}M*7Cu9SwMycwjPPzydJ)RlBq&(`oC-FG& zY@VI@>iaH`k^5(}7l$9GwDF|A%GA0U)atUiQ)*0x7>bh-b8fUc12xy(5)s z;=vtMSlx1%zd0y2`4KeFAgl)mAHW7;2qVa z?~+(KYEH+4SWW#MPT3JEv3IHSm3_odwv<8SrqpjNk{~yJhDSCvmE%sN@ZB}F(A#ea zf;E_ZXg9u4eBo$1&+xh*(3`(m(OuNjchvAm#P>+vUC+P(vNNyp(pL@aD+ zd7h;1kgY^&;hH6Ox@q}&RegllbfZEc(OfRb5?6FR_mC~kYx&vXm|^-rY}-qaI(*H- z!2FyvptdA+sDV1t{qWOy03UMhaaRC$>mj~U2!4=}vtdjE#&&A0e0-9w0bfa40A>94 z$lT^c3EfzJQSDIB+JqbGG<+>$Zf<(+$2=VT_2ay$ck!9>Xr2HHRg-ZyyYT(TTmfle z`C5{W51lKFxJ|P5pTWl7$$AG-pWR>cZ#O6yycTZ>9r3ELQ zetKp~5USAYRma}m*vZQSk6$)vtLKW+^YZ=`mRAxnc^c;7_mKDNW{D3{1k zFAJBU$6Nuca1Etu_y)V}F~ro@xbx~Ts)$>*@aWXdQA(n=q2vS+wGeulwtbgi6q@IH z)Og>@Rm@gfFJ?Wz8Zo_!7~$UtGJKgT;bpg)QPW*Yu~@Mq5ILcNW3Tx1pe&_8REve= z%{{ZUVPMG@LvHvBM52|nAy?mkjN6c}sk7(LQ5p!{Bc-Qg)C0#o^fRDl;aW}&?eK!C z8JkPRu3w3JR8(r7JE4wMcKI{=2i5}_jq!Ia6(`+Y{FxyN=f}Ed7xdA9Lieaj(OnQ+!_k%x^m{8#kcwjMe+{R^K zn94RzFL)oU%&+uw%*v#)sL)84_ zYDb@~hDaD0yQf&avf_5UDt#j9=TWAk9<-wVO_H>9Hf)dQQyipWpO1I9-ov8urGj%_ zjO;vOef-7YP*o0FEWw!FDfI(1WFsm^!JUAV-1%1YO8j@rCJ()AoEeu!4|U_se)nX^ zk4$9Ki3DV+s7_3DUc7)eU>@Af`KoLuBV#{+$9}=PY)kbj!kp+w{5F$Sd|zE6yOL#8 zOBld4?TCD{Jqa8G(}CNTs3&)KKYJP_&B3i%8Ci}oAJT)G9Gj&x{ zh%%}i+{u2idF)ziml?f3uPnTfpH^bX{5o&Am*aQMg0k@Zm0-0!x7olhd6z&Jd{PRI{xc z79k8o1)G{C3vDZoP=yHPXrt;u@g1|-Ic56JoTmh2LIfC=wX2sFDb_`(b9$YroXM_E zDr)2)?ABuN=g1VyJvm(ll8zP&ZqdjRn%3I|MwNbKEOmYHCcs{~~;pHtZgz zv--sKGv87tmS-MUr5FsB;f7zkGo+Oi)$JkWs@yQ+-DAUZxkNrCx&BOj-GD4LR`(qnFuk24>3y|PB|Ue`db1(*kQExeP9T?oIZ}%D^0DON_hnz z$&!j&jY5<=9!2oh9*&5EOY8yXi?X5A`y{sW#%hx0PcJ;3-sYK@of~x4)JuF71piR6zvIG8M$v(&vrv+o z!`OVgtvn)rH#F7Q^*(s}OzDlLsQpYcyGPRbb%=$` zlDPfmo4}y6gHQt@4uiMNmXxZg-HUiF^cW5}t|w3!)-PGeEa}Jy$!oUfm%P8s{c(=U z)K8zvxmn=-b=T_vZv~kscT`_MZjUr=Mbzu?ACk3-!vjC-!6y-mv; zcdyrysVcv&^QX8gi+UJ84Rzh6aMX=$Dj z4H>PG`oD#XKMQ=;Nx`*rI80TOw!XD_aD7Q<`Vto)>S=a`j7z}rp=F-Z(0!I8= zuCdm;Pc@0KM=-kCk$KzDf-lyc(@b|xJLoGbA5OE(gZ$6lxBY%z< zWd}pxJh{gG5B6#Ct3wz&I9~+Tm4$~-uW(672_6|=o?Tp=*~<4!I{v;onQBgj%$?Z^ zWp9c%Y<1`zvUVjTYstL`qGx%@Ap4$Y+DTc|Jf90nZ8t?Xe9Av<#&ShfaBC;?B{N4_ zB@V_$78DL0(fL_)^-Rl12x+A_#pS1`XCzdU${!n5Xzwyy^jaE1mFe+-OfDZx7RU`FA+Jq5fEPme03f`VXqMy31=T^r|$dT)32 zlQP}e_{I0k`B>(Tt_Nqzq-)_EUFx4LP95pK1!Wj^?V!YX>A-{Rt)7AGlO7VAnW%w5 z#-ciDlf1U?Ru&=>WF1AVUqLSMVJ;;Qo)|xdKxy<8X+|tyql>5aw_q;{~gO44n z>XAR2vj9?zcGGN*b|KX@1nF0Rd|EvPp1l;OWT-1v&qVqPqVI;;?ZfvMR4?qko6of4 zl}6Z-ROk5B)1eb=2&R@A9LYD|b}^!9L!aw`dw&wLx1v@nAcRH6%5?e3-fRob{;ec9 z)>>cPI;=8%x;cfS>^e$J7QDT>&pj=}%u^Or^J|*srsVxPlj>VSszrsy;11LxNkO+iR}#?Ok+o9LEZ_mB@A0SyBBs1+ zt~Uc|CioRZWMA#o?aipmm>AHX{tyCRLC=-_!AnJgS7J%t*_E}VDRY6F$!?h#8+ME7 z`dN`eruZhKWbHB}c4j)W?Z;tI%Z{g&I4{RnGn&Np$@4hX(;c{{w?;=%_Law1L-r#H z8!AGUeiAh~qg6F&ya<>C^|N+wk)?4R70RJ3i?`Uj#BAR{r~OQm6$mvZ@Rx5+h^s8p zEi8kY8b}CI-@Fnt*CnQZ<|~?EL#PDM3~Wc8hWg~2dcRdBHOU`sKYF0MaX(b*J?=r7 z!}8(J-;^Z4cS8_$kMfu>u~oS&!NY*{;B~q!)XZ0>qZ`JMnC6u@u~$7}zYU8uG*m0M zXSG?KEvMRN6f~Ab){hm)2lDC%fV(njqgXSiiPG5eTpZv?&x7#n9%p=!ySb>OWqToF zn(fI26t(8`w7os~XR@86)r1CG+}vQTw=;*OO{&_+h|V7EZ~j_K-pi@eyw>2lj*k=g zB%0ijH+!L!R39%*o70xxJNcXDHm$>1GEKiVMOGF?a>ZfL+}n8b%`&yzB5qv;#Zv8M zkhq{8zUGIWlSc{lU@6^8OPo9Tk!Cum@vdINzL^41?>93O+8oCINQ3XkGE0^JTLxQC z4U^R5ZXJ`JqRxAVO);TUz1XN>Y`!5ck>TVQ&c43>)yRuah@eUC&LrKBC&uJq1s zD5IEvfiQSs=k=TJyYj7C%?}dH zwtW@D{RJ5ECnfA2)HN6=Y8s^lj^br>z6kPLHF!p;uUDXzU+z`x*)WDo6aTVRT|vp! zD->u8RMhXT4?>CQ2l>0HGw&vAHjnetbwMpNH{bS>)8ZxafVRhpz84E$5+%Pp6i^Ns zdf?=^SLPt=derusEEnE_BGM&>h0VGB< zkGySP6>rsL0{)`!0B8*Lwl!o4O9f7Yr)j&6ebQqbNl6#}xY6uzb6vqav^bZ$Yo93Q zBIenF;&qrW?#lY#dfCWY%WCh`*VA|DOB_z3&ohT5-vwIi|`Vy`}X10$L4vxx?5u<#{SWlGwO}~{fHqqqbWW<1b!12@wuwG z+E|Js_NAbl5o_#|S*wpnGDft)=QM_ZXWqwG9q$mwRKkr84*?WzNQFh z{KWhvW#hg2sIG~Ou9o*u+X=025IUROdwP+S_ZzG4Bmy}_FCOmepKSBWccx~AS&0v2 zTj!?@S9P2k;Wb;B!mDfK%64wP-I2P~r8yQD+7(!4QQSW<1;Yed^Cf=q;t9(u5P z^n}}-&^x9hhAqQ&O8qkNT>8~Jkpa&*W!CRM8H=1e0u+s_(=;Xr-?_&HAq9MoO&u%Ek`XCd@635})m)E7isK-<)v9WVJ7eP97Z1i_ zf?BHh((Us-5KrPV;<_hytEAHQif9Y&*h~qj*|>OlIDVd%WsP)%a>^aWUiHduCM@Y5 z2hmkX`oZm7z0JndMueJ#B|g_8!Sa;tly5DI2$V$i^srYCySeqsuZ4);<&OyNli3Sj z!85Vbc4Omc6M{C@SL2k~$EVn<;tFVw8fqrz`2EnrS3~^PtSUkb<^qlmnc-)yzCJVi zVvKal8|{I3Bj_HF5;F(7y(yAU)P$IzQHeIv9?_TS=~k;JZOCi~UwBPZ0X(WDsvvxM zl^3;5)xTQmm|ppXiH(Rz+)~I&&6+PFyD^jPpsTFmGa2tZexjYQ-C~q^ z+1nLOTW04mUGq!}bdU4$vph?PG!7rx^rySyz8RjZ-|E|Pb#Cx#jxpVJ6h_noyW?HB zwB3*s!{D*GqUYZ4%F?~k{i(p^b*)>CmB_g$Ri;*(+QOYZ!59qthr}c^cGS<_#m{Ll zI8`bwWsDI$>9t{x*}K%wtXPhsGv(WoAav^(H5I3P+R!LZPCnf##!P{{TzDtM?H;N# zel6og_F;)FlUnn8_Y;=m-s|oDT)c$QM$__ua%8;z_V{6;4qS!nz|(18b*DkdsoX7V zv6zqS~bM7|S7PvMrxJ^y}?cLB1}-LORrytxe=u}mOsHw_&lK3J;7@U>K9$ls`KkYg}d}BMz3Za%&np_x+ zw!Ttr7yTX>kjwQbcH1;Pll9=l7y|yjUC;ISsb#S7>BC$sJYp$v19e`tJR07Gr>BU- z{mH3o^+tCG6)wR^_=yI@$W0jG2|aAy;9kn$+czEafUBrE9rb85wqz~~kIiY#>D7er z2YJ4J4SF;i=k|Jm&}`m2RQtfAxr!ss&+m?qy)Hi)*}Msl_ZCHb53Ic`Z#^D*uxclq z$^1?KYDdQASbF{qR$>Q3v`d_ZN{mkJC~v{o{qH;T=g=UE-B*#M zu|I{X;?v^R5Hnh1);7uN$ZMidPIVo1;RXl(Wb3}s1|+yV^@z{AdH3GoP?R$(O0Y@# z^m0j&rCU$eifEeln(OS1wv<3Cz;ScM@mX|K*s^V%9U_R-a0)%5Ov|11_Mz6Posv|` zH8NQ0CV0+y>e9b{IJjoi_*!O! zIiFYQQ z)&Nky&dzZUi2CQmG{>7AAh7Xk>whM=`X3Nl{ue{Y|I5%LB9Trs)#T48Gwuc``Azuz zyj~^><3*egAGy6m@2#pNQAxL5H6W+Lt6Sy-fUB)NU^)b-C) z4wFF%`6>Paf&S)4m`saMGUwPb7bNJBl7B9d1CH@OHb*X+bb$t-A_1YQ3GZRu4JsU$ zyXqqV&AQv!9SP&3q8>Cr>;|(Km6(6Xqta*zV|S@iGOKG zfL!y3HU|FFKlVLzfvgw*RqCm!vPR*@ROTSZl&9AZ^wVQs`n<5 zWV*={iSfl%%I#TskiV*ZkvR}DCNS+4uy&K9u~Qg*6M^vNee-5B{Dh7R$V!2rP+(|? zgxTp#b8~$v3}WHfzlWMUzmM35hC;Tti~h#5QT|)slFR&Y82-l_p_Touzj|tVebD(B zbzJ9{#;j>A*KlPl`Y&Q^@IPrEU<``H6rX7UgM%6UOjV$qcl@6^@6WqTX`bQm4K@=) z`d!h{mrRol#+-j>N9F%D5?_x=GA;)^9&~)w2w7|YTtk#dPNv+;8bPMak{+Lm{NH+G zUb-{`6$Pvmn2xxNGJHfhIRJxK2MoBsHO$Qa^)(=a{l7jm)%pMQeJ-B&mgqc-H|}>^ zF@LoC`PgD8k&3b+GPVIb@-Tg+aJ^xRvoyf4ArCMtGON07;)h=0!0`m~_sjpcUU=1Q zgv7sEA&vi=4Mh?ETYn9{yj$0qf^%M&k}fT$y5?LpA_Pu763@I&bPO*`tpBZfCOH1Z z9{hPj$NZ`B+2zRl!$iUU2jlTKKbZT6c4hh>OaB+d{VxxGina3R1g=q4X0;^Uf4 zl!C%QDJbGHhaa2DFC~ZZiU=o{*!!kJQ6J_zPos;5X!A54N;qR+vf#2r)uIAL=sSd7 zaxY~)-Z_D-{#GHn?s&UBmMlzotXMTto;iFV=D-Ds;_j?*u0ES5n|z7XXkxL!Pofp2 ziN0f|uo_cez^D#l(LOGN{kXHNaZPIW3v^g~Xq#ktZ)a0=4|*i+>mw{pG!)sa-10Ru zJpPKkbFldPW@v{S%4~lBVcJZskL>SZ>nX+m&DuOtpcMVXlg#d*pBGh;5kebx#JK_!wkeIC(93n?EeO`Qci&e~lE4 zxV`r?KtWC72?G7CB?6im!Ifhi(mk0Hc7ZYEj3tHgkK^mUAmeMuReXzgfeP37O9JQ7+Lt6?4*31eG&dox#?=U+v^R$WG$!nNOQ*8LI@ysD{!s5okH%tW)X0jnj$7 zdT84A+D*1jpy<%-3bW|fp~*1#rt!~`IXmBs=)70amiDjq$gB)NWS@(eMenW5>IVZV z9pt~EsL96bZ+=5jgM^Zf`T!^@nOsmGko(b7SFU?HSj-LJ?%!cO(Mal(QdLJXc}}TK zl~xsNAbY6_js)lwZTuWhF+_%#rl50mi=zII_o*6R^To;YdVq*%$-@G zupbzoVT%l~Yc-~WuyZf;YdS?VMh}1(o>0|b^mba_qF44XEafloh^CiPyW72N(Su(} z+b)6Wjg5H+8|%Z9O^A7^9@_S-3JTXpd!1ELqe*3mfTbdq60iag1t@8NrXcyj{tZYl z<{AsY8HB-$IWD`**I|Cd|MP+WX{G-e8vhTz50&)Xst|fBWF;~LH78KRq4}Up9f;9z zRW2&bsdPkoTFf~8c00)ZX};%QClvniKCwTS94bjXOumi`1VC3`# z+8`Q3ICl#aq7Cz&^gejlrjHwRn}r0D3gm4CEWK}8rO$_1ZtVX8SahPEU)h z02%R*T_V!~T^)cg7xtSkhjZQl@a2AiPA5|Uz}#5>l^C)|Cu8RLO;oLz%o6jY;Qj?o zqktQOxldWOk9sa1=OX4CZP)3QJNTg6tQ8H=e`cL?jR=(#RDBtLARc}{PW8@PSuZjx z!eQ(;R5E zk7@(3MBIt!xhcVz3z`#i>2Llm&=IdW_Z9!78UOKFl_ksL)78Bf)GnwIp$rKVgkKcb z9{0x;H;QcayR;zeo(D{+VmJy~uU~$9^Mvk?vM&FBm0g=31OBa;RzTn}{ufAXDiKg8 zT;ac=B^=_&dMzng9EJVHoI-^SVcIooSD=9=$4E@(Wu_uJS?;Ccqlc(wbAy_eUdMp(aMHj!qUlG`s6-@{Lp7@#RBO~0RTL& zWw?l(nIlhQley*@YGbG3R7S9(x*;JwAQ?iS{CD&NWd!`(TxrrNZtrN`a6b`S?r3Nk ztQx~Z=3gcFyv(wf{iTH4+sM}thu>nx%LU(Bw(Pzz{p_33G{3>TQ>k(D5LNOE#7i?- z7QD>JZ+bkXRzK$gMeb5nc7L0dZIJN)YI28p7K7O}GFm}VsKm&4@2zF&1=+0PnmKAu z*z52XHC3cbQq)(`ArP0WJ{AN=TNX3{2n>-!z-5Ar?BL0?eH=vP!}h~ppy8*Pi#-J4 z-ffB&twEp4l=?;lx63I91E|Bw91$&q)6Y+9Dthf6P{%9mGQi#L8d-nuMW}!ORvX)C zXlTM7c5SrG9YZnaZQGh^mf=x2J;U0yyI0^mG6SX+SYsQ%HTRKQga(8$ED3T%d3X1g zWmf~R_W-sdMZ;6GX_G2*xKs07WLZ7xbB{|g zN@cQYTE(Wi`L;{|sWRw=+!qOTS?vI^`7xlYK2ABFz#6h0Xkt`lj{{OYj9B7ky$lvslP`$`$V22O;@jJ6FFU49kHvc*d zUl(M+eK3Z8)<1kj=&*3OKn~^Q*8k9%ES@Ibvz~~B5 zwn+@i;aH8+kTqF<3nVY>A>FcvyMVK6bNe&?wj`sxB>UZA$FIsBKa6Nz)Az;>-#=vT zU?LG(paGlNwH$;EXB!6lL4e%iIJ%d;iK9YzN|GF`uUlWf{e}hZm`uL==rA!W(gRQiFb~ArwQx4qa zIt)(}%ZXP%7#!ghZACdt;yLQx-=znjww~RIb@IPM`~?He#B#>G5y4B_k%K+NR#d=4K6tH-_YyfMcIJt(*VK}C15SdvshpHAY%sz&m_e3Kpp2Iaq}?c!R`eyxLM zX&za>na?U5I72~@c-9}PW@p|G8H)OJuf(xA=f2|oPr~m1p6%ejch5g!`^ok7ONRDb z%6F67*0-C&_hMYGZ<`OGsfz!KG%m+~Tcp~*7e&CGcz}DUan0geK8OA`SqAf%>c5hn z;cGezNQTKqUHNot02Ei?ta@2%c@V0cwQ8zjJK=t!@zdfy!yWNA*F5Z&%mkqB#fLoI zYM&S>yMpruC*Xr!@J6I?Uh2FNGr>U;y;%r+@;2AR^tZ zq)17Z(ukCF4xn_SfFda^CEW}iA|Tyeg2W6U3^EM=<9qLW|M&C0<@a6RxR>#VGjqXLYH zW&SIGtENeN@jb@yBSQ;Sy$L&ph>;e04HS(RGKw_wv@B@v5jvUR7Rdzz3z4o#qs+b>n2 zA0FT+03GRjk==VusP9ee`lMsW3a-8g*A4HbZS=eDI5Z3R@84-COa4MlaFF6}H2S{O z^T6WuUb3O>SFazM;C0`AIAfB!=^?r@D6<(xuLir>lUx);iM*r=ZgI~9_os%4Lz9NV z@OIksU);Y=@BtNo>ne>pzke_^qi3a6wtfJ%Z3rcIWA_>$Po-DW;7=iUXzHG~;6YhK z^1#gERs!N?U*x#O8Ytdw2t2@`rG?^JoClfOIbX3&Yo?3eT&3z+k8R%1>QfuAVAR1YQu#1;RX`;tL{!;%ohF zsqi}w#1Y0nCi4IH9A(@~tpK|gY$kCo?S34VK-X3h-CJM5D7Z3!VC=z|k;Gr}6H@?% zS9%$cUI=;M?p6V&O9vZJW(A13{Mu0#cN*#d9I3GQ+WAsFxyGB#Jy~rJ)3*BtDjwUa z)h~h8R!O_oA%s3t3r4io;uD_Y3uFzDvR$8n)C%u7wC$Oy<(VXt^HJ&&RFGfkVKoE~ zEIB6 zEw|V*GLty2vN__nplCH2evb(he*d3B35d|w5=9#4y~II0?WWaA)gK^2dQx*zXqu1! z&_f=y+Z-r;h|I4UTkAWZ1bNRUja^Oi(t^zeiKfb%{oRJpScj_^IoTs9(PlIg7)bax0SJSzR3sh6{o z%E7$O{)f#Ff={9r($ULC{qE;OV#FaNY8U&kyD6tqM6G@3Xtk%|)Os)yvMRPKQ5dbW zR(pOl&>mcJ%cMsr^mw$A=!A)&m%rh1MuAA4a@bgqmPT1+;`?nJrur4d4h6O%d%0#= zP1-h1gSobR7N4u@v)G{>i+W)hmwD%%31!x?lGHCM+FV^f>=eyKQ~nLtS>cTe5n~3L zyVE8{4bAZdd<95}Pyaz!)K+jIc^OT-}=fcIH^sb@8h6K0tPpaApC^kM9HDCpb(SWUWtb~#~;t!3c-ZVGLy+q%1LN_H9?G)&4 z2f6&(6Q}`3hmYF0-mM>S?!{k|fMI0fI5IsOVthNm$$F7dI*{7$BG!ZD!bTRiPOTB= z4t6@c! zu0mMB?DUE+u4TWtq8SpRvVNK7#x(W^VGWK82#I_m92;o?c7Rep{8=rcu79T+ybqxdJHX-)4ATKH7z4*TM!Mn+_vp3!bJ@ivHd}8mQ ze^3HrZUd@bCBuVSeMq2m2Zz84LgawMNeOB3=MPW9c5A`)0?gY7y&z}suS{y176Bse zxrT86IZ2pZ;B_zl{26J+gOY}6E!8`@GSE_H7^o>)qUgX^FrSXv@-Q(uB_%Jv+0ku_ zhVJ3O4!fG{T`Sz6cqaWVS%H3N;=1*>hf%(VEqv)GBQE)uTbvbLEbiN1dUpAMtyZ)X zdI`)8*G)tH8XI!fc#Gfxtdd82$X4AdWRfilP=o7VqY%HP(7|^*rr^X*;jvbQT(Tra#}cm3@uNp+->wQA&4Z^SScMH}iPw+)(0 zlob8Kp1LbO#6Vi~Yf!rrzlV^0DHY7Xko1P+p$)36{o0g*4<^SqV+yQLi6~zMka)$! zgLZZLhVgew94fvIH%EC3=SRwjUpxhkKM+6R*BOABAmuH>A)DtsPeHF}qX7DISLYJs z_&i|JWq%YJftdsL7!Fo|b24@RvG_s6vr!+HUZm0U0DhaUKJFw_i&0+@sdZG}E&dI~6OyRP+<&NI*quM3W z4={_?VjwxVM!`q%$y5vE0QB7~&8|;Bq-T!?l3Zus#~tyU68Q<_hD@K|I@eY^)+E4N zM_1mS3-?j<%-YLT<`Q@~C>Qj$mBQ5|#^3RAjGuv41b6`;bOy$TAuoV8mA5$HH-lcB zl&l_!X-!cJ>>kb3SyXaw85kBrTRbDEn+ewqFTcD!T7UH+yNxqRxx92TVh|a!T5|u9) ze)o~)x{Iy1ukSlav{ft$igsrz5azzAV@SQLiQVjy;0G48E+mTOq)QZ3 zp|kVK%Q$xsCv){a4?Oxf)Yo+x1-&x}5d@EDUw$16GN^6|-6se%Jg;aU4xSZ%N~REsldf$Fat%B zi@=W-R+4-!Lz$2-91eu(aBn%H^ZDpJ?bAsoBuJ{1nYLi$^R69pkf6Q4Sg39krCk%$ z<~a93j7mo$aPQXRtt+NI;Nk=;OH95s!d%0_l-`j7FRTm%&&a2X;(DoagV*Z=>&ME& za^hiKF;c_=_?z-JIf4sQ*-9P}=Iga&aakMWW0SV2*4D1zH#3TO_wm{t%PWOqYHAMC z2wngN5Rw8sVDrVT@~bjJL>Vc-?>ba!gct`1L|Vu(e#)`}jB z(k+5J0tDxw1n0rs*Wi0!0&Bptd=vNZ^E}U7kdZO8IEu&a3xgi|Y<6zeea@nD!e`iz zZ);|6tHUv_KP?SkuNf%0fE^VmLa_MK`CQfmDzQ|HLKZAsuV>n}_QH9W0B*U{MJ5UXPQs7fT@oy9B*TteIY3=K9 z&PB-PAizp6Jt{i|iBxgCJOxD`j(F=WCA1bYXfzBt6I?jruXGfxhnvdLM$0VDljYr4at0W!2$&v7N)k(F}*< ziL6A+w>qsL_glL={WP#cDaio_YN%sByiPXW@)^z9V|87ak zFDTQ3@125dyi>Qx0DT2B0OtkEK)4~toxWm+UYctGUKnDj#egb!*~UN1Fm~T}s+kAI zcws8vdyjz8i|f3Sax2*O7;DO_ct47*ccS#})9r2jHFKfJa<`9*1c4$r2Xt_SPvUR9 ztH2Ydpn;VHpWeF0#Y)ZwkEKG8v&K9b1eyeHIe@1EPZS-30lata*yvyk_$CHnPFMjq z?WI0+Ey_ny5iA6q;LROfat1u|52Iq#ZmCFO$OZV*mJ|_WGjj4+d=gL%C5ynKI`sbF3??bPwB%wvzco zXKkVmXvmPZcLPoqlZq$;mx?%NE4)w^=-@XY3k+W>>=+$%R5${`NH4&{+D_R07@yH5quu>zZ&c?vi z`k`=l&1ysRjj2X8tw8EK+xS-h?t4o=7Nt~fmGhZd0T2P;j*PtPnXRKL6zjwT#ql%` zV&-8P@Q+$!`%_D#DTPEYdj&exSG@CFzfBU|MCWi8ipZYQBzs zm8#vttW5)x{&#$}v=NUxNiAfQY`iQM`5^UH`}~o^>(J+9;$@9v#4Pu?=^14vnEl%& zD3(zn;gDq$DDz2RCSb2PuK+Ftya%vgQNiO6lu!5#wSGP1LR0*IKE>Bj9MYMRT&YHx z&Gt)sC5JcESNeq4M8QG3B`US=>@J%*lP&V&H}sgfq&8t9Iv03Bq+hu`Y_Gp6yCQK> zgGBW=$Bw?foA37h5d`20Eyug@BbzFXK41Fbw(Yj-ak90Yk#F~$B2GbjW1F!JRWG6z z=9ago`uI(lfn==T+7z0?&L`~=)m%NMbB0>FQ7sl|oO`?-50UREwI2>^t*j}nwdK==*FVIT^B zibPn=A;M%4mp?;J2);nr5Gq$-LEzYrLAtY}&U)fMMDpZq+T1IguX&o1!`08`IOKu}0<6-v>vJFGh@HE@!|m?bI@2Ag&K7Zu)$*BW@E7Wv zuX~3HSZMj)|F39m03}Tv{(Pg6=Dm7q`D{_TJr-WHF4xQreSevS@~FR5 zNBoc2S7StS3QB{QwIse-(6uVhn>huIbdtb!@RZHDr>0~wlZdbClbLmDe4*a$9~UY& z*OpV68rtSYzYN2eCMS0wWU4s|He{0Pqh1kcH8oYilDwrc=zPw=2*69rkGwvzb*U}l z@Wsth=b8!76GqFToBwuN-4728aN)3ubGhkNgHG;Vh1PfXE>*s?bg)dv@r+l)*AP=d zp|Eg^jw7hHnVD|3u;v|E9+0#_<%#WK3y>R-QRDPDFVb zm$RWLfidou=(iB=bqp_!oPtWzE9CAS-%0o8pLkf%Cvrr=N0;$*Z;LJqbAtbL%~i3?S?9PJ?B7?Fo2iR|Mj zm2yROL0Go3=$eI(AiJorxvZdIfWa~ae}$0WV_!PZIOcGP|Lv8#SvL{`isJ6GuOJ#V z>fO)-uDxpu(9i(In;VmANM4fz5lIxJ5?CFo8O>OGywl^@_EEHUy|;DWCg7y+?$-3X zMw7Tc`Mc8}iFh@JAd3YMp>O~|^RwovdQ_uD!y$86Qzu83m zcS`p6klu3z9lKYwRBajTKTcO_Ss_4n?|g_gbjXNb>y-(g^TSIgFEJR{7WX@Ml-e@# zmjxKUfF5RUIdaIE_eBLn;4*!_37aB5yItmpC(%7s^nBf<)K>Fb&GU&sgoCS|8}R=h zuB-O*(3wJ_2n{F5r$?U#U@V&WC7E6rZ@sFepO5Nn9S2OBi^(uXp*HX?!AQ1$r^=l$ zlFVoR^C%-PCRwQckO$Up{bt%jCR}Z1c;gHL&g+c9mRvUvxoL; zvK}k=O|pH2>*sfE*pEVQEMJf$QV?5X33@mEexEGPQ)yv7iD71`ry z!)2hW|77}p9KI|idj9#YdD3ffT_QOXz1LIqR-a5^p`8Ao_)yu~9W| zFYWR3aDuzmfJL1-$Yk&_5Dt?Ck8ymOVb3Zjb9pm4(Mm%0{&FXYD*fFEl6$OvKp8F& z2}oB@(!%&} z!MNvEg<{y@Gq&nTfrWszc&%n(HGx*)Burxx8rBjKR0)i_y64xn%xmitS=iHLVs*^y z^Qc&W#FM@t^jPb}W2VDUq_>h!_d<=3)u2?5gIh*=($n3W6Wxz(7g0kfFk=bXv%^1+ z3=0*9Y+bgx-`2 zX0(lZ3O;bUR}tNt9mmA2dJRH(7K_^V8l=gH^(A4Ei0-6ey7lYvTkiKcUfNxeU{WZ3 z!=$4lhoPfQYIYAdS`y^XI^y^_CurIF$XN+>@0effDXL72p|!Q2Z%zEPP@qk^L^P>G z3Fe}2I_LvSF)55coVOqSLFofNA+eK7+>XVTVbn+Oy*hgvN}g>+T86kyN~GB^>9pBh z3(#t6yb8}-QpO#JKbBWUlQnaP^ymfDX;B+QFQdw8h<+?S|HLi$tv)PNb7snO>yT*0 zWYV%2pyP!BY3lACpMscK{^>ItcysQvHv!@t5>$hDvF;p~@o`kB7nx_1-F*-+WKLE^ zoUTrhO6exq=SqLIE*y2<^o>14%u5Cc`n8qA&gZm#RGd2(b>SoReJdV~8mot-!NM~y zg~gQK$zjByfD=}jwH8Ja+VaIQ+ZRqj=+khL50xsPvOj={W?l#QJwel2X4#SgXoN}d$>!E z7_MQ@b0-(6(wW>F;NdT;^_ITdn4mtAj0d)i<&B`1lIBr)6&1_XM5`z`={Iw5UF7)Y zK;uT-uQ)= z8Sz_DVmBz>WCo2ZRQDZ74~HI&Ef}?(crNr+kai@9l&#B1jS1H0?YN3Aqr7Q-PGABhW3PkRI7 zdG97|6nij;Q`phKG~7b*PFrJl7+pXr<9zk?_Nj2K^v4;L^UGT#(}lg)Mw-Ag}u~! zW3mLk2sWPTed4J|Eo@Q48Qa{M6pDWPE^1Lg*Gvj_y9ZW4x!nZ=ORdlRkp+kwJXL<| zg@xsJ<4m+GOH1GQfY8im8g6*!2ba|hR}^Y02j~XO!uMgdpAmPm^?E=gnHE@&x|-wk zm(rNw0rzFEYtY2zHuM@)=r`!@SY*{zteLOb2072~oZIjrA4!}Q)!fk-pndP2AXJ$y zIiL02BU*R4Qvq)Xe8gNVs=9f+%~f^Pb`bED@0B zyaRu&LEKJf&3=9`$@(7rYM~)16G})?WgJB_8WxQSX#|v^sgb5~v|c%1I>%<1CyLNW zdqQ!o%PW^uL+V~7bfpFO7xE_E zyY6|(O48FF;_e;8Y~u0Zmf>`@SC1b()>12zR}v58LYm$z%1ksG4a$Ib-hQbIjjYMi zEw^&;HW4kixpYZd=9GJ0UC} zxw%m&b3=AA+snP@3fE2B3|mYW-)cIR++VwTb`HhceHh8o49jrYy2Wurg{y%_*ob&5 zqBj@8>*I5+I5g8!qZFvOVREymLy|vr)zmAEq?5AGaWdCUv1OJ4+8@sAXyu&QyI`Y< z^j33@V>~uiV%^P^?JmDzs4UQR_&OCDgpdK~{8^`<_)kC%UQ$`arBe_g?%i1?@g$RR1f(=;nqEan+(q;rcx5-Xi+ zqrCzvJ{UWYOJ3c;2IdEQJSNF=Y=9AR;RVv7Wr| zbB#3LtKK4AjsIt-{EzzlUBG~!OxG~-Gwg%EFw5ZIGy#9_3I50Pf1io|XL4NK{mBG6 zXM_FYV0`cQ?=#r{&076yE&nI_{vEiy7k-kn`!g`_Upk@PkC5PB;r)BSmIhN)PuP}i z->K0F(>Y>@=`S@pj={lfq(2#JJsauYDJA3g80nv}!2SdIpJ$dCiOPRV3d27>qWUe) z+jnueehyXnNk{B2nm6ySHOyy$_TNcQ{#mTH@1d`M(gORBWV`)|e5qesHuxQUt^bmB zlYgN>{vrh5W1xRJf3(KWxL_oI%?!6^n)(OM;ZGW0XDImh20nl7{ND!{Jww5Nelg}B z=!}1#!9GL5|9nXqzK_B_L&5(n{?$L<$M^8He#QhlL%~V@x#xfH&);LD&rt9`P$B=4 zb@pA9^%)BOE$H;`b?g}m{+1<_kM4k`+vFK_t4j8DEOZc zIQ<^r=+C5~`VY$<_$M69UkZ7AkAXfz!T*%n|GQ}FGZg&42pBy>!T*Z}`wRs?L&1Nd z==m8#_;+GHeGgyj3Q}$bkT8rZqEHWUE*N*R4p^_42aW__*L5acA zq}<5;*20~rb(Or>T3s{GGsRrQR&l**I=$A4p-4?ua-E3f+eNRYWfsP29u^J3L37U$ zSAd$M2GmFX{?ueK4Hl5V<2$WsUqSvMM@|oa=+Ojn2 zC-Dc9rnTiYIMuDJG?835n$$5(tU4FR7+fsTG(+15c=sD?y@fu{>YX=d32Gq(q2$BR>r6oQne!y{jou;2UjK}AvV0M9G;zIw&5HcHRS`j zZ_owsTh8YoM|f^!dNrZSiPn1Rq|caY7ibxYUp?-kbr1k?3owgfCge=6YZB^=-&)b@ zj72ei_fFp2+!**{dhtBAEmoc}vEqLRs(vH!<1a>w`X$QLUv1wvEkzqedEfL--$Kw7lFQh*HvdI-$;8nd#L^}n%g($75}-J^lbaT zS2O)of1a6ue-%^k%mn;XGV*`wp*k}Gf1&RCpG-5KnSg&4bMwpu{KHJTZ}sJh~9Er9|vT;Uc`8N}KPA729Y)u`V9+};@`SQum_yI1T0R0X6FCRDs zcsRNE{;^r`@0&$LIBuKSS~ywK^WOkAso`?SSUq$yd&D90@V=ATO*0caQ!`vKG2FkO z)ooHEvIG}Z67S}**DVEhTMpQ0w=EkhHeZWBT^dVQ@}qd4h6$USsF%3iZ*Z$W2}m+A zhpp3p^ks=rnyF~(BD+BW=TZfO?2Mdt^Po3Xa$;NB;l%MLH*!69Iapm*nR_$Y@3==( zXlB!{u@k99#2k3eS*hpFdFHjP$T-vGsS{pL?Rdt<{^lCNB&pp##(EHo#lN=shnD=% zW*+_@8_du7_Xg|H^YMOZC_UeoE>JW0#{pb_KLF?vl}C0a>Sj*#Uw-qYN9=5!fUPn& z=(&H`0CWe3wzBa9Gm|fy<@xCO_a^Jw^kTbKgumpNaPyqNMW##0kV)jVd&c@!( z*38z4UI3Rv+U}vB4om>8H?SeV$j7jSWLaBxZR3GgnElTc8QlaP^7 zU1Xx8qGq5WBcs1Y&v1qLD(h8BI`$jvEH{`~uCjbN2?`b#7A`g}F)l7K3l$j^%QwGH zn?QuvSoIj6(NHdfPzh1c2vJVkKy<);Vxau+0_qC?@IpaF1MU|K8wd9sa6rWc5Go29 z8Y(&(1_nAhaI`nDAB0YbL3D{z5|dc`G@sohS zpx}_u*r(6p;uD^~NPLx%nU$TBoAI(d!5u#&U z;>0A9RK>dQKzy0Y51T|PCcUH?hk;uSN^1OQ0GEuBXMqX!rD;F3?6)<{|9{o8e>Cj> zw`&rFhlT^_6!bEf~@Pf2l>u6=V?g^KynH%#t_01)$?u^;lHNPFh zTpw8s*7Mt~j!s~X%&$U&I3kHvB}g@Pl=91_rq22L+$AoN64;pH50U-K+kei(>W zN9$tFU~zlv$jA1?(=p|dMw~JExDMCfl_$U2vnPLwcgOu5EeYD-Q zyqDevMO5DjOXF4~*p1{We(<)ZzxYCgkJnSOSVn^H8vNNR zCo|~N5x!eLX^E#ZMnuw~>r+{b0>bjr9tI5U0U4bTQ4lEUJ^HYwY@mo@hFf-@Cxqa^ zjR$0J-r3A*CEN7d3*06G`kw@_{3NK#wTKtQLmRPSHj9&%VwcOS>s(l)<%-cGCHmi%Vz75+ zd(cT6RQ!Dib#z^Wof>2fM)s}Qin-MWy;3V%SKjQ_k8sevlc8qCIg;=6Qo$_z3ohvW z#%h0mGP3y>9xc{8L(V`ww!)~!m+fSQA1VFOw_`s z9ewtwz+w>tXz`L*SXRW?5WMze;f}c5KsUOdAU*07-*O7KK{I?R?c9CyhZTN{Wn?lz zC&~P&t0vTrRpv9(wlm?55>%7LZQ9Gw)SwFSb2{hMPC;#4*L0l=Vvi(Zvy!^Tg{4?a z#BXb*c-mP{IX{8UT5;F4`u2%b>440~jh8}|4t){q z&^pcd(TxK;+=}jD_ATGIk?RBXqZ()&D|Pr-0{yy=7hNOw`zZ_&LbMk{Kd;@1Y9Bq% zz8@beNz_F-d{d+;>*daxCB4nEzl?+^Hh${de1=wR z`W&k41Uek&D(IkbbKsT_0Dvg_wi4M3yn~OmdM9UHUmm2g**Zqlxp#1dETBvy~5C!L3^%6NW}FPM(dGo`NhrmVD+|_yneg#M||+ge`<1%%J^C*8|KQTpbr@ zB-1I;oMP&^SeN(F)94}`m*nBpB&ef%&6CrHj2CunF39G-jGcd!A2{ibjyV(M0Xl^9 z7D~7I&_TD$<~xQG`#xJT*C5~HW0%n%`QJ$09k<8aNuXb?4PB_`bbjS_u9=p6Xy+kT z5JO{i)|zN4?QzmpQU{44u#`B9YD#t&x1}=u(?hUa+<2uIwiBEeQ5G8* zBuAZRWEZw`GDR*Je3Vk=y`F_vUB$&>f*rmVGj`FP5iPGA#?hus*lb_h6TuxYY=2-+)7Tu#p~aq4ox5>JV(<7k`2rV-4qtp$L)DJZ_~xbCoo} zigGd#mpO^^l0SL6@B+bYl&w}eg~erQ7} z{H5W0Cp|kXtPl%d1G&!urSg~4VxZaFzO5`ZYZ0A=&V~Z8J9#+|J{$Ti7zj_R=kk(5E%>l$|sxSR8pq@JMiED()u2C`Wth0pG_w$fVPHqN26$ zD5Qx7OAR+E56eH3_-W+Rg-;DR;a;9g1jG+wUgkMPXetpag)V5s_ujv`N6R^pJQHGD z4YC&~a#V-eq=q#LB3SDN(&}xPwA61H_S-_4dU$i$SMq(^P|8+2@V9ZAJLQ>{=gxbX zEuO18rml;^{aAI*CxMTzm|JK1=suH<2G^9H@tb=M@>mrh7bG{dJt)kHI)XzL-(Lbx zi}0SJ9lf9qgYCOa)bo$P7re|0;acrJSnr)Yv7KE@*FB-3*|1yQJ#Mr@v+Q z>|%xh7QZ??A1kc9hG!?>@}b7awsLe;j~S!O0cD3-%!)*grQo@45r;dlL3z54xyN}T z*;X37X71Q%?qnfP{hInKK({y|5snL|AmZ^4v@GwP6sJyX%!MQeZ8NnGJIhONo9X+$ zZV$`-AfR@KO;H*K4&9%-xb8y*+lmaLww8Ce^3ox*hmGaN>#|$)F^)$Yx;l6PA%wK?)^_@$pr#?lByqtN&Z4T+uK;K)Z9!SlgP$-N0FPT6v{ z>ZX2TeG8Ncuj5syt(Gm&QL)nq%z<2l-r_vzu=td1xX;2t=N_6ehcVSdxEB;BE~x^rRf+&;yAaN`;RE) zmM=)qhr$}}=o#=i2QI0>GU~2FZFWBCj8QV|$ZVo4Wjh{?Im7rnXYuRdHj6!a;$&_< zo-g)aRxgIKE0DQgOt&YMco-`WLeb&fSSim?Pkq5$xpC6(zvN}}kfHTh@a@$-qxd`g z@Q03{CCf*ak~b@&NRf-mS2SK~4W~PKzaD4ZMLX0{zViuu%!Yi0WIP2mpB$coXkQ!B zoPwe|L&2Mjsh?$S^hP$M0YmFs?=I6D@x9(%7c}4SMr}qB%SM;`kL5je ztw-q0T4>~1pMH+yvZ;c0*Fj|F77krR6+&Ti5tES_Rz>Tu4Uec09x(dLtBf_nA|g5c zdeIvaaV^}k6cTr2)#OC(lFjlzZiQfrC@B%({nmjKWJOv4} zJ$h}gY*?|BnvpVe%d6FHF_q}UVa!INMo@>SqN>#^e$Cp(=m;|&Pv2-pt9PaE#Pb^wfDK@Y5sB}XMqpC}Zb!0} zK#LEU51wdofvq3dTdBC?<~%Ijp6rdi0lC^uDb`UR*x6}FUj+x}S}|x?j19GKEu0h8 zT)f1P)K&fB8R#53`&gc8(rPw5Xnv{zrJj%xd41%QH5jhww0>KtK7{SX?I%9#{&}i_ zCS@^x9h_ZQ+3?oRTK<3{lhPXUs?YT$l&^+(rp#+}ZUY7}&tgv)27-YnMOyMrik0OTG&3nL+A3Lae z19R?YPfttQ-5cmckFaG_8@k@QO3oQ8BNZNw&w}h@JOk1BNpJK65ayU^#-lm2LB8q#*Vs zF&ASw$|G3?aA3J`1o1&YAa#Swk~SEAb6IY7$EsSpeUWgL%a@wzIcC#w^>TPLjuRwu zRH)&J)})r75519&V(Rt9DLEYzFCu(OQ~G&-v9z%?xNy6NMc700n!(-{-ui6nFu?Ss zOnVC2a(ezoS8-z!%L5L$;9q-*ptL#olNf+ zt3hwN3eiR_(FJ?#$NSip;BMI1-RDffE}VaiQvnMyPK#}FYzKLe2Rm!?TtrhJ*&))Z z9NIFgi!%n*Ff5-6k#bgfFcNQexvkrvBy zAAKB7LE?~I?NiV$Qxsgm3-~JeLoVPHFjAptswsuZ+h=g&+=s;=%{R`WD+~*acxjmf zc}!1iy4D0tW2E_dJNSR;t!>M9$WF$GryZg-$PVE{FEtttr3d zex)A2P5QFGTm0U`mjI7I_@s97C)$z*hAgSxx>YjX^ znS`ngQb8xDC54VuoFqr|l@zvmxB(M&My!FfgFJ6_7i~@WOGkJu+OU^qDx>R9k*j8C?sMLx}=n! z)fUh7p^69+Z>-YJq(#|n(8dqi>R@eO3llLYfM;mDf$}}gP|UF$J7?qW{Cc{ZZjx#I z;;Sbzh2^=XJvTb;aL)Py&*Cq4ZZVfpI4z?$iQ)B_d)K2P#TTf^!V9Y7uXQQ1>a)EMC+L5LX3;6Ak-RRo>95vou#LqQc0*pkA`N#i+-fv?y6R<{5{ zOjq&!G<*gPXlKB_r&;w#MZ<#*Ob4oN=f#)sXEh(vDw-(8;#|KT#*fjkq9`jE+agUP z6-1IRB;9I<{e3^(!)moRr=X@-(Va2>4R6l8`6zNFx|XnBS=~#YjPNBLZ1QrKUlcyu z7R8#yrLh-qXa^}5e()i9uf{(nBKz7Z?@|lzT9{oro;T)4zm%Ze{6}uGttD2wMm_n~ zc_uXG7S(pdbHO8^ZsS=W5Qs=wVatR^HfYov^VQP6 zFv*@0o{}5TnaX78v%QZItb%C|>VK1lzg~YX<8r*`9N}xfSmh92V2LjX@+mIs1M=L+ z_FDO1y<(n`frP^~zS-*yaZ4i5w^itoLB;8>X$7^t^kBmBP*Fte#mk~xN)M0|II2$Q z`6Q|}7u8)B?>aa2ljan8U4xwY7=jZY7uzEr;E%{u^3=AI_()&-M zTQS8O5kJ6_;^;}^r2!|;>g$;ubLHt(VAgp8c@onl-{znPpp8Ni%CuSCR%eSm zH-As70nPct;A2Fo>`GeoECm@3rHgeJ8e)R#Zea&)q z)DMd4tS5d{*=IfR&pqKg?8G7>zL<(7pFL*{Ue`^k-0O5Kn3?Wm1mCaqB8k^j-@8n+ zM4wxPtTCblcXiGyAD;)be)h+=-(EK6r|>JZIC#k^h_S50=m4VuFwhC~nLIe!*u_3- zK?y>fX2dvz4)$)>RQZcGyLQ32a=YpAVg;E=SLaM&vL`sYV@M2bU`qFRVPmPby5`aV zQC|?4VsAHT2pUOS>FM=!g0Mpv$Xio)DPYPcPXHY%x0UZv!ckA>oNFLDatdn2DJnAU zI5MZQuDf^7^u?|l$cGdQL2rQ!5l2c5iNjAk&QabGa^!#@QFciMT&Xqkgw*eJdtKd( zMVgI&$ah_640aaWtk-dkDlNw-&&9`AlMo-&J1**OLGjPssa}-|d6^E^(;TZ{AvPMw z8RD^|eplnat8L2PYITn6a!g0>xW%-L)RW1LovP9N$8_Nu9c z;U))##O*M*qK#P_*>+*ENv99*RHO*`n4c9WGwj%kJRQsOFe6=|^Kp+z2o$@lFB+Lp z1kbsRY%O<7-rgT)s)E#GJC^kA=2G}o7c-hVBu+q!131c~LJWmq=igQKniZV{ZFW<0 z#)t$fh(RUL7-9ZyA49h+(+C*L;94G^$wRhmceZSHwhNkdAdbjuzsTN15i zDKWJr1qUWt-0m)Nf6+i)seW%?I;WfTwTLmL*C+duJgmd}T7nkWbfQ4_2=2V{6-a%Y zLR1g6Xk}+^_2`+LHqZ@uQfRB#*1YNpvQ<&WN9p>MD?&d1|7d&5pf>++-8*R&)+fI`^UUo3IkWfKd-gfQ zuYe>iP?V-;HdR)Y_G!O5+K{?wSC5BsRXIkDgIRO3B2_6A6ZfG#a~JO2Xk zVJ9Sx*ZDyx?bHHO+0Zg!{4}$E?1;3dXm9=JpwSi8fWvvmZ`azHZpF$)WA7dKe`0@& z+FmzG5#{FKP53H2ij_kwA9_uE&xGVpejn)Ls4DE{_h$h3V7 z8$do`JfKkF%M;kh+!0P6GUeYkT^JnbIM z0_4j@>5%4#qjb9evmH#NrRI2a_U&9q2p1WfS4HFT4zD_c!`v6#rw{XgeE&*qsH!Q* z0mylz0l?OJzXXDI`n=G<>uvj~NnE10>EHjuLcIf*GWY?)BD-^pcwuS4sVqOwJ54Jl z1~bGaMvbXy^YL*I-C(wmfnrdebJ8vu{aa{67z@8!ZQGCOhiF1pE&n!hxnf}P$}9K~URb%CV}bw?!|z}fqpzw(^pPG-Y=R0wm{ne# z1bL9L-AH+4?i2)l=Vf3#I;ib5a6C3|uNTIW;h=96W0?Bu#RVCu=@MF67kMz?IaB9d zZJOH9kht+$y^Pc*;m6pD#DD}HP7v=Xm>Y`jdX2otD4PNVtBU3O1UZgsAxSX2&(E?ysTzq+SQor5{O+PqP_Av&@&ENxq8ZppGs{d|V# z7+uy7n~Muf4hK}NvQBVVWy28HkdGn4Xw!do zqy3A(a8Q~Ihinz2!|&T0?e62-a!5mr;0Uh(o-3`XCue2Q$Ns*XOU7X5{I@pbs){lp zX=gVApW8^w%Asqu$jg4{Pns*D%f+Nt!6)hjLT+ch;p_x4o*c&cdJ0U?&w;EU>-KDC zOxdje`i5Z9J0SP&iHXnAa@|R_HRED|)C_kIGpim82NDtuiXY=dAoZZIej9 zembAzjb-~-TS$1skBzsS(G!)i>tl9IptCjBI%V`m}4#4XLos z3POM7f{l@+)$46x*V$p7jH*ph8yo&2QFgvg^ZLUtKiV3yGiC>wpO48J#;z12$GWq) zYpn=@ely7xj^!mLOZyM1lT&Q}Kpafw%0o-q9WrUr)IMP~E3%15wTTLjKf2R7Cp6a);B=4~>jh|zL zMF(<+w3jR@c_xoFY5r}uf#h?{W&>`Hw8tmGrA>FLxFtr90e+k0hljQ(3%sBdnx#&Rd z|GOH6|?(8YSPKuFv>`41VQUKE_umV#R%=Y;?U5xcYLT6`YjD<~1F#JkD!G^?- z+>n5(FDvcueOU1Qvc3gQTsvJtk=ET}QijPR7e{S&rwGI^2OF??w>GsRH-PdSzlA3X zurA}3>o~K*F?nmX9&OM%_32&W=s`z@>a2IgV2|Dy*7VmGV+093Hs&BqG4dq%@D;M} z^Q~H;W9)aW;~M9bnc6@7LinVw>pR$Am$>n}@j&-aa3PNt>1Kc|soT zLxsBt-79mFhJSR%C%e0AtbMGJlS2FGHzRvxd&{DT>6j~0{@+>3ILWBg z+n7LHO!qyNeeK*_QS$$&9T*D~8jzot5q81bzR2^Ds*JO?^Wto3B+^V>uoN@u-O`V_ zr0)3(u!T%nVcHn^*1v$w>G@mh{k6Y<8xryRugkO2mMdnjGWNxv7D8P(03;pn;Y3uKN35!1&9* z0Hh@3hYJQ4YNek}S=4QAYnr$qd|Ve>QIXe>IJ2GoA#sw%J&`8%1t~?c_L6kf^)#ra zThP3NgO(!i_h3GYxbEPA>H{{UB6DdDGCU4;$I|2JLVIvot3R*8uz0`_yU=%u{-dj@ z-VPh8yZYWQoq%4g1jlqeC~kAW`*|%ufWoaz0Ld6Y^**g2eE&du{Ddd%D~#`HRG=BV11V% znenm)Le8k(2WsWH4RGUdj1yGPVg;a#m8+21QD9}hio8$F(#5UG-q8%c@jL?<@!X}u zyuUe-6l>$R$WVashJ2Kaj&~N{jHeT?@-(Anb4!UHvSMoCF4_Q;jrLch&+I+WgC54 zFj=-doX~LQ;!!uA3$Ktc4_?O8+;~aF3D!AVPNHQ*Hxax&rkQs; zV^o%=A_>bHl+W!y$eDj)bXUuWEpZEDZ;@V?%e2e8wMCb{FECSfuyt;fQX%G{CJ#ui z2j~(&C;o$F)J8^48?t0w_iC4y)dl-mfhW9(It{WPb&R#g>=ON>QZ`2AzK|Z)KP=4x ze*y27F%E<3yb;Xd#-zw{R>I@C-IQx}_vx8?>B42(n0^7KJ&Iq0Tr)Lee3%e*c{Ii& zwMMhvhYS3()@_pPo~Qm5@6`RkJ*L|J3&2I|pd+jo zm0uw!!^wsJj1V!tr7Xj=7m{TanQo&=rOQc_Dect_wl~eUza&jSy=0l(s6TC7bTN$v z??*Go^tm^sOyW4-m*`(Q9i8~MF7iUuFC3r#YK9|}qN0v>h@?#_pj=Tz_7r(3Cgwb< zQ9>q>YXB^cw8Hll4pK3_eDVgr8^0-~;<44My{C-H`%ZBDdrMq-0mCbljR9y?CR}K0eEb9NJJ#-%KAPH!~Y6%|e-6JcsDP8)c1risA27{r(4 zgw4>nU0uTlX%Ics0Q$|V<<*!4vM#b*#tg&LDsNDhF9Clp@~zGzBJJLKb2wJQ-Z_1$ z_3_9!&mnt>PAa9p=LU1mh^QOuo;|j1)xfWCDvEjk=lm1@2Y!*aqqbahMp60s?ak=DQX8I$=!mAsvRuy}mFSF<1&8$cEXXw> z6OUT1VnoN7p6d=2^|{x5AR<)e9cd9O<0-+x>S*t{${{P^lhJDH6TfF@uDKDJSjb0Y ztbAPep^&!1!2zV~@z>9n~4Ik#v8O z>nU60|74flcsQ7lu*z}yBWT6url&5z;M#(A6(X#dP+Ph z7dPyOwe&9nx`BS&AT6KK!HCfJ%qa|T6zWj*lQr;)e9+lb=ORPo7QJ@R8kjXs-#T6qST$$ze42BgyL~AmV zic(g%qv?DfgIBhs;qPnSS7x*ymJGQyR<`g&RfqF_n>8 z&V=!V1wE?B#ys3c-UbV#aA@V4>~^y{IK(TjvKv;fDEO)p0)Na@>-UIiCOitP!TR~= zT$WY?&M%q?)D==Loa>WPg~RME)qI)ONRRrs`Nn6NH{$Ujo!rRk_B60iYIK+1Os22r z1UAiUoyfQG$H&Rpi}VN!`Ccous%q@Jdtvy6q~}&f{nYbEN}iVwbiR+!uaqdTvr)h$ za!BMKpi2#=wi;_u0--fzq|1v6b;NM>RGQJeuiiSWgX(=euC9tb9 zhvav;H$cRTlNT3fts|NDmaFOV{;XZ*(5~+SHG9qaxgeKrzss7n(iZxkHB%R^hax`i zF6J+XqlSN~b8G_E9wh6YhA`S6p^NRP7Vw&O;xs=(@`x-?U*Ee@O3N z039%k4K27qJtad~>cHSNX{KrCBQo*IiD82wJ-aTmjJO? zp}$?up4e|q2;R3|+NP|4;fPaydObbiAXQC|$$Cf}E7f_6|2kR3C$KC{@!iiVx|7_r zUiHAv*yr!R6sQm-)jxfx@#k#(k+f6b`YjfUAM;yQJEW$X; zKT*5}7-1*40_-+*Ityl-#eH*D+PxGxgkGmoe4WX~<5aF` zJ#_1!+wZSX?zCh;8t*p)wxUL| zqwj^m0&=Y4Z}!q_p3xkeeGHS1#QRC7uqL^{m=s$G!Tsu*P1sDo-bTq9DFEFwwY^1b zEWg5%x$Hmv14j12@7pEKE zd>!$)L7?{X!?uXD?yJ+bFd53C!!&AGRfk+Y_0o$J`?=CTf|4X`#AOYCdA%)b-gt&Sjvh8rQ{m-0R-}KE=gAgx zX1qX&enS@8cn1xyI3J-@6pw}EEevp;r$8JdZs%{tYUXwUn{IvBIa4yW1)!nL?9QQb zJpKYWyM?~(dF=_YM`g{nt^~1nP=iF@=nEYQK>8*jN)r^`;UkqfU6T?6esAsIQn(=K z;hJSFG$Q;}@((^c4vr+pSHa`tZ7WvDP6lLd7gLuaUR{_1)^DvgOEU#5?%R>G;TXFJ zO5{>A;6hyNLPe2O^Eyd>Fh4>9hdZRl0ESM1{sff(??}(IX2H@nS9MZ`@CpmuPX})d zm&#&c73&$~?oxJS`ZIj@OkiV_Si^?;$*kh+5rRclRoW+u*MV<3;n%yMDw`MY6M{8% zD4>4D?|xE9R5o8q+uR1Hq@ydVkf*X<@Pk2}ZO&nCUKC;_!j zGAltyhVu8tX>`pOmo>c;=`*Kj6@u^|76y&PE-Mr_`)>-MRXHY)K8ZA3#QGcgvj2v! zCqEJTKHgL<{gqmwS4UP2KO32SuVEL0q0?;t0)9QJk`uxd%t9hS0#sIZ@lYYhcJI%3 ziIj!EmB79BqZeQN!Q*@rAl1VXxW=p!yAbx=Fz6@l84@ zlG+$hd%6-r|D+JP+Ffe4%-HQC_XJ)zj^TI#3;8is&k+vp{( zvO>@nI$YKs0`UriHiIQ-l-VMUj-KlFrL@xxY;82?(CmrdbIsn%@0+TgK|~mYUq)W# zJtI90Nw^A5zV#o+xfe%wnWG3g;>jz1u4S63!z&UO%%mF%qTUR0V;mfRhRpE{oU1B{ z4z>(qpYpO+8FW%H=zWd!qAY=8O|TjIdBKa8rL$ujwFK9}sK^X#A+xOHu3J}hVgBLj z1F*97`d*C=g5+yo0Mm?+@+FmnH8H2qQ}fIYg*Q55SwRVlz$>yfvPhqM&h*#KjpL#> zZy&cbRMt6UO>U5^v%J)Oo6BzPN`R*zs{+t+KvoQO>MvM=#T-QZBaZbdoIbW>X_^&j zV5@k3KnFCJ0!S4QX`*D2riHg$a!-+?pVt{Pt=~+v4(bm!tG}^L5qMEu9aM>s&=u;b z{wg7|XcaY+#otgF^HE#f!=g{VC`=zCS{2T*FS zliIJzB0Pr}5vmsMD#2Ykb%w$kg3Aqrqtir{SAr6ZTDD;9zkoChV`-h0{}%u|9ByUk zlS@agVuZhFQ^uiK?w9q`G}pZ9rXN)@cT~RpI#o&-#gec_2YEHdRhJ&hGXzqLwX8Rd z;9ugD5I#<{sgDV(p?k)|Tz+L1_n=Q(#hv95a<;R@&I)zc*DZM-abb(n{>h|tW&~6_ zRStd;tkS<^mEjkIvWUHk`*drCN?-Ry{%K5oxm>JX=e{T+xTMbappyV=bbKkpNgB-& z@&&E6Hb#Q}eyb4xQGyHXy3icx67JTjB~xy#o5v0XcgL_0jsUFC>Yj@N5eqX(Ynr{S z$d?SC_)VNwc8L7P1jAD)E1ii!95}srE*7^hXSmyvZw1#f)y&7s^HT^fo>r`XsaEZ= z8i?$70NC1&4fRWm7@}2VT4|?TTA3Yl2w~l{3>Kq3I&~(LL4D3xp7QKW9v!<$meRRs z`SrT6a(-BLWFX@V7wWaRex6Wh3j|^Ke2lSsLag%@HLfehImM_LH>T+50u!{A%8bYm zu*Kq{PW^mH)8G!#)tJ&Z5)=f`q-^gPv3AI&6xH8kB|_VE%aPYlc*)u<(~|im zgxvhP6}dy;vvDV{SnOSdsqN?qmla>`a!nL#au7Rlp1ZUzK37{2Gftlr@sFOY#*@O) zdilc{u|)2}&!>42pn*Ye)AWtL+r%+?$Q;epU%*S*54R@O0mf!%-@^9E=cOBT(78fA zCi20NZ0ltG`%CGW*`9a|_=Y*zfAgK(NB9BG#&B4RZf3c^0CVJaN>G~0*360rk=b`-=Xwfz8<1ozOYQY#4w*SJJZ9B6?Xt^q zl3zx?;%$4XIF0e6ypktQ?dpk-7Y>u(XFXv5%A1_JvHfSfhKynX&2E^~`FmjqgOqBx zF@0Ri`H?TN z`6HrI$es@s*71VvMQdTfg4Mh_XH8=ycbMWtLXa){!GluK!CUUXfJBqE)f?=2$ljNV zl7BIyCI1%)YZ4_N>DfkXYGw|k)ME0X49KD&fz^?oezI#> zh2{MPz`eGu!T-)JnVt-n*`%G$s6SHfTrV+xbxh%MY|IbooIti+T|jRstT4xUn*6#w z+Un+d_Wl9%F91E_E@E7LmrS-}w}ZJzF35VeBkwPDf;N?-y}!o{oCxOq3%D0HYh^&m zC2hQ{mwJ8L)NY_jbvd~>__?|!#=WE#9)?vJY#1b|A1_jr+t55I`m`qCv8Ki2m-Rsu z(M_)N_Wc~#YnWV*F)+KCI*%mT9GXJXv7Gq>!rV9)0q=(Eg-q@vc23|82m?b4Zrz&c z^HNz&oz&5)=1_jNBnJyrW0-z07Co-3s^hdT!Cr?7^&q-%gY`@Ev!ca0P`E{PWpg&; zV{gtN$u2Rhxn>qKoRuJ{65e6V@vxwY;O#Tbj>N>Nju714KDDmq1KWK}M#a@b7J~qJ z|6q4*zbxJyq))dCA!zV)1Kwr2U2`!-FYtgkK1ks?4#32h^+o?~Il$|i@i#CXy4rg4 z&aB(dtvOh8$p0|%`IE2}^{f3s>$lqv!71_?N6e@yOH~Kz1%cwR|v2gtk&|3VE z!&jLutrRKvyB5@YlmnyI0JlZwD#X)9_*PdA4+gkr-bnQ$^t3M|zscJ|lP zVZUbw3P)}I8B<^ls;a7Sh8;Z006%iYJw@C{B)-`_V=;bKA<*-5B2Bc)?By^`V@}G9 zG5G?*_(yWk!rSKwuHvlO1%3cO1ogao`7|X_Vd45N$pU!#DI?Y0_tm z1``bC|VL-bLyCw8!fMf;tjupStxV*pXJ1YHa&Ughw|?V`2V+YiY_2tP zT(dcKJ5gXMRq>tua?zsMX+^whh0d>#Dc|uukx9{HTydhzuX)M=-seu}&9Ms2=)ljn!}09HnG6#nrG#)Tm165bK8KoPp= zAd4Mo*;2NkS#GwET6S1iqKS&xf9iS0g6(~*K`B81#Fo-S3YgA%1@QK~0A(BA&$LW} z6}|HFXXiIVz;4gqF-HLCIpaM>h1?WalK)-Kh9K+Tp98bf7FIp6!Uj`(GV=WK1tY~8 zw#9e9?B6GHK%Os}t9`Zc)IU>iwv(BCe5peP8BZ>UtQm%5xXsz>)DO?#c~i@oe*yis zvoTdM&f?4uzxz4s>ApH_*}k{=W&rsYRFm~zp84Flho7-;wM{p}@4@O$%fm)rMc|bW z9_UhQ3JbFFlwi1Xbu0yrL;!BuNgxZz+k&g-f=}-uFzuTjV|^WV?O;=sS;eICCm}hj z#NlC(MM=FS5whp*zRZi2(!qUhVg4IXnlbW&w{Hi>2wQBXx>eE;W4+UnF)t&TCX}5u z`VyK*wrmx7%}VHcHniWY%_ZNGGW5szPiw2u8HNFB-b9eeqG(c4Ob4@Wd!}t+6)?>{ z?vLfRkJBbzeXf+LqEXE3+wIuJO~Z7cL~>ngZ4dXeSf*FTjFD4W0m-)$z9mPC&C{OT z(oDj{*n+)MA6ld^u)*!KTu`qT$njou<`ZEsckrtA#FxrN=3(LIO>?PW06>P{jD8fz z-pNXKVZpdYd{59=Um0MkC3iip$=DDA9(ksm`17|+M|SFLxnBSk*0vQAT5~6$jm*kj z&s2U@hcb%&rIxF6S#PRoRzI!#?Rk?qVE?PaF=(d%Sr;s$MbKjcw%Q2L(Q2V(poiy$ z%`=Eb>BvaDo%lTLZ(J()VR#8ENLDTiaQeNObMxeZB42pdowY*agv<;O$mdO8XTt7o zt?@+CmOz47f`EQAePoT~&1M%1zf>)hC4VEvU7mU_OQk{Xt1sFmST>qWpW=*gBjclCWaJ04mN--APd!IIB3G6d z9la9;gFos*IaI|gdTNUo=61P7hqaK=-6Y7=K1*sTOr9#g0_ak-xIB7fc64jDo_=!R!vD3FxX#xB zDu(ACO0(s4_TqToZ*w`0ED1XhQKjM`x5n!TAHxPDe&MgKLZEnTfG@CQQR8v2oi0ZT zS8SN3lYUM8s4v1YRR!QncC_rmSCGCr1dL8?N@Jy2?Y(;R)F?T)o9L?qZbFvCPGj~% z^qU)Xbn+&Yd}-*@jOoc-;BZ1UJ!Suw+!I`YkPaPpTzv&p2mZ2s#0f604(=Y=@jqKJ*M;PzgUOd z;2+3eiw!oqLi*y1H{7^yqYg2mUSkcZO z4fopCpJLeWy_YENJ4{8?_J^kq79cdH27mV({*K(V^ML_wB}bykk2nl=nb?i_(%?vI zu;LcW=h`TX-+L}9Ihp&wAXOw$qxGaz0veI3Z+Xj%a_Sig9nI$5t6MCuFcnJ>Bo0Yp zY&?rW_5}-vB$%g$gR$(&?#C|u0>e_SEz8U8X`;n)60kV(x?^kAdUuouH#45G85e`X zUxiqXi^@JhZEHFy&6sJJKEWwb57l_1NZ%pRFRBRU%oqRs6PY}vA@)%qOR8abpk&gH*{fGv9{|DfS+@O)R&;XM$>7{VQU1le&?&&$-~q<2U#(-vuef$(9x)uewMC)Mp+~ zRd~6O9||q1PtNz1ItqAD|743_E?y%i1Y~6Rl(Uk77_~6AQPf3%&+EpiTqnIW&1gz@ zt-_2R&EY3~kC=04#$I2K6Bz%yThM=Z_v%02|KE>#4(_*MN^xb3yKNziDaBW34b3ak z{{lF5y5%qN;PU4IcK|N~Jq(3xEWN2iyT3vKt4+L@UMx+ovoC*M#<$=fo%--?$&-sU z7Hz<>BQyl;TL3E9*qG$i($}0qtefoop8mA3;29fII)1U#-U0?@E-eaG`(Xg8X|l*ALSzj1KjZv>9TaSpzTQWr@RH zM3iXKuGPJE!rcktK+T4TlF?e^H?MFuRU@Zup`(z91=%i)@ ztN2>=l0_R`r*_8rS&?F3{pfHrAB@Sw#|4Yqe~o(dEA4(9uI zt3TbuRLHlVfIzan$jNgx>N-OUzo7zTtQHwT!XN7(0(Uxr4KEs8bS%srj+o8J@$6l@ zU}y^xkr5x=X;=vUjg#CEf=nVs{srB%wreg{v=iW~cT#vRK2PH?tO^Q#AXMw5A?% zevjhvb!NUla-d_3&R`MjCChgex0FrlW~B{eFrDLX$?X%rOkF&0QKIK#Zm%VwPZV-p zBk$2+BX=7C_*+n~u@E4Wui`GOm{uKGBvCElbIn=-@9|nQOJTZs>VpP&JafIL67B6w z#%60onQAZ5KT1JDS{}M6{2xyFUEn(7Q;zC|K^vPFO+|RoPl<(uJ1%m_-u$X3vEHbN zEp$Ac?>fzIums;4)E|1+t-9Lw=&&;pR;(kLE04 z4j-Mq4PWVSFTG9n{os$Kz|2X#+sNG$z;1xT5B)ii4_t6AFBNUqv``&8I#qZa@9Ti| z3GqHN-v?WffKGJJ0H9}HQMXynuHBrjQzd*TH4GNZEa1)-dL)GV{m+N7(q!r%8#>V} zRLGcGnk>P#07ipGSlrQk^P|y;Qk!?MSA*)d?>i8CDgYmtG1j_Ej3qA0s0o;BFLknT z!JD~aAa9)|yJ*k^9u6W)*4VnN=(3zX@+4t6Gn_7@xui+>@$p-*chMzN(jEcFINmjH z(0J>!ebcN;r$UQ;7WRp}=Is9#<1J{4*<-u|8XK+GP{InL_s` zOm#WwNhO}r(Yr3Z&vZQkp{*Paa%?D~z2Gjh$qO7tEo{dWGN;R;S;j2cWrhC35QGAA zjeF}8Wc=k=+%5ZtPMxp(94m&sS39lqy$37Fx70f=#+*xDmNh}68gWhUdeiY*EB#6G zdRMOWV80X1q$Tl%ss{0?Vop^RItHjQKpknb^#)(-&xDE~BAel($Bb0pYNH-}x^&iV}3 z=FHSIyBi20;SMbaTNPT&0~wZ^N8i;Fx&9s^b{u0djQpU9r|`2%oeFD3&(g;l<)PFN zcEJU2RM^cM099ilpQL2=pg!oimzw6zec zE)7oDb`|9ZZr*zI6sP=GD+Jwva$J3b)`M!U_n^2ETo0YE0}DCxW)ha4Wj%RK>6Y=+ zS%SkZnAfbg(c&tqRjz0K1g&wak?T;2wy`>zxWKPle%fd=K*x4lzMn-Pqf1buXDJX+ zcpy&#)>)^6ozS48Eu&4QX?izxX80%fn+sI=gqVMRdu+(f{F)fK69C3*0ZtPJFelV z>-?VQ1)e&c%q3gYhD)my$bCq)Wx7Hn`%zzkQo?|f7k}D^xI2qvi0i1rCud^C1y@3P z%xI4m>Rkw(*9ql*AoC1mXDv3nQ-M|hKh*VbTY3ee4qnpKa9T>W{a{=RNY(MQ z)p7l2)i$7v`&y8sd!D2Q8j+3xZMk)L-Np8Z|WwuYNtiDEbVVE;OC{P4H+s; z4hi2pb^Vh@Nrf%_F@RdcfXfCXdf|39b=H(W)u~ViXeTZ8=eg4=7>H5sO0C(th{@8+ z0shJVm4Ceo)7f?wj#qo=(J67=pKW93%qJyL~1 zG8E+pfpQuri1X+#ag2v5@guFF^VCdz^?0shHJ^LE6A47H_P!Zs3-mxqD`2(aUk@(D zs#0hcGMA%!>^u!kBBJ!K>fb2#lF@OCx^&4u63O)MR(naqu<`@Ece==5{O+>zShROH z+DBJNYVAgsK&es0t{Ymmq_HzvyD_u;5WuG7BS}!que6)-o(ijj+o+!LzjSbH&ZM_W1K{w_ztEkElihZmR*EqPk%Ys z`DWtysz)adORjl7)L5fbV5YvPT6w!<+MJ}C)H^{Nrpy#YXU#JdTt0^DPA0megNcHq zx?=7*tP~gie*wfAv|ld;Y_XR#SpdA8qvB$!iW0cH<({p?sLRZa=)$TS{L0*eX!`Uc zhMsiO;favo15#z;gbd15L_hsYAxfnbhxcq9gXS=HEt2M7kZfLKn zIxZqp6`OaEnF4iof0(R^pd z{{jaF&>OZ$nf0Yh9SMVb|5qvZjuMnNR`I--M zmwsu?*?<#Do!NQo5emvuJ9eTE8Z>QO!nzE43}YYioF-Mt2=qZwtoM`wj$}A>(SHGz zImv){HF0^nIMqlFw}^Y)o{WZL&8>?vRx~C9eDMZy>eqUV+=+L}vG+E$NbnAud68xF zUFg-asTvz#bXZf^I^~1^Oe{E4!((K?%kG@!VMy2e{c-1G!B=-jj#w-8E5FR1I$h$bFz9?Kw-0n#dEFR}4rlL3rN(n8uKU~Xx zG?uqdBkp|_C{J^3Am`C%&(+OhFgo!UFeFoP8vy?*xl!juhE^|cvnLCi0HvBvLix9% z_3&4p+=tXW)LG3y^b zY>#ZYYdR4`iTc{cJ@Ki+RZ>Fia^S>x>|6SyxF8hbM<-52qQ{?e(ShpEx8R z`ol53ZT3E+7p%hM4S(L+wFFRDueFiRfpT?G)LF^6raiZ+M5Up8H&Yys9`3qus%i_l zjd<)Mt=1Q!$7Q$+A^E`^{nmBt1VaONtFh2#ybl*(8Wld{PYR>uf`oKI zb;oa|T}Ted-#FMLddI|^wpxq9$Mm8AV+NtbcU2YGByPh41 zKWVnPjyN2>@PCH5B}0xGOz96zd5tm618EhjlO1AWN<78}(`oN<7Fq~4K8A0|GcS#- zKZ60ybO;ATn`arCEW;A*r^;d(lxUwWraEu9#RZhspajB1TqyT+a)GrJ7W?J#OO-tM zQsf4~d49np0AJ(o8w+<#rX@A3Tx9IgBKng?uJ&{1?aH{L0r`xAx5*rA*t)9jl7G}s zOtVQni4eRSadl#qn=lm3Z_l~h=9+kQO+|)3U%HP`iTU9ts_?V-RUqu9a{Z1h=4r6B zL(YMz3WgGLU{G^$;W?(@shD2SVGG@4+sQ}9hl&Ug?1$?hXVUSr9nCzR`*JSQ4GD67 zU-QDG1c?4+zUFMnj8X17LO^fUGxp=18I&l}w-ZQh7Xs^52f`O)y*88R4&B4yZwkExlAwaX|sK2pc5!zpK%4?b3q^0Ie zl#hG#hHAx{jCVvg5uCGLl|%NO$-4)DncQ`|EPpqUeG^~`A+N3g!8_%sha!q!5c2SS&0 zTyl&+bWcqYp58sQfnUdMWTyO~n%)Zg(fZ(*(WMl?aWAMBGXck8HqB9NsPKM*LA%sL zsE;OpeE>FxLyVs${Uz02ax3|x#JN&nW?d9mHXmK8)HqRdXjQXcCk>th_r`nAkhTeZ zRU6Jh`Wpm3N47?9AfE2Gvcq%Qs`;8Z8t}|;osH;tT-E#8&J>tFW;&;ZBdALqy{n%m zIJ7c`Yh?&L{+PJE{ZJe9*nr9J#VzoEK_@CF!P12w*3Q|K6{7JReJULhF+Gj#^dCy# zlF3@%Pk2k+n=Kyl*yk2L>XXg-@{#Azqx5A=KHl_~>D@2MOEsQeg_SQM!F1j-b~qwf z`~N1M{3D=X9=>kqgo+WLz*-hYI7e-5OGC8=*YsBG>cEeU=LTjk=$~qfv$Qxs*56^w ztk3|AdPtCnaZ33-qy#Li?z>F0?^AV5MNjmG_C_;ot{hmCc4Vx6yhkP5!+|M6iKd=f zxtPoo8HTsnGoFATeK8-FCzH_b7VRN%)BDfw9r8Mfmd#BaUEDYXKMzF=6V?m7!Lb7% zh+PZ0qUuugYEvcO_x?#sbl(Qn)XAnqosj{6(K(|cvZ_jrHm4=~iAgt2AC!t}WT$8b zVv_21Ps5fgd9MRNhQ+U9mN)`SQ#bc^=s+7!r3{*E>gQZ&tX=>i7#^ruJX*564T1I#A@$p zW8KU%z5A-o+cYy{#RArtYJRbhiO34cS?J)qrO~IeJodd3V(+iFRe3pzMb9_(3UKj| zcNp=18($5Q6^c4Nl#lX@tImh5&?1crORN@jI9oB(!(Mb;KBI`LqP31>jR`eJs=6hZ z3W4?D97ws44Q8R<8@*KX1dP;+n0`I^+Q(VRqekf{U|1U;uE6qH1!R<4c5pq`n;ohJ z1v#H7wgepntK0nGXMbF-`tVgaFkoggSP-6I9{#0S6bUt%y@j};NCTe8nt=6-)X1AL zV9D**wHUSpcX8TXmRw9bIrYsr7R({#l-lhC)If4>T7jOBh|xZ;bMe4y+5O%%6ggLi zFY^d%6T7bB{t1wBb?}}JshwM4Z!|fCd;QJWwbLZ`19Yo0^*AOVmaU zG@{WqJM`Sb`gLv7^s1R>{A*Z^&dQQ>@h9-y0|0KN3^CJ;*bkJjp`0MHEex!@P83V4 zKch9_dQ~i?^tES)m;G0Ak`G%<@f#^}%rtnZsMAG^LZ8NbWGvMd z|7iKbKSLWuD;%$nBa7_?_p1Zq77kY4o;YWbU6kWIdsC^A;mghTdCJ&d#ei#_^XYMn zpoAl+{ux!@Mzx+QAB*a$AR{+%~L)cS8G(-%Y_)j|4+ohrZtJ( zdR_5rNp*d;;Zp@14Osnt%T-eKoZF!8qc<%!y^_F(rrae6Htm^wXbFJ#UuOhh!nprB zL;!G5^b6xYwMEagGA6)?vdMvGAQ!#h9Tm8!eMI~~Lay{gp6%0;K~iOk3^()CFJ4ee zAm7I7L*4Jm zHy^QwOi{~Fyq-KCBH@~F@+@UEW3;{bz4)?{?V2a(Db98#nKtrMHZdZs8pYi^vBLSi zx(PWY)mgUUYQ=}hh1o0z&+8V(SaVZjl#EI(`OEOhvTsX`3n0>`2~awbRlYg%1}56R zH_|&TmRhv0k_@!8wS;;uI2hj`a+G=E70~^A(o=2owekyX3y=@B_miiABbRN@z$d)k zCjx=Obw1J_F=q;_lIVjW-L@&rd;&8kI>9EO6LW152MkEMPX!>=P}oG3ug1$$-0~HH zX{Hgk(xv+g$vsPgYRt`~oc3S)gQ|a>VMbG!;kfBd1qHOOYE{;@HMiDQ)rMW3*)2G; zM;d8d5_4VR@WEV#$306ZLB?J19)G3<(j*R_9<9li3>$N5wh%Vp&4n;>u=7Q+24KU%sy++K6CzHK_Fp~koS4+=f1D&bCG2e zZ7Q(&VX%rgEY{_VBwju!Fs=*{oVQ9+481+5MR|G8@a>o^r9ivLfAB2x{=>;32;PT5 z?YvI70jHT4SAWxp*-YIkO|KdH#g+D0rgP6DShUGHDYOT3LP>=iP@TV)f{WHn2$rAT zw+vJ^sI1U`8iE|`8@r&kn|2<51(f8vp=hzN`F=}UA|v8OF*h>uKnhQ8V3i% zMzSWWhN8pTD8=X=)3oxmxf8G+SPL8GX1c6XZmz;JgF)+ztoHa_aD2dmD-UVIB?O}R z#kXhl`V3Rc^&WUU6tgEu3fCo36&Oei#8u9(g6V>o!gMju&I1e5+Vfg6qs-ranz?In zQTFj;vg%s1lykgj^eH)1qk0-SeU)S5;&ApMV++B_oiLhO-J;z2`~9j-0?!?ZEX(r@ zM}9kJROk~6^*rhix+0!h@*?z*b*WF3>234{_PR23c`obFXw!$7gZ3hm?*(T$6g7O4 z?+3@g5p>31yv|v_sKZvb@;Y?tF+LxEMfizVAiY~PNdrHQ*0~td*|6RS z&28`h15%o*XD$}-CKu`7-THnIVX&$K8!t^3fs!^& z{C;20$zhrCCNV^YN=4IDS#c1o$6kgbm6yk`ReUzuX$eXKI%|!;&n9`^Y37jhBMlz{ zEfP-^w)!7;@V0?>a}@lxWdn+}FssV`%YE(oc(Lj>CDM435VHJL1esZ!8d^Okiaz<9 zF-~BmhpvIfPz5~U)V*rlCp=^=uf`ip5?_?~eVulBQh|jiykpJb56Bg;_;l+5HJHko z;OJSx-b#I7-a%HY5jqvg>^qsq;mFC^HMLDA(%MPCO<+ag!I<0aFMdpyd zR>7>Qlcjs(T|uBgil3&)=_(`#>U%|h!|u^|Q%ha60%P28Izen!Z+86;v)j;(Ryda& zFm`hLdx|nm2`Je4HRPDyAkoO{AYyD3^;wT#_5#VU*J% z@F?4+WNrtJWuXg(*vhr8ffsYZ5Z~49*w>n*aL9E(_Ib4(WmLJqA>H}V3O&uUd1}DU z(&RJ=i{b-HrI6sD(v|r0JmQvYOG~Q|c9;Pa?DEdsgj`g1E zVRU9efYe~qL&cj4Dx;yL%3c%xI)EK(k$vB6A6jjMaPWiLUYNZ@`lhz}rgtzdwq`Z= z`+cIM#n`<$frhiwL2KuqHJZrw;>9Nv%ZQG++<$?b%(sM@OFmf5Rvfj(jjPwNGuI!Z z$C;gwG<7q4E;iEweBO8#WFt&9Bg})tIv?~8@HrBM&gYSiGT!k8EH8E?eC9p+9*#1N zQx8%rT~y++2<`btYrJ~)WwwH8UZz{Hr=Ad+8U>V0oVzxSW#*CIRi7ePg!m z1)A}YiFgA!Q%Sex21zb_>^h9+v6=Qrb=w@j!2WvTS`HK6h*5u8J8_yxdXbq^%iywa zO|3=+)Yv8}!ofF1zHNleME|;Qg1MNh^5@1gXjyQ@Jt|F5AiB{+83U!X!m0$r74w1l z=hN!h(^t0w(%(J-KM-?;4=C}$o>5Rbf)@TA%~0i^(9#{?%-2?*F?YmJ)R4s_ZJCM> ze%k}!TZlOl6?3Ohq_X-h&TD@?>Q>SB69JF95Us*02m~Sl9RZw@j^pRhJ+EoRS%H6r zJ3D=wTq!K`Q`fof=g-WD zI)`5fn+x$Bo20cgXIhexZ;7|wdi4doL9$KS&Zg_6t4`;IyW^~%k$Nr zQowVdCs?(%V$Ce> zT0Er>Rgs-_v&gOpna;nF3%1WwqtmANW*kM~k%Oik7$q~i<1HB1z$6rJUsN|uJv776 zd&s25DWRz~CgmFLldZz@gIw?FbUloY+^~DgMcH zR$N8*fqar%glrO@9hPkViHPxP6bW{(U- zSpfM~Nv=bBqkGn*riTMd0^{nYK?ny%#$Tsh9k^)3cKF0)nTBc3yOiYoPIT6rPt0=;Zp#P_oSxVEtxbzPzxLtw13p8M*0 z@lSyMgz@T^;R#2wA*^6U`n8e0bM(G3f!2pHQH4%X41ONwknkP>iIOoTKTPOKZw?4a zv$&hch1v}gRz0wYefKiicq!sVSfWs`yQiGf&hL{zk@c66FLIvOrfa>qO*`FL!DoI- zvSIz2mC`X(kiy{Uk5x{tUV=?-HAtCE`vg4N5=7X=b4rZ3SgGJ z&ANv#rV5TWf=B7T*-!`j2zVA$$EwAj?){?b^CCzzR!;7D_zt%8n74$1Bdm+Sr`V;O zZ~rxJ&!vKYtvZgt!HvbjN}Gj{DO!eu=is0l9fZIR{PF(V0<}c{`&MOK4?y`Wu+;z9zjV%X5KL+Q7LsbI< zk2;nO%l4S3Q({YO^pR@QdwZ5X0z)n&z2yVRs#?<972N$vh2M9D9O;}+a5g6s zI#>}gqI&=J>VdGDq1VDwm-H7hu$RX=8QcL;uKfGC$<}Yx@3v%uS`$n2N-W)pHchHR z#N8;Cou-#H&`U)6*yp)O!yY>XODM4(A4dp2O&!P}lhKlt1$3hav$z%)pM;rL!2F66 zYo{@2pO&Aj-)J;?JN@-T&V~pF7e^(GB_2mR>kveOsZ2u9o~5o;Q1_ql{r07syRF1& zP31oPDK=DPA_Fuxeag$KvJYMO)$sSNSW$Gu{r9cNoafA*8lkLxB&u7+)q^6hjFhP2 z&Q*?lg$(%p#^a5da1zx=iVY6%FL26`c-8;wkjxrxcR_ik8-BL3-vPaN7MO6szV|oj z&fz$x4xS0`5M7b#bHa^WD?@J}zBy`MNt)_P_RD8e0usn+#18qAlQLwMvu(2H!_1lf z!&$zpJRZAJA5G4I!uGuedB$eYj!MtTR%A42T#n0xM;+;=9 zlzqyLUb|GniYiaS74OBcX4jUPExvK;ohoPhVZeJsr-1jDCy`>xzQPzf8ao~?F9}?f zxL?Ne;znidGL*EYine>>vVKo`??!WPtft|;Pzg$%!)c=BGtsQ>KaL#y&*F+}AYUGr zJM25VT0O#tSZ`2CQczF=S!OeO@o-XHOfjqq2uiE0wW?J9;+XE)*4IMrivnNJ0>=#n zo+RBi#&v$#K9A@8cRQ+)4J597;>@;<&0+4p)wz76q=091+y{F7PA|q3meV(H#(-q& zDmGI4{KGKCkx*>zo!HTD-QLJ1)YEkVl21GgL(=^#4uJ9_8Z*YvBVl>3`P;JAyTov8 zKN^q2jLGDH_Ts~sDC^CIEA^b_Dlw;9AA6=0dcXY;I{vO3WRc_%Sx8-fgc0inFN%*d^BG;nSh zL|+B@W`9bqv13diZ9cy!=h!jl@C(1Ys@tHC!k0n0zzZXCF(45nh#SSU;owW^xvn$$ zM$Il63T+$)tg zyDXI{3AWm&029gKn^z-ifyx(abETlnXNn#L-}kgHypsUr!z%sbBjEuEo1gf43pDJS z8Rj_kQw^8C)^6!`r^j37@qMHD>)DrIv27YO&fw2lDg;zsV@^M1?&J3e*70ES*-5=G z%qUHEGVX=CG5rCl)hDa(@LkW|{$2Yk$EkV@uYWzBP_Y;`@(i0k01Zz!Br(w_RBkC8 z*>PEB*tT*S{sb^I@H{;dKALBH9O?|?V!EM=^0JtODEXxgKr9|ud&NGywEY`Pe0{do z=qfs~jS7b38R14(*=Zcp4H0i43Jplsx+athHOlXKzFu4GTfL$2yLcn(Tfd)A?`P9u zZ>FQOZqDmZ2n5X*8Xp|l9HHbE^*{gCzaccN!d34$`Hy0R@P9Nk`7iuF?NW8|Bc$?P z-`(TtUqY`o$7HwV5RpgKS}kAQIBmS?(2^AGBQ`!coi{HB*2F=y|1-*YoqkvtIx@6P zuIgS)IWBs5{-sqI4IQUw>@_y{;YX?C=jHSkcy)pc>R_$#}4cRE} z`p(AmF)yAETn%UnU-%NGaZfP zT#-5f0Yfh29hnT_rd*p1PxtXa<0hYeRU9&?1vp?wdLy5ho&3TOO!qNiz)eOGw^IfQ zP8j8i@pG|NeF(Be2YH_9Iwayp=W^krPVTf ziN98%u9ZI4-Zsr&$&+Glas+w$)g6Qn&Ia}QA#-^ACueF-PVenf965_ z^M_wn`Xfs($0eozfRcQ;E@^<{q`}yv678woG46$u5^McdRf$r*1DWp5uw3sdU|>$` zQB@-#;*g4^TKpI|Rd!dutOr`85^sG`^gWUAk~7PGhN8f zvmnetpkCsU7I6DgsboAda_qFuZ*T<6juCJ5%`kq4i^am|+Oq6o$xBeq)1sCEmq zf(`&?liQ2115J8k<(7~PO_7_?E8f*4G(%dS8YmROmt|&(J-Itti_42Wg$pW#dsT8X zPB#dflB%1(TagXmgEu~E>n^iS^53nCo>!T+h@R4vSmLTz(l;Y;RUjG$geKbr6GX}I zhqD#VF7o`}YPx*Ol+dh(mkxX*TjLZnT}MMh%2LKB+ZBFhy)Hh}uW)oJCh$iM&B)f! znZC6OVg9wohTjvv?{VK$s4kY%_srWdGwLgFnAlBm)cR(qQv5Yk+BJ=qx`pCV?K(Js zMu(<{iG*|_<6c_s$!+f#)d3V91Lt#j=XeSrMEf!JdY~txsy88m4k?m63x^7xeP{}Z zNpWMR>VGA2(-^+yF*wwDsGrfFgE{PqaVmkcA*b`PvFSoaMuz&1wwNWZ;cRQyf_zi~ zK6U2};kov0eN2ByMV}K}?dKi;H$I=rNqrqya;ZELLqbp#vpYZJ9*Aj@!Vr%>Y)+i; z^J=7aQk?mCbn8>T{A5>h`2#-+7w4aS1FO^+&!{?8)aU}$Cy^l}J3CsRk^h1Fxs{-3 zOdoaf8v?}u{GPLh5yG#_f;DsA200{&ZKHfOqS?`9Z31e0(p9S1#y4$`*X2y=+6|9Y z6FQe))3mo~4Y~=yYZ&^tXujO_CgQMT@tFB#7?ERFVxVSMj51nU7?fzE>8(-jnJ8fp z!&~d1bJ?p3dH}Q1orN2f+RM{-Ol~yR&A!f@bj|ilRK?c}Z3QO~SO-5d59@Qj1W!Sv z=@Z0b4k=+peQfu$N$=F>Xmxa`k3d8Z|Iv{<{UCPnKn!ceUC{dN&FvVUsAm=mohD8F z!l*b&&6=sbsp)66jkWa&Zz7htj%_g-&yT6-Th#>Pwl2sL97@1it` ze8rhI{D^q0TLj10jakefZ|ofz)!>J_P=@eeHg>?iNe0{_>YO4%Bm_Bk2@;8+JsE%s zBHRNYoYMR&^|1+x%K)wK3z)ZE72R#A&3Le1gn2r2ry59aWcqdY9e~sdC9Xg)B~`#R zFusu=OX?a7>KEUSU)Blj$KO7oR`2MzFQ1!Tvf6Qb;nH*xVD}2I;lxzM-oG}=G|CY+ zmGy2CfhHzZPCN=HO^N>$tCtH5VSr5rIFCA9MK4~c4_!#2E(?y^Di+(?abrv0_s2v> zK}>}OR{8qsfu+lf`U^@4BIqrhXt5!X&HG>xRrZ&>V-N$09H7x@C>ibD+O$`<|5zzg zNm7!6nqkWz?KRmzI3LmtyuLYLicBbVXaF)x8)Pa{>rdY`9rGVp0*R$m$~qxL1o)>n zvWmYM?D}{bI_ft3@~Mf1i1ve3*uqsgCWta%&(}4vdi_gwz2~eOzAZjkxsUS#3yCPn zQp83@!!3GT%mfoXj;*ScFaOExnJD<;BHA-gmrYkUT_L~~()W&^J>9v+MHc@d=#d@c z;+Tvc55}!KV>|qH6&0deQy}wRL79^tQyJh<6@9Nasy!UHdi$8yW1L}Qf(Y?%oP{UvV#KEU$MTc^xH? zX9uPd0`zYlxkUhoZ#^O)pj@{O$bHjO?TEg3ikXYD3ZR3x#yAn@CW#PB*=Q*%a{bVF zeMjp*V_f|I>oNap43atnxR|x{Fo;UIKv@OMr~9WC8DpW%Lu(FmH&F1UIeg&<@0Z+Q z5ka_Q{_;;li3%^<3PfI%ZoiVx3*yupQY6H$QbC>+vX)uEoc1goVC3mtf^&d_VATA# zj@s+VEy?q^YunN0#b3>1qKDD5K<r?4LQBSo zO}yrxCO#=es2wJq?98IRjRk}5G>l49!{Fqj;vw)ykTj3DV>IYKAcOhe`LX#|9LU8U z0^Jkmxz4QO6+|nStnM6C)S1y{Jy*{R%y^?_LAV2Jyk)6(%%9H1HEK*V4$&?8r#{~! z8}Py;7Zv{jeWU9EJYUPYI7idD((pY$=`HC^9Mk($T3#cS+E(>b(GK)C#0=0cNJT;a zudiyA0@xQ;R_wOTe&$>~>N)>S`+wi-BmvJMh_!Yq?pJtkveEKteedxoWV9}6v-VlP z(znHdG>_^S%q_>&hx6g$X_&EQGU{d%q8I7I_MJ;-0Ylb0aWmf9Ngu*Oa4$i0&*3;8cP_W;g)#|5Nzo66wQet^4G?MRMdhzyiq<;SqYJ~KLqN1naB6!2US z8WYz&n~$$j2$v6K$oG#Wh=0G!R=ZfLHo9iO3e_ELVh^YFlSkA{EX37~=%TV& z_hJ*MU4I`nf#foPxx5*cx!aVYy|EU1ui3@Q zTVCSPW7*YYd?Br;RYY6P6f(03RJ$!%D-j&67(O5W)X|^4UyQ{{&7&ouV%uBHv&zqB zrR50!whP~>6~m@GQP)6)izYRr-Z9+DZ*kB+>2l)FPv#Z5^Q~8eJW{q9|Kohw1fG%| zE=(`%(d26_TJr-u(l5GC-VH4G?6mmSGF+rc^Q_(@6rIc(s%tMVu}oby|R17I*IX_ z9&W3h+9!EDncYG5=hWQ@?0DSSQ_0Hi2m@xS5w6ngz;N5VLo>z(vZE z-n0Pd7l*vH|1KXzv>$P#eae1u;~NkgJ-j#a2eiy}eEH$n7$9nK`mdZFUZ+yG-+5v7 zGzd(~`u`jiQu$C7CHB)zUGu>qN3X79Jnn(fi|V?Ntvp?w-TqjX z4Sz;cGd1cfM53hDo^Gji+Cvol&zcv z05@?3zk~D#1LKBM2p-B>fcDLsV12e& z)uI0N;hkfg*R!iM{_PA_8521%Lf`P{H_1DnhhF^wX^<7MwI);qD7hcQc`$q}sizk( z$3E9Xi{x9`LPM!Z24;AwB)y{K!}vfTE#$bTF@0(Xv#5^gtIVQ*+p$I&;Z@?OY!}UJ z`6WUX{gQUW7L<~xz!pgxm#+p``WVS6@=6vRUlpb@CI_gty?+)l?7sNQ%RKJ0+7P%> zBS4@TAUHA|B;@b-BO?iC(^9DwZ7UC37-m3sq+EI}^f*#JdSw9XFHQG3L1VIg3x7ev zBL5r;Ep?~dv%mEVqi9{u2c)xgSg1Yzm^Z8P^1!NKPlxF9$QLb5CTz>YvQhcb1kLv& zBtN8NMJua9s6pjdkFTkD-B9>zb*9{Nb!8*XoHL4z(-nqz)PXr%es-2?@2%|^?rZv= z{G^OzyZr^zz8%S5Cu=h)cu$fBC2XggxV|+)t@vAyfs28mPj3QA2jfv}nm!OiP#U64 z^oO>(JeZ~4NlX=aZF`Xk|JG01S738;T3x}Z2g$-U0oX=DZwAi-q6SE-Ck;Gvll88; zto!|Z&t-kuQZ8g3x-9Y{0mY6Csrl4PF;hVpX9-Mb#3&CNn6cG zxJYejF$pcqwAuNiWh-ky7m|JcG>cbv_GGZqn_oaFZEUrc_etjgEAb%FN0!(LqBxkH z3f8A~edTRT0q$tAr!mQi!nWpmFm!+Udw(dS`ru>2`**B9J=FByM^dvwyAhQ2>SZ0Y zeH9MXyOOWOan%=K`#vn#KJ0E}W?N_*KspF*F{6jeVRB*xIGeEdA{_#~=q74~9^Atl zd^xPhn;i7gV`x1iy_fAKX8SD=UbMEa`Am1xWr0anl{Tyu84^%I zp+h5*6H8w8R(z0Yu77~Pz+p=8kaod+p2lCTE>-)QN)0M%5%BQXglE;Qr-DnTb_Rg- zQ2I$Wcmb6Az=q8R)mX;oWN%ogq_}8bUDLP$V)zqPz(%uK4@m!j+ykd!BrC8w`QVy? z7=^zxf!#~e3S5rCh3_SI&~2bXaT{JZL*PF2`E76e95&rtYBFv24bZL30xVt60g{;Q z@chV3y&KPdtzRsB<-t>xqm=OOWO*&o6)`-ZxCC`<UrYFv-c<2d)iWG$A%bhWI?f1z>u~ax zLC2kPaaDQ7u36u0J}mUK7?&`5v-c3Z%s`>3<-+{{rI_5k^b%kP$}wo$3eG3TGWI@voR9FOX-qHkJn(snz?2?F7CQls(e(A> zl9FGcweBf>p2wbx>We;U=f7kTIQ?s)KcLSeJqVmw81V1P`|r}F1`Dv@vwoU@wSB`m zM!RTA>E(q~CN(;7FkfGFbEJY(Zk3Xaqmd@?zYc}FJcne7ef%vCgG^(jhBFdj@T?6RibX0lB2K|NORirH4sXw#5K1k5xLhl+> z08JLTk1b9Czl``1a+)f+)esjnF@$%G+{&c+C|cieNJ@?S_AO(|WVwOQwy3k% z*q=JLcHnAsxTCt;^nJK_2%ii5dK6X#oWAJbX4tCm*MC5_9lpQ_`2dS2An&EGQt{Is~r~6I29@ojolm;7(9^56v z2dVolz8$+|956vtG-;}2RSDM(>qiO1lnaJzMQ^rUR2LO2suPyxWIQqsXOvPJQ?r@~ zx0F*9cac#qyRbj3Fa_)wm1}A`+22JDUhY!paZroSy#7R5rx&k@B^nU^p}DSlDVI02 zni~7P;!AU)Bfm@Q6@qJI3z}oG&0;5Bfo1P!y9ccGm+TVB833kuuAW&=ME8S@q@`L` zlyw&|&B%*TPhTI-U^$6@6syN8u{D}!nq-Szet{0GW93%w7namq4??Fl&(h;ktDEnv z%Y}O&7R*lRu{_t5haI?69}A3*@a?S_m9ec$jqqWohqUYWP{=65d8aay1fSeCo(taV z+-oo(9lHAU2gH{5&zlt;HD$hL3BTF&&M(pt;Oig2Hlfn`!qfqCVUn&slcF#y;9B{) zJvIJ=2V_*XjCiEIwU&DOO|p^?*t`bbss$~WCpUaqz;nwr&=j9lMd&k&5)rJU-47}T zxIJxs{x!9v-9mEUTCMV7wK|y7#_%?i^5vauJ(QW+^c-63n2X}W-7ZF=CYG>RbVC~} zmQoq3{OR1;eb>;mCNXMJW7dTUwLZeb9NI`GBAg1mN4~vKvW6w}`nyHmeD7_L!Bt|W zRAfY`>~cK(e0J4ciiZb38Z`ee-xaXE;lU~g`mbrKu@tGaUnTUi?b6a8mT@d_-5q?X zq9b>1*+K%kUnP#2?^|T2hH1V8?zZMeuVG`i>1W^KUzeV_aX)B`b}+@_RY%S{-N1qV z#Q>eS%1eAK$r3o}u3nCc{!Hr^9pk!S>uOLy2I#c(ew7@Svaf>l$?PFtc8_U$Xjil& zQ+WKxW5aJ>w0hM!ekcIu9acaB6t3isppxg=ktdlr*>_xjJ|}L%c?Oksd)?}t!H~H3 zZA#jPg#D`tvTfe)KRpNjUop?seqqKBYnj)W6@%XXIp?n#4NQ?uzx@|#8r9?3mZ!>!rjfio*3tqBQYCAA|2Jgg$cB8?+uOl9AV^?WtTrQ&<_Bm!IYo=gSKqTat+njvu-r?iTV{B_`h>>}OyD@-XJL z^|F3GzP=5Orehk;%Fv9u;n4Wq;^n^L1*GU|bLqA`Qoqu1tH%iI4}UgyDeQF?_)<%Z z^&WhWQ`YNZsUlI)flY@zDYP`e6K`KkZ`|}|WOs&O+{uUoTy~wE;AgD~W%l2VOZ;@+tbU0W#hwAci=!jXS0_H5K z7?xlXg8$t}e_y+SOa$p%`RJ}(gxXp8TO`k}zsvwnujb7anB|z7?p=7JhbdsVz=O{x zyzk;+Div_BKj#G^y97!CoEPSjncZ3)hOEG5x* z9aAXBJr=qin6Z9`S`OB(tvqw@^A9b6@c=p=uUzDdDRV4k!YBEMnQLPul_ujJ z?#`!5Sp6>nIsoV_o=PSC%l%i0zO>+N@nuI}Q}8TMUW8whVN;fOG71~Hhi2m{h9lEt z^%ojGa6$ZXTCM6%z}8ws`LO?qOAkv5WbOS3qQrGzS{5HAA1%||E7N^7hw$30?~}uQD~)&8d-c-wG#!N7lDP_VpH1egF(tmgAr2OjmL9Xm zUo5UlX%j4WoV*0ut!tyq8f`Q2^&Z6^_W7&AV~kbEY9&**bDER8n!3!x1Ll1(d5^JX zk#Gkq^7P;XxZs+a#Roxi1XbZ@D!6O>lSk^ju7uB*TaSYFK>_u&y_b$y!j*6&fZfuY zeijoz*rabNJ`|UgiVq+KeSHqQYhP=IGx8({Ic|U9}zBINN&`BzKd}aOIL!{q{1cLi|MO2 z6%X%wlfOTmYiboQ{E>6TKE#>+wU>=H%d~Ra2PSjm?OMs^_9t?WA za`X{1Kd&av4Xf9k!4q-4cryhpC79;)Kp;OGoI$Imt8>hd3TDLX+U9KQPz&pomk-93 zx<$$qHv7u;b=A(&_gQxBYpV`YODVFMc7A@Y_+2{%SSx2|^dfg(;WBlmkPNuT{mK{5 zX2d^K((4Bpg_Cm{DUVT&Fm@ih$NGF+cOO+OfjxeP@$EkhmoTJ6Sxg%{7VvX7CtHVy z7Y{w!NTg)3V;2NjZ{UAx;}0x#l%cJdYtKhmIlgo~b`F|qWYc8`zd~acw3y>R3^>kK zrPRgvv|eRjlP~5UE!HgyMhJ_kP%=tNKK9IcF{76!8Mzn44tK&RRYj10r$cfkXlXos zP<1cM*51N^`f+>0c(&+HA1J`&zd%d%@5xGffFFPW3}+hP>s6T6T|&^%f?Gy%JYv9wLc&@FeyF~XUCL7cijOE=dl$4 zY?}RgRn^CSBJ_qQ)OI1~7fo3lGtdW@Ijhw?lZz7ZYUy$B4@MdvC6RvX~XuOg$M zhneix%9TAm{`^&ub@pP5G`vcTzILf0eqdj_q(u-}ZLKf}BpM9rWP5ei0dT;70vvGu zNZ@zq{tCU+L|Bxu%J!o28|NCs>Bi2{_mh%6RfPtvw6&Nwj>eXa{L@C1i%4+JPZPvd zUbh|i`#twdo~bNeMSp&P@K(OoyG+`7{X)r|-4LiPL3ea@L(r^(z3f0p0==32^;mm~ zX3NzgPdWs)oFl~8ufoM~CJs=qXw{$1I*UJsi7gHa#AWpu8v6+_4}VbLXST{Ci;bW4 z2`1r9#m@J#lfh{ApqSKMg!zRl;8Ovbwj%;pnZAL2&Q|`X1BO+r<59~L*n)Kw%*`b9 zU|-SgZqiy}$V_;WcB1HvZLsXa`=y43WfldbuS!f69R(_>rzpj{f7eQP_an>wR{eoA z+17szq}{jC;~~&BPA2wC@Hyfv$|cj6UC_s)@oWF+!a8%UNgu#TF_y;Fu4>{`BY>Cg zmVC}tu95B1z{t`^mt)~t9{~A3LFA$2*#ZoyfxjBjXXqAj8mRdY^_d%ipsYuZV|tgV z@I;$ifqDtd@?wAP#WR;>&3mfV96FXlyOheN9`W}0fQR7zzt{&=LRX)II2=33FX?T{ ze)yb;ejhL9&f`bRawx|ug9&YcTTj3`Vg4eAYi)oN$>Bdo(*v=X9DeBdCOGQ z8;CHSJsk;P5U{APtJA4f(Y{~h-kJDyK-z0%3RzLbiOso8l~cLyY+yf^KWY{E1N!y$ z@(<`9d>*hk4Q4l90Y;00CtGl*KOl|(#KkS3vN$&&chjYe1DYTfc>{N*{q!2VM0#kR zUQqAevjjrpNp7wB;1i+~RR;-8Qf;x>emn*d@{@JFIfrVpE@NGFr zpUIM}9gAIYS%XBn%yKhZOwq8*w_aC)%Gt+P8LVB(cm#g&YPVW9e}mmJNA3?-s48c^ zYY@Z>?bGe+4kwsZ-}c1cde)3oAs$}nn1Hgu%7Y5(G4z4~Br25p z#e&blvLqF;>qpBbR`ylXoII4GT_J8|V6K5G`Q;S3u@V(w%=5%-L zyzDAWG?{m&5+p=YSd-QRHbiY&X!>DQg%I)8eM(^Q97``)K+o-GtLO37)fl&4oh5xs zvkX+Lj1V~aP}jPo-aBvTy428+;#ealVQc}FQtt<$2{g=$X~)C;xm_ zouP!O0T^OS3^8xoNK&j5PD8ZsyM6+R_SqWm%w2P1^Al{x-Sallul%V67do=?}7adX7PLw%LUYpFtsg1v11B`6+lehZF}8yLPB6CA7!Sl{1fbmt!WV=D=*>*`_8ltzq`7`+r13*g5P*{ z*<`Ac3#$seO?;@j*DwFEQh!}qYFESa5aXp}6mBHv+m|K-AVia#%AclLvtVlv@x~Q8 z-T%tjAJm%Sc-Q009E8$Unr6gC0s`|kMITLozfvMH@plW`dDnjm07kwZo_<3Qd^wdv zXs@2P;F}Xk%)4wxrq{whJQZBx%Kdb{`LD(+9)8@0oL0)Shxt>>r*Mx|pm}#r+Lc)! z&8aUbj9Cd&>rydLRUe7mx#3ea3gE-G!%j3Fj;}Qi@RrGm^pn09C-)hCVA-ey+n=N5 zq~)+yCMLMQjsloWugVc55VnJ^7q8Vt)bYY|dX(E!h7$cWwTx=~PshAk^M)0a;92x?S*A!n2vj z>Gte%l{Eu~zgJs$V|wt})i1JS+&>&c!Bb#3nY8m)VUJaABH5 zEgAsk&s?YC^;_T?VgpWrT}jsF&YvMu^=;DmMmR*zxod*;lz2sWolmt`KD~_+2`Lq5 zj7aK6-gKQ?wNneS=&GQ^7-9b#`Xim@u(AGVrt07o`@Ox*W@*R-QPu}Ew5IatXYDoB z)rUVIr@a7=-&lR5d|mSw3{RJl>>0nG8=95+!TV&-pENh6A=!#QO{^~2Fh6$-eOdu1 zZOvCe<|e~)2CT7mzmawyI);D@y{zWNef>WmW;^5zTxXS)MsvcuwWAgm+M|m~-yF_I}Vkaz*@nDc+5afTePvugqk>~9VoClLqDs2@n-6Aa=iA`_S?x&F+m zLOi@CJ-xd9n+Hg!8|-IaH9OZ1jlN%{iVJ0KwXa;Yw|l9T*jYwvW3H$Ru+=()AKxJ6 zpi-oLw0`z>5(3`&3~QLyZ=2`KQ$46GUwzXj>q4N4_Zp>7)#tOO_K+0Bu+rKbJ#{m~ z=Pn+}jS^d1Frm(i{zsbc);%`xp#dZX4?1K$Ivi* z=an4PPf8?BB@B&_f9^Ci!oGDyIf27N2UciT?NuCYwKR^+x3^VI^Z5y~biQZLF zEFO>?mAq@TMNN307-YplL5wf|&mmXNI;S|Y1GDm?I#hCIx;GJ3mUPVDR{fL*blN|e z(+SKr|A0u~N>lROu-aaE()4bAo64&cPsdSDYfVn7kHnq$;6}iy>}9mfhDnbSglkx! zDcK!SfwN;dG^BE}&qCJgL-iQ^)I1GV98kCV@uXNXK1K}T!dK4kqu`{g zPoO61s+3f7d6UDgQGb7&X#crDJNot-zulw8$@-BY@msdYh76}x2NNBAl}M72qYZ29 zG;N9-CdZ@}r@1yxVX!OXFb9_`M>u}dUa;n=(|{{ zIeaX)vsJfLS`8MV6i4C8y3@nhE66*}J6os@L$lH0GeNQ0XC-*P|dW zV8zrH!fIfPATEoh_uHCZ+F8c+OlWIuZR6p zS4%oOl(52Ul*Hbec>mz$+=EuO8QU3Z5NOEN0d}VDZr?sE= z?Nv6)L}l$}jz>vP!@(K)+^EimOGNzpVhB-=GyBthU@q+UFVSTWVvYHN{sQ52q5B7P zu|B*2bYPeEjS2q`=H3Gs?*HHSUm<#l-dhl&*XS*Y7Ga6rBZ#tUw8gSW^iGiI2_Z!9 zy?2RTSMQx&K^DvResb=)Gxz-N{O|w#&wuXBHDipOogHgG<^6s>pRdPr^@E)6H*m3I zJJIYppB3qW*e{RfON3hU6vFCrudMa}<4EOdtm^=q4qnDVgQO(9@<7A?o1HOxl=3S@ zpY(%B;MJ}5Z|0_E);ns&8PviQ?E`X&d;HvpN@?mZbu@WP8}2<`Uop@ad7$ui;N?!+ z@PBzWg?F$r`_=O2d2@U_{I=+m1P=_p)z3Scl9qZ2e51kTPX!75V&{cpYCCoR6GPW!LmLXT7M#3S|KH- z&?lR}777*-N@Wj{7Cz(jX;O`_renwNwD|yBwO@+sy=lOul+^XxOmErRjm9yErX^^W zGsGJ2H2V`F8Ok0}=iEwS=ZNCgMftyY`KMmfVfH4-z&Y;BUWzMCD!mZyJH3lt4y0TY zuwhb@7{0Z(XFb+&%h@+$yEWxR18nnE0BFj%yHI1-6*|fnKchhCmd}=Q9-p7K9u=j@2Vr+)38=7<{vx$zAUE z%SWQq3T7~V)XKe)`(Ol8%qT>9;P%bpYk!W-aJ6b!J|ogdREYC^Ff@+NG(%@%nF#e|VRQ4l%(nreoonunvH~LlY^`-=mgdS+PcoTK;3gd{1 zrn0?1((>zT=+}~aL0Scb`5k3Mq$+h9ns}`q-2(#^8C&B@JA03|`>hoBoAVlYHxOL-IwYE;hEt!@k zjXhWpClyGH{XvRv9d5`RoiuIK05GoX-(wiRJ7y&QW!so_kRK8eDN{`#SB-;1QrHdO zfETrE2o|V4#a={vKA)*`5y|^#F8GC{-<8GXW?_pvVx{-w)ssYzkbYjMRi|lOLnMFQ zDjhn_+ULX z^0oiY-&(JqUZ>$QiKvEfIlT&K^P%yKxW~I{zxpUDPyQ-rgXd3a1PW>>!vzuv)@*)C zkhrPV%t$bEgHZ{way%Kae<+rr#(VvcLSIhFg>Qr#!a&|EwkKJYZy&*fJ%AxVcIB>( zeW=xXyYc7cY;C_J(!#V-qwJ9%%S+EhXktEgqTl}FcVtn>sn;zOlEZ^yo{PMIz6l60 z0SGP#S%>A2*H!%@jqA!bLjz9pvoNE-#%E6sOH=fAh4*Uh?dMGck)k9z-xUoUTNYt5 zc@J~_RR(8xowpgYV%Lh~47|q8t}En_ncxCQyjTVj>>^c>jpIB>RfJbcA*h zecJFDO{gtSs6jX>{$Ai=)I<=|YG0}2oWdiN>z55ifk(PeG95kKsGic_J2py6d}|1* zCm66yod$}%W!$3AuUu>EvrXxVC29VMh>I-_;`$864QD+F>?#`uomHUq;TMemri)XY zqR#OjuryhOj8{@mDWpMH&dBt3zx{0a zMXmb><8?!vdyFG0Z}12C)trh^cmw>0ZEV*Pkc_prhdl&1xb4iyfe$c= zqQQ)vl%oAt5!JI^jW7QJEyOV}iFevXH}aDWcW#{w;5Lp$`1rC2qbt@EC>PN+1}Ka6 z6c^viY}G*idBnXvn|K~Qr?}mKG!7ph(k!C}c(;)aa7+G!d8lA8cA0)@e_4@P2g~LA zbymMCz1)&zLwAY*-0QP4v31sY7{OXD05x}B556BsP+O5EO>dzR~T@crK_-FE*0t@Hg& z-roT32=DHV=8f2m(Jw?hICbaB%rom`c~m6U_t=~?0W}AnCZRW)egZ`Qj#W zMFzh}Y2uUyg_4SmkKjBk3#)I|FdX{teOZV=h&n6Gz4M)W5?|%2pKcwdbsux9u;Agk-l6*R11cZiDsxR<(iQrZ$&~ z4S!&>*=l672`89GN4$vMVdNVV8_`TPNOVq0+$TZ&mpUwc4OG=_?Yf;*J$0p3>mCk@)(eQtYRu=!w1|x2rPuy#l0BFVTF^BS#j7 zMpS?5rkRVipxLkLnt0qQ=#+mk&$bEuv-o!l%#bHj>kTmlNY==s3qCX1>=$6V_NGe> zPL+n4*SMlOXE)@-$<$4BpxM6muuUzq4YiO~mG4l!m*eNz%;ot3jArK<4y|ZHdb%)u zUVP;+&fnvgp!^$I#})RfR&X2?>7_EX;%(L;G z+W6HMb3#Jin)0rE-}sZw?;9ixb+MHbxyrdqNtaWaASDyr?#Q*)0?6(=$k;EeMN7M- z=srb+ME*EY!GBUy9r`j>np^5niTUq9-yIMS1sWP86pxlQ_0AOQ;1lBWa)(ip$_$vO zq%3>x9MGfU!yKWQ{uN=QImMnO8#1qQ=GFND!*TioP97gyeQfeG@z?{Aq)w?_>Nb>- zHcAf-^x`~14ur>7U(2jAW{L%pROyMUM-w#-u!^j)ww#dY&6QySQ5>4U#RzVOjOz#! z9};9s+qt;#YW`U8jzEN>H=pbyHfJng!myG+Og|{d%wZ(U!MjgrbtiZ13`9*kh9@w2 z_iWr%{bWr*F6lppl>NK_BM>6_3(lOx4uQyDuB0np7rAx2XFK}cXafXwM z#bQ~e+$hOi8-^4?V^m(Zb5=FcAYg$fS_3B;{JyNB?eaF%R{c4k>9QauD4!OxuGV+1 zoo3p(?tWdeLB#P}(Benwbxj&>?r2aEN^gU+oj8!F`%gQ6?PQ%aaAQ^YZIP)33FmST z{#NUURp4?wHv9#D_hI@v8BmqQ;MCmkq5J|Zr@1{(^kMq==v$>@KKDoxGwG8?{)l&p z_(8(@v!_wwiWC5KKrIiwsWer}Xda{W1mFdEc-CrACOzuSdd!%t zQZtUc95lcm1&{n$7*qNdb!yQNarA9UocT%j#(KGZU41kGw`+Cv_g4c;NxA*4kf$>W z+$O6dB~BeginVpc*lf*i4-j8_$feJ|zGRN+-c2@+O@(R(y087 zVG}}0>^dfr@r;gxgKL}OSOVLvzCOTY0$q=&xGQyZa>47hSn{p|=?X=3%C~(6tL~Nr zg8h2ollD3p!<0AqevY3Bzt9g|1>H#RQB}zwwogCGSn1w@nAtZs#y^4{Po$a}f)azP zfabv=HqW67it##d)U5B>K}JRQ*s*|<(x6~w@00J9z1pzvDR^&?OtJTA2P@+eu9v5kPCZ@N|Wni^wp*ukb|JZuF zS_>h~CWjNv!uw0*QMH1;_9KQ@Rk0%UThX8n#co^YU`{K z1MClr8p36Z7p;NMZZ7AbdV()-tVD0>oV34M8&pw*=wfm#fjW^qT~D-uRhhRcWZ=3f2G+b-xvI!)K!U4D#nGLX>lOk8|NVx&&)bw{1 zUI=V38BE+mmih2$nPh}rlw`|&9g{C0yGW*-i#A}e)h%3c&m8Ebz!kcC)D{M&6ey`^ zV$IU;+wv+q78W%+eJnJ+|Gz-&+labWSQC2ug=;7S3wJbFHV(G(^u){GX-l&!b@OmV ze|@-h{0rKFVQ;4c(%GIW|A0E_I?x&CZsB)qA3HD{8MRa3@6VXhX&OXDJUyJtap^8r zSwAyS^rqjp@}yXo7MZRhBsAdiO2}V#?%~OKs9))2Aze4q;8o{n(P5>Sv@&6G_i*O* zI83ql;EyB6qT^-t@^BT%B2AqC=~hSf zlj)!ox;)%m-nwok{G8_+-lp{-m88IR_dhRNd~BOBN?;%PjIX~G1yqAX4$*gx%Glov ze)K=w?0@-*{p(Ij^HQF#=lWyiQd?SXaow+_M6=eF=TAqsU&cP5prSFa7Z&^Fo{LNc z-tF&GAWV?~hN~b~4{- zIZPS?m{82mF5qze0S4L*0s$KvXD%1xlz@Iw3v?v>ne9Mo0peW$5C7;37lp%>KcsF&&vMyni0m}U))(k2&c&#==P7ak^v*gRruyeZcd-5qke zG(|C1`C)&%i+iYCYWjoGgefsrTa4+`_SZL5!k5It!=>_&9{=OqNUsUsHx@6dqM=+M zO*b~sr=@#Jukqz5@Yz46z6QVlG@0e(Ikc>JFmPzj{K*=!c2}V)R~>%%%`avM#`F(lY7IY|S?9V&71ohD{vyA*FopqjivT{JSRXc0R*_K2w2{ z&&3B1tojAShq$6Iwhbc}Yi$IXgmGSbP9-YS;|4zg#xl_{8(IN|D7Bt!vCb8aK#Hl} zq?O@0x59$HG@e(_70id}Y+YG<{?v@#mo6u6Lw8#YwcG+K<;en|UhqsR*~Y2?V0SFr{@ zjsNS1l7V>WMgscOw-WcT3; zmhO3iHQ&l&hP~1R4+~OoG1Ennq_q`Cl{5At!m|rD9+2mS>81(qebFH7jT`VJzUb=2 zE7mo+F0ZpLSaKY<8hw+l+enjELY_6mZs-ilTeCLaS|j!@BLof4!KA;S-w)ocOw=xe z2bZ@4>t`OF{ju>`?%b53A227J`Tv0c2%EL>qvZSEK;GzmV#Zf{2a?=TBq%A3A(#8 z<;6Xl9GS8E{GsWOko{R zD!ZV4JZYTQuRSfS5->u(6>!@F2Cl6qrk4rsuFi!yLZTc^gmUjd%821!cV!%GV}W%t zS_lgh$_T^7@atpqXhp$D;o`!Ac-8*pVp3;dsU{8oQah0E0#N;kDRg>IF0PkV4b(g9KTtGWs;F>uglP zN;|aezc zM_|^=h40x6qh?LrWVA}NaT09qQ+2~9B87X2xU?OnzrmEIL-t6rO-{-cl>jM}S#3>k zi$Qi_?c1w&9--<$zqMtX;s=;T9yG$R8Oc)gJTtC7auab{S;x^pUH#fTvlY>dJ}lYX&iXvd=yPJxP=l)apZYQhQiJvA}2? zhXt~|xWED<>hfetry)S&l-4*Dl^3nTd%~_nKln-=bfy9kEFyhR8zT4Yi<7UUC27tq zGs~I=$4C5i!|b%$tWA^fiL{-p>#yVH^AZLbsu#6 za`#irKCf#{n6ZPbby-KAB{jzGN|mRt`-Vv{gV#=qXrl^}1>E}F!2(C69dd7sG2hYh zg#DE91Jb94&I+s$|A_7%Rz%SBSCtLZwG9zBzz6*@E+JW<+mAwK=ua-MFIKQ}=YT0_ zy_FugW^1B+5@<4uFh=cF9c>Q|_%>Xm#6>tzJN<`01I!#bPL7wC2(Kxw?Hj5aa~SMn46k^hjPb# zO#*vchbnRaY^`-GQgn~ecr6)&DSAXF#jGQI<;>#Aj#9IA=+p8E{8WNh-9X{C25xGW7rZCP$R&MRqL%_hKBE$y{(=L>bbOy z`!tyIoQoC8hLsHMlJo8Zxd-7)wW@5E37J<;V;svDDk8jplszJfli!Snq)^_g)?Oq% zp;w&uTl*7e*lnf?So#G2JPFb%AT zk&}@BIXefT1iNIr*@fck$2}Wg;nZp1bh8HeJ1Zct}DbNNT-{!ly@G0BOk5u!3`9!r_ z^ecX5ei6#u-<;lSg^T{tCsP>3K6xJjwttaE@QPZe&SuPeAt379koirQd45`!LI14hQCw|VsjTgFfL4~Ea4*zgBa-#%$ zntKyqm3|{~lslYuUTxubIqEZt|1(r>Lm@~9&vg4CYPNV|fwdP9vAXBY$0X+!*O{ku zYu6w6=nuMG3=m4X?kxuQ^DTI-5E8@Xu#pS0J{8*2x=dec3 z(8V^?p^4!g5vn6RXrU0wYB6Dhyj}iT^A%uhPwn4X^LJCkQum*!lj4d^ZzhuVtk+i+ zAFkj|N(jKgjZ<}wU;Acik+p)=eAL`F@338##O9;-A*m6j$UmXA$BHU*7!!NuttW>! zzpQjs8nfQX^{;ehB`%$>#m@*7CA2d8d6Sgo#>gqk|2(PcqcZ)-e-AA50th?N4;aI_2G1 z8ba|X_kpQX&DBwlm#*8=kKlK!!ktnq8!e`QC3Mvcze!QNylzg2Vc~}3Vr1sL_wF&q zs4IH5X$oZ=k&EK#Hl;Pe|Hso>07EcnuFsNo`ds$XuD(6wi~UR2m*>_wqNHT&N=!jf zYZX)uraPI%U7G@6qu&KYKM+ne*w|{7nAyFkn|hMkkWLP1i9sl>>Kx2XGCmBbQCBHi zbi8}q5jw%|`n39($G{{@OEJHgtf4ZoY@=_B#Q%t(PF2C22AvfgM@yLnjmj;Q*eZc<2}m+0YjL&Ak3 z9?t%wwmqgop)i)DEDRkVoNDF%j<(mCEW;S&J0$H*-ImiN&z?x=a}f#7 zfn;nS$mU4r5wb9hpNNAIG<2>Pi*eR~TSJ+|5ztn+ZbKWX_GuX8oc5X#`(!g&6nYxG z@))&~iA;Z1H?F`8cix|f!T@5(aiaicX^dU5#k$ct$_i%R=C2qgN4XJT6@3Qn>1{5| z^xNHw04MY5LwiN94%Tg6k)3pxzZ*5KVF7hA4FtD7Mn!b7P*x!?Q-yl2N=q?g0w<=Z z0@*ElX{b!`@3|3{Z0S!MTk28Ya%vtm1Qv!vL(Q8g>b}tT^iO2xofTv+ zt1&Caz<>w_!K2S>F^VKsS_CM8B}o?V);Ojp#|M-z-wCVwl-d_v|73lZzph0pgfp-~ zMgi?Gt&+(p6MuC;`}2NTty8cX6{M+AhZKvOv+kWD?)i5i7;}Y1EMosUUEziqMR!~@n#jWiK)v8{4$ZSbk-dzukoR6B8KaON(47Fs@q)w}9xi&Y zD$ZJhuHgxL^bP@AV;onw0S~G<+~}3CGF~YDvH9(3tlZ--;M>n7(0sMp)&>Kk@ISh7 zZ>>H)Fcxzi!ePp38uO1=WQ4*EfAhDBZ77mCF-#{ZE(qx9#_$NtrY?&L*JkEanI9l_)wHKCCtRBTg)0iL(fS#UxO_5saSuHTU^pd z^WJrK$c4Jg*tILl2G^VIl&|64?=H^YmOx=QE4`PVC;vN`d~;j#yFaw)0{*{Wcy@Av z?uB$3fUp+J{O|XF*??$Sb@|lv6%3}w@cU1EP=EPOpvfI+8>_bSSg(VdILn(2yYyeg zlz$r+_?8JZkb#@HH^_LHpm+*Ij0jvmM zyLExH0vxZn#{obIDaQaO-rzXE-1kiAa3{#|f(4jQ$oqT?Je>Mz7142l4@`vP3w5A> zxk6>1r}rYNmkwxrFEbQ!IVH)KH1OQ;1fzEA`ayEPwER3-8w3Ot{8J zy8*HgAT6C4__E-jI3U-~Q84xhlUf_*CGss|S8JvcQgsb#Us5x?cQpKQ=JO@!Z`v~t zlLI@}7mjVEEuYDm7Qg?&n-X5E`5SE$5QL}sZz#7=DqUTRr7nAj#LU)&vdca3O9$qzu;}4}bQU>47p1`KugU@Cy)6VgEiq zg!5$uWAO0sJA8S3@dFS~Ib8oQWFh9t+1rX@FHN$_=4V4wNAzP#HuBWBIJOUhJ{Z<# zeqV5^cl0f^G_y4ASjt#2NMhU@Ru{*L0fbn|@Pt@Sl%B)o*ajXU$>#Pa7mK`LZLGz9 zB|Pd$T`f_zkE#~WKhO~6sM8G%6mk5{!_!saXzq^%!rQ8vl)-q#dH2h)`xNnD1RG^O zhbD8iLUjj5vap5r1-XFw?bJ)aaL;g=6^P*Z>4` z8#vw=l>Y(s>EIcts5pAw-!7Fj^i+|r-K$pN*QV!Gr6(=`^nwQ}dNXyuxK$l+D@u&# z>6g^-n;X?z`{k*9$y1Ja?gg5G>t@b>EKBOdj8?aX9q%T1SfH9ytpV8$=ZqA>-DOES z-b(&Wvk?7e;ONP#pRO#5G8&%j2Cv>7fmJNU;(70C${l66vV8q#i>-@H)i=a5PS)8~ z-w+VeN8%(B3{?F{OBc2@?eVr3*Zc4Ms`cdO)``Oa2woXS2dDPmE2pV*%MLCP5+&xA zYJr^28^ZiYg)Oi|@M8)wtgfwCFZwORFe@krQCNQMa5+uz1x`dFkIki--_Dn zl4!wo&SWTv^nx4n$nvk2pYT`9-|Ik^T;uWdyPkf%1rJga*11o3ZLGElq+UN?`403g zwHC#jxSYK95NPY=Bw$Othy9o>{zE7}dkAl5G286qMYfpHZ+LYbAKxh-i~T~8iI2V1 z=%hy$>)_1y;|htu7XlpWZyL09Pq;yECYS0No~pA+WA(lcU)nrLH6cv3zpphMK!zRG zr{C8d2TTtAaLxFArWtT4A!ijx76-Tg2SlY%8c*k{kmODi`+g!i7b z{F6pvW>upPtyl%mkbFvw6LM|bui|GHdqq}S%ZJl*p4%a+-iRWe8T*k zF8~XCw0>%8XBKv)x+@!VPwig2?%$LG)$~V-%$EB00xSFzwRpi@)LlMnsy8pQ!f0Q- z)`u7GuJ8(yC4Ykd$W`gyjpUv^g^B$GaEg>fUs%<)NIy;3s{v68*uyzg6Su1{OL z^q9vqPTIeFP=}T|vj?(fJgwa1&8dmP@$g!88y{w5$f&5OBA6a!hS*oYw_-p;o}%;- z%X#&Q=;rGKb&i&w#Z8#-PgU_x)$wWRUi*7msh~YOWn7cK9vT|?()t5MkLCxy>E#S& z*~5BxAkY`>@x0fB>viDJjGVG{T4aCG=B=R%DcvL=kwJ9`4sS4iZz=Bn(>0IOJsIyj zLet#$wF2PF3XRiJ*n(aU@{o7MD<-C~JWXnAJ>t0vW6*xTI(Np8jb%x7lw_r(U%7-}B(+;N< zKePnkHa#qKxk3WDpKOES3?t2GyYGEvhm=rwziD_>{vj=yZ=kh{BaxW)!Ixz&J1(&X zZqVheNkCwXT>Z1eKz&I~a zb(4T_zd&nK{$^@9J6?X44t?CxriK#LGV52QtUD!3z_oHne;X#FZpGxs`6GF|o7Rv&b7slFHk!(O&M0B!7(pYj& z2zmvIN|)wphVSZYDNitLuyY2z=8FCysCqIM@&{6ZnhQI^+}{A>K;J)YoK~#&79uxw z^pETPQ$2DySV)1lQo87W1_EJ^8)=kb9wq3beK*KRhsu^TN+2~V9)YFi-JE`$YH<-K z)87ecguf_*$SiNU6EGaWQpF9!k-NWYdp9s!RtLYZw9no;xm{gh^lUlxj0M))GrB5N zpnv(s8vb9btd@=#)-z2x;7a=1Z1wiRMYmDS$J>tdgCLg;T1*do5y+)G=&Dd3v1Z<9 z5d0SK1^sn;Z+2>x@oeX}R>NYum---O`K7*^-u7c3yH}-DCvKeht|xD5LkhDam7WHd zn0$`HGSIiJp->{k;!Ff_&iMg6svdA5LapSG+{UWq1A%U&wQXGZ6I7ylC!4D~96C%! zNUk^f)2UnhiUkmDj1&e!&oC-5r`{=7;qcS8yRqC{yWKZd=ob?3Yx2L7*YNYxyBB37 zlqT)dt~q>TBu>}*PGP-s$)?pJgN#bi_ReQu4ki|Vd#C8D-)oZ&3IiqUF6_&~K zV_&0L=Q3EErr}x8qU5K6UuPc+;E$!uA9HMD%l|fbh;)5V6Ibe}`I;oH@@y!mg0IG0 z{5`43i0cp&F^jNBtPUO}3fm1Mr1(Krq=ungWQx_!GPMa+V^}-Y&H%ZbqhxEDKH8ot zT^k#d`^_FlPFpPP)_D&Mnr`Pnn*pIRihW>}S?&n-b1YDuFyUL#CVtVS%=kXsl{^R~ z{}|vj>#o5GR=(|otuGo2PBd_yiH$vEvf-T+qu!jN!Wz(WgXg1vbhT3>m)o=2IV+L# zsxuN|d;`?gbep#Eoa~mWb~ss~pmV8muzK>JJ5dH^HNE=jA}wAq&dVe};m;%=*Xs*+ zx)`6PBx{&w{2`-<()L)2Yucd{l5oFVzFj7gwzJ(+CoW)OB92{~bg;xhwucC8WeCPN z+FXu!*Eh!B=VPiWKf--^%)R55Nzb;H0s5V-rrS`3CPJS6occ2DT28ChP#wSF_%KB9 z1$*3%?e3eTWHOv!K1ChChKOFg3`V=qMtPUM9$xMONRdsJ-kU>9wKdy+uxlmXR!MLmVO&n{_ zY<;?+s9&YI>L?dUZSm68QV(&EA!h&}?kqwj?K*EYVHfOs#lp6`)z#fdzvaEt zW~7b0OfLck`fBVT+Xto%DVGba{R(a$zj0h?bgyf$^3b1V2w@Zptq%QNtGppCdQm;~PW8d62 zMEr*p4VrZz1^R*y;&;?);sO8KisSdj*ycmOe!^PfJyON+r+l+8UF2c60`GL*w48F@ zg5^rL>O!{qTkJe%@>b7@YTmY!&yt~DF#~A$I@Fu7Ozjn9Zjh@H*L*p`J^G6arpB8fczFa%8Y1%%5Ms^ z=Cd}Lkg&Qq!)q69OjoXeU20907=~9^9;uBBsr~mzEYE-GC*jHbG`kgt&zr=n`I}FV z&vu!A=XCU38GpBUE14wP9OJ>zVsp%0L%TlU{y4~NtVCaN&K)v%ja zKoNql+3do#JZP^KqxlttV1jOxhfn+`l^=a$@~uL|m>9Qx;goxwx;b*w8Q<7Bz{aww zUw&amB(K`teQ<_fQ85x@%-rc$FSv_n)1DYQ-<)lg98i!(3C6MHm#jc{fx^X$++0o; z>f~9z&)h2f4^22tG;!VYFpXW#3}u^_vlt5$>)OeWyu;n+fmT}0jkS;ZW#7Nunb+WW zFhJJEOo_LaB1?7Jzzaz6oR$bVVxe*hRVz;f3V>BPwbL9r!v03%)E*Sk5R zv>BL10hCo;-Nr$JXwbST?edjzbAcNhqU2s|DrcJ1zA?fob{EyqsTfHsnX?o;Kd9dcpPScmTJ%!$jOr(sfVcNFHYQv>uY-R4}Q_<0?`_xGT9fi z3IpE0_IB{CT$x$NHyS<^3TGmC^vr$Gi1&BAWeGhbL|}MCyL5+H;KLQ{hx?3WMT>;x zYd1}?n?N!2D4$-Br0)to+F%WGsP&dvPjxEG4DyJ%T5VgsPcwY6(T`N$o0Z3LNaW>yHblyz6vD@DT1)_@X-q~%|LX1JU{3H+_4Wsj$y z2b3)y{s@0_KEfuH)Gk?UQ5JvjbjJ$YJLVKSXw-;>cSb^`V{#+ZgkO9%gq>zokn3r? z!-(Q%4k6vMb6}GD>p?pJ=_@i`vRoZwZu{2i!e2eOXh21r49=tet<^CgKIz6WT^{t% zv7If{^F*?^4`eIXQYNgHE=w2!?PX*OI$VTzKPI?vL63)*!~r{GyCuwf?;_`Oo??S?j2L^O0P zup%n}n+mpjBZO#Q*!44u|8)ahZlE8aALYTG{9bO<*@4W07s0!M$PE4}GBD+a7SLZH z@Xx^U*C~4~(sJw|MvExtHU-98`2sbnTFwIan zaDGFRpzI5{x4$I+F#6Xd#$GY59Sft>)S9z$unBIM5#MR8j~$>MS0^wr(BQ;1(n~O( zwg1nYdOX;x>}k?pHLSgpxpfBj-qEor<24o;MWf%I+;p)Lwvq%omiCl5AUtDSY?-v3Do=qskqyr|}^@K>~Kp;$OZu^5tBp;nR`X>;fg{TZ5nK$_76wv|(+I3LWU z8dC|c^7-#& zq~p}Vn?!^i*Js;!1i^qjd$ynR3ywGdHXDO#-8KRh2Ez?GdA=gBYQ)Trqx zqsGBM7Sc4&+=z30U-@tQ{L@KqA`7b*02TQPcE~sQYJ=cO>MG-RJD}A%Q4Qy93FMA_ z5~FyVd0XLq2|s3>KfkeBuDMDX%8ojFAG>zuj^}A&MF2!P(}0CmXT8-mJKx8@crfwJ zy8(Gg085~QS2CgEClpo(W`Zs$MvKdPs#lFG%*Ywa*qHcrU(#)foF=<;C042Sk1OH0 zPh;)1)1XMxH_8a-OvYW?=L(lj&IkrWRuvkTt29Hha)~tw6>N?0Uc*wHCT;Ia| z7Y#<0tB15d<4B^p0Ebps)oaBhSoi%>iYY582H^BrKAMxGrHylzd**o?KUW{bR#O?L zF!mVE|D(TDcQ`#Rn2Eeg9P5zg_g=fexMugPOn;nr6G8VFPM2{p)3!0L-f^G9qFE2* zp0W#-d-r<+CMRlre~=XQT1U-SbczNVA5j)J33;Uc$c0Y>n%`h%Z?Z$u{H6!`wuiab z1r+k!id;efuRr5{-B_;xSzpq@^+!{$Ym2y8kGdSp_asgYx zwKzio$=>UMc{jTc=A3Z54D)`Ik4#_5XUt-EqubUhSfN(^6T>UCvuqv0u|squOp#)- zAKmGQ|Mc_ygh4jk&?<08o{Ekti8lM6$i#@FYxyF?Bl8uJ6(bI!vrUBp|CuuGUR~(O zCToN@-sAhUCKsG(xV@FOTroJsd{5|uPy13c~~EZvp|v@Mo|Yg{R;f3vF@i?zr#`wDI<~rkVaLJ)0{#^~dcXua}jtI>!u7%hN`&I5-ARIor&l^@<(v z82k5tCEt5AVEsOmyi>P;qngjmzzYw3>cT4I?5K>ms79Df9h0b0gNCBTuSwls#9ou8 z3SmSgZ?#vi0FmN&=1lEeHCG6v`59LoSOC%pHV;XnWoVZIoDI%S!4od5g zJ2XJQ8n0|ea=qC8JWrB`6<_&(X&yjysx{ zGS2P3mu~azi-no3Z>Ie+-QyZc>x{e3m&jxsi2`m?git2asq^P6(- zv)o#0F!_UW9tkut7Q9X6^SX?n32@ zu9dmGX)iYfp2l2?%Cy^g<}JAwSf-zyt^VV(U*`6fbF2_o$1^2rISbT_Yeh<{eM_ck z7yT;Z(=vXxwhZoc7F$vNPqsR1 zl^lHG$G?311v(1jxX$vbLGlkg`_`65UYc2QPo%oFTN;VdQ6<6353;j z8?0pe*DR&YsWUsp6lP+z>RoT5o{4zB!Q{|7$jw#g;KC!>r%%KKB5V0tAo41gk>!Hy zVw`Z{r5E=^eRi2PrN*eYS#iDUC)x!u235(|r#h*mA15s=gdMqjLRH@Y{kHt>z)%Wy zh~=0iWKHYI_2Q;tALC&r-zVn^#p=6X9-J?$#;OlJAL| zO(@@%Q7>Fd%X=m{h~EWLSH7=eioX93NP-nu!D#~JiL(Pei)O_b>&-Sdz|3e!4piY6 zi0jp2xp8=?Q%3h%G;Bq$(cN=;BUnZ=QX;^@=vl*r&E!`kMuXpXVwmY=$pif7SV212 zfyc{L(Z4(HJ0S29Fq)ffHogAxIYsh?HIHII?ICs#dfXU@*_^{hTH}&JJ;xdfoMgu4 z>y|lQ-u;>`SFDAdp0_|H7ZF!ZjDN;*Q+(W8nf{8!cVCdJy>}1eSqTnJM^nqK;$9$J zSa8xua?}Bgjeh04q$(r)Sx1g?9;uC1{nQ0naPEV1vlgk7V~l`zsquVf9VH#?W71b! zfn-AdkZ0a>8*Tr(b@qsO+A--5$cWbTrascT3;@dCKebTLYxs9vjDO<+X$ zp^46Usx&CR?jUuygWfjxD2Kiw^z3?4HrseWq^3D}dHMdgkz*l7KAU%s`vV9U$sVhg zngeTVc``|<{HOJ}r5=wPxqMyz8)feu4d>ss{f=nSd#{NaHG1!f8btJ-=p~3gx*$Yv zK|<6J-9!&Y??h)rXSC=u2!kQ}%Kg53@B8;W?_STn|1;LGW?gHp^E$uhc^t>*h$Tq( z`;PoDJo%cu5rW4526W}w|K(-LZ3d7X9R`Rh?tmseS?`}Ojax<&?f{XvHQVWgN3ZqS zJ_I-I zeV;q*`R%F32gNRzS>$_J9MnBK$3~wvb7P4J(^~jrIArVk(ET%SeyD?yA8G|?%4W1` z&n?7~habV)^T^2)wyHiKU2^$XqF)Dw0KhwqYudl5_F6!yeSENjTeXw7=(X>#CuLQ_ zyC#_jk}vOX>*^`epX*r}N(|(%99bF->LUCTe_J0eExhLXKGV>c$09D?3+mA=+{MN6 z90LVbQ~dx^q826Mf$$L5<=zkn151I_BRq0H@NGNOG-?)mm@Qt4;pYU3|ZQ9W9vqPyT1eI5jy*4~uw%D-GmioL+5 z+{evMxXx%7cV@1e`0Zbtcwh_#XTO*!0`$aAh5y>dKl%8VCKWE{5?5Ian3bINjQrOe zS{iPiJ(5^B{&Kn#?-f})k1}z@@?(YRCIfL9>&bX);26WW9amlj!5>=oMkyz$&tD2* zRubQeC^BJFP5fHrt(`-g0-`1Jr(VsiGz%Tmr#$c1CK6g-v!=blmBP&XR1@*v@`I!x zv6Yy?;z*lS4L+kmjW+UON*gtUQ)>=`x@cBM(6@=Q1FHuYClr4`6YXnvc-5U(j#hI> z+dB=%gw8{Ppg*7@X6T@Pj7gfWwB=pC2k|oH_qu?OO}a9f2DAf`gPVJM+mqfq^{#H! zyUQqd;eHk3Uyo*@AY;gK8S^Z6ox?)cSZk{P}1@JQyhuM zNrt%W>~U=|{E;mL)T$7!$Gw`LKO8THOmwcJcanrZe5)Xf`cnO+$ocnNd(gqpJ*OI$ z{(N#EF&vzWR*=uFTHDWG=zWpIBtNS&^Wei^Eu}d83cD3VNs$ek4z{!ntK84Fo3z}! zZ1o|t)XaKc-bzQA`Y@%B3fojy89zl$^DMbRnuoWqgBP6XK?xS@YBnP}ZYx|k$m49N zyLk%z3WIAoV^y|mroVd?{e0~(t+Cn@F=IV>SR)wBg~aUlr*ClWpcQNDhc zRCLezu;nNV94f5h*3Ua*0}X(*cpnxDOZLO-I-Xv8TMCOYc9aY}(ZD|LL-6^)%x8l< zW>k8qYo=NK(_(PMjKK`Q_Hygf?Z~Hr$c?5e&-55RgK&(4aL;VeF*k$>MSx@u@!tq; zg~WF@6lLjXaJ9`r_@j(2dF(&zP+PIslo^2t8HruLOocw$lg{jPD_M4yXPeGOqT6_@ zb)TiJ5;QNGzkVIG&H}{=0$lCay#2whC2Qcy%|k0@puNuT%NEaJiGF%F#`4@XWIF!q zv(yNZk=0M@-u==yy0fA5sQexw=T&|rbvt!^1%Ki|ewAg(Ou(}QGdmd-ZsiIc$=%g( zxuUpDv@H@>1JP09Q8MgS;gSuVx;iKYI$Uqbc)uAo?q3C$T%Q>opf^2X8+QEg?KDH1B~FUX$be2*`M0YH#^>lvS;&=Y0EYBKk?Y)es|l@*IaB2JE%!41#% zad6;X-dzPG&x@d!N{F>s*$36m-+sDgH^+(+L_0w!O!A}z_~l=W3TwS{WQd-Q;o8CS z5gP`J!1=5g8jyw)aqhmnLypKJSiI8`^rW5_o4ZpaxR%>!!#e@Njk)xzDjEMEvd>I& zttO|!xnf%Sz8J4JR^Ow6qo^&+S;uCV`Ty}0dG8?nWp(*u!8JXr007y`E;e)xRiyAkA0Qr;3ra3;t9fVka`!l@{a7Bv(kn1>DB z#dsN9Z)6y9mYh61ByO`;H4N?YUydHW@LE#iV!|~8AzXE_!Mi$$toO1kwi_YDrXKx^ zov}578CH9aj-#@!bYYKqv3Ef)ZA)BM!N&bf(vCfrwpx>$?Q_Arb{GDE7R|LiCd02& zGRe`S?|5|ShQy9$=Tpg2E$2+fDJdcbvDO0-cxZ0S;b0Zu!A!mvM%k!9wkJgM4=u(Hb0=A38Z*5$6sL7Ot@uH%vw1z3M7S)%hP&J%40ejdlU6{`H$}BI1 zQ)#`dVWhh1xwDvWm^Jo)Y`Qq+8zB1JTrrWoZe-(yC=j}-3j*P>PvRzlWh_v+g(z2* z$%&q=SFfD0vljFF#P>^WcszJ0QzXZ6bYZP)7+?Wy!S2?MT)2O8OTKw)Yy0ej_vu&; z>)T(n*LrZj?Q*rdE}$;EFPnvy7Elny@84549>(jvTSzXzsB@W!hdwF-_iD%MB02M! zZa?eu_oyC@V8z|XjKB$a`6E3@S5mE<*W|_~6JsMkFszZh8wA!Z2l~g`H^ImSN zCl4`3ilHeGXXZKUtF?%=eri%vb43VIW+_w84}tg}XMB0jyA>1kBfJ9k;x4qM2j-uB zix|~yj$53@BI_sAq|#GU1nudoJ=ARb;_Ew1r=ObgMr~aQ;II1`D^Pl@4r+AFh*mSb z0w?aYc?34!^U=>TDX<%|N(2647gFMf9)iyk+#}OE)!re8c{WfiD^do5G*X3tM(qEWR?B2<2P3-`tv6 zxN#zVaq9RzPq%5g=87p^s4L}P(A0Jwz!?}!fVk;p#sYA653?PA$}iO)vFwqLb~+q& zhUCz$t_Z>E@BX8^&2o+sV>4{QN(xESuZscBvY6!esg`&~Gb&bPT>j=_)s8_$23^?P z1TxTXc4kK}zcd5$4odq+L6z2TSO|W3jfM)$p|w4OD=xKU)D=h1Mumapt z5T02Im*eWC_lRSiJ*qz12x{wVb`u#T`c5>|_l2DHXm~7eX6XG;&3@G`K3=zN#2Yy< z)*aTUu#TQctpJ@YQ`cNTjr@ zr|1IR(-3>>xVeVAx;*IN2yed!hpR-z@vG7}$cN($7Z4`@2lt`ZTK!SR_Ezg^OSz6a zY$dDr+INN#ppKZvNxVF5^jpSGJsKkX2c$B2X29cAg zNm1lP&U-NNR{JPwvk<5eEQK|R+va&ioHPhm6NK!(e8D>7}UFnL;9R^YWfR_Ko{$_~-)N%m(%V|i* zj*+Iu4o{A04S}Vuvk*DAMpnSm>mI=&fjC}q`di;xh z>5?O}+wuXq<9;78ye`-j@b3jHO&(Q?9XS2g7P2=lgSN#y6`=a?*y$%l@8bg?dcU|P z?0>xF;hh`#^0Z--^?yL=m)2;ncCKf&^;14US_JIW*C7dbSn3w3j826H)HWJjm}yR! zg8w7Q5Z)pG2Q&t#-)koTE68HTKK1{%wAWZ{^Oivc7Pi3%^g=N&Zg77#R=O^+tQA{@ zOuL`Luew*-xV9~X25|s4^(hPfuRAG(e4gxsjawSc)+uV!v7}lKq;;Jdd&0UF21BvE zz#FP?nE*B^h*eRzy#JIu6RJ`RWsf-GoOJV*Q|rw#&Z05Ho}1NT8q7Yudd+^79_Vhw zxS76eY`8&$**~~RkE*l+7+w$ zs4g;s+(PUHg4^PqjZD_CBBNg@bz_AnRT^My04oEQc;j`ycEs^1j7Z)UycMH6n|5UB z5&7e^>G?ey3(^=VcA>qvNK*i{CpL>n>s@za03y2IRhwB4JG+*to{rbbFAv_*szp%I&1ubM@+2k`}b6`2%e8!hAPnG z;a%E(|LZkCR$j2eQPTq1qjwuENVS2sC(+okhj)o!CPauFx~wKvI|pvpexLH?J8kI zXGd_omwiy8RKY^b@KNXUyp~(5RH4aR;;wK?0nZ3smjhAY0Pp$)NnBX77bw?2-+lwl zqOqv2ml}PU=C^gKyiQ?B@!xA3#!l;9EZl<#bz*?Dj`V5cci}gK(L&A_+&e~jwTPd1 zu}4uU@RF+77VOTHA(X)x)ev{IB?r`BLf<2z=Vy!-cQ}aaQv)4sib?wN`WxP2Ag`4K zrPip6vhGBrg=F2acOnvi{PNo`KdgTPd&9q*&Xcxr&*b3wFw;yc)+xwux`rDjYn_(P z$XmAt3GUOuyVJ;2)I8b${2U9H(WSQBZ<1&1{EoQDy)CIM4*S*g^+|&I*1G-2aL~A} z9LAs|gaff!iR4l>b>~FAxLj->vTod`0H;lA2+?(c1V3 z)~smJuy3oQc+COwbD}K?b+xqqY!wh-z&B+Ij#TZOb(lHy4UyitBl+Dlx%_36lca38j+NKSqZlP&(r!Uenu;NA5V&{$i}V1YKMGFiOJIw)HA3Muw-G5 z2O?3X!jJ}GJ@y;P*RXky@3aS%no$O~iwy$A#NIF&4S8UPqI>{jD1aN6gQ?hd6tPx3^h*M(2VNxuRkXA;*`2H}B z?PY3)uRS|Cu`nJjR~AiNwWQa$Y}Qi}b@*SgKU^M>|RCE0$RmMo+CIzZ69=*`Rj z;-11xMZ|>rOc3si>^};qbZIRvdqvaQxM``yXx<#)Gz-Oz@Io=9j zvIvJhKpYSDbnw7_w<{RKw(elrL7xt}TJ)XjM)r>$4p6@8cwQLG<-s`b`oCnv7-PYT zhy-TwN#fLi3YTlQ`i?#GXWvPoLwXQSr1^QrNE&JL$=)C#UEXom%otRtPWF-a@y_LUM z=~3|w5;iCJ)fB-_*K>kZ*9uWCY$_UxH)lcAqJfXAk`)bnFPw4zfT;8Uq}^ewcKp6s z5#_uXcaemrJGNVfKV`FJar95S;7*QD9}#Vaf%{j|&?JwA!!V`o12RT2v3S=-Q>ztV z_|>U(R2UCDs^GWx?&L@;;)0x~wN%@u-KX+!?Y4^JQ|h>1_Rd6FJ-U%c$?yLGtA%2B+2W;1o- z$ummmrGCPmmOyIZaittCEG72orwKy5y&SECq?D-Bkk2Va`G=I;7K@|^`0~A)i`(?k zOHu{ftZ#0A{V!o+x~d4VwRgeBUo231N48V)y%+puc-wEzKI}Z2$5+uvyNP9Ez1(E% zNZink3#FR-3r4@+*Kt2xd#K`cBF#8RPCxytR`4NOj$y21iE7z4 zNq_6et%4@NZ+&YXf>P))Yixdh4Ap?&ni1)mWn#Eu`F*3UuG$!KFquv3s|RUOq=!r6 z!#7Z_oAJ8%`=R(Vjkow~JW4p;R4)Sk zqa_;8t6QMMoC_4frS~f%Fm}cGFMHQ028)9wTBjhQPH43aUZVzH-<@GsVJHE?=Q86V zgQ30QYHimZD}iswi*h&%whR43&UzxK#MJ)e*n^m1BSR;@#{ef;l5QtlN`VLkC%Q1fKhz_1f8z;q4YP#^Guo@pU2`vFX{8x(V+OcW@gYwmUN z&zjT)0K-F5{6xZ&LhDx+H5-wUL*u;Oy)49HD{NgXq@n~zIsa7_|%PV^8756V^0ZEnaYV*euRS&>#8?wR75!i|}HH{Ag& z&eQIG+_+6#{4Gt+H(c4Px;YnP9S%P>{Z=JxCE?J@P1TYR?^npxft`!LgXlw+dh=mk z(KjC4);8(ymIEaB1LteNOFiAy&hm)Q zzr6nkG;IVitl{nHpzQdIq&Vo45MC0?Y`b8oXMa8LhPUyb)2f!r zwh7wm*-^(raH#pq!-r{>E>0`yvebG`-5Rt*gr2}h*8;k)n7*Y6+U8ylYJNbNj{&sv+>Tg;=JA;s_JNuSbTKjD)e z(D7a^y)g#H;lId=fD!_;zEg$h2M@B>={0-THW)sOcT(;m-qKdyaRWXt1q4GUS>=!?NsN+|B;rN&a zdX5K>i8$K;i=;eDj@oo_`|RdIwM!6JTSA6M{VR~U@2k%h!OOeYi)t7uM~t5moqF=w z<~sLxr`|Z2no}g2lr_`puUv+W-i2C~ZNJC8D8&j_M>wc8U%T0%U1OnY@cTYv_kJ$# zON)K6a=9}=0<$*#`s3}&}ePZN_U}Sep@hi+kIvGWWeuu z*WEMEsd1+2^=@n4^!OrP%`(8;)Z=ORfrBZ9$>%ws9gMue+svo+y=Bn@B%dym^w+i9hvu{ez|wwd)pU>*hpi$tAiPELaXqi4 z;v34k7hxt>MNgf>F11LMFJuY|Gxx=R9PF8mw#pE4ju*uzorSOW+2`P@We8H~?{)R%;8`adC@1$i_S;EC%k?qf}tYK{xaSGX7@{}$-Z7c^A|@qf5sP8 zQ&mX5x9rxf>twyeg8)R9uWp`CmKWJMPzP=^te+{EJv_gh-iCg7l1SVGdcwQWO8d6Z;J8hatgp z>bK`6CDHut>NMw`x-CxH4}W~x1RJ+4R|B%iP{6ZnoZp?g4g=9 zxnc#1rU4z8#_u+Qw&n#axPcN+EWpV#s=l7ue;r0*0SPdKP->zI*O<4vp zYJ2y~ zIWZ=GjZC)`ycp`7ObVia=aL;IT}&B~ma6>iw#8 z4HY-9I?0EYvb~6Ll{15b#Rxva`6M15;=gN3D>xovgSB+Vn&rR$-5IBVCuWWF2V`^9 z@eSHKqtFml;1Tp@`l?N8X`A+9Gk2+efH%JdF?Tc=163<=0cb2@ZvKPw%6mF3o{VlT z!2_O*saov$oU=U2+(T@$^V;6dRnFoHSZL)AW|&9Or0A8K$6>WwY-{3nLlW6d)8ZRC z9gP88fWyEnG#_l^8=ouD-Rho-zo^1kw{Lc)%~W5XdAn>*v&$-AattuLaKdPt6XkJG z7d!C#terDQVshd~{M*9)JN*Q916xERN-s#-?NFCm9w;;8`8+;^dp-P?KFAe(4n8cR zP;y$A_{^c2!jt)$Y0C#*h9Xgf@yU~*ji-;HQobcttIICN)Y(37jxE#8AX$tY!jJ^F z9!D%&2qnfi%6cH|UiBS|(?#n_QoJ~X`4g7^OQ!?}=RQrA%RFQLHU;rxT`7nvqNx^6 zf{m6%g|0pT3se`|)y;9TLo@H3``d5{mGCuzSX@>~#KtrXBY+Q2Q=zBC9urF?Si7De`np6aBR5p(HBr(=y9WO69Apd@*hq^;WgLpGD=S8L z`_+l|(~i}e%!j?(iLRGmt{(cD<{Jf97NSONP9REd?lsk>dHnYabBhsoX7CRkJidac zWzT`2N$i`jgsf}dE&q;(v%#3+v9eRDZs&;}g@b_BX8#@G> zE%(iymVbLEaxLfXoInf)JyzH__qzIof0(GwsTZU)#3lz&@6=f1Ks(hQ z!M4>_i(16Ft{X=j_G%d(L*Jp3DV_1Ap5HF-M#CYgZ|Uz;GRb&R~0|UB}9@w+tmK{ zhyrHA($zzqS>argH=Xb3do`j4t1$jdAS&5<5#i!xai`Zn`0Z{@e>jZv0JJGA6T7(|R&NtD<< zH1IfZQ@5`}8aWo79|q^OWKgU}Y$q==RsD92U7r=OegADa{u>YVqGn_pYqD91d?^G~ z4?pQmGz=E3*)zk2T)g$`j4F;hdadZJr*(hu!Si~%v`x%TGVhJbrDPItvgX_!qs!t+ za?QKxTrarf;_OudT{So?QkFWyHb_=zUz00j63(BJ?IdU?jO%t2Lb}#xjjfS_2m!vnzXPm8hXqhf0jbS z=TGQPwRhIC8k=$JEV)-HpJ0=9I0Pt8cKhxs_sk*!uj^+gLWJq#4c6 zdoV!_mM}$zCdxHJ17-d6@Cq8FSsKLI#tr!0 zdTUc^+NisYFIWp8jDaxb8ZE&8i)YS z=c+2cSGln!N>;)x{DaEiJyRAe;u47K^>`aZBG>y%UmksQ=!_`gvok@D+!3F<-F498 zu>Yi{Ld?;2oih-&b|m$eb-D(Poj4JXV%JdLqcT5&_U+L2`^YLKf7jwAqEasX7Yjb+IdIG)_~q zw)u2SKBvD;FqT6E=_K`** z)*Lg_D=*YG*~hwS`77IXWG0hk|Aj@FVfR(8hSZhnG+s^p27qO3TzXV>7|aVNAzIuU zru0;)*n>}*s=vfi6dHOCJs&WJh0D;N2_^-KS5^Q9BrhWSs&igT`W^pc2nLNfC=G$y^$I8={)BN_S(B)GlVKRlXJfIzw*Q z#_C&=w>F5-+A<4stv9WqU&s;`v>1MjCyTb=$Oc5RIm4ShrtP^o*TMZ9bEnLmuKeCEr&`jygSx`w$GlAuN%btz$?-3zf#suozG$7P zMkY7)bJg?38)1<&hKJBi^~pw52XU~Rc97z{FF()p@wJ=3YP5v;aIcn7Y_{;oc;S1S z(i1!8jHzI;DfeFIB-)jZ5(v*D`%Tx@PYFa`v@UMqCs}9;5QgFhnp4P z$fqch+YfmK_YlNXXHu|Qo(ME#5C;ls&~>z|CnIiB6BcozUScU zf$`KHLak)w*`?9+cbVX>ea!NglqonO7K0I@6V!`$6Rm3z=eN8D(`en|emv92d^*7}L8yIUoWNIX1|#4>cEnTWbUQfQro^DaX(+kLWcelfeuUu5Sd?(NHy zBXRqy0bG^xf|+xsp9H4a4gaTxq&GKD2)0w+eSGY<(y)F{WT2uQ@nmg=`2@A_3mQ@J(hZI=R^YMo4h(gX zd!~u>gZ(-osI`6tGI?58Gg&LTCmu4Zw`PpO?0?WaDTNrUi@={A{ zd-TAU>a6r%#>3K7ss4N^qmifImZ1p|w7zsT z{sVcmkxx(=Rj7P@1UE7|zH+g9=RW_#wwC%ls)f^jPT`uI0R6cDCSVdtF}9J92TtyL z48~tlC+@ClNaX3_KkDIL%mc@>*816iSRSV727*RWU)DWHoTYIxNFsgQ1T~Qs+3y+x zpIu}gFfPHK3JdW@`;y@i*yZ7h9cHZGF`;5O8uwtnYcaq?)B~!-XQlrv8;{JqP)bPo zt8RvOLOv7)C?@6L;Ucoy#hjf5*3K_hR!s-}$zpfw-=r1gwV6aYBxL;~!hVt3IT)@OiXJj&zH`sfyNWDcZZCG$F>3H%#fV7%ZsY-DjLy{p!S zH)q~roF#3{!UFZv#1wfiJ8VFEfr**-)D4g%{~O`s|L`*|@221tEgBD4FO@5tuLM{) z0xQ<7@_pdE3#h}VE;ANTqj#zb_riX<=-x_L8PokI`CL}O5~oCg96$7JWikQy9mL^f zws?V&oYLo%%&Lkd0q|yirUZM)6a%&4`|S*-J|T;4b{ewcZzCnlfJJ>@^*$>?iK&wQ z+|WrNdFW7L@Js>*je1275Rzdp2^7F4%Hf z5X}UCH<@l}5}o6hnSE&Vq9g~f&FV3A{p#+*_)a%K>{?M*i{wR9(}xz`K&XIA)mUr$ z#?hnUio-DbS?~{Y?Aq@D=Yr%Tf?9zTE%NnlLeEsG!bGFm3>9{mSd!lA`6lzm(u(YC z{V_+wIbAle?JI%~>o@&G1!(Ig>Mh><7kb)O*y7k3eQy4!Em1h^nBBLqZq z5+809x$)}eUHc^M^FOpqjn00`g^xsbcVoY;at%75yHh|*CM^wmfm`8XvxL(DDWXO< z)$gC@X}-h$=7q<#Z3bFlX6XQCb7AlOB;+3>{nG7$Ev{oo_L|1*;{CxKx2%yAHNy$o ztA&o3oqQ+nbrkkF;8EKX;DMedOF;NxG7q$0+}$ zKqA(ev_`J5VK?@*f9ns(;WqxLHML>fP3==Naa${A;AYaNn)EJ}-VPSjr>{=d_%%l- zvU2`z-riNcuD%y#ZlAG8huAsZJ3Pp8KeYYqsmR<|1dh~!L4{l)3);Ej@MTNB#BPHD zofBm#K%hUL?94&~5erMW*3SK!3b)-jQh5@gULD#K^E&-ml$Df`LW{x6l1tL!#{=Ga z;2)OYP)m=Em5+8!Nc&~C;<|(@&t-*cFA~LYnS}E*#Y61ZWAgb0cQevH#`;S?7soaz zztx|8Rt18nCNkN%PQ-=YF;3!|!}DY59U?2mbBI4dT#ZwyXf(d;o%rx$5=K5^6r`02 zcund#R4JVVAiYj-RXo?Vbyjhpsido##d1(3q`)jsSYW|p{H|B6n^f>AXL<`O1>{(B zLdQc?d{5R%h1?O;Xt8eZ;!?bh=gPe;i@58NveF$$sNdh3q)`sDYmMkDjBgvMT3Beg z58++ix99kP$H-=pCVsb`vDU`WVe@k*oDK0|K2V|Wz!Fy(>F@(2CF_M&eoy*3S8r;d#1t28&-Fxys{@gL%TE z&Hl*L)%NW0$7p-k8mnJx_K30V_IFz|s%a}D!jnDbmx;99INB|X3<JcY> zd9;~>eRr%#?3(6EfqZ}4N}cp0;-mqS_lDdQ4w^|qi|s7^ckDC490e8!^s{gVNzm}n zsF0)~-LWpqL>ydJ2(Ccv%FG#Q0s|ysdyN6O3k@p*YX;FuPAs6ZULaLN5<3QnB}nU{ z&BqsQJ0aWeB!@JTP@vN9RLt^Xuv=Y-wif$a@Q3kJ{50F%8!=)mmVtXWX0L7c76G{i z1)LG^k3NcNjII9pCRbI{j&WjO_1}kzr~1-ih^Rm@#}NG6gD0UDmw%*P>BOsOXZDPr$UGFnG$!I3q4?)90<9&y}2ZV8}p;ty`F*t#^v5#aASl0zo6oc>!)|xV0N$qiL)wYCwDfL z4_p}E_8zX=C3x>EiU^+gT2r8jkc3&QY_mtfN*m6N_jlW;9dFnyw4LhSkz-GcjOcw* zmFmVaE

  • ^BF^rh8VJh@~bJ;qj6S>rS zcL!qM&IuYTPgpOJ8FUR^!*=YS4>b56OmQAs(hs}9wcS>ZP5oerDU&$X&Su*a>Jhy} zCp)QYSO1i^_`OWNOB<_cWVzn=OC1Q5`l1+hq0eITd)yKvkw^%67otqRtxqcGf*ezt zOJf3>lXU6^&a|2QwcB><<{0JM;Y@|Ef8dJhw;2xh7wx3lY<>SRJCPhn;?dQ@6 ziX3t9Y0vAOJrG0s@mW8NP z3#srW_!^PF;2eh8I}_|*zxU+MlJ;#rOPmm3iK2M`NhzIdHLE6v$Rcr?NP0CR=r9C) z4bl*$<74BfuPg{I!(w8EVNVeNWt*}3FSYuT6<;Q_Lq5kaWAdfod=)jZSL*vy5 z&-I@8AM$?tSUleeBF&=h&Y%zF5i#Lx}XJC@w zm+btD;}s($&|x?i3Xw&MYrLL~z?_E^t(H??M0M(g$G=6_b|jfk5vQu6e5qm6q~I-l zhp&H#ST@r@)+|49)WmfXa>8iC>D-jpTa3GsUM8Cr;q_e}4aCfcGC; z5kDZmS_pqZy?G99KR0PiO7uRs^6LH6#_}ird3(LdJun}9vNv;r$S@Mbt&iN;lRGO{>g!UF;5@M_)Cup`|DcZB($x;n{f@JM{F~JCgC9@-jP#}H7nTWnKVi)vQov-4WF#1I_Gle_s3Pp0^VKf>Q!1y zOD^C7-y^VJH91}s&2I87)g0$xmvgU zqrJwZa$_S#%oxstTellhdmLZeQ+Ho?Nsi-`+(1iIl5=Ox)VfQ|B(4iG3olZ9`p2qf z9w1&Py?^rJdlG+Ad+~5uW4yZAlpPB5i}SH;j@>#-;WxY39L(hprS<_KFLXFnYTO11 z7la}VYb>y3m6|Uw%c9%F?Slnsob?1x(=Kq4hhfX&dq31_hn{8@XH?2ho*r>j?)zm8 z|5PWrR((3~!lDs{WL`He6E}AYYjAY{F>1^eLYZDgJWRBw0t7;f!ljo4FDR$pAVLzZ z^3He}HQZW(# zs8i}{0GC#|hxG5YGSr(@ReJl6a)s0L*AE*gU#6U9fvy^<)1|*Zj3-=8fU_7&u_h~cx%qD!k@a+-b8=^Av7A~X8?A8W%3sA*O6Q$_i zdd_TnBQ5YTXKvC+IIeYk)QuSAd!}jteKwfLgq4oJA83lAznG+!vUVPqIGC{47R$?8 zB=7mHyraOCz5&@f(VrRu&H?Fn(T{HkjT+f{PC&E*B-sD3IXu^+~^h&CLdSxy!ZcvfGsVVMvy+UF& zLXby(X`IBYUz(=4`+bMAWdd!W$F-B*X@f0trrdLY5@<{ciGK)*yTM3OLkbiuqDgc& zh?K?>drQU1GJ6M#+i_aV0-W>P8#hr@F2k}04{FENdu77uHZ@>4>a_@h-w8K2?n?NS z$ivr{@GHy-LirHfdja0>C!_9UlZ4eh)>J?Do0lggPtqw8b(yIr)MC~NtGe|m| zGdJOkBOJO&;BYhV0u7{RrG!3IE`DQ>X9{T?L;j{g4yUIGif3xjR(xY}wkJnla`i#G zKFk~-dKzafc%-p$3ovW&8{ww|A3O+;M73!e$&dp%W?RJMRR}=ZtOG&zS`}%=sgXAX zVK-qTz)$1fxGwaCSh#7p^8R_^hK)43*?;IW>wrGP^9PG)n9S_g!&?M-G@CD0FAzdv z?~r5c6u0T8hC}+3qML``Ikaf8kfoA!6hLt53^Kl1cdK73HBy+Yljhqi=GXkSyiKPY zX+)6fep%T0A&RCvESaqwsw}%Ie*K6+E#k(n>GQOZunG!D`xTsD$#u}wM!V)@B2?i; z?8x+cvN*dCI!DD3MG}3!45ty1q(Rob>oP%)MW9lGgj8>=G^H?;HN0bfgKxXbh+#pX zkjXO>kutu>#?_D*R%BzKr_q#9?^nvm%3pC^b2Y;yuY1YxT7=IxL=Z1K7PMi1y3_XG zHyBwk(zmlO=m6b0kewo9T!me$FA%R;>)UT^lh1Y0GDxu=avZ75#I7>o7Q<8rObIu+PQEXz%?@H#WhR#eLvYl`~x;ie{x0+)c5P>C7h z{*^+{OWwk6I#ZxCz%wzO>}{5AU+eWB>{+DYgzhE}0j3cm^zFXk4^6Ys6YqgW3KpD7 zH75m?-GdJptQn&80tv5FoEGbcYVwtv$B5n0KM58k)1j+Ru~}R1jd2_h;IcZd>?j{>>$=?UcwOJ^rwrkqh^=6OC*KY3K+G{O>s$~ zo3uJ;esCyRIgWoi|1}9qrF2V zYA?3X->I&!fl11)E@gg#U#gqu^^1%%IxzorV0}!W)5}O>{5;^vF@pcBztVn3?1uco z6MlAquNT~^zUG>gL|0DSIp-U0JV(Eo<2n)s_gt<_($N!j=FO~Ka+9UZEEfA(E&nL@ zLUgYTS*&m5+%OR?j}Qgt5iL9%$g%6!n`W`klApQ68ad4Tl?~J2k9%)TL_nGkSQrFi z9YiC;HztWYe$dIweG9kh*Cv0O?3Sd6KKpxfH2^O0I{9#3ck_CjnzTy+tHcelK z$%&!a|L)P|h&CGoYJm;J-#cdibpuhWtMbl7XBn-_HG_tUaI6xlugm(zS}2{59RfdgemN|`IqkYnt}+O{`%dEHlF^)^JMK|u zT{=z0qaT4ci4aJWuVd~}qKiww7hc%2q^u@yF-2BURjyaZ1%Ib3}naba772ya_iSOCqwd4yF+tg-`dVWNy(C}p0L>rPPoF2s@hYM!KG4!1p*7Zz{fI$UeQ z2E~!-?Q$*olSoPC(;Pe15w4pAY!dDK$y)js`IZGz=)JK`NsX+Iis?#$cboS zS?$2$ch<&jP_(0!gdQL2N#aLtm33NEN0JfKZe_%PV6An}^WmMp#84G$zz2&_R7juQ zIe3jPAyS>R_^`Z+-3J?NKzEA_C*cPy%blM&#@?lqlYB&~Sr!nRo1#|sit|;#q|pOQ z|HM7KdL3o3h78EfkNv(%&`p|)-)5YGy-&7f`dAR_w##jP9X7(WuBOTf! zcKYx*?S4h%)$5Eu5ZK70K;=!nH>uf4HXT2=!3n)oks2p@g12Y(#Gg+}AdL7MV7F4+0mIc$D|5ckuR%iamXc|XRwyLg5{@Jk;JsR^vN zp0dMt^6BsUIpC`FW>23ZN=qJ{JTmv8LlIcHFbi zi@YMw{149_^N$2kj%|xDeP(_^-&%ZXHDiK$FA#m09{#btbPjtYC50|uol)lYTwH-j z@__xgDa9MhwD#qcicU^!uJFyykb{dr3_nA6LSLdTHxR=9I=+04MLfOgYVC$y_aj;#B^LtpHhtT&-`F$hlhLV3M3=7LW#eG!XGKv? zs?wc-=)}Sx)K2o_frx$~=&f{8S^xeD4|2rxy4ZHn!ej8pouZ;QHm@r*Efx&Dn_fz` z@Y*DP+fY+=9A^0O+?iA9+(3~?UDegSb#0Xp*bo0F+1s%P6KYh#8)u*2iv3dmkU`a~ zV+ottbjU9pYHY9=_uz;>a8-OyO1{ed&XBOK5|z)_Sz@31$m^ZX!HQ(atN+mU|Iw%; z4&$QRK4l(^*)9*X4b9$eY&iaEixrG0X$U*4I-<2*VxM0tZ~G?SK45nEdFw!`ZMnJi zkZpdyu5EYfXOr!gV%rIHKW=`N?M~X>?UB7(ReKQy(AF*JvCGz8#MZB&_TGjg)A<8p zrR|uup@!q2i$@#tN6U0SX)hkw&+l|AFI<}cn$Zw39(*u7zf`Qe+#K=cb$G+piDKKz z>pMq#2OsBG4kOyPeo+=|Cl>4zM_}jui@z8m77Pyh zm6x7HjPZqk<@@!j;6y-q(KX`pv*U__WB-WFk;9+u7;waa$U$%Wr_7U=wkIqFJJ|(4 z1tXTK4kp@{ry?d@kDF|Nu|+KU9t^cFHJsGXpU6k7Y#q!M9DitE**j^Q|3!X+xp>fB z@J;s4rw1oB$|rm$p9&7%-&r0xX;l6NK3Q0a*jza-X+JVM`D}Z5H1aE2WPkn6qVGv% z`*HBe=KSH|FU-Z0Vv(b+J4Xg5Ys!Z!zZPsy%0&*I+&T6=*=Rr9`-N3LdG_nb_GJCe z;nuIkd+qyoPO5$#-#OX(b$GVf2~M+ljMYE4#B_eM+!Xb#_AXome1U57NJDbx8=5QT z(1WMt)!V_ml_cO#eDr{g@sUEqo3~v=dlmzoJcrA!D4oL~-(M|ZuY+%WY#mT&Usq_K zZ65iS(+EO`M#RaF_}-c?aUDXFZr+4PHJ@+!QJf!q@mOqN=O?D?2?aR%72K8+l{pw* zDnFvLJp9cc|A!a#?Y#INQE>kJir%*tr%i=;;Fm+&L-UotcQ60Er1X_#Q$g8vQ{m2+ z*NQ~2ku#mvRb=QRM1AQdn}da0r2NQME8(8{@=s38%a?|c&2Vc9FxKY!9*vU!RFi0x za}inaE3$VmxYn**L`lFsvJHeeI;%EMY78ybKk15Y{owuY;766a1L2T z4H(Cqd9t@l0v%Wfx4$g_8WDLV+cuj2Lu*&9xx?DVZOg#Mjo*L%>m=~i;dV>6ezaM> z`%^Ydn{at!$%6!n%sU#f;2hh#y@P;6ZLTvEevXl;rES>-ULesx5M0?vii!}}jf8(I zncnr_tO-|#-JMY8`dGY=V8SYGU(r~X%Y1{`Jyw%I<-%X^M&w&D!=%uP;wWgOCo30F z-Gfo3s<+}iSxeXWx2Si^%gy97om*PdUbm(tZRzdj#J$;1ezfAr`t(tidluyOFJ;Wd z@qfSG41bmsckES66e=t6_o3jw7z5m_lD|&i|7Y$W`fo$W{)zinGSRUBxPKGqt(*Sp zmz=n->*)IlUy|^Z1)!oELOha6{yf5gYS%P0RA7=&UNPVjM957eE`3cda~l;JDqgo6 z0&;k)2!M)2uktzDo2uiWB7h20Ha7ZqsK~}lT-1g)@QSg>4d=_e?!tBw!d_;A08(Of zMG`K^E$HYaDab1#1wci%+=5p8GJ%rzJ~tGvdO*d5h0LX01f*>FA==k;C2VAbP0aM5 zP%cYv6P>F%%IXm4H9ut1ZHYaYBV@)DeS5(byly!~mY#I=QBHx)!hc@zvRrB(O< z%1DR@pp1023>Cz^#U=SvoW&HKm*mQ5|56Tr~j3NWh7P>bkV2 zj4p2Uf$m?Fk&hWv&eY3XRnb!X5^#T>iXFfhU32_5#z;_I4q%K7IAy(rO@SMN)P4Lt zG)#pAI4{e&D?o%ayoA;COdb3*#pQKm%%wH;0$ohafD3)(6@-QOl(ck2lx)p;?Nv1t zFRNQ#y`p`E%iDrmSnxUx6#yN{n`mpA7;;%y1{zrY8+7EN=z5LY%s|r8AExQ4qUhl2 zcg^aWzoM0i`OQF;08=Qpj=6>fw+{DzpaR&!F5fY8-l>#2tyGKMSfcsb$?4O zVFMEfLl>yMsGYWoj?^04Wd;tc@iu1aivAd=NpNz*+ko4U|0xaAN#noNCuG#t-h*}x*SvuP|=(*`DiSrl=`-_WP zIdZC7xQoi!iW&(@IV%A6(QtQlaCe13WQ-gHBsHzofMw<3r0J@0-B!iJ&_-Dd&grS8 zrl5C2RZ-c}mXk;MvKPOUor$rMj+djYzl1L^nLch>9N)=QFsGIIwg+6ZoG zR1Y$@y-Gzb^*}8N;rzo3+>sxoMV7n#aw(h#g&70 zj69=pp0S*wSof!Dmx>?Ar-RS1g@VuFF~uiun0?Zj9szg7Ow4lFN@CMU{L7q4y`QBW zrg5?LlyZ{ADNflT9%nk#z(e(6XR(xeH&~Q1XrDl?@i_UaeqOm55sXgPGr6!rXIuKM zpoHy74Z-ZAyRNL$mdi^|;@J-U7>&%n#Vl6Wo)6Ht#=JOJUKaMqD9djC{5Hu7L$u*# z+mGkYtPlyW0wWf8cyJ>YDJIkHc=~k*pYyB;#0fM!*Wl=5=KU0HeXmeow9tZhZ90SJ z{D`Y{E98gQBPvhTpD%q5D7mlZsxnj4+~o2F7rM*^^S&Q$3yjvZj>w9vJqsD)C^3&k zU*S^xG}!V%)8JtbfAwV>^&44dILPB?9(=S|tB_gT5Ue4{JCXHFV{ve>ij*kNv@f`G zaFrgIKJOl#k*K3wQO?PHw$)Qh=E1PIq-9miyGuF=e%dXEe*Q~jwy}bSgdd3)Qj?Zg zG<1V_{S6JvQ?%>_%gIzsWbR3&s+2~%34kPkJHHuXpRq*e?F$6D$*h&q2S2sAwHCu& zXArd(=ug9{UF=JwgU(&9QbpzyzAfB}dK!+-q?1%yg`D?t^;`^ms(ap4u1y>xcRuh% z2_N0oG&P<6Mm{0k!HF_awa3h6_?P|5HnDFBCMoijybiJ>Jee%y@sExn$xSxh` zHuGktNBG1|%G=fV+i6c&LR>VK?uE^3P?$1&K=kh1mXq1M1D z%Et3oPfc=J2>{0!T$d93&d^5{&k+uEc9-voe-fSPT{$t^RQ8z~{&yG&?>smkh06YY z)tb!zFEG;oIMw|BcseaUg8TzE0`7VHJ>C{TvT%=#{{rpF8dcL_TxGR{JSFbs@+IEat zoVWMeng@{ka6d0!5gCtwLTKB z%jTPcR8ur)&4RmbKeGusDM0-7XF9Wo)YH}dXh0$4y$0DD)@L=5kw92q6KNRg{kx_{Bdi36iP zL$%TX4xLmHfxZ`^AvZMCv|pX4y8?Tg$)QGg>FQ)gwN$t6<8hZ|0o51VT8W&zBs>A4 z*1jq;qNAG~+-3Dn3qR4p^9{FlEj5$Q|15QvCr#BbNg;%i6~FmLFuvK8mi=d`lFgP7&6PKFR&9uh$zS@^Q0 ziTY*UF2xm3`*e-Z#-`@b&U_kEBubbuK4HJhGPUtq12J*tKvsQ=AsVq2qVc|yRqS$o znrhq(7I}Xx%=D+ITW1L|0|T zwrY8t)K4^^CtJCHKkveFqY}!@_+x9`=6uSpJKx2Ndh=HOxWBFXYmr^7gz~~U zptrszT3>h2n^QJV*8OOo9J(sC`frpaewE{#2`c*cXa<>oAO86N!F3IPJ`nQ{2VY8a z0Q0YZhpvC2DP?|7(ENRkl7Cav+gmb-YZQPRUM_UD19J&2j>bqRE%?NVrn z*#=zaFPw56%(&@e_Fw+?F){S3i%`Xy2I`;)Fs$1W&B!B03o}9e3N}=Jg0+3Yl>LBm zdN!kV)Vyr4A*yv4&Q2}5mQld9;xUvMpX+=B!Nw|TI1D`l6Mgr-sbSNZkUoD%HZ_n) zNw3}s>DxLWY{Wt}g(gMrjBs#peGs66-{333;gr?@PRXWvfWs-v(#%Dq(-DRp!5Iki z7GG;7hls+JrXPsN!zAh`RJ$ta{Gn6P|&6V0aI_ z@U(-z`|*O03C4uoQwPtPgD0w%Kh_H|zc)O*|4B8z^d&)RXmdJgt&M+t>*xad3Cl2O zs?VOzLZVIRRY&jPryU|%GY4y95tMVxiHESlv>_GlQ-GR`2(QIalV@gK`;bU~o=MFg z062I`Og@E^U&GR-150RaOos~kZrp$hM-LX`=|M(FW*a~cn!`IU`xo8*St!SZHpwIB zpUlHFF_PS)@uF)wFYoouiz)z>sJ{2sK=?-;aFyBB^okmI@1&+wCk;(XU&Z%Bg2h#* zK^Ib}nyvk=PEeX)_rZ=*6$ZZ3wAv+2iFm9_6>xeE3>!4 zZ`n{)+?&a0#1WIf&yN7l4D8j&KidR0|HS{~ncd)>W&a>1tpQ?k+ZAA2eiM^d0AkWE z=BZ=$Gg9Pq6TpVzh{+(uo=nLwO-1Qx*W%86B<`KA>mY6a55y$tq^5Vwx}d;Dn=nk< zRnX$_#mK|PsIzMBhlZ8$qoMZ)73E+CeB@J|8ty!Tg}1G+6UL#r zPQu7|!O&6yDp8-(NVNW>zS7^!1Jag;`?_9{zUE5uMX}|7g)I}^(LFwE-)Ki14R5hJJw)$dJw7?1 zMh2;8K+QZW&6ONCe2lkA1>PnPa`^fK#_V#wNI05JHJ)965(gY4(#NB-fH_L=>wi38 zjR%qI_L&cXJI`x`KhH~*sbdSA=M_TP)qNy>%6WwxAcsvKbtp>C$#(|!Q?zs!G(xD) zAY1J++D5-eu3w~KRSQ+gnw{51o-Y3my*Hw|0*yT44ZVH9_hAWnp@#g?UXIwemG@G+ zX@ZGcV)_bpxoHB556uCKupgOVs)0Q@U9S8mlAbv|IjJ66H2B6XG*WA9g?em5ger6 zk-iDM_w|r6N=RW+K!tTfO|Ph9t(6Mv!=K`T(yS_ewm1`bL;;jWOUy^r83_U(@zA*g z5}Xkrmq~yN+utDq%DaL1S4RU82+>4&J`5aXLb>+Cw0%c-1j>GhF$GjkouvVyXBZKR ze(UlO>|SyP>Fjg}M-N(6_ytI*rMjvc7Zk$rmY9^2ck!0TA9ev2+U#VbpcHt%-_n&2 z#S3|i2=J>(t;EH||Bb86(Lp*6$X(ZF5})D@*T#Z;=vD_WVD8-Rcdqu`UP_sYwDwKC zquul1S^{Yo2UD`V73OZ`y|bO9uMj@K#SOHHeLFV;YA!-pg}-7{SxAI;UOu+Q8D)kV z+%<0J;F0Twz{c^5O@+hVow(K&Am1Y?F*nzraKqi*DfoipW>ZuLv#^#UIL9$T`H@EE ziJ>B^h}f?R-9ElcCXbEpClrnFj3WoBoc{vBaD2(uA~Gl-;NdwytrXmqAcrpYAK zaDPwMU~6HgY(62a(FLz*@QxL%fs~QMVyV~(rJa@cB2Y&eh}{o0p18Pk)e~|lD%)SX zT25R@Z$m9B$&j23Io@-<_hbaIi~8NT^IaNLPcDV6XI+xt(5pv;cQW=!g9Z5Q@$ zQNyq(t5=X~1eqCeaEDNYE7>Xs(+~mbi|jdOKKD1CjPZiikTNo8+pijt{KlipEcbqe zS4O*4gEs45av*^3UE5c)8bI%VN*4#8qw{(x;eVWVsje5L4V=Ycs<@ZhRq_#iZ-(RM z{$+O=v=4xv+L&0y%o|*tbix2O`Hf7T`Hf6Y;8HqIMdN+qOX;mmZNoq6g3Oi=7KHyo zCN2K5<7{|Q>+fj&U+pMI1^e|c$mA@sTrK%d)^hp4eAb)1m$FLCYVr4EQFhk+Ju%Ao zB{%~_Yo;aunUupLlQ#imG82pikVyq#+yW5HSs(_be()p%J_~0s){;BU%1j<+$$EQ_ z3KnE>9@sO{%f1JV8~CM5feR{HsGrx#YwB4|leH#b3_d?<-bQ}|&;%tr-Q`k0WA72d zJpw7HLZO2dN0{0u^GnMV{4e-*HNG<`aoiNxXBTqpIScHw15W7y0kq?DZK&ameJm3i zxDwC3R?w^pH4J!h2>{~;0}~!7|0G%~pw{Q>*xN&Vix)e?Ip*k)1FE?Rk++^iH4_wZ zs=Lnz{d}!@WGED;B-&B*{xpA)Wnu`?3^c&`2c=hF*!RP`XvA7nd>8SlK}euz`bDZ2 znoP)tT0C@GpErfzG+&4K3gJ7&i}{NY@R(dC+{s{EusY+zA8G+Q>aJ~Gr!_P8H^^xG zQUiSsQqwDR=Ct|D#i2L1OAY4?rq})QD*F*3M#mb54dRpfhPiMSBZoM{P@8q72^rg6 z3BYZ~`vQHAKED;-_aSI-Z|$c+;N$hdU(6&>dr}1#0$E)z)8;xVu{7d34sd5d-~jg$ zc;j~faF34yna(|tjix2uI}>Pt!tBx~Cym%K$o1DxFXUhN%5eAM`SS&Ai}BH&u6X~N z#mu-z1?32#dE{4&s1Ra#h8H{eUIX>3#k2{9r3a#vUB&_ zs@iy)e!7+s0k7Fu9%j27o9+3VnM{)SrcbwX3t%P_{$?ggd^*WZ zFQO;jvzJ3TtUrlB$gfn=S)f|#J50?Vg1wn0H)@?c-o zdLuKfMz&yX=sHN)iiztwT$7R%JaQ}XqvzZ%qcfrZU=UFk&NQlry%7r@XqDYqI|>GT z8k6-e&nu5<=4bjY9Tw2CK!kUhTu;b@qdxeDmp6PKB!7b}$N{x}dPI@m+zx1C=d`N% z?^V4%P~nCqS1xW|8Um<)0DJ?e{}%9%<|FD!_qHbgPrja7Ve<<+oRSIlpIAXaH)G5W z5dXW&m{g<4xW$K^=lnh*_lXnx!VJk&2c(#AMLi9ChotY5RRUQ6>^voJotx3@1AkqV zf`MKLH?*raqQTzT=9Dl7mI{kcMNalJwnweg(FM&EQ0fPjVob%6#LqcNUoZ>kaWBm#ZB-l-3ZXt;cV~5RFu{ z{?!}u_a*#BHzXP!^Pk6MA5&C6w8Cr)J3iyML%vM!o?y`1o4->Fx?S>U=rWKR?SF+i41fM@OjzV$!GpF<%2OniIny~b7} zd6Fz=uzZ2m+S(BteMo-C#VsOg{XRRU(s0q6b6QR2X}7;M5#fx^AZOnO*Pt{L&{gtN zqJ=;lyZnp})GBgooPjb-K3R&1>950aebr?U_-q47)>RBrKliNO)zqJ#MSnw+WV)x&^pTweFIN@qMuky%;j5a!*#jOf|r%ldx6H>Gg)SYeDxMeVEc$)Ad zmDES3XyI6OxfaPbF7T6p4u@6V;Fy=Ey#`rkt>{i!gNi-9Bf;2JI?_eKXyyl_GxIEY z0Jnj+SQIExhb*SsI!~M@2QhnIob?s}Zsgzp!j7OdAA!weBdl0$-|?cd-KgNWU~)=L z%A;ujYLYjTpd3d{R=bKF0Mz8E^>EZA2+op%qb4bSQ+F3=CZo@j7X^U$gf`N`;>KACz#!qkIIAIS^u*`T;rj2P=B#&o^SJyUBqEXa z@Xq5HWeLglj=(U~0OK1J@66t-Y)U|Uq&^Ny$F`3pz5>YASxeD;CB{qv8hVKWv0M{MS&81<>pC7c;W zf8qY8H~`hX1pq>4VPCXP9`lh)dDc(hVpysZgzikpybsE$o(Z*}t9*SqP7(BO+4`5jvwG)Wpwcw>Kr}*<3&$sflbPKhlu2FmzI73%+`= zcWTPt*kr&zW0OF(eI(R-^s(FHb~~CH`M~7y=kLV+b*lK1VG%e}1>AD)b{QdW{6E!9 z!LcCI@)aJd{zr#862XN&1YorCzJ$624b1fmfiEH_L^$i4(dzZw$T}(igtTVN>FT>i zok>{zPuL{Oym(zFjRX&XP2y#s%5FqY@Lgw`8$_Ar+k*0#yLfCeL}LuVCJo=h0>WO| zV2r%Ko{ zP(w#bXhh0@HzqYVGwc1x+X;Yk0Gd2p3rqv3MaVxCL6~HF(=1Ge7 zWL=yVS5$s(Q9$XFh1Gydh=DP{3zZ9Brt;kUAfBm9L+$fL-gr5m)ThIPPMZwdCI&Bx`fwnw1 zHfqg(GkU}30G1rR75n9lO*=(OsZRt=$B@A#ryU5qAU{7p*yTfpdd8!r+>I^%9kvk?MbOJ7Mov+SQvHB$9C@O1 zyE^EO#P3ie@StGAc`tn-5*BAgi=)_KxAIjP+5`vY$Q!SaD_;m-L< zfE4`5?!%i(gp+W-4)R2O4eYSMT;TWbR-%-&W#W)NVQr+R73I|pou?0x zOdT{a)B9m}BaTELWPS|VS9OlogZC?%V1e>2wPOi6*{U{FSYQXhwx^D6X#u2|XPQ%u zy;k%9O$qsnrUYCaKhyv~DqFq&z$*Znvf5I1DCb|ZGyQ3H=FI|V%FQIhMQhDjnftUN zNmXTY(|Vg^E^PRw;T$CXjL5+aMlw7cXeioN%DXPAF3whPNQG;wsK93n9+N5los}mo zuxcTa;;McAeLSOBdBwZUi?Dv*dWc}_vDuZoTujM7cpfO z`2>K4t~i4c_YCxV5TZpBlG+PaU(dX(18~aJ#9m%;va}umr^M+ry;!w{hwtp*W_-Gd z8JM_&x;(SA9Wjhri0HLF;_CdySG6SIX7`YB=46I#H;O; z08gm|@RYkR&f&)rbTsj=;qCBGJS7!=6@3Th_DlkEd#;@pA^&w10X*f&Kk=0NcZlse zF32R*OTfg7F*CB3_r232INS-)Yxr%(2-Lv$WG@cNX$N|kvcWyS1abH1a(pu-k0Hu^ z+}-=`e&H+&a*roQFeg+QwwO=yG_iDAluGNtYo%p~9$Y{pG7 zocigZVo_K`P#D=nrP~eCiyn}^j*7OLp#?7_^SFI^1xmDsvn54+!An?ykpn)2BXUnz z=~sq;L|kRqE!6-hB>5%ga)i}C<_%G7z#IdaSs+aT&Nq9zDDUbOASIjsLGY0yM#qV~ zcf5+F9dE_nf^SzWQGXFj`MAXB6)(TaRA2D?-83ndY^?7}LkF-ORY#62K>xZDt)snv zw`5K-k)NtY=e)*!HYpOEK+y)T?Ic+ze58hLiWYl9)zHN=lF`4QhAX+eW7ym&CCmn* z&7TbNn8kH?hZZR^qp{@(Uf-ZwEe1IxxEF4%Qv+YdmP!N-PY$*1_~wpiYU7078JK^u zKRNVQIxS+>7!2=uiGNC9xj&m|Z6Cd0`3GIf92xojf&Ts1n=l!^L*7B-n51WCGrWMaK-#TsHj z!K!Ww0)8M_1=CnFYl#c$lgy;JL!u|HsM42$mU{HrJ!XBphjtMFUfdk3^uz| z&~LBUd?HS$)2?^)i>NAodBGbT+pO$=9Cxv7Te90je2nlpEX4B*HUqw68h;O}^<(XY z*>Vmy^(|q_kU#?284D&|ox07(jeP-cVCHm}qH+ZW>_gTvLmHi2hj0(p z^Z`7h^CtqrL-@LFy4^&l?&?~7lL(r8${Z#AD1Xn+>*j~Po<1v9CrUDJZnl1NCn9u@ zuUeh+vBSDcVQ}}Rchuqc@84S_2I|j$>r3a9}uGUf_VU-+O_kD+8 zw}qZJ_Ek7C;t1PSgAjT5AUySux)OM(Xo z8r*^ecL?qf0zn%{aCawoaJx;ileP9)`+jHb^PF>ke9vi~?q*ikoK>T0))=G4toN;d zATKxE-=cdxM8U_lz#QB)*PV<4TVGV3!WNBS*oh!jj$D0N(G=&m8l+r!(g0P#49Aq$ zJYwsGxsn5ef>Zj#l(cRnJ~!z+E6xix#%I7W557AtZc z7zAaEeM~}u-TeGTIEZ!hVs8dN)KUrN}lZVa*W;21)nmrW4p zB^_VZ{+-?<>fISQoUD^4jS%Q%%~ZlH5tD0@+y?=9Bk{mjlyA@%&*)cj-+McFE|r|O zNoxk9tkaK_@@hCj$iSlT0F$8C6&`8di%>z|7n-6|Xk~(ShIZK5qbYi+8iILg3kmHS z$cn{=)ceH)q z{YG9+wjMw*mOqe}d+nX>H*$-YKaiIL?zeWtH}m@USLl~S56H`tAIQtYU@o7r^Q*0c zTOjeZx4`~t`@#A39cbx^z%u;Zd*T~mpDpz3F8P~W`Kx8niuhe2@vX7Xx3||vpk?a2 z+{_zgpB?$@Z3xWr?g3*da2W?$SN7Q-z8=fGM;6!{^FLvkVJ)=sX)aKw?o7Sc?&kb#>+Q)t;pS!f#%21VX@>0vlf&jE1RvSH z@0~>S4uZmj=stHIk5zI01Az_Wq}7v-AWk6wk@dp|_ZN-9B zuT(o-*01lOcr0cK{<22+$D-4R^|3$}Znod>hac-zf32GRwPy8S!!CJ#Z$kfTDgFP# zF8>#H`M8s-S8*a<&S0e4{6#w{|qDgarjG-{5Qaec>W%Y=ts6+#s1qc zBA&ksBjWiNFrq@1s{HCc?@AUYK)#~a`%XOv)>+aj) zB_tn%mRzYrxT84uF%Pr<{bfyP2C^%8>@~#iI%)SmPjX zkLq~~+b?E(JcF{=SnB~SRspAsjn$nh(j|LfWI1G1u|A1z9#ta_U6%KXEV=E}6OQjq z3FHe>Ze>nk3rB_`TDGr>V5Cs-A`I~Y_j*r|#unuhrXd@m;XfMazEO#Zds5C&6hHTx z&ql_p_+-6rb>pPlh+rxvGwTuKqsmC*&Wf*kgPNX2A>tc=h^xuVXd65kxJMU=V=I%F zt~;+0y89=nkYr}Aj#46*RKVu87(+cvEZ?j3S|`{@x)>-IVC)2fJ9gP&aF#M(H`o}e zN^08CSMy+P>-35my8FQ>G7%)FDzLi?n$bS1#MZ5EkA|a0Ap^Gb zr5J<6Ws6?dt^7nJjvy0f`4t~$u}@g(t46@<~>u$X~9PxqO1?Ph6n z;Lm6JAJO9(6YN+3ExRegCBVr$Q0ocicaIFyR=y*==^imorGXiw%bW`+R&LQBq#S9) z%qN*lg$vIK)?tmpcao$~pVGvHlR9@BB$apWZFg;@o7X-q+ptL8A#QE6otZ@IQg1NI zu7`1=q?B`trgxLp6)QF-YHas!r@cBZZHU5XQ519$TVZ)=(9ynEqU?6J^STN1xtqyD$WQCsoI_o0-{=9)DHq z?;O}4Vtm}ui*SzwdV=$f-aCj{VMfFMdw^3K}M$2zBxXGSIy$K?J`1j)S;j`h%z~Gs_{rPh9Uwya-HT0nE zfI!wiT)6)yK(GIK1nUoF{0D*+$nqNp_79}$&y*j=2gvdRLiKyo(Vxe^?7)A6`2n*0 z1ls>+FxH>>eii(08z3OdpW(;9{O^B-83VHX2E6@pza>Ij)d$>0A;|wt`WgoK>@8Dm zGj%D$aTqL^fs8T7(UBGhWdC;YIulO@X)7(F!R)9_R}MCJvCH5>5fSe(P|7NUC|**b zPR{gTYSyIocf;f2WblFlWam*#Z1pEntT`bmFFsqlyw1p4DN!0++tkF?O(OK^9iXgsDcLmTYC?kq65Hb1<66%+Vjtfx&=Oo;(Ro+u z-{+>No3@~@ewyFZ&dn>(c%c&sS;4Zj(SNav?f}!Z-okdUey$k4w_(JkqyhD4H-?SW z5JG zJ2TpCpC#5DIR>2NJ9-B56!foqWq&fJz2YkA>j|v`cEh!B$U1gM%Mn--wKi5MT2j4J z4+GfVOMivkMP>^^^*|e1$v6>DLgb{Q#|vY5ELkSbh%W}L*4cfwdHV*Xc2Ax96qbF3 zeXM1-!Gq0B0G*r#&F4|7G%>?43&xenbFr|xZqr3WbpVW1B(DNTqJd%Bl$x5+L~p(j zHs2t^#GpKZ3&>EA+Y^ror;LnsAncXjRKb9Rh!{ER)0iWPccLpX5-pY*@#60p(qQ$2 zRZHfmpQY3dYl3Q>*m}7`h=7!-Pl8t0y}IO>lbh%m-9=*q7Kmdso3&nh?`^Ex;)LZ5 zO*jnAbhK5Aj99}qTpJjy_F2rg4#jSC1j8w;IdGtjm}YHzR%8){GkG}0Ts1c0x;YgQV ztSS69#-OAqLe?tY>>Fr{L8mNOE!W$4<&hgtLZzMVoid9rJEXW!Arv0vzA%B8#vFGV z+-k5{pjPybB}3dB(5J_SxGTek1Um5)bIj|7y9gpn>r?0d%YkI!?C~=BX+e^5@th@8dc@io-Bv7?};4pYb!1u`fV>!q9 zd5!?h=Mne1_l$%#ydE;*cxGR4DCY77_D1xnCUivMyxB{$W$mY&Kg<)ZAFe-EyL=(- z9Fo$R%dERiI_*i|tYe`2S?ay#e5Gk_sjL3Y{2E^t&bQXC$q0J&hA^)FytuGp;=#EH zeaJF`9=H=&v;y*Vp zzbyU(E!Ka*y!_cW`2RF7z~9#P5A(98ChZI{FKyTA-zo`8w@f8B#E2b?{MbjW^W(Tl zWiac@NjuxcnWe*sDN#Bjx3i+$&S!hhE%(exkUrdv-m8S>0{XB@(O6~)Y33#lDVz07 zs?T88jL;xHImiL(iw);m11H#m~z{kkO*!`d*DYAgcFkwM>ZWx`+S?Nc1Z<*M9z zu|y%X8vlb61Pkw@*2(-W=HiOqpID1^O!oY_q+ zdq!zhh^6=`^Rl&++lt@WL5mu)S_tQM$gZt36i&OPH`bh>t9_W-5Py``;*cT|fr0AT z>*AH`!8dJwo)Ui4(RT5eE;@G!E@W_Zt5ishEP-bP=i`pPV;lo!Tmf_%b?f)*o3F9~ zXcnJ0sp6C4Psp?Ir5>ZYGa_xCEU~f+Rw<1%wjYl(yPIR~t=N z=0_)LY_53DaUnZfrwg~wJAFFlD8p)3Al-TZgYGa||M9%d6=e2}gFn$NtOiQ4l#jf4 zx10#8irD#MAJOf#<}Jq1Re)Fb*b!$-_@{Djxc)cZ*wgNCjDu{S-BywOZ*f9U^a%_R z9n?~wT-H-wrqo&<*~p zuKRI=Ka6fJmft&31A%|$UHUn${|8jv-zQ06C*kz2@8)?M&u;{pX{o8(2Vb41 zrQ}*lXdK}o76rplXNiChmB1VPAQ{PEyokviWP8(;NcB2odr|PAS{KSceKBC~R+5<& zi5Ya`j`EtJxLRC{x_TBGbXRgK7?1APWJa!q%=Ja2M7E{7qS)iIohb0#4r-f~Ht~%K z+Lh+{@62lSxD_TGnA;!VFB~qIEPOz|?^`IK_l&INe^%0mzawBiA@>F3XsH><(_?QPJI{QsUn=lf-7(wd(4S4>{SY zS!pTov@!@Dg?PdaU*TO3WXYt6$<*%)$r zty*p1b;&Glsxq+gCz)n)zl0>4aeC#WnK`r?G8kjARWGFnf)04in_bP6O`jH>$dXRJ z6V_*RGQ(!u)q*i{C8xnH3)4OYuW7uaN)C#hh;uB(7`{gFEG$krO2M@m1)JTbJTp}R zRl9x2L2;4E*-06v{?$RhTfoX3ZoJ6HOxCx*+X@NX?deJ^--S|vYSbNUikPQp1c@MK zrg)njF`HWz1jtPIhdMk<6a@8AO!0aGx8Rd+-)%7OihQ==K6O=(N%3+cx}EaBpvI_{ zaUxB2M0}D*^_c;Hg_2+{_Ad0$APG4?sQ?VNem)uR^X8o8BraU=Y0a5Qif4EdJWT*= z>Ckhe(4<)EJ{kLf&0({e#3mY86xQP^Zb*Wye~wgdP#9FNRu;NFXZo8qr+$jxr0vKX=0c=;3wW%g8}`(l9@ zFO7U;IV!=Ft`)A9qgrMWc)}Yfn|Z+GBE#dYo~UV%W3vteOVwG`kdxfWhy)n=#o5#| zT4pwBruF2a>d%Jx&5T=}G+i40n)^zLDZ7i7Y$p>u6+_t_%U;gQDciCvV^No2UD@PE zNpo`jrSEvYIe*0k&slZzLpo(|#bT5%aho!~euJ5Ts+uC1Uvh;|{Z{%|H;@^rdhX@* z6-&4tIRDzGPEf1xzz-GkKNYjK2JDon2@{u?s97So_{MSsnx#4rlcQry?okQ@))R~Z zFMBuEj{MYj5ONjFY9HHFvyFFy>Lup+a6LK1yh;bzjj4v+E4r~*EGL~Oqp8WBel5oB zVF4Qcc*9w%q-^>5O+{?0cDUuVw~x8@`jNPu)TO~xdgco|8&JpQ9L|i3-Yq3u$l!)| zyg#}d%4nmyFe@h%D2J4CgjfO9^kh9t-!P)l!*QN{)s2ctorv{Gv|ue>S|>T`^o&l{NXL} z&7nBg!uQq8o9`Q$w>LQLH{%t)C$o#Ucj&%1qnY=|lkK;+#P>Io?e`~(_hnLD5y^%r zr?PsMVqlv`11PVl22nnVR)!9bM!cR5gNAI1vx{Od0?uya7m#O*U5E$2UK7+7AxZAL zb(;+zMB@z`lmqa>e5<1OD`xAHAwn=SYG(fE1GpvzIDF{vU%Flf5bC~PV+|l=(#!2`v@m&P!wlibpQZceP*F!CezPqEGC>%<^i604l{*9 zvWxO!49~BStq0{}1)E|`|;8<>I#k!hfmu|~FO$VA)*Zu}iT z*kpvnOwS$(`=#=&W~UAROJ@MvJ{{1c&InnS5!y?@dbczwC1W@kIBNYu+Z;e$@7~Wr zQpVQvEmoS64bWkeKIzB6HlG2k#-2^Ln#KZ;HBAdx&Au~21vJAU>=BhhInBdD{v)LE zLEqb!?fZtM;OaJ`=DDc3a;{SgSJP@a0hoTrlDWVzJ{=}znuTXJD{`W_pFY7#-)dd6 zYxcc7E5)_ly7&3)7k5jeft;EzX&5A*h4bI?DnFpwZuk%169D+w~_ znCNmq*qjyUC~WOb$QU)9xnGD-a+9%BTC)lEFQ$qGHNIU7E(IV=5@&Dl_sRfd9vRNP+K$ed1y8)VET$!o?ap{VfOiJpSp(iRno zT}(=l8^Y#nM8!ZVBTd0U!*41m#iA_?WNOGN`f?a?1c>|P~rQ^Qz7;TL(cqb8Rc6_pk$k|4h^ zFO{-2oun~@zFF4fFZ9jqGW-zwW+n3HtP=W^A{@dL;%W@6)C|1L1}|7dSrt{3)M+Jk zxloaK&2+4ui!obr=&2j zg`ma*TQiq1hl-Yfteu>lksd3vk&p+K+4@6G?lb#u*W})$$o*DFuo|)!_G%v_eO6@rfg}sT3yq@$gdS+EhRHO%j zW)&HG6$*U~b~!edf2L>V{YlSk#l-EZ#pEI)W^SWw;UX_XXH2Kf!04iAAt%mDqaZ0H z0%;!|T0R+VOKCkvHbpTlvKKlAJQQYDjM|a{N;GORQo6e0Dvs84&UBK>ly;12dRl5M z)YgV(ib^Wv)LI7m>bAzLHp0fVx(|HJAZ{xIRcZnL2R>#CRdrrdS3@NZI|(~-GA?Il zZgo0R2r2VFzy2jf^UtySFwS{^e;WLMMAU%)A)@x<_?KSz?}(@Y|7Jw(N4{SL|N9X& z;NOm@vHpvQ+Pqqy(

    $!*8+!jWjT$ zwpGO|)Urybo6~7K-Iv~wKA85TDUMW0g3KF6UmV9brzTm_87z0F%V3oyC#uF}-Zi9f zC`NO>Xf#qAVIoutHN|Rl9%f63Zeml23&Y9T;+$Fij$=xLLl};F2kX6)P(NutP0?yT zyBA%7~@j#Y7`=Y zJV-JjLQ9H*$Lo!WGOT2QBE4?bBf|g|D36B>)vySrJ`K6;%&Ek@R$UYobQ&j-h`(#s zX{-(RRY1!2SK_LiKGIUz>A|s`H$ZG|Jx!lnI3H7z`8%5}1hvuQLdA>@amThzSh=P*i0e zIQ8tPR#SYp-?CI&RTt@y9J!(3hy(;(3;SR^AxfNpF`AqPKUO@S`_n;Ys4FzF}!VqMU$#UQOA z=uDOi@~Q&fl!>Q<8QX2R5i?faaZ+M@z<-7IyJej&kB<4j0Tx*~K-wrd5kg$_{v?FgS>Y0OJMk zr1JhPKCd(Ba6Jq&$0guX=eoYWs>C+(dbG8{n=LJEDjhGq7Aei@YPX5`b5j}Y`(%2u zW#$ZGU-vXYN_=%m=co6ADqW2ccF*lYu7NxI-HYFzQlp}g|9st%WdBy545@R&S?DBLv5p3yoG!# zaWr=-@tefO(4P|*+pZ<(HnN-SsqYczwJj5$qFzS_+79DUJW8<^iMQq7aQ`UrW;Vyn=7`yB zykBLG5?~v>|>j_&p3X+^V^+$w$Jb9m)!a7 z?3^#=Ok(2%hxi%@#DVjF66wm^iwi@>Q?n1ZybI;Gt&nM-1p3n1qztuL>R`OCYY76xy zWhAK*)l2Q74pS$nQ`E1hFDTkZg{dlK@VY4jXHN+-yiwY!lva?f<3vSKNKTdHypt2S zP24bdf}7;7a+W*X-?^_~cXO(P&2uCyAe*yAXi-|qEyk8*(o&}=h@^OrAQZYxkJ49Z zV-x~O&@62KDfFqzs$F}!NVRLQi|qQyhkRlD-!=C)m!F1FSSE^Wku0{F)P$HHHa#$r zIg@JYHw~JMrr?tH7Xgcf2ec(ullx|Cb2a(13W$Wc3Ro&wdXKSkE4w>n1^x~jZw@RK z+nAeSbuBmI#t&DACfGaJ>lEAR=UmQtTS%c9jHl<>s zE!B~7@GhQUqBM`Qu2_GBRB^{uHb&ap8BTxe|6WTFP&iLfA3 zAnZh}@$}J*HQ@ZWp!cvO)c0#KfVXKX?K11P+FHq#XAbqhK7|SawfVVBD3V%PD!({# zUe(LqT+%?7&|=q>5MT9Q$0YW(K^-XUQQ7KHb5dS=t>HKDfFcp zPNeo5EPTplbBs8htSvwgEVwY$5^_sW&GV}ZIH>KVCHa1-E|ED&mHMTsG$Eanu1OZj z;UJtrAxH)@F1N~}9ILYZ>@~IyjxpH0rlxtXnr4AuFkT48%Ip-DqERiL4+v#Q;{X;)Tf6KGmi4m1!FIwh(`y_OjdqimOoc;{5NW1UQBgF; z)2N9F@`T+XSmB&7r_v~7=XoMV@#+7oaR%3T=>|3N15=f*Mn{wHQ6HL4QJ0O>Ug`i% zjv7a;qr#~8o@qR32IE$%3?LUSF)p}PTF|EXG@g`T=>qebDv!MYn%w^O;OW6#H}>^z z?>HW}Smidf-)!wIwXIyZDBTUhsnOU~s~`HwzxL%8Z883QohK3|#m3K`42~vBD;J-= z`}_XIct@{!I%C)bQ!GI|RNr`HMoAhC(5LumayoGv-9vvOEmjJ(kQwhw!dCj*!ghMQ zb%Y=Hob{abPLWrW(2fqkSiuYkgI3q%YjI>(j@8Ni;X7q85%bBbr5ETcBZry$Roijb{r9Rpm2ne}&{)Lsr)eAQ~pQXkVM$(qSy>CL^Z+Y7kwv@I#QXM-?4Q^#`;BgQks^4OCj&y3rnr*= zKb_FkI`DH5oiqz@_~vCw-p_z01+%+J4F-0`QeF$ZiqKUExFMD!xr~-T2V}^J)*|v8 z7^;w>Q-;F@fDA{~3;F6->Izv^CKOT;QYfWjUQ{3c=`+getdR{aXdmOg9-=s>z>xe{ z|1_(6Eikvh%Pjk7_AB(Lq9gM)G|lmh-IEj(B58J~n$uF6G&{t&CzU2Lc6f-cSQ@3B zvW5!MD9LD)C{=saQ;knnL^q#zy;bb!|{xzZ#6^{jU+2AY5#~9_PvC$m6JTt^yHK;^p|J z`2Dys9+jL(01R8%dGfT#yY-mL<+ZM1pq=WVn}e}=0xNjl^))Ipm_b>_%_7U1x1618 zV0$@NnL+IJn${t(CYwYKoD*q|xCNdFdHp=%U11&teDKiwA|sE1q{Kz8p_opizVUDY z-B3SE{u!)3L#6SQ-q`c4&du%d-l?7Sq4kZ^M{j(knU15RU{JBhj$%+i*CP(6Ef);u zIbMpZ5aY)r5`%LNFTzn(q}F_tsWT)<3?|3y zVU95qjG16qW>7oUnFox82}YHiLM~8#9lL^FMP`D7Vt~u6jo`5eJFYfU11Y4P{>(m> z=RSk#VdGGF<~{ow!1pX3qhKVVa-9|&=bspH07^%y=!+G)$bu_TXe*@i{~e-uqUvkP z>tHj?j5U^L@3>#=llSd3ajUBIWAl;KFoa-eIZ|+f! zU3zH~bMu$`MP5UUWlUC$ctYxkY@7K9v2D^s(_1 z`n+^$!Gq+ZWman&T`+f;OVKqZ3b2)ENoW`TLw2>s#&K2Qxih=7@15D%x4k>p^YE_s zHM@2u-rC;m-nDCI8xq?nL^O%Eib#l{EluN)22>T2LK;;CS*W7@0VqcO(eeYuWUI2_ z6<0J8NJz1efY2h<5)mR2h9FrLYHNpc?>djdYWJLb&+OcpxpThne5ZxKr+0`GAFe_E+KQmxXX;xbAhs-DfT`{_Bv6<>Lio3>qNz1q6xcFNAz$ODzU9r9nOM!rczEP^*;~@7`Hu)EgK_ z<0FX$7mpUO3zcbg6GW0LP7*i?jMOMkB54v&@{=u1ra_qnWhBX1=H;&7B$13=fu(pG zNjA25n`oIrkzNl&v`B_>c99BZsd%EeSQO@qt3|$eW*^99rlbJ3H*55L%j<1G@wVM; zpZpkdWSxbfEo4BhZ5C>vjmzs)LvL&12*H|NIJjP546xk-Ug7HS*n_Zrl!?>W1|FuO znl+a_Pk*5?mQA353rLF^vG}&|$?BAicT`7Mz(sS4l6JLcsNFH*>iuVgWtz|{+1s-W z{{uA984f2-T-_rsly+6?KmPsP$uAr@_r}*&4^2Pz{Ntxy_{!RHd(Zg%+-PmSG;sR3 zHulVGFI|-Sza)JBso|l~iDNJCcTVI?i?_)6121XA!=J5Kk0i;$^z)VBr7ynt+xqG5 zcTYTZvD2)4^zRVfxnuvHsbolvQAIVwagK+e<`>cXS2*#`?aRI~i=p>ZJ8kC-&(B+{ z7VmO8#h9289a4bPxgrk8x?B{MOTnu_z8`U669>EednBZ1O{^Qb$HW1{NSRpc^6!$P z#*m4NhJpIwR4#Gc;nFoN7z}vb*n>RzNSJ8NMwm?Rw!>uC&M=vR-`F@zD#Kv1LtqLe zFuDl_vO7%VkbOOjq%ax?Uk~$g7=>vormR>9Tgw(-ww|UtQQt`)qytMa534Z`3o;KY zF<-QpCqV#*#r0%dekjXAaAUW#sGMENu4V-q>Qu*V<^em*!%eZjdeWMmO_pYRQiNs} zWGHY`yC!dUPe5tV*il})_o1R6SPZHSP*{UXKNc1!b)hy>3K~VQuc5JPMEs2)D5Ksi z91Fk<$Tmol5}1Cu5v19xMH+Y4KS=2hwHF{~3y`v*(Vkd`b}SB5I0~#6VqKf)qV)Jc z38WEEmbPAgVyDYAU0UDg z(GR~op;f21e8-Zy+cd?2*b~BAkK67+&=h%)mxl96&c~&=_ee}V8;Uoi5T|e{9Fi3| zCB{vxP%)_oOdO&EBVppy&(S|Y>WlESA$!!mBqEV;$e$9Gkk>=^AO%s*BYSuc5psUN z6u<#KkWa)3EFY(vF}{$fx((MdAYQ&m!KFI=v9NwDP>_1v{m?p2skMcSU2LYWA13F&-bXw>iWQre%j$Pq@8N9*Y z;y(;H)1E$GCZ+4TQB55P91ENZoC%!`e7pZUfmftgX}Njr+O@{!wZ=N_L>Ld2H*5Xm z6lZdlY|2)}a7vP#PN!5gh!77JdxJjgjiur^mNT&lOu)mcQ8|L6*u;imlIW1u@ZgQi{ABg(bJur7kRtr(&gZS{4*5fB&3p9YF_sJU@iOrid5m>|KzF-xC&&lsNE?9{|n~vICXe>eN6p~jsW*;2O?wY%Hfmvt{ zAcbJzHbAvH3Z(&ZMvID~%N36G;K}NR;EMilV!i$6DXmD*Yqdk)IR2OOAfLC=1L^#g z+E9Hfy^Yt8zxjvecz?g?9vl>QoISSnt9KGQWLqK_uOn&V4Tj$hl9LlT89QZBmPH>3ONLuem`;XU5S%g$NX&pUMW>g$D;0edmA)P-sq2(% z+qaEQ>WKmHT=t39dJH)_A$@LTH zWWc-&N{FNXgJS1C1owXQ%st=1VZ4uBzOo$y&b(?D~wU%2eEk_G7J}608 z;-!3F5=v&0*2jy zJf?N~NOP^pFEy8&D@~ycX0<6ale=48et$=!1+CE2)W*+8mQu`(GT7D zee$||k2B~?px^s-jkQ!}dAL9A3pm9}CY2d>S}GDQQ1IX|1(*(Qo=~KWY8Z=bUrS zxo16dzLl2qA%0Gr^M5EhXiso*;UFuqEl86UdN>!z-Zb#U#5v^JE>piqV;+7(u)%Cu1?Mkhh5 zX5F$0v`E!D%0G)>tQ!2m1VI$G{KKkB2<^)AzIL{`UFQKgTrbJ5^EhWH831g+?)K|d* zG$`TPkT76Mm@OsDmJ()5K|&G+N?t9WFVmHB6Uqi?vh2|$v9L+mqsp>Jk!6oA%N|{l zZ^8prmeXF_F-_wmtTa%?STGh1pW$Jp;bEoW;$*=#61^YeB%w*+7Mz4D*QY+tEmDqx z$CKBs{eY8emR+>`6jNqhrp&G|C6AdhyTX(_W=bA2C6Aes|0Gkw2y_5uAizqC0%i5G z`F|wxr*rnw<|A8oJt_&1l4e~NL@T*-)U3BnFFg;AkL(#6KGXjGK7y2b;y(23!)tOg zZSH{~_P^2)KIZ7nCEv@ChY>1=b~y1jlE@4r@s42Bh#-oU4Yni5WgI{G-XNoX$FOYP zkNimuztC8nm=V`Bh?yH+Yh&vadMtZEThwSubF_Ojd>C-FXEa*lMOCClXOTmsalyXC z!q(Wn6pq?lA`g4azG&8m_G9IAgSTyYYFt=h{~HjhZOfD!pf$@x4mY5jpwqglWEGl` zx?){4!x*V_GMz~K7(ODJ@Rk_`b$5sg6=yRh>I_Fsr1+u=>I%sUl@7=zu%6SGRR=FEy)U zW^VOb8(XIeRX9;wtkKn)Q=6zYYyO((VM#=E!l)W{!V}?z@M1U+PE^MsazK3hNwI`s zn?w$)`NCX0OY8+%#pN(b+Mh6mqe@(Bu4~P6t=-~!12Oljmqt)wwL~13PnXhV1 zvt@l#dO|xX{UClyIh8+M_^EU@|3cv<>6Zm@Lkv+6+bP&@QilTV9=Nqab1^t1ZAoGv z@TOsscms6edPe8u>ZT9hBh-YxT^rc4bMM(Zcm4c}+t&9F?!0%EW)Baw(|AA9{KKjGx_9mhlY2&|If+QCvXOgLk5gO24rBqdBBlGaZ`|& zskkZ&alb-k#DXg0U>jQIvKoIqUogUK?-4&2m!tdvLFi<8zEf4Hi~w+7>J(Q>ofo%@ zG;pezth%XC^-=*_kh-WnQC+Ok&FZsNx~lfTND;(8gz&9Fv=~I>v;+KtiOP_tz@V>g z5#wmzAZiTJ2fnFb!CfMuUG}2t#k4T&AhIsaW+=vFfEFPN7MiVM%OZL?zNaIIsd7{V;TNlG4V^fv*nqucBXB zTi>+x{QbAjTzz!naQW%Hklg;^(rrUAtrFL_mOe9V-d5Okuahi1efSqo-aNW$@S%Ti zyj#|huBZD((67*AKmLNzwSI5=+SNN&_hYgiqbT2(AnHYAIw8*BhB+xLxIzkT80_EX zQmSovqz`uXf?6l18O6QK3&c96)NKnEI>{ZkXf6@ywD}CBP(?K(C_<4zv2IzW@@G_q z3@GwtHA4mzt)LchT4l6QKkq1OpwFcT8~k337F3Z@G9iA73cZS^DLsW#Ov@!L@1|CsFo10no5~UkiQH{3jW zcRCrslz02hCHgIhQ9pguxtpuTgoa;;6eS^3^fOYobXmV_yd(TW_?N&I1-&#RtSTMl zp3TV!ukArd5P6Z6Jpe8_!^bLmQng>a3|(_pNG5glh45h#KIoL!Zz4m_-H3aJ*yQ9Ly?rD1Y#q9P!&hF( z$udY1lwbH4bC7GIBznP<4u}Uj7{3uaP_luK!}f5o7~8pz!MrKPlCDT)OwOQ?)#%jqFWe&g|yk3z1bH2g{ zNxw<~K>{hy0&nMTf!biJ@~sPkp-)J z89bhK&SHd)u8!W0dpo${j>GAnr5kDPAHfeoOphl<%H6b)14ttQMdL06@j?)Td<$f= zvPHCP*04xVHXGmr;p8Sk42!6z2VMY=_};cAGk9v*c<^vM*fyTpHl8{*o|-kDnl+w! zH=a5+o;tR(JeGNE@@IJl@e0rI)V_r+>I5xtZdTj5S#8H=wH+Ic)4i?BFtgP*ZZvvH zkc_hyCf2JK<67G-#)^?n+}SHrUYUW3rM7RA+P+O{P3F^%2KMcWgk5P?Iw!GGGTSzC zZBqBO!!18RkGcBoBU&OZ`%Vr%zEAKaL4AVO2B_hFPVBI8+hEaD$miP%uD$C6gZ_~H z!=mN$`&K;t!04Xd!e{VaZE=^CSu{l5R@2%BHf!(Lymx>jT*RG@kTQQ3f$MilUh7GsgAt#F%lSmWJt8YHSynJY>tqRfQd+`@;}sJKLZM{Y zr+5mZbm?-JB1<@shN2e?@_ZVJUDuS|eX=Ky$*f$L-oqaJ#4j%ebQr*t;XtHA{RbMdH%=PeQJOdm|Tt@m~8gYELRsU1*T!2i_C++j3N~m z;h(*u(O4O=h!f+Yt{{a~xS$(zW4TTkvguxJz1XsWXdl4xhK@k{%3lTxrOjwx~Cwkt@k-XPfcyvZ(8MCpl z(|eepqG=b4BgBjmVroc0JYmo}V!+VgSb@dtI#r3OsscQNm=QG!{iwpR3?~!~Es7DZ ziY9*FykRn?T_Cne;H;`}I9x2`6M9(Si!6i062*M6zvOekP}z@Ww;#PkGF2{lqEtrk zOw21KT+;Pa;cF&oVCT5EUfr%T>g(7+CKBN8PO3MAZwLzl+b4L!n7|52r_^B9_(*Kv z;T5?CXZXr_|Q#HzbDefHgYi!cC~RZ0?Y+=Au=^i%-n7fN;rKpB_ z6TL0)`g)aO_ft@2FD;-O<&TF7$z}MRKFi!c88#djUl=knle<#+LEKpyu;>4sW`5DU zpLzL*t|@`nh^k+le;z-QSh+Zq%dts4z7mFGpGy@i4v^meL^DZ|?t=HY07$PeXS|h# z%pt<0(n^Ji9yM?!va9c8g^fxvk|&Qb2c^SH-oh8EAtk7U6zWJ5dMN0DbRog9;1zHL zK|WdT&*u~iTb3_Z<(f5+jVyu`3gAwh z!#nUK4(yNohFl};YO;?!Pku_cpG5u~xf)@g!H4kcnEeyz^mP#ui$qApi)L#wmLsw& zS2%)6gt(-_Ra}Q;D8(-dzLk>Zr+I!u4qFOy)h5i$6-A+Ik#O?(0OGK*QzT0ND zqKCyV8(T|9@iB7DJV|~Z`CB9m?r-c69JIO9~yg2qIc)hk0 zoBU$ZlsFElV-p7hLPeEYsuUDesfE(0YE`J9ilVA2lpvQ%6%Pmz$^+tsS0oUHsE%k9 zM5V-b&+KkpsSQf0DxU6KeRt0MoVhdSoH_TLRNP+vvZtnQ&w%aG!u9Pd3;n033once zZ{nVKr{)&X`_I1ozgGbmvAZeK~&PJ(w4d%^yuDNPb6Ad z8!_C>&0MvVyM$}4wn$5&F&VV&Tr6tEVo_bnYD#S6gJ2ec)6`CpguCbE z<^0O+?(ri#Geg;(&4EBo+Xbch2>IJ-(!9yrvH=sKQhX+E371@4{WR-qXzCPov6@cR z`u+MkRezq%N8_CtKFCjq?}>T+S$7PxnyoVqR;C;)L+-#y6}sf z-y?I(k6zLTNqRz|_$Q730Ve4^UE%N5d=+K|9YL4oG5M%`T0SRVl&{LSt!y;udGC7~|zrdQ-VQ)7M=J&=2jhOI@GU$eN=$-;6m*|>RA zrHRJUuu(L<(<)k^%yH>@ubH+djPC2kQrWuHX-yT*&K13=ByXuMjPjb~EvbH8{oadh zBT}kE#r_Xp35I*i5$f5e-c)NDSB^7i-u+_S#TUA7NX_lWh?@Z@l#FOg=gQmoLpBa#v2L` zF(ZEa)tLpXmFYW!@BT0F4%sVB7o&t<1Mcqvo?*aC#;kn;_!fb{6M+5}AkUW&d=ChX zel?8SfO!qDXst>esQN1qCBFF2ftriJ`tJj^`%0)g0Bjfn8ry*8L7?Sa39Zio$&)}k ztE&;pf%!7Xd3OQhe zzO%;faVvJD535iAh(F-2`sd&NK2=V8$c<{h)MLS+e}tyEZ+w8WKBHW~D`b)i zro(@3Uc!@R5l7&sSPC-xDwgSr_;JpBAsE^selIA{A>{nItC(OON{+wH<#ly2bFner zy?SaGeEa2#Ks=IU5gsoHAQ_c(C}W6Z#wdL~Bx%&N!XJT&bdAwU&KTq=tuVi_cC;f2 z&LZtKW_i8zef%BKT#Vul#6BZqW0%|si2Ve-U60yLEbdUk;Fc%Oil$ikFpoXlB6(?f zxV^Y738GowNY2ta(XElrq0|QaHMuC6mWCD=+Sa#Ftl{`n$9Fwe@jbpc-q4<-$!7*@ zrn92zqNx3f2Lz}QeAN0sl!5={x&MDD0~r|q(~bV$qI57nHf~_7WbBku|sHjHW6TrF1R6buP^Ny~i7w`>aii3l_ufBXGD@Kzk?a?BRYhUlt zYXDmlbmSTt9vJr$aBk>?N${Bo==ieS><{gqx(WBLcjwso*b|gB-Hs1^DmAydpWD|d zdJygUm~Mrrw!{DmpCIInAfOmARImd=T>&DP1}|h?MiALMa_}(an3yy|f&7HjzfZWm zkfU7FW5&~io+bzO^#;7pVhkS#*f5Kncg&ab;Lr_PzsPFDSowu=`m1y#`^3u&0$5ci znGGJOq#3~_C<6P-=_dp#3@H2mngqAbtaoBedV*Ao3BlW76S-tc3ZkbfIa?`VPF{&t z&u(iVsuVM`hO~4F?pVcMn4gFQe4O?9KI8dZOM>5gK%z;E zf(dk@pso8Pa!LdxPVlLQcCOoD@TJg$N-2jpc!}gaQlj1R*AB3&o?hvEL|w*5c^)wc zTO}IAJGP^E%LjEx$y^sAl+9sy7@=k=OFn-w|EgZs;TMz`;D+nS3~5s&Z}|iWw})Jo zlLzyZqv9Uq`AEKF;Aa40c_}gj&jSmSYKdxuj1YaAjwv=OqF=~{y5#w`lgb}==uEt- zWLB#Eve}*gBNgJOW$Xr`x+N;aBvz+Mt)@xev>rMy8{o$sdh-m+BTev7m0495lx=0X z-*FZ#R5RmW#C9U;AzdSg{3(;Lk2E0CL!w!#*JFBSm6M#|8DhQ=__m; z73Y5x;+s)d3Eim7xo8&@OGz|O%T}i?s)17vweG>A+-xR$94fce^qlRLyi@IdMx}dMt3tYUP4d+k z8B)-tGAq#?6B*ZUDN2x=zD)HE4%}IGQ`q)*f^#Vt3GL@>085Ms9(Uzmb&d z1*vaj0VG>!L-hx#ty|XG64#c19Jg4DOVIorXk|e)G5?%$oVKk7%^KZ0iFM>7)3r=hx+eHj(OvmPdwl4$IbpxgVNR{bR;*f9_uwAO;v>!PO$v{TXFhAwWeXO^>{V zAznU2nv3f;EfN`SjP6M0}fkxJ9laxbO6Ctz1%R=rFmSvWxu1l*g2+?} zSI|hZ$~lhecmb`E_2edthF+k#4y7)$=1dY_6S#QDwR3UXr(7UA^*L!}y2UJjw;(I%aFX_ZK*-MuOgtLUY_7AtbXL(=qym#H_ zIutVbS!=hH^|TJ4qZWzuio~f_b5X9ko`m`~b!C29B70RARz(oU&tF5by8`>E+#OzB z^qW~Df6-74;odGLI(`J`()6an*XVHr9p1WA?@CWRoHP#eZ{cLqP0%zL-gm+JWEsJ2x>Nno-_Lr)!SGTQ( zU1RO0BiB@N?4eN|;=NRF{1Bd;d+oF2}R;v{076 zZ7iEj@rC^^7A+!8-um-e~t2xTTf@6j%4XyI-G(0 zE^QtkjJ*uK)rQSlf_TY4L<;R%oo+E!FM_Jv5#$Jy6x)EjZ21@J=rEKXGlB$V5Kt6;he9rU*TohqEYg zrPnN3xJkfY9;CwYTVA{e{Jtb{Ih`@8i*~K=>~}`~mgFqlLf@AfH?sw3cg9+IX{K0E zf-OV~6clB#afvBszQnDfwzhb+$S_HC&E-hLa!p9j$A;*~@oDu&-%AIY24H7^cmz`R z%Lm8@hzEf0H=(rSJ9mTNm_qs{H-$*WK01**EYcJQtkb|3`9g8nu`PK$`A0uvk8pMD z(xi;Vh&B!=(!&4QhWS*A;Rc*=&KdUEjuCAJ{AM2YjIEE?o~I9^1L<>POz6BQI*-Ai zU=Gc1gh^6=va-ed3Iiq{;aXilkf`J9GzPyKoA(Fc<9l)!-i5xl%-9_|3}D1yhG2qq zh9Bv+tv_wTGY&W8#~a24k}|MH%WRgLD`rci?6W^ornla$yX*Cwd&yJz+RwNFI63a< zS%4UG$8IzJRLT*R@elC<8}HZKct-P@k|+ZObG>qYDrAK@we)$lvgJlFPf_+i73ctE zlw*5cgm}Vi3NU)pXLg%ZKH%~+ly>bF$u7vRHV-f+E|>d7)O8?Pa&OpWsWxYlcd)}o zMW0;hI8O+U>6?6AIPq(A{Erl@a>Y* zfxqjUuePQb?CBNY%@5EU=t~PNoChAxF365|2-Xpi*S5-uN-EFzT4?Btk8N&K-c&al z-I$dXkR3q^$^k(Wyepn?KF<%t39Mr?_$G5g?cvBeE&Gn<4D9m@{8Yr@)&hQ)&572_ zzM}e|L`Z~LSfC~IF zyA>s|#eLG7gzi|TX;(ryt(nJ6l#G4zVK%SK96mb;f!0((w$=KHP9i3W&Wi0 z&W-s21it^pI?1e8AgWcgs)}Mk8Hc4s0OcUwkoH4ZV{rGC08PvTZp8rquH3*?wF)g z4JX_Euh|yR%eldiE{4CC-TtZgiH98GPI*JQ{%d%;Q zf!Bo8@dWToda3Z#=Z@lpsF8|K^^@YsmT8_rTa{p%tSjZoeJUL=uM@Q;m2s_;u!&q; z3VP6|%$_3uR9207w`G;t<@qOeHD#VLNUD08B7KUl3AcM?DX}e3!`b5<*G`Nsm_{^C z^iKy&sMMR5STtxua|zn*WKralnk!>VjY=^^j+5>sPyhZ|c71_bhBIZO0$JJvlHH&< zeq7~Ff{e}~o$K!zeLYP=y_Y$p@SKws6Fw-Pltq08^t2Z+LXDESz@IOvmOf~+J{^i% zDYzJq-k>iP3C|Zi4q^-D1y;;`lniA>Nv)NS2KlH@4O8Uw4AY)Z)rZX0!7bAb<)p|a z!JLA2N*ybKSZyGmI58V&;>MY;vt=bH!N-nWaM55=Xj4a*W`$e9P2T;B@cZ3!_DFvA zci4kptbhG5mH5c-_Ej*}kdS|8QHly#%z&VRR~ol&>moBk>w5gV4p|4b#zm$ zPQe;cb9e>AMea_dilOzGZ$)>^Dki@$Zxs9nyK&$ZdQ`LP&FG6_Gx+0&qV7R{6&4t^ zIGRtJdNGY?-lL5TPc>{fZZK}#*ND?L9US^Tc2B|^$4s!KO8h`dz)i)SzmbjP{8gQu z6ufaHMCX+=HjpRy_`<43zm~=DMs5#yaTWl$Ug_@(_#05haKlxK2?!(h%Pj`PYkr(5 z6(b*N2MEwNCttu1aZS^#9q=P^jW5gx8zcOQ2H@LeSpaYbhaZ#Ntrk|&6?D%X$ZXLE z#mQW4?T>w3o3;gS1DFOpwDQ@q)OGYr&{vPQU2~-p&cyXMrH;h;Mrw4K;PcZzv)M{| z0(X%M+#6r<{;Mntop>NW%)-I$_-oWN)fxMA$-hbOxxo=f)yK^J*3EWz<20Io*3riq zf9H9OjBod5#O>SFJ8muzZ#G@|$eIu54}7SPnmX2*DTXFDL&ApfzDJ6FCn+v3*Y&fB99cduN;3g^`);n5c2B?!xI243==~wj7nHg7z$9GLvxF8 zs4J{P@pJ<%ngr~vBNqFIKr)G^Hk-1IBK?E>0``lPc^1ah+%S6Z!>xA&gqD!k%$M+N#4La-PkfF_CUa_%baudJ>_4tqHG( zG2KRUzA){Qx(0O*Lzurv{6qUjq2qxrQRa~OrpMl9z94QXqU%oW28M6}eZh{nAmRuA z7!$-dZje+H#;^s4MMMB!l4w{`im5X;#rTX0{o-e|d1iNkwt=#XFsip1FGtBv8^%O2 zgp;V~!fMBq=`bCVZYk?Ph$hB(XV{r{uIk{X%8MSG7d`xx=lmn~6+N65K5Ue4VWb|~ z+3`LQXI7P9?HYcd%h(%w)pTso_uZz`e;%WMKP3CTTl>9B`*{x2zkVLK$9Ow}Cn>@& z)yVu+j7_IcflVtYr1rpsCCbbXs;ChOscK%Km~cdG#R5*S+2x7+>mbL0WK_>3z(g*@rjPs)j$i!mSjg&7+^*qQg%2y5W17fQ2&5C09c8K~_ zfa`^^rB{MLKgb_o4(Q&R|ik!*tbg_`YSaztX2?7w{49wYlxe8RB&1JJ5&(})q6r77fxuSsGro=4oL z=8Tg^*r!yNR3#+`a4-Tv+*{j7aOyh`@wJq=ePsH~;#q+3DZ)#P8$L0eQ8muYP<%g6 z#0g@E2gNJrhAwms)quz2kh~K1haVstcC}ryBv3suHnA=VkX4vXq&8`1-fmIyk8jwP zdY{CGFpau&L)$eK``uq75;&OW{iC#fO33FDwksNg)K3L^ZSdnIUUBQwJFFk}auI3G zkpjLdlI3tC#=4W6(S2RH^y3gV#E``XE+KjDv9XLq?+%}kjPM(bVtXF`L59RS}j(PY#RE$t-A0HnV7n>Zty+3fI zHF-7gaPk#agO%$``HM(9z~9Edre746tij4LT#-hDOaqoKD#m_UYV)C-!$n4l8iVCxPF!hwN0GO4Xgx1zJn8UV>0Rs{{AYMN zH)4zwUAF;I&0v$j$50e&GV{3neGX$Tf8rDMH3FCqyYi13uyqAcDQr3wL`SYQDCN=* z79Tiy!a(dkh%RU=DtwM^^u7FNw0& z%5y`2!`|7L=R$&7j?G%?ZqLl<>aNpO3Z9`|fWLx{`Kg4L&h%5BYm?SV(WB<4Gu2Wr z=3L62%i7=D{^0I!S8lHS7I$q0XLHmD#wZs>X6e zXBx4l$eOAOexnE_0pb^}z~4)2J$RxXZi$o zq>`H%MdeNy<+YIhi4-~7)o6#xATpW7{rl%8)tW>`*-MpHwMZ%ON~;0V#BlY}7SN38 zGibLAwk3^RziJ^VFH3vV=!|`YMk&_BDZa0J7C+n9X|Ah9*4s>)e1Gy-+vXqRBGlpU z&Wv|>CNFrrzx&KV8JsS~46O{pMgPYl@dns4H36*JV9DYvB12MVR$+5aEOw)k$<5Hx z)T}l?@UP7Fg<@a-O-q~u@oJN-`N(;OTc{;xU!nO*q3aY_2A~|a0dZ}AA?QkU6?zt$ z)5eSe@y0Mb@Cx%D>78hlRLN2U%b7l1sWL?Q6P3BIKUJ8@HtQY>7jWmi=zQ#~OaM!= zn57rgOe{1(jjL<{^C6r9u(i@ZEiR2uUmT2+G*7CJp168XIXG&@VnzzzEe5o_-wR{!8dTXknlYW~ft|3Ypt%vYP8` z>w~RqP96kobnJyRe1f^&RL4;sAAB5NZs&)Q)Frv+rLy^Z zVCVeq38%jfX1M9;ChGZdblWX9pXA-EFZHH8{ChZ<+ieC4!{WAHd9HnzGZ*(p%7F92 zhU(p)FrL1T%qaanoh*O7=OND*TNeL)boskrtM)h{;#0}w<WiF zMtBU*s`LYH)!VCw_wceRKD-^r?0o^G~FJ*-T4g2=TdChC=yBk6Z~N5 zZ)JJ_#TD z{4U`sS1EJh^o2p2&&I*+$tZ|+Qa%w@D>c=5pAZv?cTl=aq=aYk)KRo&V-Og#XQS)U zFe^sPHErI@LNKeZB@aW=ChLdnhtXJ zEVr>V4AtL&Key5$@a6xga{At-!){@{8@d}$*8&XHuwaP;!+(z{9EH1>$HAn_B?(;DLHoCgWWd%oiWI!pA1KFGe8r6w`yOSVs;fg)Gy26F`NIew~jW5wK*-Q#d$0cBT z>_zv>FV3jI8w7f|n=!3op_dp$!<=apGuB$P%PB@awnZcrk>w%pqM8rK4@V!sDhktC zaFgg_dD|4u5SOAF0SDm+QWW>%0F+aU!+34-Mx=#i4dJIWWnB@*OjR4Qx-v?G6tX%j7wJ5g_aBDGv znJl?`7pl1f=!SpCw@f~P5zcrP3A^)obPGaI+BDV9>v1p+=lgMfb(@3q-vr?Y!#%v7Ob+V%N(;R&jBZrxrb;vebz#dh_%_sA6p3B3s3aZ60UBv>r%8 zh?=sTK(m|J$NWO6)ONf1RHLG>P@U}{ky(t&g;Z8+;3lf{uTe`Wom9QHIKf3I$G_xHq(3@y9GQ|1#R2skYj3uluLUt}G@@ zkbdPS{DCSk_JC{XcIJw+Y3yp&!;H7few2CYs`fR5_uebmS{?~#7>AXERKNrZc0h+x zJavdPPLjh&LOL~%sz7!TpoF#}b&V_xM1eXY3#vsnL`yW4N~uMuXjN)OM3%b#+`B2- zaq-{I%cr@Sxp~X&=g+^Tv-4&x?YvS?DmMW?UlNPz31?rl!|U>`&b@NN5#QjC?7fB> z<4gW^jcIUzk6PuHX#&2MC23*s7MvR&6u2jR;`cbx-NfG2ct}0{Dm^#N4e2+TV?6rS z2a@SfN*IN<-ekJqF@owqoL(%FkTPu;Zhc!_;70C3P&Up=$XI|yp*Yi4Koy_>2@)zm zum&lxH4RM`V8)kl3o>uHpDG7Je)g_5YBC+di~*Bj#y57%A*ZMU_}ivlHDMBzB(iYq z>MzlpzpIofsJ^A=cx$Iw;fzXN*d+b^( zwzycnxBwbSMtPKi5+|`FTXX|l%t(MT!c>sJyG6SHyIAx_D>vYUAbS8!S17-1xYl&C z?|QZDd8^Ahs+^U#RoCL5NKA%JYW3vTyhZ!Ahq6>+rZh2g7k`;jpJ=d0y%TylHNcpa z_wia#61HD!Atj>$CSKariW#{~!>FY#U1EFQX}tTy*zT&RWe0UBH*`npdV(;U%{~jb zw-bP=Rcur=gAx*AjN9I)KFA7%y2|UkFn9*kOyx#@0dBl;J1-s~Il3dTEan1`E9L?; zkTV;~B?w3l#c35|C`qPGC#tvrZp;uXoDNLI*%=@-m_s7P0aZR;6cSFSnlB025-ANA!mhN`V5*QZrS$qP)5shi%W;m}ylwli_I= zU(G})0HSj3bkg?aoqAA5iG~fKvUyzi;gN|pzbFsPv^!o}5X~K+N=v7_P>auB)RsrU zmM1}lIwZfCPcMm7HE9KDiP89dD@C?)f`5kNmsUKCu;Qgb>`jB2gJCzfDKeg$kcpen z@1ygdgFFm_3GvNRH_HQNa-(d?Q(P3`a$VfWLijk|8%iEH$pMq9fqcVH*qE~b61BMD$8uJ|K z+Mb&X`}XZ0)6F`3?Hoy{aRw2)XZQ2FmUP_w9zU}xLxd{Y8PAj-f%tYO1c z={*dIfvAPkX^P+>f< zk&)FD5~us7Cj?>VPBDoV zP^IA}zz#ON+DPUJTQf0@554UN0=u-)hyd~s1zMfY;S>gMS8#^Itw1>Jw_cdFb?}y? zHIf8HI?iC@iUM89JQ>&4sB=3D#e>bhb#~uxZtMK@G>gq~neWW)MeX*i${K&;Q(gEt zzPq)<$G_a^G5qVlkAS`(cfBss8>SfU_+_~Ar-!A^7o^Q*Hs8ST;Sg5#hRc2diqZi@ zJSMPSBKD2MJrFdK?&hljvDtg%C#97+!;mZCJkqI z_kKslxG+Yh1(*|%xSx6p`wAX{d!B+zuAl;ducctxkEL5yLJ%fY`;QGYcV}22aWwi; zkUZ(6<&-9e&ZtJhMT{kS?+~>LTs=iFQzO)HK;;7WhdhviKE%6sZXyE-_iFVW$@U-^ zy@B}t-o>Mv3teHxS-ujzq4qw}-)KK+ygWyc!cuyA2hwc9bhAd|SLI9ny|?*qZ)iVl zD1`%oT%cw+_sY+EluX2E(0|~`iEzr3pW%K(B0H*F)7m|^0PQ3+6v;uiKWCHj!bwQ{ z2DqOAH)-1*XzwS+4?y`mUf|Jpan{u}+ER11OjG5)jU4JprG0^&max4m&n4E3FLuck_LT!*0mI1~61 zyjR^x96~~;$U*xTk@K%M=T_T>s7wHh*(!%E*ivsOiv>jaSj6o$}+Z=6bix^>8=bF3&)pi}^N zx$y8;wZonvJ;vHAbyp~2H6ZngO;P4Ti?tMaH7BffH}GOtkLFC|LTR1t-kAPPlwpM* z22T4`1rik=sCp4K7IhrZS_9$MULFjJ6BqAs#$^36GKV__AeK08iB$-;GQP0cC878T z$fWmnKtKEC+LaHcivJO)976``I#OGmAejOB?pCZaBU3t zU?ArPh|>ZpY;ZzQgu#28@S+~CwGFj?8dF?nFtIhjiM$>9w!8U!in zt@JdKT*~}nc7C3GJ>mCOv9?EELfvlbT9)Y6bbD3jrJ3}oSx>co%}~!qD_dez#p=8x`pAG0Hn-S+&%6Ie)-1An^UMgm9 zb%5vE^Q3n`k5VL0&{TSUY3^4c9!U+~FQ7Zrlkf!tEWSShi=p*K1z}kQC}CLM?a;45 zBrG!S%4SR8K`Jgz7i zvs|>o^a|%LM+{bAYN=9*=i8ZvYlOI<=u80j26(9_ZgUEu5OwdIs5%t}@v$zyS@~GB5;Klbx zQfeZB{?wmCT@Y9#5s8!wEPF3CzkOtynt_>v0WQ{SH~9=lJ+fM><=9{2Z`=_U(D+D^7$&3JAvT0+OYf<(`JpH? z`K+yRvYt>+q@mRZZ6vmU77y;*7z)MYEvtxFJCs}h#YM4ZxHq~gQ22Xq!*he6@6laX z=KUE?o`++5iB61PH6=#QZS8);zbS{Cg^zU-8#F=j7N)E1K3avXUc<4u-fsR$^6~T1 za`CX8ni+Vo>|wsE?f~M1)ha^V?R8!4xB?^YdIO=EAbMq~wMU}3Oqq=e} zspT?{ETTgxKNmj5im5f?vcrgwK* z0c-^d?169m)1Lqc#+a?!7fj+*2r)49?|3Hhl(tk`2rdHs@YGd+`20})LjI!4-+MMc z8Kt;CD6+|e(WM}pDH5AOKN1t)Z${stL3JSYh9ULt-7^%fKCy?s=|0VHxH-046*0n) z3P_bOAQk2g^TDN-;~SwsNw>Fvayr`%NG>Cw<+vJkdr8lQQHzH) z5i2L%ocY|Q9gn^CsCKiligCY2;O$IG{JyJC0WLp{5^Iyg1>u<+T)P9@nhsaN3)3>4 znsir%!%^T>r8kM7wOlkdRDU6Pl6X+KrSTwlU}VHW06OU7ZPYvt1N}3xgyhBI#`WM9 z<8aMn$!ns}ax??@sE{4In<#;Wc(jqmpSk-YrbPxB$#{q249~43@Z-iR;y4cdgm8}n z3qbOXz20Mcb_T)Uk=#~58eV;QwWltPB=@j<=Aqm=v=`XTQ}^)1db`x?h{Qa}1fNS8bPC8mHHRG^VO43^$vNdjV^f&z-Ja;zAZ&$>zD7}S;ZXr{aYU}ep7x->}_=j=$ zq`bF1K$>hq_q`=6Lw(c&l7#TBAxMVCGrfP@_`}9p*<7&?fulm@)`bx#kz@-VtwE=l z@^EIYnskYc)MM!F+$s3bS~}=98P*|=2uWp-++Qa>lSoCDtN&&*nJ;I2e4<0gY(6!f z^zZ7Cm6g6-Lpcgq zhvwW1mGn~8Cpo8GKm}g`s`ML+?!JN1xP{q#n!0U7Dt5PV!<%L^31N7}y`Mqtm6gw- zQlsh3C^1bEy0GA3wGg4LcwZZbSlxTGYxY*$8}LY%diqV((5^h@t67num#Il%bB?JHg5g5FeRz5t;l6&>4$Kq(?J`Zy zZ+WPdJxDvnvRcZ{`!w2Kye}i0zqkf&nK?@=7k-H_gGSEvSJKtodK!r0dqP~*MQ!H? z+j>P%EG#dj0-?J=(oxJ}fB;+U9G$l(F*TNTiFB;+$6T^KW1}+;hrJ99JcIl=eWJHf zekv(P7@FE4qa0NzAvK2x%xA`c70XR$z!;%As8?sL*W9^$B)#<$V?^H+Ylo2${iZjs}|y}68%quu_zsMr6K^39?b z5Qc-;jA{rS@0wrW*jRIWBhp_xRinWL8Z-ilxYsTmLg3?Z8r&Xr0@HBVFx0WXtJ=12 zHE*_oa-3)gN;UI7M-vArO^I{KDO47Q#oc$&t z>!N>y>*oLk#>~y8nGd-PM{_W{$C?^Jiwt`Vh)CSB^f>+qWBSLbFu&+fsIaH}^LM0M zX|retXStdUqP+8WR`In)KE~{NpB^39f8HE4IXa!%e_lCXk??W%LeCyYuQB40z$uh5 z;$L?PjRRp8krrcHsk;iWubp+uSzCrSwqT)HylY8mqsn3`-jtx`1ojw&%szVn1uG=5d=-!kc(d@^)tE^RFNp3|T*!sq6p!vo~w( z4BTRLeNx5F{tT{zC_i}WkbtJM8&c-ZkM@oJ@d()2=Vb6liE`w7B48@bqG2yAf`R-S zxpg#lgG(2tkvXthbQL%_hnLYKibFQfkp?ag*E9Mpz%#vv!-AmHc4@?O)91cyggtu3 zttxdUR;gpFd?gQi<+yuR;l*MKY1KDSoM28bVSV`d1|S|DJV$L%?sy@jmNZ4?w403I zLoxlfcxS9LfsF)Gxe@L%Xw#bkPw-rnqr62iP#J3pE2`KA=Pd(xi@OB= zFE?sxt}=_;NU6!Dysf)Ih$@0Ad`JM0l`wjaN z5Guvjg-WIO&(bab^Mdet#^)xk@ouK?$Kx(~+n99f*A}!kc&K_u29vSlGi-KJn_YzdRVt)nJ1V%N`l#%frTggobB@@1m;?1j4-8>AZGjxOE9lu}XxL^kCfyaFIgHwo z9-SS=jk|4{{WsPucO{%a6>aYdD6%#dS!)|_FWwqdy{QSWLsld1T>#|4oVo;+_&W<| zIe@_OdEBUo?Hj-K}$b z`OBWdh$qKInm-KG4~8^|c6QviIKXg8;B^10maA`cD8r*ZVW@C!B!NG`*B^|coVYXg zHU1jvUpe=^3f4q3nre?*VRwE(?kt=L#C-t)xTU7TJr5z2hzuDB#`R-4Rhj-{aJVe& z7dbH^$yyVk7dCpsx^Zh6k6{y5N42zjC7453>`aT{skO5rIEXXSDnEKNEg)j_`s-EF zyCgR`PGJQ_>u>IY|N1Y z%ypRjlxWUrtD3p?)WwI~~g*)DE+jsGfH}T z?WSg%nblC7i#IAuGWGp+2(?!L)PDP-!ePgxj1IX(Giz(LCwN)d0mUTAqY(yiF1XzS3+=R^QfMoG!2m%DO`UfmS zpiGOd5kI+07(C-e3T$>P*U^{#)d>mh#-0!Y2JkjxnguCDk*XC5hD5bNx8Gpqu&O;> zkBI=A!5|Q!0PEo~5UGAkB{l}Hel`XB5EoH z)M5iUTcBemUole10T#SA^J}7+Jpfq#0K#?_xowDQ85Egj+G3LuE?)zx*;5FS8${$* zE2vG*+M4Af3sLGD(7So%Z%-TuMuhy{kjpmBLrkTg%YV!pa_o&@zF~b24)95%}S00&A2aDSu{pNk)@}AIf8Po6WN^FIZ?EQl-6D$ z4tOF)NX=WNn)DG&+_Wy^K}ulnJD^SHrq%{oOW=*rq0YtaQdk_+p!NMhQ=>)Sm%Lak zp)Km}OgR^!YAJK53P96A#-UvR&B|`CJFQXypyEn-+N4&+e)>$kz}eC-xqS_z^0@6P zyO&isq}50HH05v~2u~8ZOh)smP7AN}TKbr=3xnE)h95Scj2p~S^9y9PR&5egD?=68 zq_GaI#SWSiuf1_4y)(AjS(*kUXR5LZ`bm;EQ4<&Z<=qV^KzdBh{9uCF@iv>{Du+ObY>a zEV>D?gTenB&upCMS&zU!*p2t2c|_&KYLWw_oCpuVR*`Q)#%slpY1XW<*v-jBHXTA> z--{t_WJMKeT>%0I&m(Y9_YN3Czy+d@DsLpgHTvKfb$aMijVOEY1zZ>zbegiKC#2s^ zuoXdV$P#7*QOCwn*HeL}NE_96|6D(4y&kI0iX@h$&9j$UKlqzpk3?NVL%$p6%UQ=m zQ1g|3YZY&M(K&RwW&HV(dO7y&`=&Sc`er*e;Aq4hi7agAk&3VgB4j`bTTnuaD>XH! zRZw_;hH@q`CLr2uOii>RRV5ezSOhYvMnZv`TuM~)>ylL}Ikn12S>z9Jtv0gG`FFoW zwaN8n!S_w;!*}-|*bJZW){H}1_mw#B_*Vlhi!J;dU+@X`=E%P^v*bYTCKoABcl)_2 zKHtWoZilMfR!+V^7W>S0V%TbkJ`xIFf(G}pZV7AW3qTyjoWYLJg-Ylg{SmsveA1e0 z3rAnIDQZ_$W;)VbKg`}`_3i5+M}Ftr`-P&(Qv*quOghCQ8EJo7b1;)?51jnTjoMfk zjoT}opEAC}yxV+ucRY>Nsm~w%SNNU4UxV+$6#E_R*VrfAzr{7xopf#^S5AI;fT&3` zDhsC<@~QI1)ZOjnWIY!e>T`{lX6OSoPEQViOE5t02GCa!Fd+u|$p8=lrcnUgxF4pr z{G6Ie@XQXhpf($|zSAy=L69^IkIG0;>e5(7?7Lf0No(kh`9Fwz=P28jWM8;ym#cQ! z<}TZ|ZQHiJ%eJ|9*|u%lwrzZM>&2yYC(2_8a4l_x@RHX6Bliu_9uQ`HRTN2w|)C zqqEqXy$dc9ZWl2!h<~Bfh%%CG_AxbIFAL! z3b9Sgc|j726gh{vp-BFgs41_sR*xzMYyk0_0VL^$U1=F%pa80=>qPx`dLa91uXixE z0Zpy(1A+OED57@>CWKVkA%jCq$S|C{2C^Uz*cilmb{~nKB|L?q;uG9I)uk&->iR7e zEZ>7Q53|xLs+hfMci@74>TToJIM>Qcr*CVs0N95*M%#HtIwTL7tj@oxR!1U#0Qsr* z90Ae|2Bl$O;;OP`88aJZsH#3q=b%PCKHAWH?&&~lEyKu-xGay5z4CfEs?XRuee}C+ zPQY5JPH)KZ+y%SW9bR%|uX4N<+RJ@U7#$^&yY162IKVb7S}X)z?qlq%GrH~GEWbQ{ zJ>fdJ-^mjd@^glK)37lK5e^zshk2b+UsZn%o1H-bg(B{aFwx4P!)&8SD9>P!`7iA zU&#Q5;?tCz*w`@D$;okp_6Fa3QZ>Dr5UxS@U+l27z)#>GaGPC?SKk}ptlqkt8Iv%+ zH@bSDWI3ii+4S~v#gsv^@72(m<0h3Z9*I^=%1aT*-qJbxAjzYPf2B@9nBhXEr?&Ea zf0a4c$b#zcy}=?g)K@L--Ia%Imzf8)pnRGFIlBoAFs^HBF6+8W3JhI(o5}b!pi!nQ z?elW}+@79)J_aR#UO8(;IG>$LApPnf|4#Xpa2*pbp{JyE{DijIFnG&cEG0fFaVl?>VUah9NkpN{=Udk7Z4Z;0y`l&gj4_g?f6L(~kcPf^56G<&11fY%pk+(h4H*p$bU|C=&WViqR6ouGsibLDieS3*<;t@Z}szjU74| z92~YDI4Lgr_$-IU{;&|(V3W7B9;?vc2_2deH0 zhQ6M5L?U&9TA!!m$be0qhi?qZ#~9E}w!k!^6#!S&m%-kiv!ObsgJKgBVM>HKEhS#9cXeB4xcxVY3L~mT`t_^LvX192#BAw4%}T-K zcTmoa5F39V7lp6f|4pH4G2qs0yz_q2L!|+gKc14f^{uWOX*c-a4elu2$wxyCB^SK=8mt_4+MdLnFqOcCNHW}U>xIiTLu-aI1@*zr{ENNjc zAeiS_ED&!K{Fv+55Bs@I80*XHCOuEM!MqEScYEZmUS`-sorY8pK_X_^WK&`)>(dZHUz|j1mOx7e)3LBRDUdR^9+-DQ6i#u+u*r{`!VQ%w|8s#C%s;Jn%Dh&< z1bJ|T63Q;&C<8zZnuF0MvvEAU&F~__<%i)(aZz1nF&P?U;Q&;O+h`cATJ05e@z0%R&@McW{nEKzivnxOWEzst_MYlJA- zgg!PQXE*Q!F~$ew>;N+<_Z(e4|mFC;r@E``IPw1x)<;-%5V2^r+U$G50MnBNu#= zGgHW`!=^wG4Z&B$E9Vv0#m2-_T>UydxaufcMlSHS?{S|QJn8lH(c#c>`~IdkOqBJM zbgo|IEPI5cKEzw$bKfy5@L156yjDEmUf4u%W~Q~gfP(2sGx?eEzO&3sCA_Zll4}o; zi=+1--lE>RWys`Q9uGa+R>U{C&m3aWi@39JMJ0#cFqokxQt1%x;NTkVknBN!Bi`#M zXku2tz_pQ@$MjNtV4t!#LZy;?GU_k?C7=E)%HBCY8*)}eh`=eh5-sUMytxzA9NCft z<8E|#Eo&{w#d1RSuM8A7Dbr&qw?tIOsjEGT=X7-RAdUT;9{eSC(#?LoKdAtjV@RsQ zw^ylOm=omGbt3Xif1qou#8VHahqV$dhJ-g&;FZe7HOm$h^}wk&@auI4kVfG1?K=h6 ztWZfuI(YmrCS@p7t|p!P{zI*R4cSo@o3kK;!l$aXR5;eT4mgcH;vSRx zvDMMm%uYiQoAxEJp~ZM0v%Uyv%*?(`x7p5&{(#ovov)Q+WVrY zqz#38^Io{I7!|c3bn}2~D-*fGBT>DRyKO)Q$&a*V+m?uAzeYZHaWE{WRj!7KS-hFn zI_qGrrdWx=moqDF?O`GfL$=VE9YbB6pIu0G$gQyB++%U@#hA_6dLOv}j7ZX@-ym|1 zdjZ0M&1{&Lo@74Xz8MFW#7<{>V+$!`X_fqL4;?e#9{h2b!$1^vTw^+SO^sJb`xmD? z43vYt-q*p4nipPesao?$vQoZlrgkc*Q#3?A>!X+4*PR@|vL>F=-EHVPe8DAqEjtFs zGOoz6;W;`E1iyT<+|SzID=nhw)5ui@H?pVW!SX_~_d{kOf=9r>H~uB0pLU5v<= zF!c*;ej7!>EP)qBALgnn6QHEE8sViww?mde)#B;WBq@ScCgKz!{P9yi+D4>nRr-zx zzLMIf%4s52glc9x%&{F4g$Z(^C@ct_^aq$K_Zd))#|kGa>`IX;nI~vwYWGseE2N@h z76H}92D#_5Oll_1K-A{d5=9y}rZTadz+Nk2$lTCepMm=%_{5Xoa7T5*cT_UWKF%`E zX5vWOh{Zc*J^hfjq65TQNyC>R#Amc+&ROh&mI8}Aq zj*{~Tz+OI?A}>7)9XSGkqB1hcbLG%*E*|q9*xo9K6?C?PE&*^--oO|Itsf)L z5;U&=iGCHT$=J&CF34Xhs^fptvXNQ$u9?x_#^AP!qAKXTq% zd!SoN_8AbS!;W9zXtFnrnrEgMmsU<5jz-2vI>GZy8`49;%JS)0>zUJy#f?l(Slo}i z=+&alA*q_xVbrDGy|NF=N61&~9;JZr*%d73c9Bt%d%?j2iAExvRZ1{H6^F#u;c6DP z0~BH#)4G;T^wq}BSL_Jg0Dfm8>EYj0Ha?aKHkA^*b1#oHwIH`WvVR^Y? z<3r{>ngob+0N6%Ffv*SXn_?u6`c}sc8^ft8d;;X_$iaJHCqdI@F9)SzT4HmmIJ7}A-exnA}^qXhs-s>{F-y-NT&pODM(y6sg# zyl4SR^?(<;i9B(l62x6I!E#^+JScW8bg`VfKje$=fw~%R+S0F7vYi5-BqN-tFzr(=X3ob}q zWU73xPk&TqrUD| zf%&(fcpz2*!RVO|>U|olFGtK_Cy1p6Scy*Wwm8f?rJ{zNr>^1f|%RX=41pOLIquM~nBjGcr-$;jv@+8sc*c5`4u4)s;n7ml(tmZz$o`|##`YhVGO|*5bYG+V zBJ_APf=0%APL_^eO(g;Oe`zcJOOu-$58BAu@DB>;80r5 zSv`9rYezgfI+m}W$bWUn8#&lG*&7%+;Qc}UA3Xv#){bAlalm8vTZf{lla)Rm%ir&i zGBPyNa zf86u;REU4&ImDx5ro*EVHnVgzvj6(F{8AP{BLf>lqkqeMC>bsV)k6y>c=<~4kb;$F zoQ`ov9GP8$@lhHAO%IA%rchFB`{$b8)HFQH}m5pMbNM;hhKwnHIpFx z4Iu5%1?c%&a_M`Fkb*+nrd|$#I&KrVwUIJ8!n*HFmQ@o+h>l;eaPsrguJj~`0OY}_Ey&wqAoxh6Qk~beI6M9|9|@Tr{RAV zJky^%`zOIO|E=S1f@k|%^M6n9f2{bAhxR`aJUt#A!(U{6X#wL`<1c0WXO;N6X2oOr zg8_fZ|36ocKmP8&sRupdR}#$sKtJkKR^wI&;Jpr2#t45wR;P5o+ttgT<&DJF=!+-T zyaU9lQfW%q3+SpeeD=KdA7@dBI`-C3kSUdSvCT59a~>JWp|}sTVQ@wJN%@4{*(j9?%D=1%RWq{)DmE zBGw4)VI_U7{DZBQmU>Q1*_|PgWMBlNeL;-MNM49}p2){R1#o#KHjgL} zIMQ-6;ds86e#%csE)OD$Z(l@4}*ZoC&Fz;M9S0gJ`suJksI{3}k`F$xvt!j!NVy>h4Wb zJ%?J*v-z1E%fpCH!%Cb-adz_tb)`xWQLatJS7^ze_qX}!SPzf^8n!4Tc5cvU$7O!w zByRoTBKr~>pg_kah}6iCeVm&M*Kt3&=&-FK^%>cxRky+hq=ppMaN#0@Gp1axqBcGy zt3K=jv-ko*+tbYRpekN_($Q;(cIwB%8RO=aN-E^v){7Wh&Kn=k%}6@Vr6=jMho$E3 zVPR#97oFmTeImn&!e3k?SzgW1`Y+}-BAb*kGFyTxu(uS5mF7}CyycM{wQ4^|`UB6H zjuJB#QB6ZlIQ+dXM!(sQjjGaIpmDG)gimgR3VRk)J7(tTT5%1oS2I+&r8th~)>-g+ z?C*X{U0^JUq|uvXS*Zq4hyqD)l+?dbj5EFf0;ENZpT%LOfxyt+sD@r-NF9V>~YR;UxTf~U4J#SFp!q#98@HK z^tF@Dg32aR{J_2%Alyb2?@E7G}YF%%;U4^37?()guZX z00Vv=x=E4#=2rM7???h#9=wYK!5zY^tun3k9o3W3nFI~YTG)-gUl&C>R2poHAOPr*A-pGU8K%h2pfJkH*pA)Lzldg#J7w!2YUH zmHeq#wnNEr*SphSfDhmQE|wEVDB>65fy>90RpOR~PDvS{ED1DslVIu-_%=tV{slt+oBN?HOD=j^ooF-% zmFVwdi-ap{i-edMI?*XhTE>byX-Y?t#<7Iv4OzdqX(6G#6-=+fR+1@&K#Uu-+p-)s z2@6$fo=;AnK@ANqcBOSJIRt)agwS)m(1&PoG-UHW`2WaVaEE_4zmR8;iV%?#h!q_= z=>uPMgF`yK_*C93tuijdd}s}}=71DF^j~+l9l6*QN*uZM!myHS7Ok&>J;xCzKQl~9 zavnhic4sk;;7bZNv4zo;pk`7|aLuJfV8AP-1#oD0mO)(Qew+Hgmw=;qIeus7fS@Q&3{^*Gy>H6+!8}fTA4%g;?x< znhInQOAn8uDPA)?$WU#p*K$=VNEI{GMHz0&>MGgOO=WMBCOS3K z&G%%U?^!Qjp*|m_h0YnCWX&t2SbF*E?(XzbT?$#ML^Hl50;fFk`M%iaXt2Xzh1pT` z#AI^CE63*ZNWZCTJCg3(5oOyKmsGhe!=vnjYKyf-o!c!_PFI=TZVq>!wDztGGa!+*`bYyB z?K))5MxO@elfny|;#LAT*WIL@+ZCPK>>b#6up@nHLV#57euL>dSESdwyTZR%z;K46N5&Y*f}|slkvA~bGQSmf5QNT#^*ca6w$mdb0Hu! z+qi%Y=kntA-HLqv)%n!74I`5x8P?i{{?z(Xdb0$9>v>t3ZCk9n9hgE?l`282JV}~LKwPhlwC@1Q9WEdf=FLZ-ga?l9`V6SUk_+R1iAE@yk zxbrV#@qdQLtbanve}cz<$HRZYW7fY+4rrSHJ$U@bivJ+dhyCEzJ+da+-ow13<@kvvy9JrKeT6-Q{>gv=@wk!NVW zL<{)MtVx3sp9;LXqlZsV(k8ec_1(;*wQ+;~I`X33C}dDq#Kw%jbLzzZF?v25g>6ok z>OPIG5O`)5B;ZG^ zW|_5yD`mMJ7~YTYYhqE{M6e}y4nx6_ob*v~y#+KQPgq8X!g`=uGcV)64j9@7eUp}) zA#?2$qLvc{gtC7IaU7%MyaIG}`hbF74>gN>(evg+5RKR$>WZdUwSDktAcLM{X@0_r zoD-hl9UGmo z=$3nav?Z2E(rI7FyKFeazEqfl(Y5R`H|=6n>>N}azE6wC70G*jE=e#-s&!Re$+^A; z({)QBy+>T2AU&nCUUPD~7Qk;Xa=&?56zlL9xhJWAa5>!&%spwetokr8p8{ zrWm=}JeyZzQ+77(dt~&~;}WcX6U&v8$BB#`Q)&DIMX%Kh4ieRSSY6(y%Pb`-M;^%% z>w)4k^3nxocDPT&v@)~IZIFD&pTMXJ=C8bR5wzV8uV@cZ?+~<%#biz@F12EF#W0K7oRUQ%6$ywsFH;=VepvN75?t5;537)QW+V<&4o<}Yz ze48)JPKdN7!|;&1AW?5Y!X22Wg-ep>@>nY`TC9D#rW;jjbs|KgDb_laCeuY(`QReG zvP^NCV_Q1ZWe$d*{K_2@;VUr*jr#$(;lQsC37)$BhkAOxtHXQiz4au{9`(V66@C)96T>?M~>b^ho9AaIC`BOk4MRz(!5A9m|C66vDuz) zPLb5V5Di5RjVrFt8Y;#OzxDEo@F2#@UE_^HAcPhiHyobOtHRw{gPA+7h6esl+T~HK zFmH)FGyULV&kbnNbVPQKVWV%*)o?=@pf5!jWDlk7%qejxL5lqdh@zd|MQ%P6L3E&t zbrh543VEU;`T7ap=wuek7TdaANC`ErmJ zy*(2afm+I6P40p}Hl(1wg+Ai1>N3Kergzttqj0zNBB+E#$TZS+UvaQTwub z=+a2A=k=s1gVbL{Uzl{{vRD)~OQAR!CzyIzGQ66l+Hx;h<|4m83cL`aufmgMZcCtv1~kQ%wdyiv&9+- z`h{U8wz%-cp7K5C$$`g4;!6(+5C3!S#VEtR&FlDHsv_=|kaCnyao%igXFN%v&S^ey z8d9#P!UM8qm#f!)C>UwCJG!S*x==`Iw;iy*NW{Jn0h$NN| zO?oJM-EaxVs+|JPF(1ZMGPF<%jsbkZVRu*mVj!XMWFDZtq(VmLc5dq6HF)(5nK|zlAxzSCeHsJh zIO3!?38qkMpd%CbUiAe=Sc1a%X!CbhYAxo9{ncDdtuk51_&8X4D*b6ss|jQ*xu`LT zgQBkOA==-gGc3JnF=ipEA@{`mMQsoK9+{phtKn*Et84U+*p`gG72n%0*AhgKKagX& z%@2=5JV>g6dkIOc?Ka)l;1lct%=Ap%0x6(%dQ9h=@R4$uVN1x@hZCJjxC)mv$iY{*A2F;M%?^GeGv14uye!{XE-bA6y1hNLZH$6ug? zLlNOl|tf&BhcfJ*mw1o{up{g+GrFJJ!OAnM;e`Tu(m_0Ki`LFxZFMpab&?}6!` zfb;(b82yt)|As~x*qFa6A^p$00(mN{)|hMvUW+PMvF)n$V$Sj7-jX}r)+njtmXwk> zaYA^b=c*hv39$)ix0tv63jG=iCpj6q@Oaj*im^^?N@XoCgOP^Wu(g{eURD~zz;Ee~ zPByAE=F^b9?CT5d`lW-*^QR)i6)v@(W7^clD&c{8aa0#3@@!O3(~nLjvibA%RhHMR zh%hT(W!|NqBB*I{>{?Gyva zf=3Cctv+8mnx1{3MNln!nyUKi-GI#HLesMV@hzZ7_bk@ z7qF8ig?NzbW+O1Ig8OKmj{|A)S6|(@)(ph8N}g3d9WAf;6y8!=t*Z{FF3bXdh~8#9 zbKx9d%cWdDpl{+^%|wu^>$Os{U*^#eEdw8xqGS^fIgG+mJQ`en=%`FZJtq@#f(BK( ztRg|Ic4-6}Z&~DHF=@FtGROS-4$Xkf?DxAu5`VDI4GxuhD4y_wVi+$=c-@v*b*E${mY=M35b4OW5k(0YDx`tz9z z;71T7KSaADgC{1lHN((G0-EtAn|~=QbavhM=mKK6!#?od(XVtw(qiARaQgJmUuOyH z$*fqtApLQd$7U03E4jN7g-mx5Br%gM5C_Pn-TVRvO9=TYJwS!cQO3e-yUQfg>7mj> zu{Xs$AMyx5+CCKV5`}-#{FGYpo6EB$fNag9MD+xOR=hphyT=&bvF5Hk0-g;n4DRiX z4>lfSc60YTo>d5cyxJ2(ix6MhAOpb1RIPW)q|JdSSR4&JnHa5#Gt{GbdVY zf_tva!^dYovQiCIUyXL7gIo_Bs6MEXL?~x;s#L9L3z+r)IWL z-M~mptB+_`e`!U51=8Th4b+-$kv@GXv#43pbUUj9a#U&jO?uSuRgPx1X5N0(Iy2=H zQ=ob`3i@;x$eKp)=$zMVA2{mN2FOFzr^5Zicd;s3ll`5?W`8j3JZAzD_`TV()`OqS z6^&cj$^J35ytY2dKu{eRrPk6zm9IkPbFPV}c`79#4vkne^rs@}!v~Y4Rodp;?TRa>BLMLKdD?(z{vEX%G$jCYpZ>&+yqJzYG>*gOP?mK|qe zR9XxLZ*jJ;3;Qmw88Elfo|E!WQR?Z8S!(8)wA+moI~z0{my0eFL50?3X zlJ7Ce*Htkq4(Xxw(xN^>q8EW)3t}kp6d33zEld>^(3`Nb`5%Zk5s~KMrB;(N%Ie3$ zNF(h{Gx7m6Wp&%Mm*5?H=MEHBuIxFG^shbQr+E@&A3l%E4e+~VTxzD1Q?|Oz>=6rC1(=2B2eI@JKRqWhkG!rDQ&>qqg)94GHJrxH z!&9rS=Psa`<{b!?=6ZtHCr=hG#g-uvCXvS@6udqSSnT8(;4OHEAR$ON(ySIR^&=(R&7ro9= zB=oTjk)&p;;PDN5`Nh-BYc}jcP^|C8ygT+kX=A0kcsc0T;WB8QDUk&B0()+kWS>m2 zy?EGYm>UEhdsYb36qR0k;Y%RJi!MPZIcO==kw;3y_vgWjnlOEJOB^g9`J3{Z-utMa zt;L=>G!sgaC z@K{*N`pwM##!o=S73s@U61lDh_96-r;BMCyi2%NJl)Iiq5knR#M3oW52x$)_TeLk0 zp<@}&Ni8>!E41L+B#H%_+^irQeFu?@iY{16f&el(!b#qQ12o(9LR(Oq{d95F7v#Nz z6&bxS`zI^LNv!NV*}N`xRZJyETib0Uv8M{z%p?!;=-P{kCO$YUX{mfl4!SHvaF?K$ zZZ0P1pdW!1v0%WQTe)$F+vvG$e+^MYqqyyF6I60)9w_l~-H>Z)?;)3Gxgv8?+?H&; zprI9yP>uBVqX_jj9|LD-2(L*glnA}m3G`QX6}1|aCjOZ5iLEZsO_o;gpUNUkbIzp>vb# zn++%r2B1X{gpzty=MWMYO6blMfee;<0Yo{L+71nMT!5l9)-`n^8GkG1fPf}i0H@|2 zIP{@2qOCQW9g7qq+Rs)?IQdXw^65RZE3E0t-VU;2u*+0)Y{VgYaMVEt5VySW zdYb*kJB|BWvL-7s_+FDe>jr2|(WqfF+l5Ob5J!{M$j%d`?ueRog|?mx+jmS^rLF=! zr|&u-INi2ZBMwm_;hZoegZbFo0I3cqV-4t!PK!tTL!mx*W`(Xro zbB~EQ)rB{tPYCwq;fZ~b)%Y6ZT=(L@rtkY%hDz8E~s)^%N;_jPPt>X%bXDw%f zB@25;EXyh=-2yV+-m^wQ_Fz*U`h~Gr*P?+m$v@}J4a4>GlPGJ+JCoEL@M|keE}eJK zFRLx$=DHOb@8xsX!AE|R@v*V~AO#}H^^h2zCFMYzIhu2*r)1R3Ih{Hungm18J2Q-* ziHshX>kGO}yJFuG%j41RAjLilP$?SOvm0d{WoE4r&~l+{k0MDvkt5cv##r9?WJtrJ z&05@Ww1>y+OjcgsG|G)b%cI4`#!A+}+O~uph}xTDF?g@6T$NneneVBtGcU7FOOd20 zNAe@M*1{jkR&|<*)gnROK23TMorP%KCr0sud8-6_8dN#wNsW{#cv#Y`78j2#5Fx1G^LB^Q;-o~Lh= zYhX9Kj2}nN?j+g+Vr{kgLnJkwv6rbII8pnquwMy$xJ}on9avyk4wAdy;>JJqTYgfK z9V^bJ6S$+WG-Q+i>3{8> zgSR^;0vghJxk!@R6ujuttkNre#&NN-x&@F{(TB1&l!+3yygbUG47+rw@{ zn)TN1S=I)#zH3}1nu|A7M~LB+~ujTzyi zqtg$!_*-eroQHcie!WLK64iM%`5>UMZtYu+qgNdCM4 zOm?c9u_*WRMZV$U?ZJCN*r*~s;Aex9=6)GMwW!cU7-b|yf7tCSVC6>(&hjP{U^)>m(ZZ_qXTG$k>1>IhWuhwcznWK&ow(-)7(*h+?W&SSx* zU8ordP@y)WyZWyNT(~QtOuKW!tDMwqKqxunz%*GzG6`@Hgv^^4%yCYZ3Q2aC)DoZzvvE@A2atqyVc`%)@;ju6D91hD2+N=T#uOhnQQ2E@3cd)bwP|lJcfWqmYx`Z^%7xOcL zASk!2T$+F95mP+y$jG6Pv_;yB8f~sS3rJP+az#RYr~s!*H8mWv4pCAwSjo10!ant~Vlh8R<>DQlO|8@4G75%4}q8p!}N zf<}NOwBD;CYmBHzKr7%2BT(vtOQ0)v6E&b3b1)v$o4^6mzL}t=$qT)gZ)lbQut+o! z+A#)MA|OalbQYu2sSi|DjsWVDF!NIFT!JKmEJVo%Y(0XUaB}>e5QBj*c;2+fpk`cx zHYICVSYM1O#qkcAE0}WhTd%AvAe)U*mh8YpraXT$?;EsBCjL0L6whVl7#~%5?=KYi z2UXaIR!Q~By1`E8S0M2A9Tp0jL{dI~Z5sTs<;}+#bb2=XgkEiO-dZ7WH4I0&=z92Y*T)doPybp9hba-kEsPh|{)> ziJ4{o`eb~GMLHbtDte2(WjljKpe`5t>oliX`3^ z$v0c9h=O2pYU_$lXfJh#_jh4**ZT3pe^1ccK;{|c&Lw$XxLf)+-NWa~?{tgHq3-M8t+0tTy z`o8qm{bFsZR=6Ec46uQz?#jwhV&|(lyuuLPdlX|2+UkpfoCdW({?^FPnO-85-CJy( zwUix?C+aY1n=HaZ1?FK&m6D9IkVQ`ddSoni0cLi=X1$O2%SaEGD)`xq&kaHVD!g>$ zom7e&cgyiqL1c%uH%)p52N2+lyeA$Uw+%7`%(?E(;&n2>mZHyN^!x=>ZxctEiAjGy z&s<4?XC2p(uQ=}tdCv4IKFklAsBv$|KeuPPh$3UBj-JsvUpC_+0fYH)7{tZyUdE+0 zDQKL}DsZ(4tY8(pugMsOV-z4c#rKk#p3D+JZ`hQTG!@{i$YVP&)y1mQFb>kXP?|1O zLDD`a1*KjtQHMI!xoGqLJk@?Hhg3l(h`&)3Fc>IyezA#X8YI6N-Xl zt2OfbT|t#CIP}RtH2Vg(qu##8A`M2Oo+&RAUW`|}<*W!5NP`)x+za!`=!+~*gA9pV z23S6yt|~e(SRkLND(c3tG)h2_(ss6`&~GnDi=N9`Xi?A!s^;Q}4B|DT2KHMDUyc)R zPy9ojO&S<7+g^4AuyYo1&k8rn7H~@zacZzl2P0EPO6W+i`rq4CtZ%cK?2K4RBDyW} z!FE)XF}{})hI52k0=?Z0@V+W@TvutSCRUZKHRJ;KP4C1muTs_zn5AedHeqk!KW1TQ zHT&2eFW9$iF}N$gCdG>c1IgR2^8K3Bmk|p^R<{K?=4;Yle=w5Go1MZA$RsgrbSjbo z;N+M2RG%YXa>`7LN%`Q8_bS4KHbK2fy4MXxlEY~hF8|YR~;0R1k zU91X13Dqm+oTxII<(tFa9q-fV+>fw;S0S-;by+HXbbFL@?_iZCh~bEHYNu*)kIa~` z3Pewr*&0;Vn!a@8m3&k(1~|slg7mp+vp_@?4EY@=IIu%D>4r=`qXvd8Oy{6*)AW9H z6RkR_YgIrm0B@YTO6EAbp2AX7r~}t^!BvFLn%Cw`webURgOnw`0m1cClGVilNhwx_ z&1e<#Wy}xyl>^$XICaUMY!MC5wop~Bvu#Y6-uabUWQ<$-G&yLhyFn9`Z-qbH-vtzB z_*ZzGnWRnfis!rE7d&OcNXP9B+`E}$yc~WODlRBH$L9}T%W`J#k5%uv?}Aoa&+&@ zkTFKuyZ+zyViY=mG1J<%B*whySCGOqyd%{N9eX*w1Bc*C{V-tT}1r_xSyP4ow z2Y#q*r|f;!leKOZpmlI=V*NXmpvI95R<9mf=7Qf3G71TV%@`sSz#sfK5D55A)IT<7 zqL+E=-5So;-;u*=chG!9iQxPqGi6K#cVaMIdxDeN1-ns+0GlR%0uxCK6ssNGG^505 zIMO=c6Ai4if9SjECY-$EAef{7)V5(~c*0ODfQU&9T~;yZ$UG5?x3L~8EMWdF9hcf- zSimmr_4@nVz?lvPXs-@4ICY$5*Q--Ryzw5#^|!bE2IFqsWgWPJyy}FQcV08rG5!?) z*^9U55hz1Ig46u5&ZF_m95?g<(rbEz19?Ji)ZvVDGqIWVIYrBDWf8!Bbiy9l3?T2f zm)A*EAdt@swgjbs;oPuTBz^bq>e#9Z0kuImxltGAT8pQb19id{FQtX_@4H#vSOXgG zw^K|n`Ga*5?xHiDaZ&m#V-~w$TnR;no>9Q}Vg!Ne{rD=U*Y3cM%46^~?b>Zqy-tdj z_IaEt!KDOxB}em}G)|)*_&NMm16)RMifDQ)5GHhN$IexSOOQ$jqRV$@xRYp{Yg)iL zSGGXVN6>?re0I>0=f5U10eB2>`$_Whs!m6m0uH7pLEoNM3oE0!;x6zglKm;m!w%I( zzGaAZBlpJwQb`wVEFscRS%Ws5rK*W~`i%!_o83nbksfyP#USzQ+q$P5EbLA+ecsDa za7gtGUwM@W+o%9OIQH-AS4P}c%ECed$MZrvzk`!^nG<1Ca9=QCNtf&%Ndxv|d^=K( zfzY00NOUi7!CgY68z*+Ujgyg=8^ACB3|Pc^)PFw4mU_c|z@W1_UJq@yIN>DrV@ZGFy&v-Lw6k;$1OLRyTPGKZW4WdV#jt{dj5 zdHE5`gfW0?%2cf^Mre8uAKA5k`VLAH@-hU5A`yH12nrkYbeVj~9OpGj^y;%eUqLAJ z9r*S+3?kXL-`V9A{stVMmtz?M9)RShbv`0Qr%XK;LxV}=l7zKP{&C^x`o2!gY}C%1 zNrUkRBabKD?c=bUBziC$zhgtvp+!(Y8-EH%&;bdr>qfvEa)1JPOa`G^;I|D?e1Vu5 zF-Rggdf-6HVzh!3`aCtzK;RReiWq!q_((S1rp1azp!H#=4eSfbd}^@Z(J`+AUj!Ux zD=yjyMf&y9pdAPAz?KctKH7-+_xhiB{(i$3)mQ#f1|iekF>|uAF`|K47~s-!Vf=tC zn{T_N>bqTw(^u@V-J{a_uS$V}vJC~|F!Ss@K@aieK|n>s@OEcj^Oy+WxWN@n$SdrV zta|>tHmbv{+or7wh8eVp%r++DuGdRMRD?(b7plcUdWE{isJXWU9r?eAV=a&H$1_F-qIk7V?0tC4#xC48>4TgFw5Ba$ahcM4sU37AS2K5m_m zopyzr8tny5(2IXqKIMmt-SHjMgA$7>`|$<&=ld83puf*#Al}IuY3eGHrJqbCnJyN? zC7Z0e!&8CS5QY|!NYTwryLT zbZpzUZQC6i9ox3ej+2hHVyELi>-XW@Z=btQ)wiqm-S@AIRL!x*cri!S^Un8qhV#oG zE|4kWJXgE)$(Tq!N<-r4kB?=YG8k;z&76Kh44roO*U~ZcTkh!J;teIT(_KwbW7KmL z)8(0=J3dILL!?$T5R3%0UXYne!PUYvrn#yI4FZqTQyD6@_8B1v#StEz-GAE85@%jy zv|IV=82I!Ay7j((LcUQ?8UH5;_+J=I{{;m6PeR}S(>CzWR@Z+Z;D0{w--v+!LkI4E z9RdH}TU-BgQrQ1oJHfy7>@xj>W5~|N#q;l=;cAV|cs#DZw-eY^Z;)sEZMI#kX?iBg zb(?@9?$U#4ExJUx;mF|fy~J)YZL5sPw22qzfW&~7>iB7nbmq`v8hn1_VtPcZpJ*Al ztHXu$c^N##0n{q0twnV<8@Y^IGJAZR7WS9zpX%0kFq_h2#K@X8f6X=<(eS_a+Tx)$ zxK;2rtWBefymhVPZ=?*oVsNsiZTHR!j1v(>T&l6u$uM|~{Mv0GLLAY}ZcN{oyS*L} zG$)ugWOz5$*4v1mQ8qhh4U`ud>(_1Nfs>gX2CN25-Aa1TZ^QVI!KcYwT z@=1inVNxDe^T(_D#FN?MPii%o*5gOx(vgr1H@$Uggc;mzXr1-ObBN|m`HP5k1~E%i zETYNEA~gYe-f&2|U^t?~)jESIg2F~&e)g0pzaK`kj?^A+#@l;Cdd#7~OH*2IlphcV zS;{hUuIu;$Eudn(lycHLZO18Eg>{h(M3oLgRLxFwSB0QpY;RjVISz`|(av|SVmhl2 z7180ykqVu{`&mr}Z)Tv+Cht)!sVEMnTt-j2M zyy@&FuDb`9O>&yNb67Dlm;VL=ZPctlZ)2VE<-WxdlBU;X) zLXw{k@YPjIt&u7Z=TaMrp_?Qs6uxkXWuzn6M+jO_aIM68vT=O4#f016k@A|Ndu zM(W}qRCvYyQLKof&|%Ll7Iz}0=Iq@WS7O|tOryI`8rDa%71Rn z9ZSzyS-t0}HIP<$h<`SjqApfVi3j%d8MZc<)hk@!W1@=#smD+S%7Q%U^}Ste$eVFj zW(-bKWFQBcysAw+TDG!EZt8agg$ENEmt)o$=JMuv)Fv)Rd3Liyrv$==;GT!-@jJ-k znFDt%Lyn?JIXnu(Nrz63d#;UyzlTdmxF7V$J&x?p^(7qpAvE~VPJq7Z@nYze8B>>q zDtJU8#NguLmP-uVm6EZ~-ED~PA4eBao%5p)@&u?8h5U)4(~9UnqJ%|_0LvZUA*E*0 zlG1qR&2jF@7$(Ivwbpn07G5dy64kVX78aF@V*g|TakE)t6I^dy*GA>?2z&H}nWpv} z&r@{++NIf}`(;PXOCn~saS7gYod>$G=p!cnFjr@p{$l$sSPOa_;j(Iy{n?JHCJZUX zH*q2zw4h$LI6#=jF1Y-Il)v5vi(I{Qj5-Q<;)J6v`@Dyceb>n z^?o0(-aSK+%a7QL0Yz{!Rwc^M#&o}QncL>%G-$j&1e%*VTCq>-R=Sp{ZZWBTTXzsb zgu4t&-i?%vK7u{U&LDs2-IZ9*kjaVkBywg1Jn$K1m6b@expLd2U76nKFA^LTlf1G~ zG`BPNd}+Uu+J}!ng@_kOzO>IV z<;}|IQOp&_ck9BB%wwV($TlihtTIX>hqhYZA$73OZsAE?6zc>!i_MiNkF7z|NgLu>$0KTi?a@K@;ElsN_$A5vIOmKUU#_ndo{79Ahx zVG_cr=|H9`ky}>H6Uj9=^gp~XXm7qXpWvw=lKN*@{YZ`~=jLKPcP-H8ZrB(I zUrx!APb?^1O$-yKC4&V}c+DgbAEVaDZ=>#|eE0QW^R@FEnSe*~6s02iPG(`}Nc}Xr z-uoeSw5XICic`6=G)*tW*5EnFMW<}#Ow&NjLIM$%K|t?>Z&a>4K$;M zjUbERWdwqLo_$_+1>FdXsPkQZr^WrmcEnjUE;7PMyb-oxxfqH4*YU%sY#2RgTfGfm z12gtb^+iipz9{iJWq_s2WF@&4LyzmNexaYl$iwM1#VR(!JVpR5`tw-;bHD)qIShPu zW`7m{X9Yc(Xvi8wf~9<%3A|wqIYtSTU%2_%SHsmlwA?e)aZVH*%Hr-LeSkj(XErHg zfj=U7FmW*U7$*l45dv`#=aVvw%unyz-G$s2dQmi<%exCV$FyD?ZrTNbrx2X@xvaa|=rRazA4|4Xq+&(@Hz$!}^681$(@?#}@JN zzbXtZG?DY;=CL!(EQ&88gXU#5w)vf}y)f1{Jzg}AHlfKqW_ebm`g-UR<|7uE8AxcW z$EW!@E4S3(l%2US^0Lo~MObtIcKNY@-i|?>bzvbRY{wp9(*@=NBhcF&89;AWQ#Q>} z4uGsO_&GEG`9oGd7cJtaF77!E>)^*(EY4q}rvdP#9TXk3k515%sY>wF?ok4?99&_x z=Jc~v;9P>vS=PlJ$S&#U51Y$+i~IF2p3z~~QTF1k%`n%+HC_P8KJ8unyo>H&Z$= zWZ(C0_vvp?pAuP^By+d|@fbe+q!5!P7^GE_RC=k=&QV@TB< zY*;|D3n98Y-}_fk+SbH1@k_c_iQGUO_6f`rf9Znsu6HOli_)jNbQ^>7u3Sk_e;Z#3>7 zJbefYhOtd{*{FUNxR%lOVqkaCJRzqwqRl2^j-;xxp?6W9Yx}O9TNJk2AP2U z6UPJ3HNYP?*l10=ZGir^=@-8(3nw5S&S8J~!}&b6K=WHeI^J;l(d)#Kx|2P^$87Nfh-fg5!3*Upw&-*NH%7Ev(=W$ri39D3YZ=|UY zM-}mqyx*yYMb=~t?@N!bTuGj9ZJ_r}{TZpI=M7$)B=@fdXX-CzI}PhY*izuGyTIX2N6I(!q9WfCW|e6{rr;EnD?pY z>tUKj6vPxzXyxX&`VJm8<8}Yq*4hCI&+9A5Ni}QO8e}qnqf-x2?5*7i)b0UT*pu|{ z2nneW>Vf1IYd3)!a$hWOWK*mnk@E9~B$VfNYu(_6kF1Pxl*tTeW3>^yE&M#&k9VOC8K?S|B={dsr;ckc%wMaiQX0R}7-o}5 zFzHupNZZmsxEJ9O286;ZM|Av{s`ITyWp)thX6UNGJc{F8<|J&LE&WqkO24|b zDtC7tCqcBFA!hvXmFP$wseEihf@5WXi!et|Af-*W^i*hHa4_CK>E=$x zlw7rtc7S#QDO<3R6SomreC+EJx!)b%Pp3a{y&n&dh`!FD9AqEKy-YDce%li^nWPMc|onR0uh zIq&W5)wgSt8^l0^(1^x5Q^dGbj0$*Ztz^uKU$<;l(DV4_GjTV#NUq(-bS;2xIkoE7 z^PG126io%rO!(TgxAWLIa{We-fN}oTh5jBSPbuP?6;i#Sh&+NqQ__+E6x%{f>HpqZ zq;p=KMg@0>zOI{7YXxj%sJlweWYs_$aj}VmB)ccQ|0+>tf7P5hxA3tpq}7hJf%k3& zIDeva8rc38QR%~H?-Kzp-!(GuDl||$i+FbCRWH+sewhKCQ%ySalP>|@39{FUagJXp zNkJn0u?Q1U&JX>&D*93f|1uZ=AbAgOq;JW{XoX2e3y5&P-7h;Zw|Z;fNBuFc_)zQ# z;={|2qV<5hbA>|!k}_VS`j*}n%;Cx6iL)tU%Ie*s$)svI*$WQ!p#|MQYe|pGCH)gY_BoE<^*R#e9 zjm=+XrE0zBYBKWA1bX~)vHGL4Y<6{F(3#2`^2s;CLVzw;ZkL_?iVl97cnnMrdNqd& zw6sf#vXwBQ;6)TTasXqzl^v9(&Iq0wp^@Py3tfIp)P}4clv3sR%YBEgOr^0-QktF2 zexqGK8ebylnMEr-R^R263D0UUs>ljkg+)aKf=ihQJ=fImdJo+GDWLF<)yvsb5sJt! z7-?ozf3Ha6`8jCKyw6jpWq}FN1g7CANC9U;OCbucmG*@-;(o+6HE$AAj%oska4`(=pa4W9zq-*H87HkeX3m5OqlQ2Ny_$lCHjka; zgg%Raeq2(ez6ELRik22Xfu5>H8+q8QN5 z*%fSEF=~C3z1Z0k`38Suhl9Mu$xgCt%+pxk6Gl2(4KJ|{R)ZGb(G-`6G+oRiv&)DQ zh40G1-Vv0EUHmR;2alOL2M59G*{mlr7JNg^O-j>O2M~6}BbooC(Dg4A;(x!;#rhYk z=07M~vHp`W^N&K;KN;QseTA;St@+=_kNN+(y7f1I{hz%a+&~egf1}ru}Qw*A2;A%9pvbd^m7pWGrofc1ajXLt}HJ0>~Wvx>RoUCm)K$s+l(7 zl!$RZqP`Sc7#;e7^@|Q4erJ#7^^;y>wK5r`WFm(f<@w2rORiZ5v6a6bLkeJIFr#@A z-BGUO8k|Ue;U8ikaFnTxLYk|~eJksl)G3=U#G~aJ;^@5!fo|oaJ(7h{!54&h6 z#FmQk+eAE2^UQFi01v3wuxB9h+-v>t1#lYQyE$AU=Gi5TEXhmTh4CA@s+3N;$B27T zdcw(-QAEIMes|WY*6|FZX0Wrh@=FG>>W)~I+%UwUZ--YmOHO+gCZKOeR5uf%Qki|G zsN0=PeSUD0q(LZuRX4GP{3)uiSUf6{Rt!s3qSujAMa&BOr6}203wxlLoKqvx13L$*e5%<@*^nQ96%_456%=+5d_hVw4JY_3I zTz*|o?I={nbSZ-kK{44-+_4Ns%NtQ6e+>Ci2Lm849bOqsad=5~d)OHep~005S0ye`ggU70_1p=1-*xt$fwOKb*MSL6ccTlN0c&@aUge6dt37%AibvD*0JuTZqM(^e~Yj*n+En;(JREkGl#Ixy| zXJl!9!thpy)U9CY0$DAZ%B2&Ns`-A1zr3BpYx5Ixfv^36F8fJFhfMIg*_5w5)CIRy z4aXXVY}vUBZ-kO4r$pTN@r2Pjal*qIOhffK^VY^?%9SzqF}gdBq<$vLU{&BU9UG-2 z#yr31?>dR+h#(QQf@k}3HNtl~s6RO7GPyz!}#g*aQ5?w?qz)svXO$!e>0Q2>iqWe z?PyBaY?FE?3h_HMh#KVD8TxVgq=J90>k#%}KtiCd9|KkUf!*>>s*d~1lc=7?sS<~({aDCxKyVg=1nIbXfq z`(o=6#!(2jXcK0k#1c>lUhqr`KAL!z%tcZyDpoN-M*WMj;a%JqbNjn*$-1YNF$! zI9{eT%X(NL&*EpT73s0nc}~Li8-^4gAJ_gBRJlC#6=XZ`YxYmcOxaj`4l$+aQHl~H zT?YQkyMBOYDkjxBG(VSQV%`CyCnK+zS2FseZ6qvufyT!`T5djNXQwX`O>w2Ub>!5f zx4F7!Es@2TIIgFJ8v>NGt99`ZXjo0wGPW+$s*UA0L>d3v5t?GD3X)#gwJjqC0=Bfw zm@O?1t8Ichbj_B1@1LiUCL9$nO1<*+CvGsBx`;NfZDl)ogD;;TPWlu6ZC@`j#Ztq} ziPdDckjVc+;xr zs2(eJKa5<`eax+}PzxZ8_YI1h!2%2J2quaOgnlVrbcW7HtXI)6+HwbcK>U&z|2$=O z8Ak-5r(U85y?${Uh$R+W>}9gjc{#&^zE>+^dqKqPsDvRAv4i{tj~0rLxR3Tt2HWSb z4PxU*V#F-ij$fO&tNORnJs|)k-tBxFH|6g4zzQ?nqQ*upMMT=9N_o3{-1DT;*<*}( z1o)8UM5v|7o8WHeqbZ#2m9q6Ex20)J)5uuxLjXbpyRXia=who5xVIa z<;Hd9$*-QcVzC*m^K;N=Q$?5^D6M8*x-Jo%uGp8k64j%Wai^aaDLoc1fdP*~FU%i} zf(#tdmM=$IxV+989d&_e_>j#kSMm6JSFjjUgdF&< zgKunu5I{-266~U*H+|r5ADhYB#ox-ig*s#c0+roRc8}E{f3ShJFH?k`+w(*29WyUy z^u;-aNb`JubB+7TSJfZsd_c>WaqjAmJDqFuVOoH= zx`NR4>`=6Y|EsFd@^`4WvT5e4YI6)Mm&H8eB2%HB0G7=tN~YeW`Cak?V;`ni7got} zAyM*QXS$1mW2ryuhTCXwtUDPBGD*Ix5u))MEKyHDART5Ln4wvDe$!`^LM5AHKM?--IQI!UCvX%#GvHVazl zo5rz$FGVk=nPdGmW`sknwJD?ZH9Z7jtt<=tJoH&qU&KrRXR(+et+p-Ljd5PXFXsWV zKyvxwH{_30zha^PD|OX(O(@Hqr04@lAp4RNp%q51s?kg7pewh{JaEL_!Q;KE0q3}JxuhZM_01~Q zHP2ox06_Q?)~uLt@=>tth&nEdry46tS;U$d8)M2!pG=dX z!z$;RDQk4+Z)HW2JW(%cj!hVW$c>b|{_Q$5VSM^m$MkfEZv6OzYAxeIx@K1&D5k@M zk{j?$r9TE9vIhG@pF;Uqm1X3*3*%0Tv*^}HzhxhLy-WPdRBPE6^5+_Og#u#M-!BE_ z0LeQzxco|r+nB^^QbJG|7^aTEKbRQgnRt-vfWqM7iOrIMfGa6`i)5-_R>iq9jInP3 zqd!I%Y6#olo+e$s-zn@?FzJ81ld2Fkh}V#oLPzfg$L#Xb7$qznTaya1$Ex9g(h=DlOEGo&Gcu1~;s{gh=&O6P8I z%JR6BwBAT`O*;O_{ooBh&=;@5wtokpvO>gmEs$~2n6U%c^Z^ul05jJBu5$ag4^MA? z#m4dqV;%7>n_TLH&u|8k^#^aw@=Kw6PYHuOpK+lS*emuWkFoRiktef&90_T2uR>Zs z0=8B3qQ-J;EVYq(db)vMXOp7oVHC34j5Yo3O)j>3tRn&;Mz0@4OBZlO<_7#1zr%#* zRKAZ4Z8wZr!+ycSsPPHy@|TbB&8jyXU038#G|S zn#C)u)p~~O5@V|wP7Jc2m+=RPLHSkt!2#3>Z%87BAA3)B-^CsW?#&l#5PDEmj}_b2 zuedRo^ew@zqmxvPzM>anKr3W2_{XmraTxWpgS4 zmN}eWKINm@#zuCq>NH}emT9r1t6Skpys-Q$X&Ie2j2pRWM$YULnU}Wd)4r!!RvU;U zaz40f`=$|HWe;UrW{yY`7L|-DY`QKepSCCM`#sbxBgLSa*Q5K-hegXxm{T~}t9>&U z-YsPqn1>^5zkl}HlrclN-4C%)QO%aXJkyVlB&|xTtddIzG3uDD_f)y zAf>e#77=Q5@uOrlr#R3zegM2(mV8`obGr&S&l7j zP4@s)>WG;+q_#^eACdoH$MJqU1}&Ov_s!;r09*v&M1`I+Zoa^i)%aO)fd(w(i{f{2 zbwrvADO?F;dN=$Ec24HK&blJur^L}s5h_P|Xiol;;vUe(A+M3l3vV#m^_yT}M5}u@ zC3B~s;khZW5=z;e!BKYes?>01Hbb2C4sbK%()Z_bQnt_o|?Lw;FGP9P4$`WR(@QzWXYi z%W*cVyupnI@Xjl=2qhk~d6O>B6|-|o0nW^-$IesU{Foawz0s8WUQ=8tjaj0X?tJ-- zHr~Z0pL~yI*?rq2SjrN2A_d!Y{T=?lzY?7B9pc-r#e3ZxA2 zqF4FTj}A3)(&^oVof;+BUIgE zqVg`h(g>slkV+j=`AqC_sEypbqU{`nb$gra{Bb71|5=Tviwhm`zG5rd^EtN%Sjl^O z@@eX@8gBi%y{@s)as9~ERv%>t8Vp9Pry47R+6J)b=nOMWVRWk0jlRs=KFf}L24};& zsrETW6J^K}5!91QtA9_D#QDha{4=xOAw$H-Da3LD1-$?MP zp-KmSm<^@s2tatMFz&Dk)PmW0p4pvebxw~`sW115B?2*S0xcPIHmV_KKwtufYry?5 z^zDQ zurbFwQH(9`%PiQJU!9yIRHJiyMNeKY_tVm9iqfW=cM8Wl<(ZQhB8&ngcnDeJ=jAC# ziG>@(d8qK(bY3K+ac?@ah?3*$(cyXc@uyf&#b z2P4%VLyMH(5ziJIV7MPM)$(~B0c3PjTY|pLb@BnDuW&yVRASWfg}!czs36+ik*t6?rSbJ?7>{PxcfIzRjW4@WsnJ&K6I~dQ!##v z!5!Y4wjZszq7lg8Oh}kKIhspTQAb}=@x9CXk>%|3w5}-M4pFcAiJ=x6dgtc4?7#kK zx5bu!ROK6R@!FBSoED0EtYU_-+bL#u;T@D;dpdI3$`kq7igIqU35}_qz-v4JtB2W= zLF`o20I9WeP_~ek zHX>9&+G+}Khb`{OzO`9*n3oxYX%j7<&<_zRp$udVy>cUB&2gzI&>tsaa;dCfW1^c6oKyyHbeI{haprx$ zEwb4#xQ7f@%)_huDv`O5c7A+Q@x=3TpG!4CBly8FI{{NzDJ{TnrEg{ZmGg&Qr=tiC zl5QLirC?BWn=Ds@iE~CJF((?YY)m-P;{L!;v*R-}_~wTZ@8#YQJodHT6jjcp?Y4`f zZDWI})lU_r0F;7`@irth*LH^ONhqj7}I1}oa zW_-!T@yxUYNtKknaB0%cGXEnBWvoQOFQ3%&OO$n6X;>UJdR^v#@%gO}LJjBuZX0!} z$!U)7JD3P3Z6hHsc6*NgUs~VZ-8Y6_2$;9Kpmy9cD0%oLlZBtl{q#R=n^;Q=Q7CfO zC$!A_63|O7`MUQ9zZeX*90(GUPHu0Xc)U68#CUM;s$OMMQ5R#2FwU8<$Ru0O=YiWh zY|q^VZF5D;Tz@=Mm&qf&0-93t;wh4zoh{DaplW`y1ed%Mf{QW+H1CAB8|sm~ zJuBH&E=U->?S3t9i~>qHpsIO00A)~O+3pEO$G_h4EPXAkT;os|htvC|AYX`a*L6Q< zY{(n-2=KHV#-ikegp!Rdu4s;3`cLpiAor4(X=oXW)MVnx*B_Xmd(v3D@Zh%2?%u%)!kkoF z10<)A6L@xW=m;Ijkc%0!p)*6Ew@m#_>B6wd%B6g*w2MDz3BC|3Z^Q&Fy+s_SO8W0R zgHuV`K2av?^QWO;!D*-a4*GeyO^Q(oUS)UMFn@hQ=rq}i!Tq|)pb@2KAi!2Pxzc2Y zuK8P20kg`LH3{PYa!)(1T<5GQ6aA`f8=5`&&jyyv{5K0nGlH-W@isk@t}lH^i)$73 zT)tDL?IO^?3!B)V%-VJy1@BkkR-f3ccFG{Xt9lWXt~MDtI`^WTVQ{sT$kzm91BZ;FNgid_EZz{(|;NWCu z{&&DiPP$C;W;@E*^(#7eQZ0sD;4U3c+*ci)u2jF_qU@e8@uG3 ziU+X=jW&|s07S20;`f&dNXq;ORRJ}V<@3tJ&uxrFtY~KVBfPVsd1vLS#y+||A1~A+ zer>NOXItiv?oAV+=-D6Av}uDy^hNw+D7oTJB%EVv(}Ir*RUDQLgRcyn%30ftRS5&v zbC0Kf?eb(eu6x>h3#%gSX|%N9w=T~>-83~&j*LMj+Vn(5%^L2>X-vb>P&n20mnkKQ zBde6x*LvIxl0@pXzjU+>{%9irUp~9l)ji&r=x^U*+NTeQ1G2l-oN}O7j>l%Cqs6PE zNhghA8bdjRsd#B&K|2{m4eFi_NyeB~Ql*5ds&x)8Fsn0UpRsir)5}9NT5lpC_yHm? zZf7KCua0_#`&P=K4a(#^)#zqW9@P_6ZEsUxg4@)NaWd0RQbn<{#tg#|uQAXnvJg(H z*o#NxAt1+|o4@L~%cF`ui2O3dr4%D@gx5L8j#Y2zR9BS<->F1RllyPX2~2S@DnN1F zn&W^xgW3+jlW=J;P)EDwda#9HL~G%%`}eoi%sg-9lN9VAr3gN9U@Ns5O`hjO3DTjro&gv)^Y@Vo{SnUETrA zkIQSWRINuN;MQm?F&L=E?n2Bknq?K9ye`Lfs4{R;LsqN4h$=>fb!4Ijkjng_IL1At znn;lWn6Z-P{AWe|OxInDy{U#H zXMTuN3`qBVmoz27N@5>*(JCD(Op?^fl1|D>HK*cOS8&i3FHfrYRuEhfn)G#SfJr$!$*g2>E-hDmFw<=iM7nV@5Hu$Yefds3% zfcNqu2Kx-}Y^B##*I2FI?D<3kz$}xb_Xj$$YM^ymM|tFh$;$1QrABwJT~;l3Ue zgO(yx2zOqD5`HC9OF8c`8x-TXHtsmfQI<&M~G^q%!a0>y*#xt;g(8^jxr==)!! zxzI(5@zkdR@rU1tpZ51Y;|U(M*Laoh7Q7SpXjX~M5M+Wm{MBabY^YPAVkR2$NHAmu zW)hzpHVCO7y}mpy6O1>7dD@PGo;tHe4X%sKvg?1toUcTL(rQvMaW|f&UF^KHm;^ce zO2D&oGa~oGQm)0dqSZ9ZOZ+u^!4&i5W;Mb?HyLI9*i<9QJ!4Olo0BZJ)o&506%vQc z=}UyYV1B2J&b2vCr>ha@!f$S>Eyz>3w>MtQDH~(n*Axp#XAg@HO>9BqK3sXu4oKV^ zUD^p15jWp(C}WQ+Ja?K(hILm<&!|kE@5X@LygjSuj#Kv~LCr5%j`h(YeG%uOVl|kL z+x!cEK8Q?3tb0_Nu1B5}X9SLi>GWe{arj9UYk`p6tycN~vZFE6+(AxRrhZihG zuCY|@rARLm^wj6byruB((84s1!m!d-vIb#kiTxbruk5;rw*jRJRGYDk5v95QHw7sW zwWQd0m@`)F=T=20ig%0CYec0!iC;vpRn9U7V%(N{Gx>Hs$@BeT!*Qb;I^OD0@E_Ux zFNThP3p(N@(p~zc?hXvp6U41lBcQ+rRs_#&mv%DrGHyjpr9?uywn27 zRpKKBo8HPG%sMMXU9l;BmY#J2ztrr&HNTk6#{rHy8G2es+uH?1Sycf};C-`F`(roQ>@{ zFhNTA!`i9Bnt+{28u!Wui>buv-JNqy{c^?4C9 za&3Hx8desvv53=CXvyS|Vg8O2fKz*K^T-&spqiC`fF;nc3Lj%nu9mZrQVbi zFTORand-iRtlXW?l?uQzjUF&m2(bWZcrK_d@sSlj@f$E6eR}?5L1lHwfTqaH(Q3bo z)$B_$Yq8EG;!0grX`cj#r!_5J(qL$N+kS<>I`{8cjl@1D=76%h_17CTegAS9Jkg3|m1y39hUB9`GBr?tD# z_!$U!yK|`&ShNI(H06F%9057KST-YO8Ac;t8bjx2mb_*gubfVw9mA-77*OSc#A$r! zQL#PYG}T~FVTge*CVRM{Fh?(DQD)IpZlVn%dZ)&g!ruN`&Ous|D3o!mV@&KTSKC~g zrR~CjyKPIiaCLEC$AhJj6`+w*9n&2-#xtq)hfzn;!6+xps}P{lMNe@ZhbUs1AYqj-CC)=8E@4%lgEA_duN9J^SMsempcS(A zzH+02H=lqsC!x59j#DUZt_g!to|Y+ts8K1c!(3cbnMuvyx(x*pYHhbj9qqUyeu*3C zMHYI%H#4b|Uy$SB+cC`CmjX@3r2b%pLffwB+(R7&TBMTb{t@i7pVBg@~6XB|lfE0qNrAKo~2Kb0hdZ4k}O(>TF0frFQv_LtwrQpH8e z@%!dA-@Zd)AR9yyZ;!Y zcGE2Z=)(d7KDz~V2?ZC;1Q9v;wXfYI{Fh=!BEw~luyB^R*VmS*x8<(5#r*?DDbqm$ z?o@1&Q_9(!kxFz%mDk>p^zWyOW_g>spSVv@X;g}nVGAcQj4vj1?Nd~a6>?dAeC;Xa zm3e6%nI$y79HW1*dNac%%OT|yn*S*4bIv#&h-3GjYurfMYiXl` z2;ETYbdbw8n#nB_cJstCSOa?iB(21iF8k&-5duZQ@4_o(K|zD)1ROnPSt zg`_UH7HgrMjaz4lDWoo_7I!c-J`GDx=Oa#Vmsxq%rkD)n8rk zA&Gi0Z+z@x`qwxJF37ah{TX#o)fUS3AOsf0R0&b*3i!5P^}0_wuZ1xT5JuTJ`0Bbm z=UUuIFse(}1qA>0Pt%X2+ESiWi5cK?rOPBbbtRdtGeyUY+b%_&iZkkq^B8}g8Mj90 z9GcwC z2f|7UjtK)YK7Y}$jx1aM(nP|Of~_oueWG$7?9^*Kn~^hx{R0eYm5T5wPeS^cECQ7* z5xIYK$RseX7d9|wJWxy+1S_5aH@I*SCg4!f9C~?Ax}~Sj6-5M1{F&?oEV%-j&66=( zge?22H;~mN5oA8olG!gIu@&q)3e0y&=)hUB$1idZr@e60Y@x8&B_S;+Aux=QaFhqA z;+4>gKDAt5iqwJ2{x!9r3plk-q^JwHX;4%c&}MkwEbK5rph3V1q)3Z0cTD^oQZ-5{*WWdjF?FEJ&h+Pc?7FSc_5B= zez65SWy+1jEJds;in-(+O`PfTiK02Ylz^K27?|+RLEHu}DE8!<0y#vz)-K=q;*_l< zqFMM1SO9#O?Wm!!ep8MNb_Hpy)ICifqViwSkxf!?+Y}4AWs4K-QbGomq=1-by|EfB zePb+`29DgYVAo>@LM8nm)0u&RIzkKO`a*_M0hxjm6AHqJJH3oMGVj*Jd03$^vH8MD1_Di6Gx3`qx=#>GckLW-0uAMyprzFrNqENsQZu1{fU=9Goqi77w zZebD~X7$z+$aEHAv5W;OXovV2-h5z0#K1s!Un%l`S|WdrM?pve6Th*aDCYtc_;Q8Q z-{?`BvO%GdK4SbWj@1gt+xY2j0)HhAM4%ECBrT5Ew{@8k)C~!-uP%@LdVcSxl|!I6 z9Yql>%>(g-eD-;3_ru7&j?E>i`-6mR7)Ch>I$_}E((OgjNqah)rNyND_8aqiP|$Iy zp{ANe3A!`xj4O#j*e^Z1P2e!AB$Ubxdx6lhm+ww{6HwASsL z>(=LdhwAzX!Bbz`6e%tPn62!W4uFeZeuhYiBYa|tLyyV}v(kEOR{-jphns{N%Hrv; z*5*Ci28n-}?dfL$egffdhruP=?@=huEOfl3^BE8lP5y zh_eM$r>brWx?kHnggF;-Yqj4c+PcrQyKQuBNN_4=_FxU#%$t{w-OLE_o}~x=oIke@OlwfXG=tT95c=?$EMUK4&DTdy^iW*mRD`0U)-%|3 zTxHGlWpZcTglkG1+B1@^(DtjA34`~-mO7r-Oc?PFE&*Hs(iy_$^oEp!)5seKZp^>< zRQg|`n?@}fQki8SSt8b5Ssf^bzq$ZSnmGwO~Zp8%*I_%&6w0b`6y{B-cK&h~uj&ganI`UVgSlEUZlyl%|A`EXUqBCT9 zhfgZgL1G&Zs|*~uVdM6AMAVdc+?Uw1XucP7p^zm@K(EL4FKzo#Ssn6t90wk`X94w* z2hJRseg|UwJj3N-=V*B(Txfvf-*joC!R&{}*-iWIzin$9woFvlPY(Ub)k+emBfTo) zs%H9lTz2y%g_art%Lnz|KuN*vXD4$0P4aQ0e3zBa#W6+~3m1a5RgFEaq}!I-@9a&e zT;-3jKU?g$v*ojVv7@iQO+s>!9^L1L&i>#APDkp`IRvKLd40KM+UT!af^C1T7`SfD zi(fvns_gB_soLuB_RTBJ_dt4^2)O^jE4z7c_3RTeT2>ohcGh3(`iQxy`uM{7RRd`; zz{BY2P4xR|BuPV$?YWkJAk(SH%Sd;~&Y8nr#Nts!=bwiTA-Ez#PRjGk+kwr5`(3V_ zEI*lmUgvBVolB3giqmtKMO3dC!F)V$XgPI-=0(IQ_ekINz|W7Gy&b_DVtTP1LV$rL zH(KR2yGynE*p`_@z@0<4sM~G+%@=rHL3ts_DY(CpnXoNsUhr#VirZ%E5iFJ@g|#FUud7ZiD`P}E!n zT1Wl!vu()lyuHgA%dCBlO*;BMTf2MXfNb?)5G*Pp^sZNP|eEb@J@@jF|-L~Br&!~G5mDc_Qs%j zAb7M1CDuMz|AgN9x;Brr+{zmsHNiDrw>NSZ8JRLsoG%*@Qp z%*@QpYDq0-W|msmVrFJ$<`y&SYG(G^UE7^!Z|uI^_oJ#T>qJIIMpdTe@$ln5d?#D~ zwthB#pKIwINc`SZdt9|`)i&8{uRPDD@19yZKVIy$!JA8;{N*b2zJdUjA>LxTK2A6F zTqDr+Z8LyA24-TaZ+?<#b%%nuT1Cgw{ib{ zivA1Lh?$A~UqbJH{lf{_aIewc&Sy=slvmPr@_?`uo&{3VhGLG?()yMC zHL{&OAjyRMLWVpC0tBr+veWNvR~}xBH@ecl`X@td^jc51D*R(lokR2lS)XNo=+Z)rnCSsVsSh{o7&R^rC5Zp3DG$w;_T(m?cqX}}o zLBNd*+U`%Y5K&JeGxb2oY5-mnf*nk)vcLaYbI7qOsQO_L?22`y6^NEew0?5l|0vwB zYJ+NdH*RODQmE#MSAq?k1=}GuxXv-*47#ze1MAi(4o!PbRI+g-L2AahMI*4Ab1j=I zS6T~RsbT;RJn?{lp)ed{$Pe-dhbOvQT!^-9FpADMieEx)30X00Sn`D$ zVBVbZ;)yGRbOgz4;%amQU)597diFF%0<{T!g|<%q6?ys_)T*L!Kl1$OrkYc~Evo7M{!hQCuEd5HzNgs-iMVxq98l?V3 z#Fb(;1Hh&kGi$a&Wx4tI0^h4|ZzGvu&DVAMq)*HJv<{Nvdukw&>Aiuh22V0@* zDEG~!c01~u4Vkb{Q=sLBCLBfJ-+>4oPfqa?c218867d&Csh~c z9J}4zd0JwXR6A5g0dEA}_fTFJYIkblaO(-0Q8^yYCPG`v@a^kw*;v@E%ZTE9*1&PF z$)_#?!e%k#iaXjo!K{#;He`z~cfG@(9wuVCRhPjV)}#ekX0gfPmz8EJ#L6;TEXSla z`%)T^G_x5`?iKh8>U2g=yW-n(keb5pbwG{ z3>pw$j#QLjYZBKcMoU2-N zEPHX}(3e!?NgzMg&R)X+`=C#xdZ2(2uq(mS zCe^B>*4!H}(!P_mRTGZD@cDw+GFG|?uR0n4R zlKhZjk?T;1HQ0hUvq-XTke0EGfCa);PPMB!;B5t^1=$0}6{!$pq&rZ%z|2U;3Bqov zAogdZE(PF`apC$&L5S?jteA6MY|}ViS-E`STe< zInnM$K8(|zrC3XpTV|CS$5zOJj(XkE%Hgyhqeod73Rmr1sx@{hWUFw_+5ryns`K5JX;W?Vi(BFKlvur;wL`Vsr7cK~ zw;~R%xDzj&g+1gJlWd7VO20Jbo?kIaBWxJIldVYCw#U#?xDwh4*=r#$0nCBVQ2fKJo=&`FgIDIcrBy4Z^%N_8DQW|Q z7hBNli_E|sj6+a;4TXj)M{o!i3^J7vTnN+7=mB8kp3SF7w>J)2QUQl2D~p04Uk8+# zLrwqcIL8UL0$s~#&{2yE;QdF6ch`Z-3nNmNY$Rkj{WPU&$_z?L=od89hS|>PLSc`M zSl|l~=us39VM6m6oC7|aYq(zcbHFcheFO`@2U!_2zPkE5XPg#UsNO;xzOEq5a)aD< zsPkJT$GfT?qPJAEc;6q{(dYqkt-A#mCX$>p*PJLeHuGl$=A=akL%W5IUC1~gOLOVw z68aCYVlX*G;0eMOi6+RQ-1ry>3Yx~zy+{;oPQ1sSC)Cge-R=qgONVq?GbYREymrw+ zM!t2>FK-aUrNbmV^K8KV=;|ZquEQu8Ah2QF|>$vq^4A5aYmGtt+h5gl3xP=ej06x2jb#?f*uhy2mDh1Ssg z9{Y1>WmgTcFDEvV5p#AHD+a})N^^mNtGA^AXlggOF{f51F<26|(vcx(5oNdb_LUZ} zTvL=)J|KrA&5fd{&I)IVO6bHzvH1A?J%y7p^6>eEw#k3MIHyWw_8sdJUiNDCDPUk* zE?1PEQ<%*624$V`Tmm0x?=LHi*>1T3`p0HM$VZ&4dR1f$=SB_V7utu;Me~Ujxe(P=}4;R!rq2`GX6}O3rL_M|ET&hnM93gct4c5A3X_UM>xg8p$z!tO&wM zeK1Z^=H7Tl^Ys+8imb8`uHp*5^wQh5`r}rlw~TsZr(%_ zi155xB@McompZ~p3bS#M8L`KHI#DDAf_j>0D)^TLqP?G6vBwB&kj+-AWb?i;i0(0Z z%)4rkvhyU=VNB`6051}?6n21&&_&q^uyVMmGL6{l9r~vVuWm1aT*#8B!vS%NL$h7P z#Grl1jr1(G;yKpFtD!|FGwP*RfCWnyE0c&I-5rkFSi|DDKr_Y{n(*!OagOr`>68=%7*s|@a#kTObm`y+uC?P+9}Z}t8;~Zh zd4rjd;0Ku1{X`3KC%EJ=_3p7N@53TxGD1SmHDv%J!4k@5otpR9vQ8?DKwa&Cf&kXm zg_Wj?kB$;?hR5_Q1JyyM)KrT^QCpI|1U;7gJ0a)G)`@fSf6>NVo9K9 zjJ%3uE3f|I$BNsjM~QoU#jz#H(G(@5C=m4{P&iHjpF%nC+JK9(VYP49g604c9eTrv z`Fd1|V?&C!+w`-nl`AWJVy4k;8ai?B(OF3hQ zIMvH0)=Q>s=0Te$R)t95*`t!MC4cBy0Di1UwHIo(KUqA1;AagfvGz1U@%Zk^i=$*j z9@V%~klAB%9h8mIDN<0w46IdSOVvb36kOl>fffauFrXw*QXiG2@+ru>k_HADDoCKa zX5U~E+)YUsV5ljG$KqINe`sLUSbUZPiJc zuuL6NIhc60Hbpx{0QkHJ>{1#mKg_K72#K5z$Tg^s^bgFQ7}6na$|OF=iRJk3AuPV} zczXLQLx|=$D6MG~1j9#o?D7r@%s_-5JTW!*(ZHwoj~h98Z87UDQddMvujFGS2>Vv* zF%pPIX8S`3Mo?$e74WQs#g-|bi!cUa?%eft!BSWHDOr^Px&2JZT*?Wi5AX9f)#Y5r ztHboS{AD~?Vkb>XP;SE>B^#7G=-T>Nf54J5?c91AWRLd|&VLZLY^^8VHod=I0{{#l z+KDRp-*eBuCs}_bME@X>{pA5muk32%;^|;YKrd-)X#V}7ZfW9TLGYb-(MyJ*3cJrBJOkXw) zA`XWhS$i~s@~dru<1##r4bq^VBwmb@baPfVTkPTV6cd2itYScLDr58HXkrmJ{>T)_ zWKw*O;=8QNE^u?SwS#mz6v$smv^Or&rP(8*j*d>Z$pmu#nE*Oi^YUb8+@sH zK1&383H?>;cf%dUS}mikGNH{Dk(JUU-H(KWsx|Y9+NuRd@4#0;J9d)G+N28+KbSr` z^-|R?ZTNu8RqMcCzA|%AeE1#Rw>`a7+|*ny8nHQeqX*NA;)*mJUpprH$(6+3Gr=EpEE8(G-X_#K{Y2 z9AujgCmEe>mfY|!OSVn0>w`TjX=L0{Q>=RB?koJ)m%N?)Fp*YRgC8K=K0VcOu|@4+ za_PKYHrAh#m;!AnEPSwzaLcbU`r3WR=cl0CFkqnVTt5O*e^NkDsDJ=<>^0-)gSR*V zsJ_^5b$RPgh2_%#-M{Uu-BeW0`zi5BO?a|iMZKbwBMq)kX!40ePzio1A*a5Gnz{>? zPcvvg{$Y2+OnP;g{{;9Mx^cz7;Q-*tFTLS3sS@n`@p{4YRJ!}OYpIC1Uh$t?OaDTR z*!qnSVd#{{oKl|H8;*CSd!AJ@)?La>psKE#=!Eg+-Q>2wG-EyQ2!3i&$G{B7VmC1*}vv_ZCaEDpLJM! zRX&zZWfV-mZk4a>&vg^o}`e+a74WoMP9Wka|MR zwWytB-sgS*57%I-vgdVsYye(UbGTZzQe!52cHLEhz{iHPVt+5}Ch^vVkJI(Q1zrt<|#$yMbDu zbD#S6$4w1NH)tzKmc=K`ou{KTt@jg_-jiChlY>SDi5s)Wt+*AcZLQ|j#5zM;Ud|vS zA&NQrq{|-TB6J^$o7$A{{rInP>1FtrHLAn>m&Jq~W~GXZcnj10t&&3>#F{EjkTh~O zNGrmQ0lfe*T5UkVZhvSA2B2sRyDdURowlNGNX)a5XC|BF@pbAf?pC;2wROOp-8&V< zfH?AGwaD&-o_eyEZ{Bcp=`BL8fgw#<@c;wsXNWym2E;h=DN6@($oCV!0dr+TJMa1a z8v4y81N)G5=4(r<@~#~HRe9>FvI51@2t*Xmf)E5-kWp*fX4Rsz_yABL*M7&)rIR?O z;Kk+-y+aKpiUt=-MzDSakT{4W|6d=R{^#F(x7%GS| z4pJMXk=V5q7~|=INhw7byb|nzBfvk89cGy%iB`OB;WVV@(0wOihX{tO73(!F~2Tn_|D6*i<&q=Ufl>Iqhr$Y{@w#C?z|7g;$;>H zgzi?)<>vf+fLwH3B#|a5?g4nvT_(eM7)t7m%u9>}vc^$VanLKq+uMt-+oX4C3qU%HI?8}7kg z)wUV=MVPb~4uQMv1BE^Hb~TJvNzL@>pKnr67Ws&d)Mme^;J%`M`EQrS!=A9%xHm((dipa>Id>N?)!bf_*b0K14g*rjFi5IP50&YCMBy#Kk97Lr zr!6H4p65v`qkuWJu)DE>G}@mwh^{k#8ZyB^OK@9&BfP?MiCELtD z7y+>#E=fBLrbQ8~;IyY)&S5lOPvP7FI!L|5=icN$a|`f^iZyzp8s+%O!A z693GRo^=Qa&$R-(V0YQ6#_M$ZxJ+K%o7A9bh6Pq*-(%UCK!-fYvI} zj!+u#zL13t;?=!gh&Nb#4=1_<&a|PRP?Wnuqy_Xm&P7y00aM{G!$^y12Bc;i#h+3M zzQEcb+?h#zDT+!8Ok+@u5Dzd!g5zk#aVE3Y3q*6Pc_=HG#RLQGwI_NMUc#c=V&JOs zgao0q98p1Lk?wAE`#5xG;g;}I#-!n^c5 zR~&Yy9gCseI~}Z9ZZ8|CR~~p!{(GJ}d%}ct@B2|Fz^()61jLfNDKS+&itGGHf%m}N z<#ISH!MB9g-d!_JJr0D0&`No=5{`s`fjlw$5p*7C`P`P%WKL3ocwUc=(@Z+s9Bnhd zA>d_02^_oyG4V_iky#_J@%W)QpbkXgBSCfJ$~>&BJbLT3fxvs~m4RW@`0O~x6cTx* zA!r=QTv|yV1%cEN7?@IcdAF~X8ehala24(Df4Ox9+Onju_pEOU!C#l?p6nV)_F(UI z`t*~p&%-(xchB^L(`CGf9fTiX_d2Y8zd2z|vip3u(X~r)B6fXpDP%5AtZQzc3-#!i zew$!7Iu)|1&3KdV0>pJEJnR=^%V;G&f|N#gwTc0O(LA$VoE2F5gqoOtlkf|AY6)1-j9-Rk9$h$EEy9sCk8F*lw zy8vj>4y9G!PVG13{u!JbdIM*ri8L|5jfZVv`Y5W&7qD~LH* zfTrX8$L5WKtjJziL+q`+Mf3@!8u{CxO-IlM0LZDaGZmrA5z?%8P&lsZ&np|Opq^y> z%;q3z8Yp_-wbA+`2LGOwrLvtNaqN=;q-k#mMF)OUCmGs!kZ~@ImJ!mxMDM#+munTl zbFGg)0aV|F@(F@4mS_Z}gu6z5iq$}a2~c2{b7AVjNsA)!E?q?)ip=?93`y}22{km* z9>=V3_bB2gez=Xv0`%Y=qgbfd1Dva+F^^hD%>Gp&nRY07<)(O&dAe7m0 zisG|OwDVYvUTOjPy<>y{=S@y?9&!mkR%zNAz47z%0kzYngb1ug%$=Ux4Na1CRieFI z(}85oNQ{J>$X4|h^KP(Jey3oyI%-@s4na4C@*!AY?PGekuWLOhT}IT~L}M=4ATg5T9S32}Q@!AL83<31W$SxVeQSlj5v zALOUD^i|z(ErDNa$q%)bopk)9W*CaxH$|AFr`!=?I=-EO{S^}FxVKX*I3(RI3LLrP z2&xRU?13YV-0MlgqIock^@XbJ1i{%$$7H>rw2L9iW-U_CYf0|Z)C);I1Z`MP0ZN_> z;$3=SF8#M*B9Ka+-e*KeO4TKH)di3y4M8dfm4)TiU{MTNscZKk$?S(R#Eg@t2sk;- zStsDx0ZLOWK?>Z`Sc^#x@I;hgEHfY$xN;^$rmjuR=8BW$W6@9;ve1b;8BS(3LG>~< z`mx7>82O%Y)~12&8+X%|aDvm9yX-g&kc!B~fWdWxmJy0%osBP408yKz8{bj=^2AbB z1v*x~_W7K>^8wv`*0s1y1 z1$Fy2gc`6%ak!VZfv^NcQ`90CxtWu7fddZy{c#1BVt_>-5^wN00~^$%P^%TJeZTna z(@mgEDY9f}k=LXQ3#}8#sCUF-SdqH7K=}i-nirOyX=8vQv3jc)3rlDGdkY>_(+pK; zm-|=WS{M;IGV>_C5t*m&1?VqWtjCI*%mfH@SXP!6RUPD>J=Nco#hPF`kZ(yI*DZmp zqa<>yb@K=;3ml-c3?Zl*iQn?^G=~KXC@gV1nDt~sKxzljmEn>@L==%5FD)IgOcP?N zW;(4}7fQM?0o4>3zv9#cXu-U3v2~MGSTXY(gQ$yBp->};;}v-zxmp2izMs`4V6<2- z#0v9AS7N|QPOh;xGP5&(v$hn_$BMbds)osz#}_S-CnrMdthcO; zv(RY__>P_Is;-!sVg5gpOSbh#(Ex-@9vVpJi$M|(Oq2!6!7d44 z;e%irA(qhCg-Vq{p;i3*Z!BFcSPmwr*#k9U5`5p|z}Pf6tXV?95E2GMt?vQb02sbl|Gm z`H=0KyFR%#lL)R!q@hW%0<2{(YQud>>qoO$}C9Q-8KlIi@Fv&Ti zIFhREgrY@+$`B)Ag>o`5**PlsRmPly9WR+Mg5>o7C=Zt3lv6VzZ`@;-eLJ3I~KJv#^+`jkgm#zQP>E(2+ zyT6xD7S}efUmNg8-0@T|f7%{cDmQ#OkNGp{q{RIG%_Uy3S62Hlc^nGebGf%q_gkHR z%O%?rLb>o)Q)^h9+()vXobQuMO1BSZ`9*E3JitaSn*zn_bY?Ez7np@{M!UBORgWCL zXDQ*CoP+n;DJsL<(o?`$Q>*`#-{yXbpg|zn$2{}y=iv>V03)F%yOKbaf`ju-oWkAy zpd?;uCOmLV_lzNt4qCI&1Z9*5zD3v zaK@jK%rML(+A^VAx`D}!tF)qgb>SzF?v_uP8lYmvZ~indatD;b;4O{t)XkBu9r4bg zK#%d)i|7`%o|v%^{=3e05l9o6C&I-z7|eM*cxgS5RTX2;PYjQeN@|ZSR_eG`Gf??7 zS5YUH&jnPyI%@evMqu zO>z$TK?^4Sev~q$kROE9GA6NiYQvZyLLsf7tNbL97_AKT^P&}R1^qpsBrg-Sdlkslw`9%Y?3#t?2CH|2uW5d7JJ!3*aPgJB5*$EuX+LLPOk^L#>Y2DQYq5z4>X4p9i|D>S)4x;ZQul(G7u%9GE)n%69{=^rh6hFETsY1b5-yyM-u`%gw;S!iizByf|MS$;ol7TX^I=0*Z~AKU?&Tw7 zX7j<;z0Ie9Rv`ssBz-VSoir+8>vN5P#sjS%t$$T_SF z$_=fD*(j~vFgV{`!DGXFX!qya_%5uU5C%UpD}u~>fw1RnASGbAD+j-O`#f`B7QlfY z2`;eZa`&bCdqAA%=5E`?^~-Cwkrw<%DeR~Gv{P?wk2vPr_K4SRp*U3w$LIC#sID82 zPTREo+otOK7K-gKy{vRBH_2BsDobZFvn`0bfrAll#pvPqp9cX(@Hy~Lu#aRkVnl)aT^ar9Yjd8L&_pYFZorXUm(}iGwT^LaCk{o4 z;GoVecKMx7D)BOThve+{4gIEUwUIAafUUV4*?$7l{|mwi{{*K0Z^8-RBJBSVxBoY~ zte9M-9K=Wx47~hp` zPOnKE>$Y5*X4uvx@aevBsB24fq^6QwmS4Wz&JKhYqo-z%z5AHy96hbu`X3&;KieH2 z-YO=sm45>H|6#MQvWVI3f9WM_5&9in^|676o2`2cJCNlQq>z%Zc=+@cQJ0FQ{9rf zp?fpEdr}2vOoQ?-YnzbmH$rW7r4jf|;G|@lsgLZaqIwQ5l1ZZTr)lswGoV>F%6TePa}km>A)kxBh#DY4WQSu#Dj+!AubW2CcB9fWk=NX? zUt^8uOwj6&Z~dPwhw|Zew_2aR$y5qB0| zOzyzNsUbpc*oR@HJ1MUSM?)Tg-Rt~i^9_B>ImoLz2m-&`@KoXk)95Kn2a58}7g%>j zIYj}J<7(|h=PhBAXKz6wA9t>G^7QlkZtbB)sEK;%K8p#M1v!Z}>08%6HMsXE!``^S z4VksWmi!*KMffvaf~T~rn6x{it)Z;f^OoS?!^dX|BY6=Kf9tqZK|8tgGSVUyVXnAq zc0#W?U#9+3Cr9AT4lQh~%bwu@Q1IMs1Ru#ebNC0M>NT3Hn4S9@asL%ll9A4)lVCzH z#_o@g_+DlA=Lu8EdU@=}G8Fx$4`6<=#SC1=W36zOP@_DG1rrFh+=dDW(QV(*I91jC z;E$dA`Pn+veU~bymEw3(BZxo+>61t(lkyzxi10mOcAL^Do=}6De5CQ`DjmV$f+aOR zDy&rHk{C+mX-eiW7SERCH~_Ijfv!Iza|fzFHxsJcW_4|2r$~ow=_jDS&T3|A6At*^ z*B>qqV@}U?p=6zqYD1C3%h5DLGY75WJcY?c_J$vg@|C@Dk!4Nrdc4(%xUN0%kSY$ zd#`{yzX?~q|~dD(O#Ti5awils0yJ@4PVAj>Sw7Hq-(IK_4D9! zBrf6%q%R8p4SOtn=*!KY;7mFSEwmNoP9A+}Qip6=r&b~7G`B|GTr|-vV@y(e+#Y4- zE#RQnSPY^Lc@}%nL%nZ#w~9LNayfM}n|>f?TPNB+3rBDzE!O8$)6eni)u)#=!70h# zIiw?QPr2+WCIOjD^^Re@cA`UEIL=;^VJ$2ssDmsSWf3q#8}bs%U@#(_g+GRO8Ic4> zYD6+>^9mk=nsS*s^|tE=fv;T?G18vP!4Vg15AXS~BRs%SHLcXhY~49;Bs6c6g)zkp z`M2|56Q^lffrJRa3`E-Wr1oTLT#S8bL>ru8{^kv+W|-Z;!%<^p7XC&-ttaHnTdsaz zp^N;Fm)!?#$NpEZ2C@Ndk6jDTHSak+XKGd)AFQ6#Ys3$JQ##*!P0olv!iY;@m;5;N zYIg9sZ&T3}c3gFKT#SBPmMczDdK7_sQ_Vlj6u*?40oRl5@P7U(W#zlCp1CzZaZj3~ z#VDcl>|kjsnG0rH!l+}D#YoYoVFwMiY*3vUSGjXI0au;V$TV5$?s^q3fhpfV_Jktq z_wJnsF5WW*A6baq?&3>rDU$tB;;mK1;FySEZEH#D3WSV`HF>-Z&kyu*6?dzivofeY z8=gBbtPgb)F}kS1UfDPEE$)_FkX&x?QImQ`&7;(ytCsdV8uEj0Guh?z;A^$nam>#O zq1{&Ao2JduD%z}-)Hex#!^-6tE#Fsc1SP;uGGko83UQNw$JPkZqPAAT=UUulp^9j+ ztGIC7lwaxD=_=`Is(qXT>vzyPENI&;Io2-&uzuRGp8k0}HiWl=u;A&a^6q}~p{52F zUbxk{@pEkW-`GNLBuQj=}x&*d& za~B1R$0o@v!QMp`!w(-s{0S<7(dV5yD378G=6D3!Q9B94lClx=%_O4W1cb)5ky|NtYhV2M$%opVHyMLc4FzwI z;Cox(7~p+bvL=S0S^gLhfTB+U-jc044=O5Qqy;V^^9Nwog~O_mzXeFvTkjV2~2@#f!ZUF-ga7k%wM4{+0H0f?~ zXKik(Fq$h$&r< zF(r=T{p6;7mcy};MqQ*wkY_$FgTuf)cYc6*RtM!TFEk8y;=_|Hk#BjC!*VM7F#Qm_ z1BWnfYg_@3oH4&7^&D`iq}0hO`#Nl{x5F=e|RC$C>gnBDSJDn(T zYmW+3Fm~}v=lg2Lt>+1k!@8a7p$_=FhbPucrQ(bbxtTFN_8cN3FErQ;|sdu6d>Q1S<-MoT%6)u z5fTsletfxtYmq2iWRhK!42wcr z(FP1ASHi|#v#1@BQ(jr@9r|6&NI|G$*~l?FOC5Pi%D<58$C$t={ z=!oUAmOe=ptgrquq>G@~pVrYR)uoeYT1gDJrOapVk}0B{a;|fXpb`Z^VV`~2XoVf%=7}b?rAJgqL z?A)kc{d%}@<=bhM;beRnNSuEc`kS@rB%fIaTU zZl-&13LS-%M&{niRa?%hk*-cD`%n&Yjq{r033J~aunfDoZ_xRVv^7#UlBf$fS&2~# zI9rif=Xr>4Zut$=(~G$%2U6`pK#wygRfTn=nhWuPx;X^!Fd!pv1LrLvvWmv%)KDR{ z-uDEYS!KtOEv_mTSHq=6k3;1hsFvIj(H;l#Rz3xDwui^0;TFj;YcnXOaO5~uW7YbD zWJRsL9whMWy$^s<17vs3$)fzOQ%p%4C(>M0G^;n$8mvaQ1u`M-%v#AJqxK-d>b@|d zt6p%7COJG}V;Gy}2>Aow!N^Ly&gWF3{y`~8GbFswN|QY^g{s2R|Z zjR4k~?s7p|ZRms1Q0Kh>{FzzywcNt0Bv0D|@-`;O+EM_WI9Cf(Ho7Gzd{kNW(!n_q z*BFUPdqTRiNt>21y}+JZy;TEDR&6te1JF6aiTQvY;#wr!nEy5%1z{6X9qsewK7Px` zKD-Nne!z;T$4fKg)#I)wI6rTL!X%!bL2wXI-OEoI2LU;8V0FgyJWGig8k^s+xFcj? zm|c0ZI%!wspr@Zmn}r2XGbcrru0ei5^i6J)?9Y@71GiY{cfl~&sy@PJ<-g-2mqy<~ zneGg({z=;We^sT({8v`|@9eg3sl5N3HZwB(&r7KP{h@WU=KmHy|8RWlUR?pN3@Pd!T#40-s!vt( zV&qtl)zY~#i`Bo3^61L#%;43X!lO^sqp0&?SLVto$aOpik0oAuUw^=ELs-|=E!B(D&}!8tANLtpS{diHeXse<40^4FL~?}E=JpgrIi zvGcIOv!;v=U+d7AN?Hlmgy>BDNkUyOreXYs03z8eh_m$D{Tks;+N~IJC3&-;Lfb-| zao6_gCjQ%pVHd=JhdxS#Q8ELtCZ(ACrA80A`;~ei+7-pj z9+9z*&Xu9kf{;!Xe?%*>82MYAjQSxAs6$@O;hiQ-h2h$lS6cg)%b(U#1v~ck$qOpK zgtI36HvVH2&3RH!Rd7*p9i+4cMozw)$i0fBm2TuQ$bnRt=_&W^y*I&xHB=xg8ucCM zjP6|UIcM1^y!Q2zS4QQqZ^~DtU83J(^9%+qYE#sv63*Qb2^vsD z=Nj1&aXhJ?#Cv0SesS!gET6iH#PMoqw{nKT?sQAsA&KZ>o zR;kba!>eM~{^QZq4GsgwX%_}LM?4k4C~IdV+DJI4!ok4gg5$WpA1Qrjx32BmfH&uI zhFq=!xDL79qiBy}7N1Q_EzcYX(FTznTP_=W=DJI1xaFTV)sBl-;d|tDU#-ZGVIl_V z-;`82k9#ejEktfjE-%7O3hL0V_1906mV&40BslmH)A(#It5B)hLakyIWX^Kks)g%k@@jGu0tdgF>A052!8V;EJAwA*37B zP%2Wd_+>w-?Oy{cDic*$e}yOe2;3CH+7Hk=8EYvx8i>RXLU(stu$i;ra7GO!fV2iMO9td}G1Ks{s#hSG^r0V`!o<)_BA zT;u#xJL$n?@~5i`R633pM{Rcwb^~z6G8E6QV4mU;La7AmuE?H!Q1f`tSxvai(y5=b zuGgwAnfM96tIOyHRN4?@0ZmaF*qvcLl$#VVc@ANVY4fN&Dy4<5OTv@ZQh%i@$CEcF z^oO1Ju@YPjbkB)DTQ*wo*WQP_M%8b zR3==7ux5mj$NP+WGMpsI@Ggx?gbNUI(*I1a8qNdw?k6+$#?}zNr9qaqEha6Uj2hnB&vDJO&%9_eK?o3 z21lJ6XG%k~Dln9pC}E0iT2fHGO#Y`i5Zxk@EG!LBpi;kH8ga6zfJdiK(2_M*Mg$M6 zL6;8$GP3Mh3;@JXpBMM@HopZ#q$);{_8Inn{?XvIH7GAk(E#jXCt^A&THxW0^d8XPMQ8 z5@6F6l}ID^i)Lw(>$x6WlpZIza->sAxC=GuROJ_Ow@8LUb?QmdN|7?%V_)5tGOUZk)m_XWDE7{OdTwr&&L;Ppos^in3I-y4J8fJbPV|PJp{%E z0)*FU7Orw7uhJpeV69r6l6njy71MNNe2)Wj1tu-oGOVz|yy*s0p)mC~Vy#DcH8}Dq zG%&$p0tf6iJD?SDZw&0+mY*`YKvvu%^~cd1LK@)48ou{>@?&XVz~aK!WwwO%S3hE5 zxBJ)lSd&$F2TY)mvXQOu!@p&`O?SL)_KoS-bO(E^9=`8TS%;@-9)y5K;LT0HcGgcYiiivc0${xf|iS z)C7+?-ACj&@qO0el7~%Gmi)qjl4E@za#{;78ywGH&vpz+7y@*hZd{#mB(>le6Hg+A z(C1G_f4>BcMV@}jA`c50-uFxhN}Q_QTVrLY=txd1Tc^P;+{D zcndnnOHd0CFdP{UWXA-cQVa{Q!_d)cR%Asgtn& z!;qoliL%4R4M{S7k2M3i;gXD54Ly6y|0lbEs^p=h8yJKVf$ZLw0ZN8ok2lo5w220D zve0HP3f4vF{)5G?!nw6neoA!gAF~t}+$XW-Jak?GP=%lT z=U|r!+jQ4{m`^V3N6#2i#u$Q@nnj%o6r$pf5GbVMbfC2$Z6J zNQX89Y-XY`*6lv-7pZbh9OzH04Q70BJDLyQpH=*{=Ol- zwPE>Qn-TMVnT@YZ79*VUXflN@W{mpd=G1xVhHhPmSpI+5dkd&KmThemmk`_uZUKV3 zOK^7!5Zv8eg1fuBI|L8zF2UX1gF|?WWM`k8bN9XH{QtRc-0|MHV~t+j)m2?xHmkpy zHRY5yP{C9eU(P%tl*K+eYq}(D3W0z2Gs|)^o4S?@j+jTqhYLX@J9RLh`~^!sFl!b1 z6MVa`pM6oe9QlMtNJ1gcmX1xw(OGBsK0@JmH;vzF-y{Ny>^$2=$fTU{xM#a|V-}^J z;0UuXq?#3Y4{=ihsrc&^OAhO>hpT*>X9|xs|KOYW)@&@Dcn1$i$m*n?g+PyVTM*oG z#S|xjZifBi(Hl{{X7^`jl40*?b4r(KoXeK(kL~YtP^u)!_gW2TNyuwcq^@B?UL%?mZqR$5s z_Zw(jgvu_GsJ@Le^9XPeh7@MEBTglYh}^G{L^Zr0cDp8tQra9zIVa)Dav^uj4#3RN zLiiFX>CK&|(;s^J5W08%S`1RkAi~K|U~F>Zz(eL_wb6e@eO|!6mar^#vC(A+%79{} zuL|>n5KaP`jA65??vPQoUbr`;LCGh~WTEQ!V@5glSo!{8uo^_I0a@nVaJqYM*t{97 zDeV^ZAzo)}geU{7YDO%m%aq8l(Lz76%y~qH)rXtcp1Eg(C#4J=F4IiNRxqPK+l1%m z&9}hs$HrZNT3kUfrc|I&eotg4#!MSdf_vy>Nb~bB6?OAOaQ2_73{;O}`2LC(Xd@wg z;Xm>R0D7VS)E~hwX29RH-vBD4|KK5>@s~c_&uw)&+F#-SzJ2u{Fa5Xp1N8WG3_r~) z0aV`pCzu5AGT82oG>! zNjx$orzn`tC}bWmopQsUelGkGI zpB=KwJDp+_iY@1B(0AR@xydU~y~p>n4lQnkD0*@s+P z0Q)hl>wdeqh)!LLqk7CD;AHQ^vTCAZOWU*$^Am>j?J61eoNu2IcerUvNAa<@UGx-dlCx0>g5fbLL* zSNdDUZ_1(l22uT&7>p{f5Xr3Q-{5)ht7~@}SJ-8dwbCxJx7{|KMx_Z=Pvug3ZjBV! z2Mg#Z%YfNbOt5E)Xo(=}A84ck-vV@H>}0JmmwUmti=_sQFgCDyKlkB;mJ*_x;W%$2 zfhr-VNpoEeS@ua7T6dQ8^}nY?Wd1U2eGNQXD76NEO1F9brlZaI04NRV&PukvKpRMe zGXh;}KWb0<_M}->gLhW0cXtA9Z*cFzaIpktLy=&5VUrcR_uPtI4#?p+Pmy@{LLdP2 zAc2>6h?i0uHJYp{AXh%-3q4WI3=_mC>;rDtxdcs$3WEUd+ zZT&fTapUPa#P4jVYn!`Z?76TI%mR?G@WuyZg6WbG?wp~b}AIz*jxM|#V)mE%qAu@_b z!9xAJTL6?{JXrG?o9f);wcQ*yIZD0lkm|+P_wzwqiKO7;1`2N~zwpfGU5+0k(VgM7 zD@^Qf*iREu3X5N1u-qOuT6Hx_;ReH&X|ne~zXCr#@kd^9DeYc3EYLLwoEb$h59w-L zbm$lNe(-yeDrX+&D*h0*Y%6|W4Q22u%8a6XHCYSFyDZYWXM6&`_IiST$eM-ppbzci zgV~j8kSS|hP=6eueE)GiiC@6+8+!$lLJr6UZpY=(C~R=wI4y#r_gr|X(8-Kuvh>_+ z;LR&1*0Q%kXR9k@=eJ?LGRrHpi#V8(f*Cq0UXs&Keg2ksi=Cclk*j-ty0r=3t8$X1)bBboV}G5=l2?c(CNLoxw%hbwvN=t$|_jjCWh zteR2Tv2J>3^9l0m%r^}J{PkuJxNZm0moRLk^y%Wlhwu&wbcoAuranohm|>@wBaD&D zvi$vx>O}9(-SBKLZ@v7}&!h5iZ-}Sw@pB*MX7ul6ZoZinVr||;L5hmAe2A99>P(t< z!m6U6T1MnjUMWov-(iOgS@aFX*>qZvGv9`N>sIiwG_^7EUD6^?BLfqf$gURadsT~w zCGHfXCC6QXV4zxBSpHY+B713-;7lMB^BpE zuwneMZUZB_jqNhh^DcSoIsDSt9!m+F9d;+tUYn#1TQ|42ADK~dgI=@Qcxf^l?{WyP zc14Du8M#+HBqnN8y;9BE1l~2UsUKUji?eK72VW$OnuT@>k?o|MZ~RMM5NW2}*g(#V zXAE8`D@S&k!5*%++%?^XajF7|&5Rz*tbExX9=aO8u4h;Xg_x4x1#rtNeV!=S19&^x zwBQgvLE6|M@M3Y@3iogDd?yA6C$cj)mZ(o(`0og>ZkzKmI0Ae`WchqnA2NVW480ye zVKCl(jFpawm z({-?^t_CNa%p0$<_x7zzFq?RrUQ(^|D2x!~ohgCrw^VkHr#|dF#;#(NsYum)=CAwX(!-CDxltczfV(>Ks1vh!?g1j=^ z6<8rXJptv-oNji_rjqGDsRDQ-VmT};-INQeRFK4!RRRN^TLz`MxWw1dfDVu$u5^{9NN?1FZ&JZ)6@+n&XRS$7jT6q4e5-@{NMtImL zzaZ~D&H3vU^;ZaY7K_$nwcuz{OEGns!nBSM1B!w69b8Vq%KHQ8LN;A6P(~7u zOQ;H_iIft?^s;k|baA~5fp@jE33QbD>Q!LoOGA}(H}owDEum~fm3sRLl=8{yZwwFg z4Aef3b5Y_)`gwV?fuKlvgRYaXg3xrxvO?RYbw%fB?qQ>`Kllk8v7A}pzDo3YMONw? z%Awp(hfXJf5)SI)iR-N%h(Y?QZyjmOc32RssIk_Ru=2c_e)z))bSjt+yDCI_QUC+~ zS$dK_*tShj7;k4P0sa}10Xtvh%qPXJ=$`>=krdLitx7#8s21NODMAsSJy>xFEL_f@ z7`oZ}LD{p0izubUiY{AE$}Z<~3)q+)-8^;OcC!nFMs!< zgJSlA;wH@}E9$usf``PX*q(anx!9N{dI+`$|2iYpVr~gBN8(&Rc$F@C_N6D)u_o_U#^tTAH zTCMzUTmMwK4?mM3T=TW2Y6q#b^1^|pfCy2qJrYtIPhfx_si`6}yZDW_7ojMp1z9TG z`~BN9_bl5v`9!&0JZ3)#RF}fqTOLC-MVw7tst+Evu^pUxXB-Ki`3bBiU2^%U$$f^f za$~4Sh1HZIMg86*A!e07`j3u=;=H*jt#Qu4V_q(3wR6}QFE~OVoFj1CNR7ciEH9Vo zgOi$d4E3yQq`VNTyt&)P1Brtq^lYKoK_*?HG1$=DvSfc#Gw~Gp=?1h^aVtCJ9*(D< zj2&c}#nb&FbwXH{bFe1lG4`T{52vSTPzs`uUD-dBc5uKnFXS3}aB= z)}xybjDrGO$3gk_((;vW&>$p}FHT%@FFeGdfnl4lsm!7Boc2*R6_FZhd0J%t@l4rq zdFsBF6Vnu@1rE)5=lqHkwvjtD-i*RYX;%C>E%@i4ZZojgIx zQEGX2O)J4KbEsnayRY1%-to5`9IxAdAJ~bgTiHvsFIjbP<*{$GR=a=4V=d3fXva~e zJj*}VV(;qCYVsz07iW@2A0y(G9C9V!JtMb!ajJ&>wWA%6CT;G$XNcL8PQdLJ!YqNK znrV_ZNx7a84;H(!YZnE*!!xil74a_mAPSF3&AgdVxwCD#fvoQ|q|nxa+ZMjPu%eQixmiFVZ5H82YxM#r2lx7qlx zj(9@;MI`pIXk4Gl%`E4y@`(cD?6r1TR_%;Fk6(&tIynn&%PYe|y>@r(8Rb?}g8tUf z7WMpkc(wWx5p!Z|*~yU?YM?W|Qo8`L1oy3O#Lr z5}tR@7vcLwCa7R>C>>nQ`| zYWvG}8_>a}Ab8}gevC@_mu=$QEr!o0$N8slT#Ltuh39o|&LQy*%UA+*q!|hV+d>|l zdE7o!JYLLyw@^QIspF1>xY+jSvv>eTADxOa=mH%ptY!vb@jwA>q4@C7Zu)e&ekb_V z69?^c?-!PjWqLmKJ@VCK6;gdRO?SppPbaMw3m|3mSJRzB>;k%$>)Mhx zU0ghb_~uv2EavTnZ>PSEmLb}Za!MTqY?!NxP8MgbU~>@>Ll2{o0XyDCK}&mibSkw~ zFryiYxhLJM(wk@z@j>=;HG%CHTxq*ELc<3_iE?Hfyyd^Y%@&@d<__D+0hGdDS5eV~ zfyl4Gkm9lXepAGzMdLHfrgbO5>v8{Qrwa=DS>pb}F}wxT zZ4N@~@H6TYZdpb$^s-@q&%5OuoXxtbi?I-{IDreKA&N&k4{PE2!NC>RFU?BO7RGnN zZ8p1}#un(Y*YNHZvhM;Z1t{`)7lb@Ic4xdk3M4@|Dn9`U>+du-L-8UhSRQd*+7lf6 zEkD~{Z*DFDV@iKH+T?kM#=R1C_X?d`H|eO;BT^_fw&1D81x-6T_$Gl&uiA(f-S7&2LA zkjP?wM~piAm^YMtHW-j-4;v!iS+tSdW(g9aYTl@*XlolQBw4e3i_T!94qe)c0=4)D&lA_Hf`N z>x3fqTk2)OQ(*TK z2piz+n?23&-tEITlyL9^3ju_CPBUI}KpPQ2?d9icspB&49Sl1%Nt}~WWxcL^};=$9KLslrWggU@PP zLME>=WMR}waCEZG7QP;grV`MYH3_C?K{Nek#w|HMK3ve-g*Dovd+adFO*?rEM=SDb z$*n1ZL-I^D{XmH$3nL;FIpghz5AdB^;s=;LT-+l<66X|QeLjJb96JqtQmiM>uKwf0 zKqDV2hk@hA`1*Z<8LbjQfea4iH2z}!@ta=Q|H1m>MFdgqhkZ#4JAkc8TYLb=`|pJb ze?og$n*YZ2$KT04{{L(J@zQ$#u#3RR^kUUh$k@zI-v)3t1NdAJ(ATxp)5m|&8nm^u z(bqPIeI*hESbx|6b`b#U4}hQFH=T(vUVOzDYyB&+(IfgKO4}Wypc3!tk-cmA`o*}3 z?l!oV85%-~KalI2vNX$~(j|&ZJ7!Fwb3Rec^iZRhR3L^Mo!6UV8a^PmUmLx_d1rk!-N^?V-wCQw{FPnloj8mq zxSj#A)PdgBlmw2(jxp|Gmx-2tcy3ZQVv%*-V?Ot_vOSQr(d-F%3Me3#OMmB;u885}H@~ms$H3)`T0K75yDqmb* zGjp}SGri^KYdAszw@=n}*^AEW>b}Z^3Q_Egt&~#$U#cR`SZdQ`qg;{K>Lk%szo^BJ zQGv0Za6=Fchfc~HO)2+h0IKXZy3*;$OisF9bremQn` zC3uG(=hTxQatuP_HYdbI6m}!Pk9E;x>N>s6m>$MTQ`2s&s=uT4(P24ypLycd+Z%yE z^%;%|vW7%y-_*AgZx2h0qX-p643^_lbM#K}U^Dr2cupQo*WelQHv|Hx)+Sdu3*A19 zM1-(ZwFpblNiz#ZR26}$H5)9SZTmg8dwe#7g;J{UT*2%7dOT`r%&fh^PR`bEpm6Lz zQnvbLNw$)M+al6Em`@_xM9hka8DE^F-aSUfL@0f&KpHK!1~Cp`QiP+_Id4ZZyf4Bu z>A9`(i&GPyBhrBVhRVA>g3_)zNLmua=WzP#QGl+vH1!As-*Ld_QxF6y`eCbFwUgH> z)YnHlZ2P$y+f)2su=&5F`mrMx-$amRS%jxaR9wo?uzelj{MwwKZ=)o-z-Q)$EbiKk zaML!*>e`oiu$t)0aX?X6kVzZ@*SW~Ug?V;UC{tCNVQ|2~gh&zk6@F9h0 zNd!x2i+2(e?r1HyJ|G3BT6EL4*Aj(U%s@bd#8Wx|zBwq&SVjv|2$To}FLjq+aM{@_ z7MLU&lGS+7l0<+joqcT|Y7AI%x9UNB{rVf+x3-8yygf`z4w1r-GL*JyyWqk4t6<}A z`l@kYMk;G_w~(*j&ZDfVpK@W62Dz@#^|0vM#W^z+C9t}rx;*bV-d=vf+5VzJ^DI{- zwGlF~?$icO< z^LBW3hm~&D4SYD3-Pa;WfrIs~=XLwZ3aK}YLxXMVRM?@mVuizbqpu=!5X8S9C-+S2 zmh253HJFr1ExWPJ>YE%7Ohr&eC?^!zYNH-=_c}`Pw0!R|$zqh_Cp{}%Q-N_|O*4=x zUGOW7=u1JvgUT@+qoL`iIv%+%YbYiM9{;vdf#?87sDcYGp_JE18nve-y3ukne!Xv# zqb17R_5`+JsPlB_(ue;rhFYt4m2L-S(aymu?&L9tTW{$g>4M&i5>o;@8i3+q^3zeft=Xee?q#FezypB&Qlh`ehKmj#6{FSY*Y6#C{Q_KLjG zvHyX@(7hbX^dNcr)8Gd_O%1631yW*k zJcHjj%-6V`bC*D|N7NP3kfbNcA9|D6HI=u9mB(k@*fo25iO4*n z&;f$va#F&yfuTfA$$NpHtE?BY;e*40R_0i5R|SN<9L?0Vb(4+T5#A^#CmLYUcK6CA zAme1gks}3L9KppRfAg)id;^heWN~J2nmHm+f_B&2`=EPHMH{$L{EBkCBcRtcz#p? z<$JabKR@lN0xh-fkfEM;xz*|z9jHC+GoFZ=$1M(vv`%9cXHJ|;Fo)WzxFHZ}>?opj z5*?z!YTd1T$ve*p@KJ=@x%)Di;K{BcF|oG@cTv=>%$jjkyb1WAjoPvzT)pp&`Uj*U zP)uT|wA|M@P$9T**)Q04tTsSU3Q9Uyf#9&epj;hW5d+nj75(SlLjazwOuO2SCQv4wPW zd#7LyAyqp_xAg#O_<7>BwjPj4M?M)LWS`fFawrFRqs7v{d?ps`qNfi@5Y(M7t6#gh zR6C2(_KwJfZY5!x^{=XFfd0OQ1F?$RuMN`!xsAmfH@>FE)BlQ_)dh|)=aj$H4jPu* zhmEIgG#tN>d|$@m>j{30rK%NdVr)o~eZ@L%+<7UflbV`0afjL)D5?(Lbz&-@{ma9vtxH6iUIZRF()!?zg$&O=T zP1aos{r(g$dZqvoXdq6%*xb^^{YEH&5R6;RDe;P0-{5h61e<#nYTZxQiApfWDIdY@ZTtb5!&XuLJOpl$F1 zpHxFVoGf^{;XI#v4`!Jm&apGyDR`{76_!+6cRBArMwiwD&#>BjAHkludwabb!Ly5C zaa!=g6NuEc~nospoM$(iQD7*f(K{KIF9;M#HywRC{r9Xo$Ax< zBGqUnO4~YtWkc*9NgWqDwW=kJq0E;j-)4(O$>X-97AF#({O9d}$>*>y%8@xhpOkx> zIi8>9NHlFQ>h1_+8MpX16QagE*?bSrq8b~m`-mpn4fk2qqvp2J3Ppe{OHr}D(tmLt zAfviUMH?-e&h2YnW0M_q_IL3E`Gl0qgSXhXU(W`z@l>Fb8;5AXbp4Fi!dxaeCz0hv z6Xq{&Z7g_u`6z=oyI6q{zr2)L7W2uU+&tFrURM|U3-Y*2NNAH>7h`Cc(x-Be#UV5t zA6cJbfio6^J{4nB8Pudl|0pBWJqqoD^I1$fn|dWw6;=mBl)AwUh0G3)T|zw`Hq_M5 zqhzmp4-~Xu&PJIMCl$r8Q+`~aiN%bkS5z*Iy#we?e zhgvdutssgGAB6y9M4T#H3EX&vxag>f7Zi`%H|OS_8|l`KV9<2$h3wWXH{8mNv^670 z+2OE%K*VRE(T$XeuZ#z6b4VCJ(=#jlVQ3ATSM|q@w09{L5n#q=qa7)>S5YPysXqH# zfJXpDh-6d7Gp<-++oNgarqAtQOCXr1j>B9?u%!+-kWbRR9@TfU1>BfiOC2)4DjJ3o zN|XKb#@UWKg>?>~cBusv6`C!6=`~Wu*^>M)&2{WbLY*wynbw((f`?kVGE=lA#+fXi zM&vQhSSFJgc}O2<93JYv>6mH|m6f!#G57L?mWksJ5P&{}zk*8Q$eG+I7>q0}S`<34 zfD^vQXWw_s-N?>opAWAN<+Rsm+F3)lz>h~I6YxrH&_ejIh+o}3L1LoU8xsPr+7Z8@ z$LOjfNV1i>YQH>PIkZ!(Ei9xO`-xbYgWZ%oSkO@6W1j#f=)Ae@+`~GyL$2#w6utIo zuHGE&#aH`A_7+VHhoKEGzl|N%>L4>e_V_8QyK{vEp282-k1O}~ikrkuO#|o+lV$eui zO~2UiBy^pxM;oXv*Lmx?fk0=_e z1ESB0VJ*7NhQ%e6X^kZ@9!i=>8Ox^M->v+HFpC)lIdE_rrNSEl-?mzH`zUek*-O>o=XOHR9^6J$CugG~9%U@7XvU;VSu*?KgO zu)}b3Yt#$E|?XXv2NWb8NB94*MG@GIkc%=q#~&Ts*{=2xdA{PGto zE~v4Apa@sWEP>LNO+5tj-&K6w2xc6ld@zB5D)=4yY4@nf@JS`~$^6v^wM6mNZcIy%lM7=Cr8iM)PCW?jNvXc+#?knTa~MN+XJmr1)eC#70_SR>VqPE{v2IT}(xIhO(n=jf3OXh`sB z?LV^Pm|k>p{}hP*=>|sT#peqwjf}Rp!-5-tg4_r6;7d7aAf$QcA*!9P5M0C#pDEi)j@SjUvw~|-K zm@)U3E2njPMM3hJm>SYe&M{mpjLsGW&!$`0yavS$4iLmuN~2KHd^(Pg>6_Y_GRTy& z?p#}S$n+rq&5@wvFIBQb*!pg4do>k9TmhF-pVAhZQew^M8*|>}4`T_=)5TlHhnz=l ztLL^r3y*`xqBa)>t>Os}3GJ6dlXA06gIBPd;!#3=3iqVcK4#5+rAECs9+h$b9R57V znh9`{2&&DdI69^C)t5+1+JDhL8}B)B0#QlceY9`N3zIP`bQ8*W$!v8g?PESsBM)|g zhiTycA$LrCqg;U+@ zxpO-Ll|#ig(Bh#>!hRg6!EclFjQ-dq&{qhfygL8^Vx-O3x^SC0i20xy@g=`H#RW8L z=``J8%+UktE9&RvdR)SH&6S!v?HvLEu%I}Yo14N2%H;#=pr4-UTCL9a+?KDo3gyA< zJBe{YdB`Bi+hHIe_AU$BSMM0gdAz6a95e^)+5KcAxs#sZ)i)=E-n{bPHyh7=WynB5 zwWNRjJlr4HC>yHa!2gk?2sN&3{lnyK&lyoDY%;yKe4~W#qU9J(n{>f4G^KRL4b5B6 z0+T@@V=Pi$!UoCRS$a-PPB=PfM6|l$@V~v_2tYJ*uS0 z$kYZia^)onWMIy}b1xB{+c9(X(`i#mXkuK2JMJLROUvK zUQiL?Ba~skY4`kasqN|XsD#}I3mK9GkQu%}Ip}X(5NK)unug0~j#BS|^;MWArDnI} z3ukz?op28c%i7_~Pvx*ux_aDbTJ5ym!ntX6WqN9ax!U&_RQ!&-#1NmfhJ}-!!7Fva zz>}^$J+w0dV!J&;y`rcBjhRH1X$LdgQc{V=++wA?->E@Bv_5>Idz+LWK-)iSQ4Lk~ zgbU-8b8bC@6dcgrr#Im9u&}~~dy1Q%EkE$dH)WqunpjoLG_!SPVE4c2mRyPvAgPeoJ4SjCONW}V4x_2~*4PkM3LJ~TSzOnBN?-Thf*e<`WT4F^Y>MG(8c^{S_!w7HTg83e0;L?Otjii_QBAn|UfbZ|pe~ht zS~+@EIm+H;KO@s9dCt@q|Cn79!k38(OVKU%rHE7kbQCl0V#Jg-yU~mCzJ;V^Pv2Ym zl{%qnz?fztNlRhQPz^uL>`^@v%eL!RPY>t=|8IBp?hLIb^`LGPPsnfd7o$weELN>; zxmveFqgKRb(mvusaYEBho{X$M2V|7p8uw%oJ6OWOXWUHf3s1t#eUAB1dZ6Y64`Lp! z8EJ@0nb}C3CtdPdSL0(=2)A3~P4*q7J;~xOc*7GG%}DXsu>n`mL!W}G+QYIkSwnNA zJQ`0xG3$qlwbDHH&mhW0s0~7rHp0`Th&`kw;W<}Wqh<1G3(kh0**UuuO=Qw`2>e_t zdqFH)JJF8^#KJ{O{qr5+lYwg{)lk!iNEEv@9?Upr1`7;P%^{bUcoP&-Y%>UghxkUB6Q?Los{qW}#% zL#sLH$dsf)de7zeeeVdq%!M-=HEA}ZIhbKH234<~p`N0r6uGY%G9YX}A1Yj9ld!*h zEQVyY+ht%CtkO>VI4i=X7;c z%pKQ-jD8xOm73=Wol8D9MlUXJf|gs9d3R8n%*8KeJfjiO*3t;h=Z(u3xj+qG1Q8II zNzfn23_f2e{cF&#a7+yTlK#nfK0@E!tea2d5#`ifnXWjg-x9$Lspk zPOC7emM3L`mL`qfB@d9FexHUZOwFlC!lLxWP(re=u5~mGf~cjMXtIwt@}d!8q%;y^ zY&Q>@8L1HfU5Yj8Vi#qMwiK^jZ`x4FT0ko6vh9PKjyD2LUoHh13sN2}7gx~ri6Oxf zCw=2j5I^k5^6X#F`3iZ@hgyR&F}0vKO}7Wz&TSZyMgr3p)1*M}o%VbFVg>m`U~`xn zi!?vXHJHxVFv*>-t<)0jFDZwCSo{D@(iyt7*lE^4%{H_C^5?0yF=HZjSzeErZo939{-J00*)!h}nfOls3vympwD3NHR(9}jK8GY^pQ zwgu&1fW6;zhkot73Rwa$9_-&d{wdhl>;Hg(umDhEV{6B6q-}%GKo3YFq5VgQj`79Q z-w&UFw)krJ^gl4<3y%EtV*q#=dpVeBY4Mqu8GodB3BRPI2e<+JasMUmj|2AC#Xp{3 z690GrkjYC%wD^pSFaIp`^!UuoEI*EyYglGFI(#O8anYB+%klEIKav4rSXtTrjQN%7 zr4L+c; ze(bit>;&su=)GWArk9TR6OR8}IsD%YCcyII_rdg|`Tn}jKl=gDxPWf^uMXH>k$<1f z?*rzgy?>AWH5ym}&G-@r3-CDiqs}jZpI0x*U&hDpkNqsmpZ_nN`A69R8T`7W|KnXh z&%f@zoN520k{@H?$DNlK{vY+AjEwL*J{x0gv%l=OKbB#?_uJn|RsSdb25|mK_c99p zoB>`!e@*~^LH0NDXJiIc6(EiA;{de4-xZ$amkQ_agHBRFOyZrqv$>9?*~>frVWj<% zBUi9gv@rf5e!%k5=m6o5-z)LE{PfQ{{4&+_x2f#kabC*wbHM+S&1Po#mkbxDPTgs~ z*2acBqhV{!aUm6?ho6@u($*)*V{8oB;|;Wqi+&KxRTmTpMWBkb&vp+EJ92#i#>95(S^wd zu~#fu6sx#|*wbuY&%5xm{TSeUC=laVd4{Fs+I@wT^eAXr+jxnkts}NDixbWfL~Rc= z-fIxz6Z*Ha`)Ez6i3PP=UjpUR_0p%dbw;REiz`Bg;ww~&x?4;>cV9M;dQu%!dzFD6 z&Xh=H`n?H$G@n0kMzLIqZEb|AGuu`q-;L!p9%Q~^NLu{3)5;a%;KgAV#8I~0EW-w2 zQ9og3X9|;K~#8HETEkw~*7RaIhyB9&aMMK)5D$_dvHF9T&24JDoT9+ZH*q zVMP&}`wr!Fbirrig@ozdQB5r#bP^`G7KPU`j(4xNua=CD6_t0xO@U@Djj9mIqs?=p z*+KiZQY307LW>egE@FwhtE0_dFY-`!C^K&~`bTm>LbNai?2?s>z{qh260 z-V~}DL}ak0cz%G3%pwgN>r4(XbuBNWT7$X%CO7}u-xmvqfBaq0F6$OHn%C?gRUZqV z5L{;Q+Hvy@9!0D+YX}f1Hx@_U!NJ#zuhJm4+*BN2xP=DR3L2V-4*IpO#C^mKp^-5=6d8h_6Hzez^@Ng{xG;9uZl0QrHq zJUu@@@9$rYjqM#BU2}4#y?W(cSGUN;l@0{t3t_rM3077OqoX^qu>(m-L*KtYudbfb(#8`JMV+4BEH9rZ zC=`K!c!Gj@N=W3btemE#jHIQFEiIi;Q^)!Fb&`=q0|7aqq6UM2d;$ga$jTaj_bwI` z)T_UL^W(<~ARtFDFweBK(S?Phckg1*(L=Yk&NnvBQd39g=8l+|Q*Lh`ySvxHz&w(Z zM`mUY-@c6^CXPNnz8M?ag@Et@0`dR>@kmG*o}NA=CXRgd%5Qr5;Njtkg(U?T*gZCO zaB6BF2*?2f!Yc-#PC2nhNEiVO{0Rpqf`K8&H21cl*W&Ppd6)S6MeEiVm z<(;~ExtCXab@eOSU4UU+TPi@z`zJwTs+Rpn?yzqT3b7Xh4r7D+y@5sN=+S^n>$=vJL~J)1Oxj- zO`SkbpP-^rnw~zUrB%Vfk+QXQ!NQWv&Yng|8AnAGCnc4?yL$-^?im+1*weE?PoMPu zedgWWqqld5pkUVN>8-46{u5wu06PBpJIHGw0Z7fUzaWX51BD zn;Gl=6;@&SgQ@)6XC5%6{KT05>Ey%&0PsK2!Jm`Uj~oBMWn~8V5B^ssr$7+L|DBxv zcXIllpPT@56kvWbw$thVkMq+HSK7Y@`2QQ=_YY^=e}38jufgv~xNjWse*u0++BTDP z>lNi|XjV0^lMGibU0TO>ozd2wOB7AVQk7HcjyY|PqYl^1Pu$$15LPO3k}lzSJGBc& zKWTh$;M0)JTyBEAsZ>#OARx2i`XcdAL-+QYULRrM4K%ELfcyP5OdZSaeL#)->p-oX zPf6tSQmi754EzB0(VU$f8d%onN32B9TFW%)(}?NJ9vkw7tjxLl7!8vw7Y8S|vT;ujF zMSnlA0&0uL<+X+%{cBxBt#()40tJn<`SZTE+hPoVwJvIkM7`5w$=nr*N<-+zE#!fLs{prl_6 zvH$A(^2h4)Z{L^S7$1L<$p3y{{uliIe+m5F-`~G{Uo>^T`~_9~Cmf>R_<^uAe73qT z(g6UjCk?;0m59Evq0!IKOR8Tn03{MbfU3?PT%PyuEu8@TVk$aTT6`*cHU@k;S~gn1 zAKg!;(F-F;O50o?AHbLVdlu18%*bb9Xr}+;h4Oa#=1TaiOfT;Su&3qq?eNKH%#AJV zZE5ta?X}Hl0Gw)l8)I8jb8R~#8XbExGkrVqpPVLudW$~51zjKuSN(tr{ zsWSl>pMT>qy?lP@`2RseMn_LeTSrSzZ|m~r+W!1v0Tr~{lm`wivy(;jaIk0S&fEp5Fm)Bzev zN@`K6LCVolNy-6QTH3D+w3Sw)G=-Fd5@Tet<03N(w6(Rg)4)+XT9ZfuAF#YcZNd9u zA9EG_*2FRi8hc))I}h9mg5L=Skra`;yb5Pyd!?ltj2bK#A|Ep7 z%uuBlzfY#K{na-dP?#<%|C>rMOY@Sj%O9S5;$!kn^l`7I%^_c_CdiMW8eP%~apVJ&pw zyVBa8J~^|JJiyBUs_alfmL|_g(_B-O&uObMQR$pnBOyqLxa^kYW z+)^@Eql?(p!AxU~;aF<({+3HPn>@#mS{`Qz)&lZ2`-Ncwjm7TTL)E40F+r~}4;roX z!^d~`x*b_OFmt@#!2zv9KHcba&ngf6V&BHHBk@A!Jcep`G2D2NT5CL>yBcmD@OJQA zRvm*|YnpPhEcEjxhzdLw58NY`nmOuMhb|R|AB@=#9gkku?W)5!00St`KkDM)FDUO9 zGlr8BFt-23oBb=wV`Y2c$^JF%QPI;ezYyII@?-c%`eR~d#iwGRWx=PXrDp}uAK>a` zY4o`klIdXBhog)WyKY1gO^^v_^-|Ov?&bsJ>+M zH~lkpMQE8=VYKfbZ&n$g&IPcuAs~d{z_*}228wy{p}=#>SV`*fHiBVJVAxBJBSe5i zbQ9t#iZP1Ob};#flMW6Y5FJv7dAyBv?c0uLP3>wrriMJR05LK=@xGhM7%ZpUtSa%kb4q7u z+oHj1X@BQ*1STVVDjK+~0UIL)AFtu~Y?Po(ksd(ZqaZRzVEa#(;#3_>P+}kdm$M z4L1?w!f-RQUIm5RmzU)1tBqoy1zTs!Oua_xK}v(gt5%e5?T=erHzn3pqB3m%Ij%-I zV3}290)>jQvva;0U}MC|49CRzS=uUjk}L#kKd@9$_5`u1`Ff)Mvk;FSebRgFb>@GnNMKwkko{F|Opk_>d#MV+@BWsS1%fXNU zSkKy?Vqf5e+@se(BSpmD33i<%k?t?)<;}ChuJ{V-(NX~`FWdh148qWrtFIZRZ(M*I zMFJ6f0zCaW1cM?PlcSs5T9P{9Fcj@`r~9(|>XU?W=ddDk^yL`^_Xg!-nhR|}tAfDg zo~yz4y4##1;%1Q)Y<$h=82xy4l9KJ!ty!TYSxa@<{|7Qa&A+3RL)Dud?m6x=I!(XD zxgeOBR|vupi$PpJd0b7inV*wUh9y{rO04C2S&wa~=eR#d6VBs1{KzK&3SiEKyn6{( z-EvyX*i5_W2pyv)xyOyt}K^J88vdhyllWQ z46R}qsj5oVnNFBP&8g-bkFQ!DwDxPwYQ5%$yQlki?hWoE?%R5bUc~%^5P|;8bS1Og z%r#ic_=qcUKaS%oTx{q1m;@=Vcwe${HH7o2ji8YpIaI(Xr=|2J zRnS|shTd(*CXTI^KBWDOPw5cjJT=n~bdMejE@C0MnuA1$h!jIO(j+lbj29Cb`J$BJ z6lG!opHQ9nL>v?sq_+%`5z-;&%FS|*JSxx0e;UFFHzJLAV~UY)R2Zj>GsY$3netb5 zRiJ9rQByBdtSQ4(WZGo<*mTF#Vm6u6%{k^J=5uE45yWcsSB`rhe*KFyouYZFyRlF- z^S$tuPPLLUIAbO;Sr*H+@*9;$kEE5ZP^B!Cv-J*{BA&`pnj$`@Kf)k4nqaIuQ|$#X^xZb_T?i5}4gR*jQ1+UO%* z5ksh+6}OfA@POvRN$(lLp_4Lz&Ph*XwQ;0i;X~=- zk;ssTO{e7mB36lSu$Ux8aqax~=f)hq0o5XeHO(z{rH7wEkhh?Oc!Oi^J*ENg5NeZlY1w^YTt zor){0M?vI^dyGBIXt??emBvNZ&JlXGJ`W$xM<8b?hhNc~D8WO{TdHiti|%ovL8nM3 zzfjGXpzE|hd7?lUv+^EBty!`5EQOz{<=RC;V#efz5yOYY4~-i#c+kKBu`k9%M@9CJ z2oLMmH#8(TsE;i$!0PY!LhoKZpZB%&=?I5HM`x-`diNg_RRY~&xC(_Cj8Hnx>(~89}#Y~ z+pMmW$u{c&nl>Sm?KR1^EUW85yFIque!Jc7$aX*gPq5m33zDra%C_2FDGLfJ?b*q^ zT!WWqk}YYLXGAy}JiXZTV#{T*IUC3_oZ1axvBxzCcywe^uAa7JyX$#davKAe46-|B zy3!|P+LL<)1Y|{oyC^Bwmg9mg(bYMu-39+*y;^{yy2|i>&$;_3O~@v^lR$TK*XuzfHTlB*Y7`f@3PsVpkpTA{^$JvdHm-;-#P!eVKt5E4x1h|jei5L zhW7gVDuYmrPwCU5X_ zHJRM)H;*>PGOYprjmI&DDT2{NGKz`!A%qRhe#|9$;xSX}!GwNZ2d~Lq-8v(}n#4xG zd7rV&SfAXOz{V6NO={X6=r1e`4O;I}VZ@(oi5UTNX^|1{s4MOpPsyh3r>2DbQ$|~= zD*Jr-c3Aty=A{ZbxtWD^X`3pk=3HuMO2<`WkBsG@gXv%EhbJ)uYg))Z>lRXS?Lsue zUtB{kvlIKV!Mrb=Ncw7__i=yI74#YY)ba_~;SDwj*9!jo=3YyuEt|LbL`Iv%4{7*Zs7n zsGmaB6>(D}*fQi;Cahvhw_{0nPZ;3wYsB9d6HISu`ajP%aa?45t*K4?59W2Yy`kA? zXl#r5BgsT6whb+#YPNl0+LkJ5wgCY*^&ld(+yM2O+G4E0zbhCuA{!F*IBM|G92bts zA`!PsqDZQtz~A=t$Xto#@K}1#t@z(L;PHaMN~8IsrY})%|Hj7z0{7Ty!1|bbDRH}7 zYE5Qs#pwE?QT0*oB>)=Nc0OItEIW|S?8%PN_S8vbZ9k?a_-y4M(fpOGAtF&Rs4 ziX@!vAFzJ9x5$j{i(?V%wOUYH(6T;5+tb(=()KjB#Rh%&#M;vm>lc~`Czi$gro$E+ z^y7Y3TESXYa>dUTHe4z$LBDC5^pKKXv^3^J;W&F85zsBp&;5nj(RiX0Z~H|`W3*(Kqb%&_<=P*3|I*~1vH|q z34Dvka3J?nyXQ;P;W|q`S1XkOD^ND{_o-apOaYYZxsLhf%BfV2atUl@o~dYi*1E)Y zv@22VTG4Mab;G^{_4|PW&weU`%mcQFGg(gQO*G(=y#L*pnWcU?1X(h>Vn&1-dxBcZi_L?DhDU&U?TJ_V75b#kuStDUK}9v0_l zlRRo&L)mbTrwGGyfu>=79|ARcCr$B8wf+hpm%Co0d8m7V$qGE?VcI3HQwX*S_lw|7 zCoK`PVVGy#65ph$?jSvg^&;Lh1HQ+Zd*H%!v};!VJ87DJi3(8;F}y^7PR9wc!QMAO zh7tEGUa~&I7-0s+83fLt|0K*`%`xD8*IGw<(e^TIPXe34ktx6=*!L<9qYwATJfG(| z?0q1g;vm4>1LinL?T>@|9jN%9P?!iz0u}%~?~B0CfQNwZavO|05$&hJkEfY4%uVJ8 zb3^eTd{Ug{ecX)LFvsjO^8@i1?E%IEmG~&xl|nh%s`JA8$UgH~;IWxo%o#_*UTX7R zyUOciPGv~LRjKAyXOOv-A?NPw zm$KwRhG$sYi1CIH%ToOuy`58?YYL<{sG zTB=V_=Pn5SDvVvNchMsBllW45POhS3?q2$=9L7FkE^(foVet5no6>bq@=^w zJ4ikQxsFt4s>b?*I#)H;AJv(xu|{l3HJlsxepdN`)ZLu#@HNfLm#I|V$lzxdPc!(r z2>pE7yv&eeS*CJJu5(V&XCmhsUcb5@Th)0|_Z)2fPWx<`?tg*?#0l#ibq}ATHs@Re zvw)4eo7{t0*P3fdx%Sih48)EyL&BNUevIK$|Rm`!#GDt6UT1Nx8}kJ~`9 zj{SG*;3wY&^{8X7oO_w~-hGR5ff=qVxQ|-V=7d^nP~GDPX*$P2_1%iRg0VamRG26Y-%~O5mTDQCfRKsw+Lh~_yP|a0OwcZ*kb+uYo z)Vrd99+GEimaL}-P%c!w-;EqqhJ0C%{0PYRfe~=iXV+D(R?@nu^8F5#&vRVWv|7C< z*yg5b?lPLm&<)rUR3%@*I8T6Aw@`l7vef(F_mt0bLmy4OPxzf6)Oq8d+ zD&Md_hrqWt=>g7(o@0pN7(RoVhIkjJ@?Zh#0`l7#cs`{owxt#jZ&9nVwa|Owv^XhF zTV4DPlyB3Q<@d3FCn+G?kiXwRZd`;Mz5=oO4aMZIQ7(c02(S%rzb%xf=TWD;h<0;e z+k}4SFvc<18N1NuUC38yvHT@%kVAMMT;w+b1@x_uj{ zGqzY?R5*sbc`?;D1?8)QJNdjFZ@WAAt9;G$lYP8{e>?_{tNNjhu2UY7^)3*!_oW|@-%SLuG1ml z9iRtepMgB(#-{>9ECZh*p*sQ`1b*e%GQo4*NuLQ<5sj|DPTdUcy7f;+c6)s%&BuK6 z^~FRCd6}MPxOY&SXB)Li+z2uERA{w2fGwq-5&htL!{W$MA_uO;ueb2qR;}Us6 zK)VRv#n0;MTc3kQ)F{X0oQT|G_vl(J*)E{?FxO1k=YYVZeg$U@K*Y-aIyopHpItyX zMZPI8>0e4-5}2~CkBD`}n9`rRKdJk2@BP92O!YF*hi^ZyBWc0K^!2j2T%k45o*5bp>NAZyf>q(WPY+H*a@ zarM@Rgp6l^XX3knCvSWd?cbuk5hz0Y9oUY6_kodS{5I?p_>N#J-9D*iqJAf2AAlUU zTM~V5-2|RX1X{~G%0M6PkP zZ(Rp|08|4<6FmMyK=_>)<9DJSxz9QDzse6fe|%9+o-=|e{>&NTKjD>Mi0?Xcr0)Oc zaq28_AC~KooWC|@J`XzM+{M^G&Z$5x?G-hpsG~(KYeW4k>c>eI=eEZs&rIe?v0Hot zMeQu=SKAWQ%$l4>(UkLG#aXg$VwhczRa6AbIJoFx$yPyOU`RNu%z1%dvg=A8SwJ`p z*R0uD(sR`+D@!&WS(a$T7A^{03r}NL$zK75U1iruL84cz;NienScieK?-4hpgp+R6 z%TYifCi_FoH$TvIfqe-72ka90hU|99(q{ya@O}0UNk?6MhMi7&PRG(_1W0%%E`^d| z$Pr)^7z0$&%-$mHKnE}YpwNTlKrv7&EUcIHVxB$d?}jV}nt={LCF|JRus#33ONjnNc#(roj|wH*mGFNLdhK2VB%LiHK?D@?NRXB`Ia{2&oy_CRb(T0yXPwj5%-UH8 zW1JPU5UXVoW{Xb@TlN)8K;~*~#ga}}w=3!zc8$1fQEgZo(MGi~&6cZ`Xr@-DHEAu{ zZmm=6)|{PMr(J1swYYY>n8%gtDsh>vI+u;xX?J)p+bF(GkRG4~=mb=(aRl~U_7o7o z-H2c*o)VQVB!T7uM$jGw+n~7-=SG|xRyV9}SP7)?)B#OEOVX=NdQyEv{Fv|nq9{s( zH4SS!3OOcPKov9{nhs4z)QB>U8G0adfjWRm+bDot1DW!bB>hc*CjDbFCgn3lUuE3< z`hwv?8ZD&Vg|xGfn!!*wVCF-lr>94}5hGF%=~0`#%|>%UbB|iH)Mng zLOp7+x7a8yDDF|Ymm9o*_oy8;12q?FuGFZJn&z7J8di#17qf~=0+RC$!KbacnSoMw zcqLAtltduAfNKCF9I_Y)0nLD_3_xaq7zmy47zOvSA%X@ z!IPkWTERp7JpGi)Pa3^x`EKy=Ec!QEsS5p*B!5IJNiTQ|yaoI-5~K$BO)I!Z#Qzli zk3)Zh!eQh>!Ov?{#vr%Pb~jNjC#WIe+Q!;Cw@8VQOkb=)x4zYWx3 z8DfH4t>8i)o7J|xfmc$AG5rRK?}qz&r>g{ zlf!Y#D=#vecx8%t#49JAMGVPl)Ga5klYGez%ex0zv{S|n{*T}}apC0e)NP&Qe>#ur zTMzY*w9@M3zZnwCW$`QiI5p1j%ieSRqAyO@TYT6bcffJMA6MvUK8Qt*B37Yi_<(;4 z@Apb?j~8Ct>aO4-e!|?R*VtFum)IBC zbM4vodG-uPy2Im0b4+(kb2uEDLv<();>d_kjG8z!P?Di}1Zk>}s%(1{AtA(DU!e{K z&rWnEt5&MlmeFW>HK|@#7A-BRj@u`mj4msxjymeTT0cnXj~bvwm3?ta*41N!3e(=K zX!>LILxj@ey$7=dx9&aI&_JuB!<$I;#@y)5wYZLHYZ{|AZ`m9&``OT(Q2L`Ymai(m zk7!EDqC3AiMN@xsvZF_;*Vaeh&TfbXL}Mbmp*p&HZSL3Vhm;*kb4B@((jus#euzG= z?5KEB*y;1-4U=IcUui)YD*l#4Sd8S0Fp^JWGOR|1VVmYxln>_TCxYIkl_Ch+=Uo}J zC6UXAA&{$15WsPHYMd!6EmT|5CR<9fDG6Gm!nBQ6&8C>JCX8rJmxnZ^KN6|UeoWC8 zX-taRdXJVRQyV+`j!jxs%b!WRzTe}X@ALV-d%nj#2Y;>eR8|MFU|omEK}Yz1UZZXQ zgEC$ky0dd6H0lUN9idTxXyOM4_81e>!&d9!&O20KO)#$L@W>uYhei{39HYU+PDjwX zI5hGE@dy*Ch%y)IA!@M=wCVZ%8#BexY%{)W zSz!@;wv@s^BG1v5$OoXJ>elL3DuU{yq5>FJt&5B=H`^>L`0aHO55sCl8xoK)v^V(G z9gD>#D8%Ce;EA{~Gd2N5YwHUq+P7}+PlyRIl#rsq0ZhXYU%vwV5`S5|DUva9N}Lhr z#B(ASj}O4G=Cb{!oebK?>{Ip``<(rpT}A~v`Y%Z0oc-^1CJxzzC*TSNGdANu;iiuh z@ff`j7>EI6%?WWK(BEoDBe2`Wurselg#ZqKI)FX^4qXNOA;4b%J_lgY_W=JG;Aa3! zbcCs9szb)TL27Y8piRffc$Yjr@6*dbhjwLY-}WpGb!VyA>NNsA-%^*`s)CI-Mk|1C z0Q?c)V}LIJIL6C(Gn2=&SvwFzF#&`72p%RViU|`~00z_Lo`?woqCgWIA^@ra{$}1I z9E&4Z3J3@gP|A$P=-=X$eys>S780zb~f5ZhlSdN{Ofic>W=vT8~X_Q#%1c^G6S@%=-@| z4t|-Ih=PyO>$=yYBY-r!K+-w+XeO>8J10Jw>?LV zM3N~{^yu+3*n9uQH@j`-%`c_LoSnN) z;G-X5KYp~YGWcow)b&4{JNnj7zy~+@@Jz;sMyafVt(0%#7%;8|Cko+fqR0gYvga8_ zHm&Rb`F}fsBZWS_L9fyAD97jbYkc+PZsMLDojH@f`QXL5E}JPl$?dER@A^^tJGav} z(s-XU^a+0c`t8Kg1?s^G(!=Zr4|>tZ(lOn(L#k)}zbUwEUTVMCd((Wi{ZHnP+T{&g zbHUT9CUdjP*Whoj>y>MZc{?v^TeWT4K$WE`w863=)NSbw4O#|62aU0!Sm5BM*NlgY z4h4>Enl+v(IvJSV^p0_%=of*r9XDJz8mwD80*$P&1wmKJNL2aXiCI@!)cec$?SW=TXol}fFp zlBi{EEhVmEwZ_n>)-*P*)5mNkX5$e7L(qKn{yMK-rgvM>!__4v9UCh)5M7za z;w;g*^SI`!4yU=u?y0D-L^n43!!H(BSC`l}?_;&0;II=cd8>aKba=P8s!N?s#cI8! zK;KqgQdQYeD>McU2v0Q>>)f7K>%8TjUOn$FGBs%OwD~5B%B-{1SgI?h=+?%Gj@<#4#%3I!<#PQh%w zpj4tLhq>RvAoOC2nevM`D#!9mGUYN;E}6L~n7d>p9wNc^;}+qp1d|crBfG41eB>|Cv_xrt|6h z>`R}8BhT-V0rg4c17yH(P0uI{QPV9GqrfPKWmR$wx}cHrlx&kqSE`%VF}h`330qLr zpo*wW#xqbn&>)%Dw?6&Q6fl?7#%QZ>B)D@u+mZ6VusV|A)G@bdxqg6bHu0U)AsR&6j zB_k=MCZ9rT@eL~QoZ_5v4M5lNjCvqZ+Bz?QU(olELm8iO$PB zS|uBy?iti8DG>KT>25zX92(Gk!e|Krx1lpD(K0TTv{uH$M4A1}Jad3xgJ1`g8{OBHYk=uiKREAd0 z56P`?N;%JQI!f~M&C6INX%uGUl3YY`MP2i*yDrwHro#n;XberE88i=H36paLm%!4l zM>E;YN5=1WCD+?w;Goor%N%9)G9n|G!9 zpsuI=fTj@H{KJXu(KC~8y!PSnl~=xfEz~&fpD1yA${H)0g1$}l+`__ZCkMz3@xic<+sv$F`v_eFkFm4=6Ypte761mb8*FYMeLTHL}Pk8OZ^3 z7?Fb3LcABZ!dGw}+2MOgc{%V72=Kp=3hzaF7(w_m2~LSBq9B-4$nyw;R`3H*?~pVF z1u9AH^Qfj&$5rzxR%J4*kTSfwzPN(e#Y5GKndqu%!8D?OB_IBW>2eQl;=024p1Uut zq?J}$Thhvw*hQ9POZWkjs7+P4JObg7kPbftQ#wrPAMFsP?ch>3jni?PKggsc*z~OIJUpYjSGyXG?m6H0JD=gx zczlwiYB=F4@OZq1Mrt-mnFTP<{|PKH)byHh(pc@WieZ0v9{2t$Uv#xq2T3?g0@K^c zUk}Fg;1pAb)9{RE@Qgudrk$eCR^#;*e<$gqxM=3$40=3eVp?1*o)QJBujW=+R(n_Z zS}dDfo7^wke(8A0^``Aj$2I<%_jkTq-dnyQ{T_GETUZDIPUDLTHIL>E_(aKT^VtGP zZIQOe+pUW}jS#P2vulFPYJ?YjCg??#lXLhpB#9Q-=VT<1a%_Uy72e;iL9h0j|shIw%0)XtNe zTL*sor=E4|UcE5>NwqTF47e-M<=?}8&VXeDjP=3Luk1Ba?!mh>ZLgUtJ!D;ITRN4y zAwgMLbs0@ICT}E%lAl>cCJA$;j!=8W>5_qx!HR1Yw?ntXf2sI*>PXnW*ix2*{e7jS z3X>zleSfTisvMiV!19U*JUQsS5TNnOWFQCg`xLpX^b#~P1&l=gL2Zv=4@ghG2iVEJ zvv#oOpa<`lXUa)WdA6LCOVuTG&;KPnc#ENjKdZWIuuqas1zVo~kr7zw5`|aBS_*L;fl8!;k9AdmrA}c(j>Y zvggqH<*N=Jo8G_e?9Mk9L<7?-{2ak{?Ahf@s{^HTgVyiwTE4F1q{(m{-8z8XwK6hu zla_j9a54|c)X7pO#OzQgB5;9~cmX)OO=dW|%n5cGT~$ooqNP9-Ef$s&1-pfrB7=Mh zUdEhngI+50AV?NLu<)GS&Rv4V=obsDr8Y@&ve3)E%@Q^TpHUyo$=k~bjmWDZC+ifb z2%_frhKE|y`3|MgK)C%-G5;f&Q&Wk_Bdv@oV{K_yjVo`Mu8KH^^UB;holYcL8>W%g zHYg0aLYPS)ffry0`cI7ghHQTB#CQqZePR3;ur|YX-rrAN8EY{4)r5NA$}eLK2-5kl zap0~CJ`?QVJA{tFe(pd(Op%oF1p9kM=qxu{-R=5^l?1i?d{WbLmfnt_*j)56v3hk z88K_>7(PWEhk%9}LnMR-x!13dtO17kB~;R>l2wSJO&x?oFp{s>a6MvPsW+>Wm-aA1 z2lqHn^MXd|o0RFYRK$5K7h}Ge01cJfppCTYozO`-bpeTEf(AJ=f6Yp2YvDGypAK&1 zHwO6nIt*E&A#(XVEqo(mO^Vk{(!g$gbiBC^q@%lQcYXJVTiZ8Og#6LUrH^brcWmDe zE(6CeJKY~W_I$3TKNJ1diW$Xm#Ymp(*!JgHRgGvIEU)=F{R9X8rv*wvHr-> zDcuT>gav_x9c(+-?%D0-(w0(zXG2=4Ca`(~MpzaD`|#EIeTK%bA{&2ZA^lmUxN z%^p#=&CkSWjL=wPEE^k&aWQS8?)ZpN6;)TORI2u%LrfB^1Mwb5=g#;$d75oVaXjR4i*!^6_z7mDTIVjikcY1fx8lGqzQ`MdJ{9% zjtlc;Mlqq0M+Ou+Sn*4)n;FHGbeGIbfKXWQRmBnh?BQLnA8#q?`RTsv>$YFt_vAY- zg7beZW7pk_7A6+g?sWJ)Q{g%Oan@!(A<^XtW^+neC{zy{I>hi7*Lhr-O9> zQesLX7Tu6&pPI2{>=}Qis56|2>`k2Vo$$XN?z5lwUyNLeUbSAe-IP5dV+BDbektma zz5cKqb}WVc@Dq8r;}qlgHZu#BGD~4`X#=c|u1TzC*26PoU1WWc=K6Y;LFX6DhK9D$aM*oZ@#K7)f3#+k-VDVY|V03vD0V;F`I z(xK@L#iVH|O@K7G(@q$sKxF#g$)#j^((b>vdd%Mb-+ur1(?0uI#TYuUgpj zy|rE2O20u4Z698|{q3<0a}KQ8Id5h6&Vy};Y49O1NZ{iimh1EC0O;Fi@nN&WZtW!WaBUww2nE{Ua?i)@gGPyJc@?haO zDiVu|i>RV3ehSzqqDJ~7lp1M|3`Z_U=m^$0EC63q3*Igu1@5x1>6wYVpvmP~zix`0 z#G8;Ro%eNVspo5&6yhw#Qc>}imX!KUfez#oG~2|%sJKuD?__~4$SV(0l9njFCO9jr zs*0-f%wIhvW(cqo))JJ@kWNiq+b;h;^77W9b1R=Y{o1A<{`vGPCrPTPp?B`G9n0$5 z;?H>%@*N!d^;4f5AKQI!*P**tCVQV>O-^i^xBRc0hY$Q=)8ZIp;qbjr@gC|h@R1WW zj8g6_R#cya=hw zNQ@@QY8QFqF_%$Wmn}=pQ~k2)Dg;Tz`+34wNn%C__yhd`DsV<}hgNUV6E`;p_5dU( zs>{JD6XK_Op7d1V?yoJFs;trhuNl+(br(Lxo~gw@+1bq-424ROtvvI1RYT_xHLB*< zuHLy53l23|O}vfIq{?UYv~`XG+lSFx^b_=tK)Zc;yqdyJ)BX=8_2 zvX0%#61K&#^hwC@%~lYH6JNDzS9dK)LzHOkp76MHIgbm1I57cw$EEAT`-5egM8vID zY{&2p)9J4|r~`M;k@xUNOD3P5T-}T>Xt`GK$8-zT1NFgxo*iWk@Sw+-M!JnA^hs(C ze1W3Eu!-nizd9oWMywx^Cg_IId2|^uh-Ddu5E0|^7$>ochcSh)h>J0WTX+KvJBeC2 z{TXi6>YDZzJi!Kii0WFqqqVtO5Wl(F@+1^8{iV>=ci-0<)wAH{0$4!Hz0ar_%o-F# zadiDC&k0FFv|>FyS(#2G>ynMhw&b(?Zhpi#;`y1xmvTmvnGzio6Y$emFk1^)hNeUV zp#oHCY^xQ6@jZ65V3r#enxz(~Qi&QV1Q&)%V}{Yc_^{x^zJXG4t>bDenZ;|5m#W3F zWQ@e#A|{l8@=|>y@Y7~jGzuhi6?g{}e78nE2@Tt~YC(mz;=Iju)*uZ|mMP(+laj~j z<&2V2=rZ{b?=kqW(OKZboEJKj=OEx8IKkZ*oNo04)!_d5$WsxBQr7U`(LNn9l+UdV z4RkE|W^Ft$?UDC>{=4Om)<5xsdi&H_$LezZh!hUhD zWxsqvI3fPlb3*<=I4hpjkT`+GcmBU05c^Km8fxLK8>Q%fFT4R9 zQox{#6nmf&a9WL1*pa`{$h(kG2XkMx1ZQ+iE?VSHJ&Zpo!53><7v?^nUsLw<)f@Qq zg~qTyVNevom3)zIx%-oy^BF~< zFtyk7a`<)6>*3Eme-B?v7^kBx;oj7~ioL0k&@WRLJr~0l%L?dBmRvg`bXH|FRCt4F zEvkRBJJTsO5QEMwrz_NwGUy~CX&jI8V}3(S(3$JR!NvouFAl$xgX3wKKFY zG@ddPXVgqnW(8S6wKMH(yP-X~k?ryHNbBWqhkC+W%C@sRq#g25sy}l!aVhad=uXJJ zj1&A49|($m$q^_?g`oJvP-WB~qJoj>u@n^#mQ_~rj!2o)=^*hk4JmuT7izH2RO+EY z3;jpx>eJfof51qq>cGLYWHm4J>b~~(5$Ym!__d6r_SLgV`M}CSf zQ8Kj_`M{xQtEM%rZRHf?&J6VYQhCvnr>IsYbyFnU)M|}yYr`2jG({3@sBZ4yB8_#f zo4V?KRMSW&?aJhpq%VxPfan)#mW{{2bmrnoUp$90Tx$*~;dqY8qt+ag5Swx+YfR@T zH5AQJ;dx9U2XhzSrnP;}_~CIBH{UWE8XBU8$`8#u1$GeCZ91#Sh1|UP#exev6r~_T zGsfp?Bld#zZq2#oMpoRpIp)1rmaHAQ`ti(2u3zJw;Iu$<-bEP`QNM3JE&xX%H}j`CitbMDSoG#IS5)a`O$BB&p;2o1=f+ zy?yGy_kOuc+E}}NruOcsJtt7-`>%~P3t~gSYJ8@a`^|}$YFDmSYyaF^KGHC8*BV`J<^$4gwAY}zzFbdV#zns7^ z6qYHt7CSl%x`HAK8dMG`lM18AUQ&%-QjJ~`ZZBA88xPgUl0!Ct583hP+BpWe7H7x` zv(%7whd}wP}F7!iZu z;W<`LK-4Mdl~5N-ZXnhe#1)VPtJwZQ<%8O`KMfDuK=W$9zWvk|b-uiX*)$w!QTNo& zUaoz7_R80SXbJKnKYBcbbG;e#;5bA)hl+ZqUU)jVBlv^tk9?Kvne1f2G~gd(2f1O> zuz7?X;l@m3W^+suLvmD=L`_yqIu3|Qb~q%nXyR}vWqiWPG%c|r7vx16Dd0asIqGdK zO{Mr0ovPB8K`L4_NT|0%;*DT1WHMJwCbrVa4RbWb@mvqbz_+gJz2sZl)0GxYN@d_r zHw7vYa5Ps%M*LE5VX$zhz!WH+pyCM?o}l8RYK)+Yk;5246&ue_o$ z)O6|E4B#qFwebYlEl_mWYDC(hRf3VmBMd)vi{k&Kp}8I7gcb(ARK^vMb1Crz6pHL> zfVPF}1kRXxWBUvst|hob71X>nk!Mxa;SiqauYJTP7hK=+^=wC1a@)O6vRN(S4aEAg z29Go0$<0e{Fw!$Ol=RkGa$QhK*1Dcbcq5sP-L;D9<@I$;`MGdXt^NL)UXK$Km4T=P zL{q5wC&^3|h4uOB`l8ujwj9kc2ei}LFSI`}m$jRQo0fZqdlvJcagZGb#v{fNb_^Js zIE%TN=46|#isHK6Byu51^vY2dpyHDzqsTglc7`P}E-P9~(qgi~KnAEG{N5C$#Hl2o zq?4Gmnn=XyMz1NMB`Z`DqMEWzdedN&p=mG6N*wCp(9bx;VQZGA9E7BUKywh1j%YYU zNQTH^h>#48r~j|$rpf$sr&OM~1_*)P-%1bR0Xz7?2(>wSGY@t^2pta86S*)lfS9Hg z#ns>iWy<9|pVOrW{NOn{e7C>HuBs@p`0=}T3k))?GpDnCai85HK~gaPuq%PZ8@>t9 zK3TE3R_Ix-)&`!I{en+bTO;3QHr4NIAFWxP#JrY*+WZ*QCXY&bpT)2wP5TppB+dJH zKOHIR#jh53ng)G?{+-QxeS7^!eMkM)RA#$%)XMmZ=|FFBu=uj!9m8bNU}Ijeo+~m- zO~A$XX;i?}lswU&V?=+@F>rt@^hK?&w|ITND4T3y9LcB|X;RpRBOfL~4zoDO;i${i zE9@0$r_dwNxOWZX86&}+v@1Fh2k)~%Md-Z*l`r8o^n=(f(|&%}BBJie^3 ze$N~$Xoyf}8I7PK)PU7g=M<*IKTxWv4e( zA+iRA3-cgO0C>f46R`K~I$N6=E${zyWW~!}Qr8o--M=c-ux0Y4+Kyix9N75Yz7Lo0 z+PuK+78#JZzC&N!cJZCRpRb+U7gy1X8$0EAJgJ#C~ZXE}WQmeCfCNL2-U!A?L3-~rqaz9TH<=yat?#9P%6eaAUq+X)c z*&8}3m?(KM8tCF-k_^Gs0#^pEG-ZR;3|A*yZ7^e6+o@PA-A<>27MkkJkje5Q43xKS zkp*S2B%Qmc;r-9rxz?;E>gB=iL*2jap6oWbyT^liu@`P>$T+JUjY?urj^-sXEk_qi zVuu{1CD9@)Zb=kn1%x9dD+NhxmlcSB5{ro)?d|_1yxL>pIL`RY>^*i5Zf|cnZf9BG zb{F5vJa&8>*b7Hkj4^R-$4E}>-~`3Uw6zjP2IRMu7WE<5q-r8HiR(U)l`2szSuK81 z?4${il1Nrsl}fGSw3fmjacbGMYFWfhV-7mAhXYuR%CS4&?%thXzVG)cDhi;w+S>AR zjh9PI#1JJAY8$-sF!4ad&*lutW#-xE*Qy!t6aA}%?eqeB(t6hRFbb+1YU$d|GJAn>7 zuyu4(-7SqT;Zs)6yyVtwhiK0AUZFeGjz*pF!{XZ_+6CZu!CrJ&+(!;J9BOA^}_yM^z2`FrQ9n$>t}?J+U-5z{JkB@;S}1eL=tGV~SvyR!mD7X0EM8 z%XvK>%^PwRA{Nt=)k=k(ls%{QhWEJ%w}4&8bwfrKu^MPMk_&Me+H(N#x~oKlO-&Se_v-?DsP$W{N4%UdCr%|a#>CN^O6!sO0h<;a?jw_uLioL;C> zH&^}0A{#&rz+k>-z(u@7)umM`l=+;r@G4x;9qO^@UeRAjk0WAT^>fmDbir)JK%#my zLDhsUOD?)8c~EN(1_s+7cLb}xw#`~y+wSS&xQ_n zQ)8OMIFW^anq9xdxtO@XLQdaoZ^5_bn1Prk8C7~r2Lw2P z1OF%wOQ^gGaXf|HE;NqbbFAq93TJ{4a7QKJ-VL~;5*RUhdlrmh!E;PcGn3Ck*{3}$ zlrb$Bq*?$K&RCkZN)z*P;QIMS&nw2Bd!N;BiI=)@>s!0F>QZs$`#XO5ot<#MfA+=r zDt!<442xQExZF87b82&}G*Y*>z(u}0bXN$x_tZuv; z?!?bp2k|lZbL&I=H+&u5#K9nj0mVnw60Q+9l1|J;NfIXr=fhs2C|os2)2n9Cq}aGY zZ6K|gO_`nG5ZH$gs(Z*VIEsHrj)P<5BzT>i$c$$Gpj^TenXAgjcrtTKxq)w}Q<=Nq zOXc4r{xDppthKhmZe@%0v~ocG0KZQzs%J0n$88|8}KJRTU)gJOG3wQ#^Ts>X>TPnYwPAFh&ig z8&ihQ7;!Q%0}=a*pcK+WVaf#~D_lXLy<{rCktH`)I4I7LADOH^U+LPxvVuvbHXZmdCrS z`~=xr70rL{**mlEx!Ce}JgX0K`?jSjlJR?g_qdlc!(TlZzV|%Uxw&g|H)wj;0Fv-s zXZ^4YXeuh3lbI4y}nzF>)m}xLKW^$~rRT0+LDp?Lg zgiP6t$g)Wh`l=I3o`L~EfJiIjWr1`1Q7mr`hjocaj>Jin&d!Btb7(Prj^U0KV+?xI zNSd;nBega-X{e{+iS$$&rAs30D@qN6m~g_R&ee6QZd@=~a0s{_R!#N3dLOlv%PDSI zy9WlV@3I@T{)~CM)|Ain+3EhC^zyUfE7XX@j<7}neis=7vma;jGJJ-kPP&wr` zE6>a?n!AjtHuY1iyyAppTaL;$l1k~J+SI0BIa6fQubC_?U!0HF$O+%)d`9~251T5` z!_lG2E^$Cr4^CNvQNITJxfV7t@CuuyWi#K?5}W8?u|aBXX|8DYZkX|N;{8#%chs|H z=J#I>&Tl(vN(6x1+fs>MFKRoC^0)OQ9wDZ9f;7U_I0q0_z z#Ua2+oX!!>;k?|s3fAAU)&{-8sX!IsoFwQ13JEa*3G2%HcDN0siSO1%X`uQpE8MK- zhPw>ZLh+(RS)wE%7Kdwr25~Kn_-PgO(n<;hYoUthN#_5{ch$!>ah~z_d2Pq%`0H%P ziQ}{HY~T5O&c$aZ{s=K4IaU=⋘^_5TbYiv8GgfB!s{U43V^y5Q-SkQW$>}Iw;FT zCKwC|j4jX(wr;D+KBUnyiB0N0y4Hhgk)~>k*?X6y(yD5*{k(T)`((e*^ZW89P?gcE zN4w8AOCBZwCd%TXQCO=Dxhk?*E?P@$ZWkRBaSG~%NWi?!cSX1+-DBPW3(cPjnT%~d?}@L#h#1xD?^I) zHUfVj9%u{vzWN?x8k67`!uwSp2=B-4N*@bl0hJF*ltZJ^2yl!!%oC#ZhIteW)z$>1 z7QUUwJa6{aNR^ddOqnS+^3(p5U-kFMdJHDB;ivv?~!`4|C%Xh?j-=u2^>?%nAkF;B@t zk$6n^jDnJ;284K3z!#Ooc$Jt2AwWv3x+V=#hGgB@<_!;{){bo|%@X?I=NltzXg+Bn zhd?830)k=P01d!^21ofz7*>NuJ=u$jGCm^4h%oCONhDx_(*g0x%=C|lK36bApW)NX zzd3mC=XC=Mvrh-t9$m7#BfSjoC~XM?*!a z&$Nx8!iz*St;QdRPV*5klsRApIqHS2um=vJ*QlR4|BNg~r;5G;hUJvusBr?{QIk}q zL>mx0PEneF=|@Azh@Pe>yFrdLah{|HBMd&+So?*;T?&9 z`z7%fe=A{V6~3FmKCVjX6$}=zM8)W0)CqmKPuKxF@MdmvXlvy8=sp+;9ZQ^rv(YQ! z^~58=TNc_4`-R=H6rIFpfjmToZrOtTnev`?+S?yv)4HY&d8> zX~t&6j0Z9ka7cC5OTl0OI~@)ZBPn-S%LJWSZBZX@&!X_TFm5NN2!}7xIZ$)`xjQ*S zE<@?aru2QFbYxSJO0SM=uYS?1Bis9WcJ);~9?h9w9oBSVV5+c5mNir-XHcD-MfI$C zDi&!1>%u@%)>2ZnpDxZNB5*hQeX|ir9-&P;e_EIFX}C|+u{;+|@X0jPv7{)G+BD*Y zRH!};Xl}BGhhczB)qrlfuA(T(K{hQ1J-krSC}}yVLKjSO`0x_ln4+CTB4I`uiQSUr za2RyR|36AFYq<&PXGwu@f@y8(wNf@6va@tRT$(p8Bt=iZ3p9P+P z<*i#MUd*q-+c7|C>;FOyU@_1KN(GgC+6W5YPI`F zEjYo6tp?;YN+@oU+o2s>T=@xDq~6KR%lQqAvB%d_-Q(|J%1m~X1J&Hf8wag}_Cd#1 zXFuD|^(XsNqtqVjh<(Jd+c_eS8OPGJ+n%;(?Ac&Cm~-K@DS-^f4ASA3P4$DlsUoP+lO!!7{0 zh9(Scsy%zWF2Dr)IEH1f1+^=g>f;rJJeq8d3Y*O#+iDy}(V{P9JWP`WB-I&ssltNC zL1iNu08RvP0(g~9x+Y!tz6(OGbFTX?gKGkhT;ax9nI?$VKERz&U{ZOYJR;JjF3zgT zd*sA`l#r4lf@f5&!y?oOi@-NC?uCN9iIC;MRB@V!*z`bSQl9Z1x^8LFfD|&-vO~)R zi2h`XjC7HtLhYyk^rqr0wdRDB*&~V8T1y(motmh5NHM3#X?enyMpj#`9HWWEIUVtu zsGGT@<4Q_jY8 z%BkDKmEhtP%ty++WaO(@tZSZ@F(PGV-j!zY%$UbSK@_u@T)JN4EsrR&z;h@|%e_ISy%$Vjbn zw78w*cFWK?qL&j`QvH-g=Q)c`X%?O5ERm{8PG&WJbZFl|EY0??Cs`wV4NIu<(I=`^ zW5G(D|0>DdFNVHe@X0g@4O0b;@K{2y)~t4e3sz@_Y_R+x-K5jvl-?PnTC?Oe_tsx@ zt25n1tPA}P{f?Fzi7WB&qSgcc3p}K`bEL2OcF<)oW7~q^HV)i<_WV% zo0gW|>Jah`|DKw&;zpapv%dSkjF)|E6XzYr?>XPA&#}*E`)uDi_T4%6;yCu5!3JNT z_&i{xgtlRgL<~!ZG*X4O8v!u}r2({#QmRR1>x&B0rtS}*iKdPeng*COq=~K4n8YSk zLi=M16Qf8~rA%YhC?whQoWqMWO`1=Bp7V1TD}L_x`F+1%unO%ew=hFa!d)$cSI%P& zj2+!})j{z?>GEf9K+IGvs+Zb8?TZk4UN@KImzZnwYt54;T`*k}?{{`{`y(gJ-k0b5zb%;WLj8><7L6ZFcbWNkiJ7Cm#&pG4FIE0f^{D-Od z4^#0UrlJYL!5G*P12JE_VqtVGmPOZMY5VrW{D<n7}eCgic=BY)Vsn;3FD(is89l2Q04U!W^p=76`*Z?pz}eDLKd-| z2wCtTfyF6bcMPaCj|Q{2&)=c~1^xtPmi8AD8^5^n*PD*A_Q|FA`szSex^u%DBZvR| zBvc&I)b-W5opXP=a{U)4e$e_CE{r{;>$R?3bCXYB*|mQ6N7r!upwa{DK!B<214I`t zoC`*%96pKTs|N$DCMU?#)Hpqn zI4zPtrH@692`9v3D!DzeExDW67d)hro5fAZes!4IPLo^c7PBSzY`B#mJ+&Vj2y7ys zp-4ro67|H_u_wZ$P8Dd2u@aU@LIQ?otN={PfM|l}6tSUiK61&BKNOz#0D2Jhr z(@ZXmBmRc?xyzR?!?ZC3ySD4fUK}HVj71W>90@b<=3Fu>=cX5qcu|q26o#gu8;V0W zKvEPc;F_3(pOU!m~09-t;t)aap542a43Qi34z)6T_W<$Z&GB5G)OEJp zwdDDGe-A`=mpW@4Yzn9o$qU!%F<60aAlt6JDHhZVMm!3u&x;5yZsHl%kqJ(~IR>*~ z87AF^Ux*J%G0jpPbchMEbTH^pE-i#pF*gL?GAcxdt-|>bnlo_rZo!jfY|UQJwunLY zG)oy&k?G;AkQK9}g4}JHm1UGGRh`GFHFO`hP9C5J=|QFy91IOggU&!@JGG5|ksFal zv#Do>S zvcqNwF52E%Szu@maExmTsO(|guk;HamA-FSQ0e!unGD0S!3^|W*(`%m5QLZ%mt&S) zkS!quzs1ZNvguapvOB#ndRFFw%JjkxFYYk365=>bhIh+SCX;1@K}1JF&cKC?-N`VT zW5*rGu23|Mu4J4F{8)(zre(RVfZ<#)$S^d!;^)-K3VdcCdbJ8_F}?oe86~IcR1Q^+ zRRT{}zE#;;8TCKRRAwtoS`#{yU{meC-PGWvW*10o-*)Nj5l)m>K<%w^d)Vy_)_VFc07LyoZ`pTacw+* zsx)q2%wN7gsf!ebQ=^65?ghRUTGPswd0D zB7qtqHN8UADC1E~EfcbiwnCODi9uT-8+8L==p(o|p-s52L49S#1|?Ooc`eFoLIVRO zp+WJqOi2p-7PDkQ2phe!O9DbB(?Uv48yfmnd%0XMX*{oiQXGI1?3@CEZIxoj6~!*A zQH<~hptoLh$P{5sJ!91*Qwni5^j5|J{_{DH#;*dKA#E9`u&zm1tEE*}GXhb@Rw1owyJ`|>-6mKo6=(*@nAH4H zO+ph=Rnckt1GGtqKc;16QaZ8GIQzbHTCpz9-S_VK-TC|8_s8e+xzb?zz+82is;tm2 z?^`a#>~JV%R8!1ec*zyF8Lt@ykQ9toGe*s9{$F_g@(YtA z22;%aa;yjAN08X-t<9>9P)We_F{FdDl=-5Usa2JknluNC5ct)0b5`Mx)kcQiYM7%Abr!twX*vfnz z?-llk_9n(M$NA&J@x<}=iA)7o_!(g)QE9Ja&b7ad-zMiXAGUnb@|TQuik}r`6ATte zGp-53a7=3sqeQA1)udraZD|g*#neCmWUdd=UvM~Rc|_w0xJ#(9Ksq6090?&FQ@OB= z5ekPxR7wUK7S{eVPbCIitk^P!URZ8h2}Ui4NNgDiW7ljY`K}hHyP(wNw0I_N#mC|k z@k;z`T!=4_H{VawqG^;@%0?4tjAE0zt~4I48`=oyG0Uz~L3SN}uwt$kknu=~)UIpI zXTA_C#0jL94Lg&Q2t)^-!n70?5l7o76ar3Aq@gF3rm#eLAvH9iFH0uPQJT1v658kj zDD)LYO4IWHum_~dNBGZ8O^0?AtBb+dj^yeRRjAb;J(hml7bE?l^yntsjGe`nd>*hS zwdcvz)#|%n$W{C`(tD_@)v4>rWZQx2HvIDgsbI2^W?2(L?5`oqJebTY7N|P9zfXCa zx_jNdazFRD`!qXOcTHS$FUq2hN6;2L;ylDYC5(H&%T5Us-qY+!q2j%WE;@f%_W@eK zA2=84d~O-Ck7F3#!|^U?e^Jrt1O6k*4kt!1Dq?Ygv8=4a>1y?O5UAQ#NEy+g@fqIY z&+#nZl=haqqh7}A>C$8@zv^gIywj0mWoU{cE8Bt9gQ&b$mT7ReuhRIg7Ug>i4R3&k zcQ$1}ND;)@9+7XzH)zlxUIr!b^GJqJKBr9{di1qLibGSP4?I*MTSdbfj$WOe(SAVLre@yRt5$}YZyih$##<^oiKqoBK z5-}1)hUH=mkp(^m^(zJfO$?=8R*tDLFotsI)}EZqXv_ptVb}_DmLcaE%*=B9C5*?& zI3WP%;sWk`Kl1T@d-0RS?XXzjSySNg$d+&Q-}_<@R*QCa(}m)QC*p^Lj8_NoLiG-g zR*wpJ^xr=NKett%A&l+kjMZj|=S4CuV(^p~Vi?IB8zYQTf(U`T7?VppS96vcD+JDR z8aKgJIJUx_<;ZysbF&%r9wG?*{2lH@5wr^m+;+9Rz2+u(HX>6`%a6EP^K`Q81vhhW zYy&?B!OuI~u?N*r{t&`zpH{cBO7$(oAZ-y5CT`UsH-qvV!AIDELDi5yE6OX70?Y6s zcn7OIyv?>&x9&pV&CuF1GsnD#y3i(OsK%Qttz^+IQnF~r@iz-8-QjYP-MU0abtGkL zSfr5M*#mi|-WKnv#vi>QG?=%Hn>YMy`Z7!5Z>k-at-CS_4E~nHa+1w;yNYHIA>h$T@5E2NVs&c zM8+Li)Ll$%=;*!8i(&{TJQNIuEpRPDlw2B0lJ<(C=ARRf_w*_XaGT)tO{9nQihfpSE&aYuDmvC>I!V7y`XH_Skgs9WzkrWeq2{#NDOmNU zCX5LcfsqA#U%+Dm7Wy{#eMdD$WSXH<#`fivWkX&yXu1|xjdjr?|EmnywX%A*EH6)% zmZyM<86LA%dFdJ^Twx>(h_DQ?s?}cKv^9|17;NRdJ-zu}!ix^4gYc247A1VnWopRN z;te9j>kiiiakO+#d^ zxQEgyAYR!nGVtTJ{HB91g*N=*?@#P3=`oUy>FG=7UL4sNRGa~~EcuHQhdT@SjpS(m zp5Eb^XFZKSINI0Q|GhnJbBCkRWFghn-LdEFhVWy?sp^fB8+}4uvG?`#?t4X6!&T&w)cA&yqCOlz6*Nl10l>Qy0u@7e4_qG?8l>w=fOO^hl_t)qeGGu(>-=WfWlv*S2x#x?gM_Gt6cc|rl4S|1 z@2r=2f&J&!w0iTY-#)>JM9IUv35c_h8!5Aqj#djGs>LLd3Cbb41H*mEp z97B4G#M=+OH<4J zehRu3i_ zY@hmrz?gq5{8N0KJDEI!e-0UN zBtS5bj;H`P11|Dr(d3Y+n1COo*ubHKxSG74%&Hp-)6G=?Tn3C$rVy}+Rp+pBk1bG_Agyc$09;fI{zab z^tdnx+-PfS!)*{SC~Oc&b|v?Pvr*8!vw^HbQL>mu8-*%k=~qfc@UR6CE5KGUxrA$N zK@4k9U&=x`Rl8tC+xYI(wcT(13**VXzg*V1I_`HTlaFnC^jpV9w|sMD3x4LzM_9ah z4a)~M)Mjc!I}-8kEys@E>u7ozX5V@Lmf!$|N}x3N#3Ht8Gd4w5y%=Vok}3dWU_*wk zF~QQ@29+g0#gpNoKr`5{;p!JQgQO9rJ&f4<{NIsIjT%~dLZ#BB1Z=0w^#_6|1uw3# zk!Oj6Dpv*$yqGX&wPG(9OVrPmO4rR24kk#+#mo{2&5VD?NBeT-g<=W(W zQ}|iK(F`eN<}J=JkYT zhV-S{+E`rgOh7lc&=_K?mT1I{jS1eJKyK4x(14+a@>)?lsqqPIOyjiM^}QmD^>kfR z3oXxewTc~09Xl)f2q|M>BnR1-ejo{hIF_kADoh`d@=zwLYb`-qnyQuR4P*&$sv{`_ zH#i!ZFye|N=GrL20pA5YQpkZngF9D@SOp_)eW;8TJFaphTm4Pkml)+T=PekBJ_X_7auFKhz*vM^kZsA6_(ZFcrP~f=pxNAx}Y`bnhF{cnY6mo4yAuo+CEP5HNnEpZK)NaMieyVL6KO)4 zlSJu+91_qd#TDOZtIe`4_sTqy71@yac^S)eBu-dMxg*}Om|S2BuwkJpE^MLix?Q0ITwV^@|cMMF52yNs^-QYolLoQhUj(aOEWmaEcoRa#EgvSnvBq(rh2!=LrS!KC0TDSdEo zFd$aZ9JI1((ZN*eU?~&a3*w`}Cf4B6Q`=cW-}o_VC4pz4+%2C9-uz-)?U8 z)t6q{`rY8@-!T5%|)QC#fDYB4Uv;5VKs(hH=eyGd8m8(7w*{ zwJfI_A6U>0dVG;@w<51{OE#Jv^de%qw@OwOt!vfBvcba437#Wwwoo_2| z`zO*)MFgE1Y8bM(m?TferGrcGWSmU#lATD#$KxNwxwyY3RfVly1uM-B^{QW#h)V&0 zPvIv|AAk+-6!-Zl++a;%#rD?KW7X$Z4*Gn!hJy8U{{Ku@eQXow8Nb(OpW}-^&L45k z&L#FGceWGf96Ry(BMJEOK}H=y0t5nhp&6|-wbK;G5^Um+H7on-CNu8y z9|dF}bfaWbRH>6v(Ei|`iI!EU+8<;>U4^Et345N`PKD!p-{+q9!}nf(zvuTn3u)R9 z4%YiZq24Pg+8cgJPFI}-A^xJX>|Ar+b8^l=@B1vrTI(6qd>xcin*d!}*$zYZ`TU~_ zs89jKXsa?@*n-LyUIf)2j~ey)BWg=T^~WS8>Qf}rP#u&S1};dF+&)0sK#Qyc+%mem zOCNv|kk)`SW2z@iQ2DhCw2;e^y8F=9gYMX9;M%pL*Oy*7I+*Tg9VmuEk%S>VX7_Df zUheEwl-T%5)A7B-Z+viST+4On@^`)7)VJ@C?gjWUyS69Tze7$w#Js>9V^@sVJ^q;!kN`ExDR=QVuSb9=w3x-<;1l^Yjl`Ow&DrO38jGxWO#Wp4|=5Y%y zr^DXN+iYI1&(^f85|6t2?30=YbV$X2htFQX-e~qT7cQ#rsdrRXT_x{cKN??#Vhg4k z4xH<`D8DD)ky*L1hW4;ThPj4VEs~-E-l74nVlPssIO8L}RnlZQnhY0g(4=$c$H>?! zn=2(5xDYkr+i^YcRbM zBh#^RY%O*#W{x>=CJcR9L$ZVMFT;bOB6ccVN|ub$C3xGG5-ydR?dg(pg8k zRM<~k{<8lrg!$EHUl?v&=eJ`@;HS^%Up3t}yypv~kV>*MtZ9ZN3@flE7GGkZJxzPq zmBpXO1R0H+ct-Y>_o1TWuf8G1<4=(g5XCnuTh!Q$R~`xRrKj{JUctB@UlJZc z)&`}lu-TA` z7r`FBlxb%s=LYvEy42n(5=%twPYtBfDV7_FOh*!GPvnR?D-mg^Lt-ZNsW3B23SnlK zr64gg+LXi`jL(M2xHut^!_hg39GPn$Y=h}-L(INZF-#_ldd4t~g&}_LHV;c=f8PO# zIn;e1%OOw|N7GdgvSr5%%jLHQAsoSgaFvo1y4Q!aJcHBb<;5t zfy5Kp4|;4)(mC4doq!T7k+0xU|2;rW;gL{4U_{OEP z3xA0_Sx=1lp0pHS9#7BgJGp0I z`#*ij!Iy8>{xFcf@DH-T`>kU?TQ@A6tu@G7xV`1&8@@>1=MG!Vti|RiA6$Crmvj9Y zQB+55FNIQ}t`nx8o<8&L+-T*@#p9!2{czx@npAebwm0qfn?dXu2Lz>mLlu`Xtu!>T zJ!c?c1>Vh50pvvm@5LbAh)|?aMu73qpp~8IM2LzJRLKxJB63=fYD6~M?WV&r-9y$y z+(TP^_TL(M!FXE30d0q2U_3TlRE+6<8iDF-ga?WBz@@?!gDc9UL2zBVVS}}LhKah{ zd(Bn=bzdLWfS|UI9|I^Gbs$Yvh4l~nh4pydvjK(S`g1km(X}=U;x?l%XY}ZNZUORTm+Fcv=c+mLmE2nHUXG1(WG1(eE2GQEk#LjP z-QiwkU52|;>+XmaJNfPoq1YvNcSKfMr;+H=qluAphdxfi(F{XR3F(yEE${(Ru~m6; zg(oh)%wOd1@@5`Gl&UeZk_c%t+JaWr%*$F;GhNXLD3!LR-P6q4LiRFLg~C%zBr&7h zs`sGB$V52Husffp1tGqC8Y+B2$5kchP@Xl+MX2GUYtUaBzj1VUFFr5SY? zX^0x_Z)kKDM4A?boRyY@;O)<5cAQWtlBvblNA{Q7yiPu8Z0~L{`gt}qo=m-3Y{^e- z5AN#niLOwvrO!z`mN&Ofp4oHco5t_A|1=jCB}Iuwg#Bdv?Gt_J>22vmBBUr@K6ixO zRj(QuNXLhvu>xP3nNHISb;jIc6p(>-gtNy%;qH({M6xU*vSqKx+CV>OBnRUm1-=cd zKO6?*;~O~J<`8${Js6+W8gzTOL$~|aDci!Uz<}0ic~O21SyL>KpUIgL(-GAgi}P35c2Tb;Q^X6C7d60hzYAPi=CtVT_E_4I_}va)%9$ zFx(~1gg?qKVY$=l#p69SBwT5;DP0cA2Zsq^@1T6ZlhyekBJnAIz;m-sA*Jg%4%BN) zc=iVyg^hVyhcu`Vs48SZDJxawlJZ2cgq0b^WMHG9T=w^;X`dUc_gb>vcd4{D5`kbE z2;wbvI=VYNK#OQ#B-|m7+XHrQ6^@c;m`=OZ>*1?5V#}ifUmMf0Gh79o{f^!42sjkc zi04K01v7)`sz_!;vLKels(49!B3i_2UDtj?>41;Di5vjo-K?jlpu2E1p+N?EB=`W- z=Sc;y-rqc=7d8lOpPtD<8_6Je)w_`^f3~YGwr%SQ z^HP7bbS;vWOiHpP(H3ommZKlrw5-^zsLZj`SW3LavZDfSjKM<(tXu2=Yda)Gy#Ygj zJp|rf`p}1T58EE+wdv+QbTfh?PYV`c8;0$n7_cI1nq&=z><3+{?3^RXanKPWd3f%< zNBlYG`@WOBT6)LtFGWkSbILjOT=FI5ym~(Qrr32iaX%?;b$usz!*xTxBi@nktDp8r zWwjhBC#Q^ZX->Z2|CTJ}#1X|9GKO=dDK@3}dX+jmtt=Vtl(NEB;Av`J$jd>B;(2CG4ZJE4XARcJI3R3BAE1^an;>tJPl<@T?%=lay*_$o)O*cqRmZ4 zu&4~Cxcq4w-q9t__(e!yzc-rZ9R9k^O`Nz1UixjSLXX6Xh(D0$TU>m=*)9GT zE^hEWF7lkNUyZOxELmbDASS`g<%Fz~-O27{Z)H7;S+e zU*$ei_FBiwIQD_h*MRqP;ld{Lm8%!nDs951unAK0Jwbu834_{99s=DAd)$GUY{>SiWKo;5~U_X^w3+Z zSUgCJr|z8K(!emga{3#UyLZ1bm^Y)(Hor97cc}TdXtvhO&ZT+-a?ptNj;KuWTzRzd zhuI#^!*S6t#O(B+nt!}FkPG@vll6x5arR>KR%0r{Oj8Z$17CI>y*l5grVweK0e+ML zX?ocYI_#(eIS@OBxtIAEVFn}2V1yZrqew#70OJ$VhrC089BC2(1B?&f#WmdXK7fM+ zyH5xKKm+1h7ns@2+hI77jy*lG&DkPnYkY%m^SAf| z?%{812S}$SzRELCXa*V{hG<7D^E9(`GUH-&V!l}}XJf%+Bz8z;s^`joE6Y=HViA|~ z=6p;05Q}*8uAd1juHUs;L~l^9&~Wfu=_-9%wO-A4@IH?p6h9w&92viHK0~uIh2mUi z)?8;6dYl2AHCLIh(7XyIN`(@oQVrw3RXgjd9e$~H0)X+E6UDhzKZdDhXnBT~XNvFv zod7zD3NC}|-#Y=2gmg)o>Gy zWT=J7kS|lL&{c)5Dph!nPbm2f<7^R|Ky(*d-5?qNpwVLSY!x{koz+eSf$<+2tyUXn z+l8a<9~HQB+TjrddghzuGJ-N>?@sr^(%D}NbB{k3j=`RTJ^%RQcVm%g1X{1w*64Hk zCw#XWpK7ixNbv^tN||o9-GG+Juo977@zJ%xqLnCs;RFV&R$~6dplT&_=!&kTGFBqL z>*~Iis#u9RFq~&o^>nSWl&H^2Rojxn*jHz7Ly_ZFreBQ+j3f`d zTw%Z8>U3O#CYQU1Qo|-6=x0HBFgSFu^(BqEL2MY z&%o%ZN&C#%fln<=U!b{s2{o1e0kZ>pgq%-l)A{izmaw9 zW1kdVczRNS;Af+UIXKq5SlG!vI;F&xl1*16V!Con!FR08Ag&M;2bgg7mZQ=mA7 zh+7d1VUcjQIs(~hNi;HAAZH=A`Ei9M^owR5fuyV8bm9Gub^i(oQGtCB_8}ZGWpm6I z8x;vlayj6{d-oI&$dDpWOXCChp&)?Z0a0TgHeSeSSjG5iy!8UvbOVJ}eA$$UJtaa4 ziBLn*M09E7(j;_gnmr-JX=a=paT<)%gQC~!z=efif-@}3o_HckTdH)Qb93-ms7y4dTe7kK^Y)^>W^dTrw&$>$Ib?6a)sF4mv2WSpj?LD_GL}9jHNR5rt6Pu}&EC>C%X8N`zwj(iF+K{eF zzm?q5uK2#wXN||rk)*X~tyvqEd&}Ckb}W}*DVAsOk96pqI>Ku#&$}z-=uE6?xG4LOkiRW6=8A#7txLj^FR@d}p6u?tFg9`Rv?F{BpL> zi4!|X>xPko6lfaSgjE_zThmY~&}c^zcOA4qV=-Fj#*|7-FjUl5+CYejrLESHb}P$9 z)=`zvZt9r2KeqjWx@F)Yq5iQBn(TSbcG_@-5`}q6&e#1Wt{{}9&7Fw^m z81Zi$taIHJzCUyTUT_`auSQwbD)i9m0K)E=3g<%?WYSV$(Alg;r148Pk^dh?DAI5l zpGW9%dXhd&pP(7~6OV-!EzdC&aR!uuA<5h*T(6iLYGv%{hEAk64V@UdZ}UlyGI&y@ z2fw;`^c>+?nI~v;s4Mfh1rCh{KK@&l$up}%+|Lb4W9mX0rgdpmLRx#;Ik;zz! zOEM`xAJm9ekTnRq&`e^{EZ`M1h*Ibja<^zi#DPxr0CMXOwGF_26Hx~Pqn3XUxrf=u z?epyup9t@f_Qag!F%*PQ__16u!C#7^BZMCMNp}hFG=>l@AU=Z(6K^w8J>9*%Eo}@F z3W}IX)Hz7v^8TIQx-@-h-y=`Izo}>EoyVSeaR1lup=OUhH~Zul)z`lJ*8Urh-_d{c zsrQ!ueEi+(FN~wmv2tU1J#`kbW)LN^t-)HR&%&x&;Ieq&7>p7p1tNq-WdgPa2ehzl z&XBnNwCUGu-PJHeUfbAFX;Y+qjC>YpYYUDX$bNJreZ6B0YuGAG*eXl_q7x}Ba&9$S zaP5|yuM6$`yl@@~Yu+ZdDXnLS!paw?F^B~YGb!OI$Mvm2)!EzzY>f-6>D1 zGuguyG{}3=sp#s}pv&E%5yUNYkx))m%EVL|AxI^QA?$Q8hpf$^(KIl{@TxBZlA|t| z$O82=j1CEM>Ykb5?`)lVe)7%rz3DRLgX()mqMS0sE~lKh6Zv2)3y?uQyucYbwdzDOYF>qU6IjmS#F=>}KntgVrZ+Tu5Cb81K` z_-(TOC;6&PU#m79sfyLej}cRYm_F6Eu&SMS?GJ)LN#V%P5p)ufLfH9+jR|Agpo}yt zc_<`Si#XxdP`>>?S1K%=Z#vOte~;tsQs}!Au4xzPLK{d7s?-)rznx%ljsLcV5>5VL zhfq9u8?UKqHuI&KMEeu@{>8=eEe{#BCQy9i3uJ+}NQ-}lX00r2%Yuqk&d!SmHd#WgQY-uGS*}Dh1rK@L3i5ZTafYzDL#-3>(nwtmKi3Rt z4Mzv}?%um2e-fJN9 zmI&K<>Y?LbiD3hWc-gV?5%~wiOBdbU;KeA~yc8|$&Pb5(`v(cXZ{VY{)9~QyhR!$T zhsTYyfYwsMja3u2pkYyu}EAWs4N>Y3DRv4j>-Q5cRQZ`ads)h zIZ^=?@<75In5T$y9djUC{;Z@#&P5ejl|hg-^yKhj$>+<@@f`Cpel94v^Bl+$6O_8)(| ziY`9H_~Ww9YCZN?JnFX|aD1JGp90?srFU$Urp-j|sE5Q){ha-iyp;%ahaw^804CQd zKYPQ4GR!0sC(I&yROSMJ_3`}USVN&|hb>q!wn^Z^QdKAN*yQ^$wHysV<}bLNCESf= zmC&1ZpRG{5m%UN%S9_cf-Y6U{>wS}$iZx@Ie<{f+fEUndPE^0G`O0A^Q3_D@;8KL4 zQS%U`xW<$roWaAL)iX(zHUE_hCgW2hHm!ey<0I$GR#f^6vM6NTbDeN%zQCzozh!%R zd(DjV?A*L~lb10w+b;80yOcCD4br6wFeLi|^-A{iRu=lDyM7-*1?B^ExwSPicZ*q~9nn#nRB8wbqSXcgCHa?4UICtnjn4|3#c@#f* zB0F8g0Ta#rQ#iXA(#y@G%;k1DEKFR*4-?7zO4hyQ8NHxTsyR5NEEIs5VzCP}42CkL z)1MaQ#dtOmG-fm>6Lf1dxwYc8}D9%94^)kC7xRthnH5>*q~*ud*iT5S@tzC zdXbm`Zh#q)$oedgH*@eTLRiYmMY-&#u*o$+#9L6f8c)8CBx2MK%8#&o?0iUc+&8M1 z@qmE(*bjP>KyfPz@gs1;ml~cNr!*e>THDqT#iMUpyrhAc5_~~}kKW+OuIe2R*$?XS z$Fk5YspO&@!(Ox-vlCNS-nP;5i#aW^GA)M-6$${d%jvGw4sFx209RAKtX1iGHj8w&AXblt5PvSRf~n>^|0U8i zpX!j_W$ck~K{@D0_r6m-s-GMrJx*r3m50 z_OI!r3{l4LhZsHv(lAgiA9Mjc5WlTnP_E%GB@(Qi>&f;}G4(NQ#2bVB|AN6I5`cQx zVK7;stgWh`vmS>+wYd7>O+jOHGIhe_j*NZOKTKWAWxB-F&&Tj*teM}MM5yx*!lV~U zBauV=@ae~#xba%*UE!6`;v)-)%~N||xz7WAi4GvPqCxcQ+18YKOl z2%=Nl8X`Dt;b++pA=_M2ncWj-&8Z*6a`o(Wc5vINS9GvrTc58&>%A*qN8>K#Vlx#> zFt}dTJjk`;<%=7IotJ*@2UCBhfkr=#lH_y(yQ+A0K{)0rqCzHePgH>d> z>z)#c_az}bs;ZhAtm05r^{9SLaTHkrX0gfT=+z9?IQOsKLOI%kqa}1QA|y!-dTB0X z6_bs4DXD_9=*sxHj;meY`0rLBj=qk8$!e~p>El|Cu0kz+uhDGzNt4$8_2gMbiYdk^17Pp$g)x7^IN})TL~VIjgP&iHCEEX|7m%|gf%>|gFy_8}?@9{9lNMF`HtZ_JOT^V;5GQAy zQ-K4X>kU5z_8GB5e`?yQ3i&^Uo!bLXf*0gJ@_-K$9m^y3(&nAZL3%i9D-de3GOrVm zXUGC-&GB`Qc2H436<@0*g!prG1q^d+sq93!6+(9%-WY_LVBOFrfXa|y`TgT8BLL|@ zzlFOHk>Mu4RpVL&1e1A~R&gjxR#R}W;74)BYbi7ZYKblcE)S{!d!C^3_V^i6Hd+)` z)FatZZA*^pO;K7qA-S+T36PBl+sxet9={pA9$p)iK$H9}LAX_Kw1O>3+>={Y^$q3> z(y6F+N5y}o^t)ox@5DaQkDok#>17bvD6iB!NlcMRAeZe(D?B6;)F9^lWC8*7HosNt zsSaa@JGA+T&YQxdzMBHDH#0hvPbQ5=I$50ZRCgLrs@)`2En^0R_Be;t=d}{X&}`&|y(25ye@d}cSLxMCkbo@w!)w4S+*we%S1Xd}aT8HqDS`dz=twb5XiYC$57 z^X(cv`rCHfEIZDs6BrXGFt3K&e=I?*mhaFi!bgKfhk$#?faECUkV{_Cf+UP3vnOOS z5|`aL82Wir)9SbhTEb<)$%N?+|74YzJCFmY6A%wI=^Zwh2T~?2C8cDtUuUwif7 z#awkZ8ji+zo9fOyw|^&V(Lbp)X?E6BgKhkUDiJAc3JzzTpI8@2k~9kn=L_Hu4EJSx zHB@5hUoR>0H&Y}F=7ah&R8|3@^flrm#zd&75{zT#zTsHY&~x?JIdR{4c=PF=J$d8J z!aZi^Nt{kmp{c6KFgIdW*~9;4e}#oQrTwFTxRs5=Ul1jRNZ`lnPfacX3|x*ZurDEu zyh{dObPn_mnvVqSv4!$LyJ^Lm30Jf{WQe_}oLZwr6qBCrKGcT5X4A^@m=UtQ{9U#M zc>cw1DdaXQ&yU@Ss9&y@Ay#Emdrs%rLeN?GKUSmGDV*pru~&H2*i(x>NBj2|OC#f&7f!o&%xZwzx$A0ch}gaElDVRdR~ao~IY z{zK_u+@qrT($&G}XY%fHXif2_hP{Ma^b>ujp`W?w;ss*!JCyRjc(QIi~O@P8lraC2IdJe(k2%~66-Hz z6GkDE5+?G(v*H9Lnr)_Ot!5Zo&w`lq-!|zhpWjy6a4Q#_c+*D{D!f|N_qRtXDQXoQ z+E7ueArlH-)4FIM3O@i|5S>AMz{GayBkJwKXbSad(xmLT#K*R>A_`4Kvqbu=#RM>p z$*JcxE(#x&Ed}##K&FP){#NiR1FHK`7Lf$9Yj6;OvJc2Fu(Ce}C9sf4KLWvUiNkOpwRos$obS4J9d@6_ z{j2AqCvq1$>vZjZU{X{vlZ8-pG_Xg=6fv2H3-MnQg7-hjhLv41976xIV;c@IgnaTR zkGXw_U~JnZ83wT4j7edr9-*VG5<5StQS}xAm|r575R#3z^+9SrtxGr|ByOExEPF!W#`@8?WM5(a>!(hbQkT5xIO}j z06gco0B#k!f1O$NXHu$8hhe-&gW|n;DNf%pM5^7{}XdC@!o}PM6U2Dj0Qa-wrqcmU@sAS-{mEU+?{q%bCZrhdk*uTd3As(dFs@N-9WobSaP=-}Ri*)CczHTt^4`QnQIk>Y6(e{WjosVjjifD-}E$jc^*F@s zkm4Z3{}m-BqmAPN_s<*0vGEry#&D}rhs zP4`wEeXga&iQ|0H2dr{QAzmejt%_yqwzL8e(XE>V2M=yVB@g2%f$nU zU--lohcrna)z|bq+mys{%34{$=N85&>E3!HDGd3p(ru)*RM5x#*xEv+wmj^4ln*&v*d$uLW`s4x!=NlYIWz@kWk_Hi;TFd zAF-$}R7pi4VZ27%5oDaEEH%${TRWSwv}EFY;cnq9Xp+!;Flu~@2J-T@piM}o7yDN+ zSBI#Pm69B(ZfK!Afpt)+4Az_{WFd0Rj*=<2M^>*L^b>vgC|r;gJ-H}b_T}d2dZwI3EXyYpG~TFJRq3zcQ%gI>wzcDIn>yR@?g`o{#BKS) zB$ibO2Cyak!9ER0aOBkCaFpJSsC;kGvfx2wQ=6LYf>=~UylON0b{hJQ?qH+{TIoC1 zk8qo4wS+QOw5X|yl={`J)YNwkYO_YB88gYz8>ZBSn8^(-7nLAyrR=D^N=-n>T}}QA zj;w1Nqf+Xa{ZdUpwvuO#I~>;080u>@>4*FK5#&OLGrSr=a&j86s-GLv9ax+l%v=|g zSt@`waGw&NK7u%DZ%_6sF1;2&lrB)oAj8y(VG$3MRVMJIJ^zRTej|e2oOk05fcu+Y zBTdK$6aJ58UL7_$Zt3W)MBbxuo)$nCb4%>Cu26!j9sd@D-ii{x65HH813bR&u3%J) zPB;@ozytru3cnmeogBpD)}I_w8Q@+ZFbDtm8-pkXEu^HtGtEB7>^>;u188%%J21VJ z`&&r!%t8iPAKL;OL&TOoV=85l+47w=6K7*@Y+`17+OY#ysHZfquI%+LVWHt3 z($+Y+7Sm_3;5$6K6Vru_m8Fnn?%Bvw%udc!%~kGZ+dDsW=hop*Dr@S~4hqR}`8KG( z;8H&LiJ2!~$ldza^Ai>vu3ewc7dB3< z)Y?z!fX#Z~pdT|V6w^`^vVVF^8jhH%Kl|XspL^syfd&-h4TW)&abK;5WFs+Ru9(YY zekI4e_H7hwOc=SQkkSpf=x02u3o{6trC5NYvh;J4#lc|wBL`%C>Ad7~^QHrM?Uw`m z^*Mi33tO@u{1SS>?K>LZ4~3V~%L)g3ES1HA0xQVdyhJbETZ$x}V0MTp?q)m5hM`JN zQ)u!8^8(h1KqU>K;OB6hQV#nx%dD8}I1|-+#@Bw5m2cjjbJLjt5zpM-cpnjNI#QaU zAKrFWGB*w!?FdI4oVQs(fJps=EJ$M;BS$9(V|{CwU&+?M0)~|XpC11|0yj5444tyO zoiRS0sI85Yu(6||gSnlPt;4Tcz|qjy#tEN;p5=ESq;Dr~Y;J1i^t&Sr-GA)2 zNlDAgi%|(UnCn}~DF04LIO$uN8w%K%S{eU$9VI7YYt{cs|M4qoZe`4f&qn{NP{fDf z<;DNMaQ>_MUpREXR!+tazwubKEt|V=2W9npv&%n;Y%=~{NhTkLRU}wkw zkE8#c9!T+UEfokiR9t$4^s!$nP^R$Jw6O z>OY>>81R1Li~NMPM*6M4Bo$W_d8UYBB1uY~o^eyjUcloMz(sjR(-fT(E|$=j9#E?- zvX2R>0~hTGfJ<1NSejf;+j}mc^?4=C;$nqtCGoJ-pLO>dW;OKO21m zGg)#;wHC+JGnu);lx;Ve3ddC7*xk^?cAs`qTw&}!2hCSuN)llpRVF@kAie&O7m9X# zuP8f>&Iem)tNv`|K(_m_Zn-s$OPcI{pk7hvzqpi&der7ReQGJ6P$1IiBTI@I3w}1M zV=vYN_KTQ)AZ%f-h-O+tf|N12H46x$Y(If9Ar#dGJ4@D)y(*2UZS)xPTZQPO7FBi2 zs_NAc;CsUhRzmn##FB$$xb@WK6>-Bz=fAOyO(ny;Mh;1s%U&2vbpkPJM#-9d_Mo!d z!yM#?`|LOf6qnu5)5uawjFH_|_0kvQmd<@{ZuNj*xtoV`1h|ifE5V*_2(8e0#(gk2z%v*cu%v zk&Kc6E&3ZCQ5e_QY*?+bn>2@vSb%X7{sFxs7faXE$Ef< zGs;mdrJ9dgqySxa&30@3GgUj9k>57qBH2HjSBH{u_>p9pvWGzy$O8(}oE#R+JVML? ztBY35z^;lwV;mA_=ZxRcw(>NAq*>>6*hPR62~5IDhcxOHm>IH>)rNeHqNL*}8d9WTCQZ?2$(;rOX0`{JgJ(NqxUOluBw5Lzozm%pqk;+oKL0oBUgX9d?95 z(TnL$F;B25ID;InDLumb4T%5!Q6MdeTr!9@gU^l3Ez%YpOKGT$)N)pYpp+;sBd{pQsAerUS*nWu7h}G&Hej9HQrsfC!FLtA#&qfa z?DgUH@$mfhJUbB4&c)Zq`w95M<0MO)K-&&iDJ+LVCL4ydIg6w~=?LOlu_`b*tUBDOJ=bSwB*OyoafiL2lF*QVipd<{}wQFsiJVzBhkGD_7In0u)Kj&l}yNO?` zk)tjZ|J`8<&e%1XFm{j1J>}AZ>APltBBUnbiZQlxw{Bu?({3Vqm8kTe*Ci5dj%@3I zsvTZzo;Ej{r~>Dgrbe8>A6EricZA5hqE|$X3d6b znlE)_@?N(vO#DUJni@K1((>khM`j(HI&Gv<1}qs$Y|}%mEbqisBF81?YQHWo;A2CI z63s|@Imb#RWmpM0e1+_TGgX>@$%(yC?iEo7=`FdoF9JEhfkw1a2JMa^j=E9D5cU3N zA~{GATx9__ujn}jWOI60B$x^{A_#Ig{5Vj^dR0%H%bG`NCe;F%vw<3w89dj0u5P$o zW)iy?uXFLXGZ>gPM`5HiqmoEI_8Q0$9bmJm^Rh*My=I%hIL79-{dK+l1)-Z%|N0(W z`vj3)dPoo0-qNVO0gIti#^#yo1(mfr6vbZfM0#0vE`<;5HJ2C=!|G>h2ae_>q_cP| z=1uuy^y1F->hAD%d-AL^b&I{&X#Z1GO6cUiuPhpx5e-nfS-jhWy*fBBFkEl8evrRV zou?@z#6j4j8MtJXkq{7f#^4z4NLRM1AT~UmYua7Et?P5l&0AemPkP9UDMt%kd<0`* zQg^)nF*n(spXw@=n12U=7MEJm4zaX;P%2X5bvI*10*(XYOg@ifo|Iyts2a{N9>lO}m5!(=ph_NPKFN5MPwyd8 zhe^G1p%nY7#w=>6Xdh9yNd6S%n7N>Y95qiFd|;BvAm@wq(M!T*n|)N%?c3V@*l9rZ zxVvyuyELu#u=R4o8Q3c#av5kXVjx@37b;Y%2Oo-Zjx9q?;gH!BBy`>{8KAONUOQz6 zLNcu6)ELaLdi!3A{4hWCA%i^ScpV)(%dM9!)Ft&2>wqQesOMQYmbjJQ1M>;;upKkG zc!0YpAypk~;|#X?UY6pDA$IsBz1H0gkERIcFbH`~Ak`lgHQG)f-7S0~y$QOqNcO6< z4ECMCTQwqwP@k+mL8hqRGDi6<_5w8#_*{~LZhiWVwF^sMqvI~W-%eFeBD%vL2lG7M zt$OgK>&8SfEG-M$62=obo8&kJUhP?2JY$X=vKP7hHh4=ATmOXobTM+vmE8}lvz-<$ z(M4~0zva5jN!q*<`QxDb)UTkT_SyAv?b(T+6zo(UCx8e9DTp7pM-|)vAI1-fdEcyV z4uRJ!UZhx_;-Z`afu}FQxr|B*v_Kr3K+_QJ;e6eGlH;jswtm>qrZdBWVhx6=9fzn=pmFj8(WryNkc=2ORjtVNr#n{BR1*N(+YeOiT`V5*UK4{!L-LH66Qt;Sz3BDQjSjM2;^h!GKwv# zZo&O0^~eEuD7R42pf{wvLMwzXW@d`QUEHbuua2mOP8D}c%XCc5q&Sq((E3y3``T&> z$&t-&(^~0E@yJ8P^sL@U@Wj=TyoZ?UC^&09f3%3T>$ zYbio-k*b`Tx};(FJxkSn(simz`PD0S_=E-lilWX=N&MoQcDCrnhLadt9OtJ!?>G%4 znOFq3k8!$}^&Zt3l{Xay8^4wqqJuZ)iZZ-!enJzRO9DAf*>K8?IM<0k8q9&|&fSR!{S zkx#=jfySZhVK1;L*$z1N0Ea-gNX+W?CX9Q9M^8XJoyK;UckH^1GSv6MGF`YW1UqyG zo{7qNI;mu^H;Y?sP*CbqGW{%Xi*8qRQ?ry+i(dccB>kzQKAS0fICi)(u}tJ!LcQ2! z2^EdfEk6%>6@zb{Dlk+kod|oEd>_E~=uoZjd$U!2|4_iQKH;xF(@VYLvw@}hU&S*W z{<7<1maz$p6P<-1n%G=^qu^3ms2QFKO_)U*@g)pcD_+80>k=)EG3{#<^H-q zps4(D)^-F3(tyr%`dJEoAW?uNKwJF4@_>f`vw%npZ2CGvBUA<ZUMahd!L9B|6c=4@H_}Wr76RqlXUz3{aXHoAqzf_ET-t2b}>2I-a>J;|$4qSv>PX zuJthY(1a~o$Btl`Z`{~(k>!wHzf~#Y43p38Q$Blt6M6g7<+8Q7)2c zJA1@$ML8oFCaaytD4d&=y})iR@fJAmaA{ac=areDIIF;{4?N(i?+;*Ny1RY>8@M&b zuN_S?CSc2J(7rD7|8CD(MN)ZXoy<$tta=R-TxP76@lua2R-vi~%MDG4SH4Q$XMw&7 z)}pe0=Pg~5d zQ#np5(=ef0?7nBHx~gvxZVC2JOEZ{8t!8HLefVQQUAXQnbR~vPI5y%wcgGZbFy1Z%wO?Hn#~dHF<z??Bd`!&l}HF zy2sl<>?d8#I#&f=A`vt6rArkI*o`B0_NsiOL!ElL%52ODa1qe{cHZM`Wbh=Z#x~e9wNvP zGtvbjW#3fGTajmyFZ~I3h(>wjsYZY}rav;@>eCO_ol_jA;Rn2aO2Mz3ZMP|s3rUy!Ix?l0n4{h3 zhPL&5bw@qUBsm_;1u(dS2x-nH>=CQ;b>;WP8hIQ`GYxS|VTCc8<)5RKPkGD_l)uN^ z`T~gUg3yxOXEt$W^P>IWg{te_@UNBl`A!U=4C%?!F0$W6vIRT3DP=#SL%%V zYskD1Tf|%M8-rUf=r%h2a^BtGstcCzWP19Sag$wqXfUxUkpMN1XE*ul#Efjv1HqY2 zhc5B}7+=s86RcQ<*l>zS)AeBH&*NR4bJL~LwD=gBT?(KL)dyTLW(aqu-?ron6XFw7 ze6K%o?~FmOfvoz?b!;cy_Lsjmfjw^6ZVkJf`mW%uU@FpG^}R@M8lBxf4}l}?*>h97 z3u#SuG?drMrrXpH+3sZ*v=b2BSMA9@^&kgfpZh-IHUZRa%;mtxp-u(yxd`o>5#}w^ zVLsul<=o!$b><&v5qEZ{oj-gsPESHfcmX|={?(Dn6-qY~3UgnIPfwM1klEjAzCunQ z2+l`E|9&ix>L89@{72=#nO>pWP_K4Gdb4*MWxa1O$6^6yg|7WS0pLa7Anf3|LBs(? z^L_w9d&O!#V83b~qI>ylQtnLD;kZTvfUi+JFN8@MCMZu< zKTs6>6l<=b^0Ys9i8z-)p~=jUxw?KP2QxY&r~{B+wPx{e+1kRL`3V9t+^XvH!I z%S{il$-)>RpX6a4T@W~f&g`)(KW274&dk*rb$r^2gt6U0GdOR` z*dT+kcHW$RTQLGnr<<;?W-NY`m5G)S(FVfij;2?Hm(s9<2v#gim>Mit04PmwN))k+ zSq&Z(LI#4A4}?U%CRX&A#INK&BTt-Kyar^iU+q0|%!I+pmvI9PBi2$EJATnej9(XP z?=!?ZfcHWD42{4F4Go;w2cri_->9C2xBuzq&5wQQtq2q3Z=;8v_6HRJ$`eo3=;jV* ztZowyII0_rs)4JmnyU_$J4DeXb4%3RS9Zw;IvPv1j`Ko1S-rH3`J!!;Ap48L**CbT zu{U}`;LM>YWQ!QHkA79g$tQ7)pK1>H5!l47b$5%9(hj!`+6+L)R!@ZAgsE?%k46!g zoS#b1_@;zDYR0ArC|Yr7`g?4fP$X^9b@c|uC$ro97H{hk!q#^E62946PQ}SH!+8AWEPjUipxFh314|mR8~PTxlBOR zQXnZuQtlRAx>EKIuJBgokzGPkt}Z>dsQ@iM&#y?WfK;hnfJuW)rc}eVv{8;I=M-96 zDa$Cb@T*8xuFk8FwnWK(Q=F}0AUBya3;L<)lVc0QE}IXIN)|P63M7 z%0WnV<4BEF4Q9Gh$rKDF%3Ncn%^F#um*M>*{TfhGA5}6x&dh1o7xH^;A^!p__+gwo zAoCji1+!v-2kr1b@dxR%N@@h4cVO4tzYx`5S}V|WiZjKg@@v zv%V|ou1aaUQ{>l@tyC&?H`G1)dZ^?!h+JLeU=^OY70H^tmp}JOFZ!3N+6!)Fx zCiBYOeuC|_j}M$ z<-M{h(@gRmim*0Df{mxN_+qI8CEgM+JAl+ki1T_4znj%iTn^u!f&}M;ne?mgA2rS+ zdU%htfh!`-Fo89klX&L5?qZ{wV#2ATG^D4C)Dy-Mr_Xenav)*=Ml0lS%`JN1d$(vP zm!)%uuDW@J(=O4=qw-@53p}zUPPrVKqtEC> zQzQt*OI}o3*iOtWtX>T7fV1)S-NM3GhF3ssi9`Pt2nNW(X*3B z8){D38+*y7SSXmshgBC`G|YlcCVVt?KRH^z*vH4&L@YUci*ms3k<&@(gb zf>x&Glh~(MLvQ}rUr6t_fy@@wBvCg+P8IW1&x@`J8HYHE` ze40Bp3wHoH9u#-V0i{=&J7wO17^{j`x9nP{fN#P~Lw~ru8%^kq8-^Yplt@~^yQH|t zL7{@Ul_w0DG*q8AH$P@6nXk3{#%f~a`^CV->F~_9UD5hfW*2?kE-p=SyY}HfJj6(C z{lqW}hX#0}Ys3dgKE09X>={oD5A<GaH!B$`BmnDmfa-X2AsJ151pO9LpOYs5_m44Rt zcN_P$QePp;w>f(|La_6-K`y+45n2MyZM*y<#%CUPWM;A`y4q6aHxZaDEiBa>Qr%@Z zq`KYRMOb;hhEYNXyO3tc)b8)0Pne2_Y(h_n31i{y#}RGZqm&I9w;7-zW-`jSHE8}rs(RFo|R%Q-Z)qzyVHgtdsNzri^`j-^BU_KjXci; zCIvig`|}3^NqCBPOaqS%pHHyq(sWz2;A_!#Oj@T}FI}-GaZ@szD0qL?F|kNk=SQqg zNK6y-ASm9#CWQO1a$b6zLuOso>~N38?RYgwuQ&xe#z1}K@fGkpmTMu}w`v6A)4z@; zfk6s9Wz4#jKG}9ufRTrtM|V6se~S+~Jv(2f?Wv(o0ds&9c)Nl^SPV;8EbPw31j*PI z$I1Y?Kozi1L?8f3%Kln@qEF{7k;e>$;gh9RKrDrn|0`jiNn0$rDl@d6U8N|_rWE_J zeUmp`pV_?b*=YTE?%w%%`S|*wOG}x^L{)ukt+LkrQgr{>ySQ-4wymzQvEEYtk_>t@ zMD;yUK3jXeRl9Thd}#63ewFvSX@$FU(E8r3_5hz?19&Iu+la_;ik=rCX$`0*;2QT~ z@{AZpHQEO^+^gfa%Uw$AOs)afhuH>!fBJ(N%`gV@`UO-P`K)DQmCu2_%$`l86;O?Z zw*N8*4n`?dX9W(;ENZ5D2xCYhof<=!pTsQDuU`QTWX#!E>S=(df;ypZ){dhD;o{F^RN36P^Gxrfu@iH(#40|aRPQtytfX?CGjVpoLVt=|FS4KDJNS{u@tw*z49{>}K zM@WX-D!~pP2W=x-1^NJ#fd{B@LUk(}fPsGtxI0GAL6*hO~BYV-+QPo}b zsF(7779(}%yIK5w0j52!#rEnSHto@K;H=+n$Nx(zgm2@R!oDk|J^&aX=pVYxS{k_( z2LOCxsEXA8D=I7uuby+}Pg9ApAQx#PLJbw0$4iApBGt)0Q$a>@q9KqL;;C6!mx|=h z!$Om~la(;awgJ%$lX*HJB20>@zx#9L_^7ZzA!45hc%mzWFvtZe*f-zVppP3@1qzi+ zAIyMlGX`1TC*QdR>xapqj{WW3Ndz5Yr`;+8#Z;~|0G*knEbgogWH-pvAvI^Mum8|h zmj^Z|XaP{8G$6`KzRrt#|{0W*=;4%gL(b`!owmRU24*E}J&{?Lx2#b%Bj*eE$$ zwRQ09GVzjj{4C*;kY3eQQgx|XiUu*Bxbu3%#yOq>2K ziczpRE=88+8jx4=jLOO%Yjs?5$sIoGLiYlpL0xuoF&azbrFlolC#1oA1 z1QagwR^)7QEs9zy*vmX3+ln`mw$Z6i9%i{KLVPFD2@s}2L~nTadREx`3ZC><1YC$% z!-Q9lo@(e*NJtTiLmsd8S=ud0Ve z5|4x4rE-JsXn|~QZ3p7Qwik-EVd}5H?`XiSf6|FU^p*%ilpzGj@diY#^h366YU|4s{5E_Qiw$g5d!%b<8>9dG3fsw}n@; z+14*XJng&O)Fx5Mic!wh@#MXoiTrCgGmbzl+Eiv@0W9Yw?qk+yxJ^tiQ$eFyMI@PY z;!rkTVZkrKA?maudnVs@wyCH+M*?M<+u_Zh;L(Zb_-*LL#>b`;0W18QiYsd9WQ|~G zzo;d4W+u+vP=TnDva3f==gK@Ddqn)lHLIqy?3J0${%wQmTBpmYcV7%<;~1v$LT;UDy`HHKUq#*c9`kL!nTj$aO+Hcg*`is>u&b^FWa=ytbjjbe3xkhq@uKCh!#?XU8KKBL%8D38)){D9##1IJXrqt)h6(UpTzl zS$U1r*MI=rEMZRijIX7ldY7`@c3J_)=Ty6TCD!^CQrB1ru(bGA}x`rDbIZma?t+e~b@HYr<=@7>R-FGiiJpTM4byv90=&aznc zL=|nP|8Z4OjiAh;C?!LW6QwJ!D3eOy)3sNTgRW|^B1gp)qWhrZCvhh1h5pDBMcsu- zAK5GlUN!C2QGWDNLa|mit27TDm3} zf~({;PztJEa@ZlhL+Pi3v3&Y{rnvzdMMK#EwPaSp zU5O#K`Bc_O@b5}Y`_sW4k6z}w3ktpx&>#)lnkblM+SFbqU3qz`eZE1=fL%R>%yox;Iy4av zbTF{Zzi%yuHv4-wTPkVpXeR2!j`q-73=DOkwU+$EpmC$(v3Wld@o6U7nDWSc7UaCD(sn{=MK%=)Y!z4bkiInjENSY`Iq;lTc5Y#*YXbcMvd zWqvO;VLP5Nd>EX4Q~hB5Cv$xK$@)oZ-uPo-Lcj;9?n6Z^Q0LWEN_>X6SC>$9B=UD+ zG6J2Mj<8&HlM8SdN3TI%Kz4I44Qt=h&Bo|inyr;AgDiXs_R6oWURyWdA`>lu56KN- zCcgOZ-tV`x?JuJ=sc;AcE6%D{?$&?N+0E+RHTSth&c+=qmg^Ia+i9v(C3NNH)95(h zfy(!dPLPtf_X*eMy-@D1uyYm{r{${Dwun#H72kVrDNvBiCipcM_Vgj(W?TA*9mEZL z7Js~jP-kc_QDgwQ&VCJF8NLNn-_aYMl?_xMsU3?|ux1NTTI8+GREdRIZjaGaYd;|i z3mPQ6$};45zAOvp7OVkCd8Uv<;gTsI;{KBy*31Rj9|u}!CM8c3JJKMUZL6X=vO zLUsB7F?LTunuJ}0uFJOVsxI5My7-oD+eVjd+qP}H%eHM}_qXTYu_NY-iI|f-$df$F z$jE1{>o(p6FJS0E|8ZM+BxIx0;PqJRK-81E85wu^_jb>Hd?=-8E&UnuY#2oJ;qkc~ zi*fcmK~275Lx0h8zxaG=fC|_WL~DS!4U7?xQJEWvm#T@OUlt!@TL)cN?nuZfngCp} z@>TyuX%Y8K{*vw(OQ+aFo`()E6Lu==F=ulT1+_nAP2gu*ho1G$OBE$_{Se9S@yGh% zWMjuvrKZN2T-w~MC(=-nJ;EtWIAokgJj$DBFB}t0X(%BUCzCD8GJ_H|6&pD4qHttU@c2s6xnX&@%3*ryzgFh;`RW{C25;DgA9-!0!R$g2B$e&oN zL}!|Ap+YsdSc9Mvhn#u1Fw*4(MWv(~BS0eLn1b8^^Ozjl-9is&N)Uj7u;3%5dnzwY zER>H)p5) zLbm@&cCDWf4>D$`GK?R`4lRa38!fhKEw-g;r*qPxN1&yk-A{l_9zGxHO3Y}h|k12GuJX2+XWyK=!bRS1&BcZdmJ-ufX-CD9qoJ_NE5<$tXjNrG&LCHAn-yl#># zdl_2V1HxP-@E?TO4#nT$8W25JegM!Q-8l-3AIt-citoGvD@NRnx>8f5#d7kGS-C|t z&pk%8kM_6?c+bk22q{phlFGajPWY8JB-#JMPe5#oyHPg8y300ZAwxk92*sqZAW(4N zbis3ill*Z~S;&`RlZACip1N;-533DrB1WuP9mLy?rU7K+TgpwS=NolHvRfG9Z^zlj zVNjp?jcynkRA#b%j~Fzn(-c-^Ho2@DWdww4Ddg@8+;b$1)HTrJdE+L zNWhom=t;~O@wC;^ebN8I@cb~%c79xjI=iF;wxER30M-W#7kBz}j2X+Ry0T%y$nFUW zWKkwLs*s4Pw29(tg!Fagz_b(DxH?~+KE2a+i`gxu&!|X%K_`L#GXZpPB!~58u`=u% zpfW|BguxIbn}d)r=zF{iia?ks+OP4*FxUN_{hZZc?NL|Iw^}QgM%R0r*O#PI$bV~U zV2lX7k%HV&tYR5WnZW@YSuuixw!E!*;|vxF_WAz_f?E*8F-L((#5PCC13Lg=$&WUJ zvN1g+WBDrj_qPy%xY#x=L~7FiXuCB9n>kzB^JZ(yXWhr^#^)r<3z~75z&~aT2&(Nu zr;~{kI?TyNUwqy+DJHX63U?Qp;uIJ$t@wa~AD3Bn5LeJysKBs={4&87lR5J%XK&cI!3r3@UtVd=Vbg%w_EWF>I9)I|O8-Lc z&lc1rPyP}ku#u1Hd?{fQC^lS#zJQ?|vum5f^xm;wiCxi4zKxpR?`itA?CVknC%{MF zI?dVNzpa$c_FfnC>A^4Dz-u5AaNE>~_{;$bi6VetJE?2wk9F-hUJ9`E?&-_o{`y|s zxF)bDH-amtaS9%RBh(-WmK>P1*V^m>`ZIx1e(S9PVqciyzrNq!I<%!@37t2LwOd7r09GcYVPz zQ6dCPL3&Vq5+rx8_HhTCFf>T=Z%)?|MrhMDc>Zx%B~c5Q8J0zx0$|9q3XcR5vL>)- z7H=uwG=;Ir;AcvaOhVM%yu=2P#eZ}0c+7#(h4?TzL@$UwZBJ^19LEeBbMtK=-dQt zFbn03o*XUPO-P%^4Z}qz%Zu!|RqQgel`^$ZbC7KcGHj~3BJV#M+r3p06Ah?w%6C!o zGbC;PCiJo72gkF`$hAajomr}RWoxZfe>x2u-;RtCSoSvNZq4=*JqEjpAv8+7k~Rno zCIt)W+@EKN#1dx{8DDhNvXa^8!9)XAVU)jPO78n?=+^=&^0WRtbD+zT)3n7HDGRu zwa(XtA&I0Nx?ce^7cJ>hsO;uioJ&hHO}S;SyfTT3j&6cYZHo4i*Q1khV-geE~>7b14q zsWTJBnPoy5QX(K&Q+_f036R~9rcOqmf>Ay|^Q`91jM~WzY?0HJm4_+=uQSLx273xF z6WJA@+wVM{c`7@_o?dD~t4J2t#%nRk(}?3&r(~a&AXU3pN=pP*#m;kT_iK<-J>~>k zt%YpdR!rrnzrGyPTo`^gK3bPU?j&N=7i~-vv}EyB?5X4k*h-(g~C(uCj`(dsL7a@?cO9p4b^ebLQWI zK&f5?vqaqXzIZr*j8X?Q=fSdLY?YJ6mX%FUFa*>NU{KJmT+YA#&p3o?$UFG@g*Qh* z`NXFs2(s$2ZbUThap)vt5xG(t)RfB()yRJm!Aq8jMru^p%#xrX&FC2+Z2HKiO8Lr( zs3+NrMKxN$L|n1Qp!qDDi61pRH=YDSn=5FG{w<`p6YK3&zbstT6#3ydQ`J1ezuym@ z7c%TXEBWCp&Hua}_jNAv2!@si{(0_z`~}VW?9nmUAm9_42L+Gj$|hvVx>qmb2h(Yx z-X?~|7L$agbR@*J;>f*q_xX?}W){8G@=7a@@+L~|Ih%H=o*;18p8ga6snOFt z68(wwOEd~K!MGqe9Q&xPA~UjDn_Mkgo?4QOZd~dqB|R~xo?}#Poo8Pwt$PaonBPl) zUX_B=7&&49x|sD8kUFwFI+j)&R(XuzQ0rub_r>j&@{v)<`AMdMR0aDlK@MqldcW}OEpr?2@vz&*~I zDX2{7G=N|(@~M%#I+aY8>KKtcB5@_*5LYTm`4-ji^+#HCng*uVtlNUzsd`Cu-qI3| z#w;Pv-_C-r90wCa0Y7F)C~vq|Hd?;{wEKA&6RR`iV2QMFkc5KEN%MuXg+f+)_|=5^ zmv%Jr7IKsvg{w-&&b=sFVJh7_nMFXlR*H@-d6AMTE+q9Zd>mwASvigGw#T#O)=2u1 zPHvU3N%Kd`%W;;{%2$csPP#{c$av?uQV&N{PqVYl=y~obS0~2ItHn-Mwv#qqWiYA6 z1xzWMTjdrcPLxR_g@D(K&`uNeqehSXm>q4#+A_1-`U~*V@xQMYaiURHQCt@Uh@R57MDZ#M}clC)tAz`M6`UP=v{>`pAzLvlrtykC-MGq!gYq%#&%jju^b1<=S^hA9oiZdx8O}c}}vd!e(JD0nD?)=(o-t#DDcs_eR zJUaiKbGiLH?y|QJwI|wKf^zdWG1o5Cr;aEXc?G5l2lNWVM5GL*q2LdTCNj?yHM3+# zEa7Z4B~vDbvsSN+yoM$q|EkZnq;rjkUJ^==GQoMw>wV!1lQV1EaC5s!@ygwK;QbdI zIuW=60apX<2%KYKO$zRQ7E0gKMpheOn;lL)FYY%>Ke`9_XxQ^L#@Hn+pGWr_pE`X< z+<5Mm+?4pvcA>VVyO6RXGYjXNix(@VD<=L$Ifn^nN#k9CD-57=Sz=VFvHaTTH#AwTjjZ9TD37M5%+oW8>&Y zp1A?=S&*GflcibNY*x!al^OJ)IR5ec3Jf?HU63Q?du$47oj{g%Q3)x zg*d}54&R#cleYxm%~6MXo-OMeFpyVP5qU7&ekT(yNU*dS+KJ_Ha*lRV8p+koXc4O8 zcyDiH-FesPn7_m_7p6qOT{@&6qZIx%XHq9UJp**Ah^w?u<?6L;hB=GPG*&hBZ;dI!&ywBny;kP4Pe!o zVvEj1e8s4J zM|t8AT)MeOSi9U>VdU`Vb&JK(2weV{U!$%rk7gMkb<%MH#%%OoxG$?>;D~DymLtN- zX&HlkgxgRJ*7j&~x?3$TJ!JPM&ZF1ObM6;_5HM&?;gXM#Bnk@U3D>xQ@X((pQImn; zJP{&55I%`KiNjcLxo%pxX5ctSVOwfq;AzpAmHl4F09Vh$ z5^AqU@z>BqREOkv$I=nshr`j62o@pd;+_41A47BRD29$)&ehNB=jd*KHdK9UU%fMJ zwh+YaUpoqQ6ex4EDEne-^o^7K(_#x#A_H#L(vn7A@f;$C^N7nRN!Kn~CgQ!KAo4Li z3nKDtASz@n#j!-P^hZ0#AJBk!Wh)2 zR^cuO4F}_QXmND)IniR#uN}E|JfI~|QVpU{*mM3+PumAGYZJrdor&f*C@_9fHDjzf zrqylX)Td!oZk*RAV3^g*Y}x@a$F^1Tjo8>aen@S6{1}$8E&UByHs{V!-L926S$YgU zWt`Fox@!z2yxT%zm2w>`n1ZqFp=e{Nmw1-D?>TkZ7w{+5KW50BDoy%eg}2BS*m3Q? z8$(gKrd$7fTy|^!B+RX{)KG3fS>?@^|XFZ88e3o2b`aJ+&uWgs}@&v{Wf)+r}xJs5rSOF18G8?N_&C zdzhZ*ZeH84u4?Rw{YvQTT&|mZJG#d?iL^%DA?rO&nkM>XxjW*S1GQB20ID;WBZUE` z(UI4k)OS7^NoE>>6<&cAqN>H47S1yMWH=vXa5W>+^hI(WGA89=F}J>YyMz?bkAQ9# z=L&ZuX@uC_X3%7CA9O57L@p)ZbfLCB&+ME22=Ws!v=^ch<~>xJ0=hpYPe;IKd-o92 zeK*c#ec9INXYc(wRX)>QDtB|nJN#FP{b-44d7qLH?akRob9Apkp8rtP$VdrybWmQ9q(#w`^wX zXzgmNoH;$6K7MQV?sDNdVzwsouJ9avE4n&%RA9NNH=$Ctvyk{T5$K&QO4JN~9aN8t-{|_%wZT`XY&uVSUDrF7JXYSfs`_OqGpn>GvTt6~nMk0rXrW|E!Nk#@Me84y#*l=Hy?j9K^OUdI50}&NRQvbO3tjmg zQZzX-`(TYR&lE_ zZEs^W*NYYj)hhLdX|0)&oz+&)yL8dtEpGPDIL{Q36Q*bq>XTVx`1*s}w}uYUZPXo1 zU8KBZ1n7to@?O4xr{AF@@1Mmz>&q1v{AT`ZeT;Zys>jFh4_Nl)lW2w)3p{MB-6j~QQvUtw}l4iyz@Y>^B@$ZV01 z)=u*!MRTdd&}a^~dNm@_FqimcNKYFETU6~{Q?lTGTMD^R^_n1eBNA+o+j1uKXmjT5 z>PZL#e{s9lUdUzpuMeN|u=eLys=5M!5IE8bo5+JX0)15w2oc2_kOO^R)n;UCvLzYN zUKk1NLotvPg=qNiM&Po!3G*;%E3CQ8s5!gR`A`J>fJg1~HHkHu*wXSL{?Tyv0-SM{ zd2^nWJ6Eg+fa?{FnY9}3Y>t!PPv2ZCuHopmU$xI$!6G6L;&<0?!uZ@ZwnaSv0G0DI z@&MPZ+wb;V!dT<>tRKHJMNHbrC6>_A%7b1bUe`z8%tFFVLWxZMIKJR%GPI*p&i0)u zC(-=-MrPs-=XLiHJkDN1bRRpOe%6sSMAq1=fdm;c$=qK4iBP=XPIOkDaA>nKZ-__nP=!8Gu@YxJi5^5AzMQHL7@K83REQ&F_)0= zr6}>pE^Cos?T+GMF*1m-?PET&adcVrjjkpvXAc5*6mb%>PyUI{QHbJ@YP`)tf(G7> z;)+|j8e(iD*Lyzs{WBtUjj+*&EOYn(c-}gx$BL1 zvTTpn*W)D>kLS2BJk|>6V zi6lE14v26Hr&nQQz2)rkR)A`oh&vy8O^Q=xnd@V~NGYqtqtlMjqiO0;?a+E;8&P!& zy<_dwS+(FD^$Fc!(B7r*_!Z@6pBpKaniEu%ppbYSq9lFk-TMmX8YI# zvEZg+7qW%+HxQ_|a>bq$b@1fuYSO2Rj;eXwLhmtpwz{TG>fsQIP2%+y#`Ik7(Up0O z*?yAag0Et)=pCCfxk#;~B|31I0L(w)UE<-8AdmtqTBKBM0Dvpa^UI5(*0F$|GNE#?ey#OmO zZI6PCh_j`vY=Wq-S{2%Y3yKH`8gU3N6h)H{ilHfrBLDYg2oo3%DQ_GW!4g>@ATX3- zw0FlGh~TCRMX&q}5e(MkuR5=R))HGYY(4=F&R<<^_YM%%ej~a{1KA5ypsTu?LR5C^ z%_i&)g72drCBr?mV*{xCVf`MUjQUxdTlCW)*;_Jibk{z5EdTD?eS8ISew{QfA*BX z;95oX%U(fb5kW!>xf#Kn{7J;zRT5|*pZO{w;_4trAZX@7LEzru4ElC=kKPd!WaH{*$A|Z;i6fr>qHxB}PGH!qZ2ZAzgPjU&4WbN%5Q(`=^G zj@Qf9QRgHg$Q%xX5b@XAUAK{=gvlp?WOV96{Q}I^Fyct>1t?r4D5c%{07|Es`h zj*^ctp{jyvVM4E?8c#>~kmVR%C%;7R0XTVydO~;iQIGrg>wH zy!Eo#;9k0kuIkm1%R}PR0R!I4cuaX(SS@lON*0LIS38cPG+$v`7!ow zU=q|1n6OzCBP{Vsu8;Y~?_jhKB)_;G`|XwYd7_&NkLe%$-@pro206*bF4oEh*ca!0 z5md%a!9yn2l)J*+?wH=@!IxC3*&o2Od&WkzFZ+G72C&}^!*?1RL%LvQ(KHwqa2ruD&F+337eRW%El5? zw@~ke1tVs@Eu ztn3m(&IH)EqB-&>~eLZ&8NTKs$pf`PLv;*g94eO#wP7 zt*Y!5TZ^B+si)}g2$dU&2jwVH8=2kHd#0oTWTW8$GuGwbV(QfG+JR4oKCy@Oz$f7O z7j47@ta~xWyO0fGm^Q)O3V7s_zFMDS1-#S+%oRn5rwq#SYNrre2HA9**^u^lzit&~ z_JTi4<~Ba5ILe=?g~cksD};T@5Goclaj($%1RDqoOV4b5s*5K8Hqmu4b;s+UHlqYD z-k-&zdk!A=d>6bt(~M4KTx-smnN!urx@XBE$2-%J$2xYhl;wDS;Z&j>i~w#TA!Y%X zS%Zi8bp~O`Y1xb*n$Axa;0^QPI-|Vwpaz`(t8>DBWB%6 zEe{UD-9P{~<{OOPpj&b;P8C48y#jDk8M}CL4(lT8Q+;hEm*1}0?W$o{8#|AAuJzRY zF7y>oK9gWl-n`kMS(ROFuE#tH-aMT^@StR?I2BaEXmdFBD9(D^@=|z?j(dqf(2XI9%6y+AQ zm228Hs~iZwqA>CrEU@udG3(bAjn6Kcq=TI3^@@}<{f=l_Ix0ZdDZWxNXcqD*JcXsYoj+Pn~Qa%M-^=e1b=mL>Y zjo|}zaz_VQ?`&$+rH(DHk|zoS6m|zOUFp)rd&0)+*Ts_5eZ7BED=Zi*&eFW02hx!v zz@3K*IMYJn>67tF3_bW&%*UMrQ8sL_m2j+MNqRt~?Cqr1?gS`tMWP~)hfJi+FIaHwar z^iZzo_xskI;he4MmnnnuujA5;t%}mAL}}~zGiDqarJ@Bj$y8&bB$*V=Dn>*pfvMEB z6e_(U>WJjpzewp4#mpWv6HToXqCM136)~py@xSAi4AFUvQ%Wv2>bNaVgNlZNi0>Q% zA>q9#TKsy`dVD-zB4Q#g*AC90%-quoy~?_^R_KZQvw6V5UExmWdUUjY*yWpTk4`R( z8a16|nTV-}<1>snvbaKyoujajw;J5qj{3eD1MvpwCCYn~m@c_=nLZfE6Ga_IexxYA zDAOMk$=)^&kO}ckQsgeVbG5=)BF#c3uTMcz{Yi1Lde(ZJf~O9T0{d? zo-@k6XlN|I;xkd#sAuC(aE;;Fa<&wAbT zw1(d|`sb4oH>8vA{SrkBi&Gy%8AHIKm4d1pXLSAph718;+zb$1cn57HvjJ|NqaI^4 zN*_9hU}{8$Lbi{iHp2e}ppChr6rr0p#Py{e-}XJZ_L{WKqjSv|Z#~)ex}I%6`H#&6 zf{W6eE?(xm8c0wRB8a0 z3te*?q|{BmeUy(blqDffDU)o~_+o1Ox_(4RRk(;+0ps2{IGnzHKFku@x-FhR(Og^l z!Fx8I@##=~EyQHkf`Da?`TitNyEyDpQPkm7Ud4PZ7fpA+4T}-P_nWVdYKJ2@^b^Gd%|3?|Gzrm8&!QR8AHUE+unz9Z% z{tNN^-)xX|O>0#F#V!>*xXn(A3i*=ZJC#GW4h&*CG9$A~XOS6>G%N*ul-sd%o}T*Q z?hZhvCb|tED7IAK@oC^@uX@?~o^pAaiH8=C>7InL60&9*5_z9+@j|wgICX)^tY0^_ zG+ALOoUfJO>>HG=Fn06wD$+lnh)D_@Gx4c`Q%Qs!mBNU2f6Ta zP9>eZ79(E@QH)+8w3I5&3b3J)%M|}j>RB~iy?&c2f3724b7@XRC%II6eHmWT6Q!0+ zbEa|^p;88~hP@LKFIG8hSq(yHa@2=cna*DiV-X+oQn4+Acsk9Df0a5kTc-WXxZLy{ z(L=rN>OLYL`eQhb-siJ#AiAkl_W zLV@A|>uZrZ312)V3Mv6}Zkw1t5x<7Eo$!l0PcQf#Ib{wX#p*D0pJhGT^Vi7FV6qsW zxgU%T?=}AAflYUuZot&Qd+%UYqk$5~B^Ep!Q^u+77*pJvr&H33w{BIwa};(VruatQ zMdgte_9byLw#Vt_Z_r(p+r7Oe`0Sfe7(qXEeoG;B}U77KXWmd8x0q+~|AZ zr4L8B&qK}?U;S5$>Jia($aa`E%og98+&s;( z9oUF#_8%!kCV=Gg`Sd!*YlY6@JeV4)HNW`7l#e_4^8D3iiQs*gyAV6p7As^2?}f*d z%5T)C&b~%#%f(b=L)z$(RqZMc5Xs;j@kk~0&Xfv9-3pHx%AFZ-h$5tJ(~t6ap99N+ zUqm;8JGJ^NsizNa5SI@i?YsmRv7xhO_w7~>g}8aMAy=^=!G^NVEVoWkHxMs=!7Y1~ zgu~p4mo6mG%mbUhgM9cAxbZ1>I2IWE+4T{vv7xL%CKP+o9l_i=AdlqHF=4~gYSS7+ zFXH@6Z5bi;Z^&BsS|Hz2_E)Jgz-K;D__ZMyff2Ptt!#Eb4`1GT7|oV^!l!+b+lR9p z$R4!fO3vukcieNQ%G(Y*SAH9zA$r{GrjXiXHflt4rLl&MPmv2*-5=N@*igO=fHJZm!mZGe(Np)3RuUaq2 z3(W20E35sA9@3ck1HbVxW&@{|+A?riv_Soxm3I}_UI5GRMnBD}b%?p&wQSLH8te4t zxrQmHml7qq#bNWKm*;2PGRK_sW9Yhf?fe(xGFkhii3d2A^luNQInYSSp~VJ3)e?Dy z*D;V|t8b7qlw?67QS29bB

    @JePT{86PA;brJJxrTB}*uwk*PLGzre5xF7n^1MJn zFkBAd{kN4jK)drJLm6+PS8m<=iiYdhY14)5A^m0r{?K>K&cRmDJErW@AFJA*7dVHm z!6V%udE(2E9zODcCA@T3BPtiAYcrfHcv|8I{!lTceiP(+__{py0esYPyBD9(iA&ru zYjDer>+9cU#6dInP{uoPktmgie8k_jMjzF(O~FQgG=$lnHN>*q{#cc4o_gxJs$W<{w!?gE z$lMq*Kqe#39}=!2T3#ZW;LIMuj6pD|_l)`|3lKEw+Uon&#iIE1mg2l$R6C;H&`3~Y!Qk%mU#W$=OuCZZ@BegA%RZd^`GSZN*b0ch#Q>SGhQjW-5Iej#lz`pG~~fCBm&J6`N54tjddel(j7*eGw=hWw_l1xy~`J8 zo$nZLS$E8INdM45hZF)1*KtrouJ_#d+`O*Y(;~HLbZ5b~!-aj2=5TgP^jO8pXW}K? zSfW(8l+^ldG`63{NB?>q0(P7ugQ?csQStyA7kkjm3xfyRjcxMqXKF1Q9(>$`=c@wQ z7@saXHip;(pQ*&uutN)?UlfI(^tlrbI>S}W8TzuKw4SM-b3THL8xWVmJHHfefOUo1 zf%@Vob+P}J{s9Nt{_GBAlm(BAe18#zfNwdF!GAC0;s#a^@TH6E4gSRI>*6y5n%d~Z zY9l;i0K&Uj3S=s~my7a%d0-VbUAyWP_e=6>E#!r~R~@VFgToD+b0wS;pzxYu6sYw| z{rowKe)uaZ&GQo#F7@{0!gZ86){vPX#WlwD^FxunIj_d;YkzMZ!1qIcLN0|=Op1)B z#nt1WeY84qmvj(LszPwmHqs7i=Q+l>zY6_i6XHHnpLCGE2Kr5U{T2CI0dKp{IoRoC zwq@Y7ye8QYR=?bEG|SQwZ#~`+&i7QDH$m#@ta;jeVA0@(BKGBB`qAsxqnuy@0f2V2`Mu7OkcUJo{rIHqJBy&+cy zpBzE)1Vlr|$=YRKt#{c+%|J)Favb5cgD!So|CB{;L@}PT)sGG#ppsIsr%B2-Wu!6P z0gKo+?jfib5;&$t|2xjjggDTryB^To6zev%3)=+wp;ITNAp(!|fAYUOr2n+-zoDqg zfFBHn%?N}>QB*|U^autoz@a0OxTQi9LeaG@2qZoe3k8bA+W)Q$E%L)lc*aX8K(P=f zfZ~)r#M)1l$tuP0C~71)3ne(~Bq(1Y?cR+~aW7&<#BG9W7tEPO@-yCtr06M0X)BVT zKeMAho25Ui`Tbt~d;KcGwXH}^d)_jYRot2qsAIuWSMZ&Lhz?GY9GFV%GPTNqCWA%% zk`f_&Fho^Ysc31AQlW3o@{IG2B)V@s!85Q&tk6jkzn~4|9p;vMS95_O-mI z^URHyaCRgas|R~b)%vwS5Mi)8uH=>hZW^4`!rTs6hogxVf7EDA`n5txFF5KI#+Vnv z2lP#Yf;Zsv6<>erHnzXaV9<|%B8Xx4>Qu%42hAPxR%1{Ha*rjX6Z=$T5Ff;I$G$cc z<)(iF|MJp*1Lv}HbqYuZ zyV1(}%;iACLs~G$4CfvMxkCIfkvd=!{00`@?a?YpR8Rgw_He&rO8V|}2W$sAWh?`* za3AG~YT9IO=2*W9sk(&)o(Kzb+mzh1g?^BjsjAZ-ac!ce(Z66SN2olll62$(zvoi} zKs+8#dXT=xR)lK@=U@V3f=5Itdcs-*Uq`8`bhpF>>`Tu+rl~$-EQ@dY%e&!!|9l-| zugU;;mHAI?^Fgg&(ce4lz_%uo)K2B9BYa^g0u1I%ZMe1$`NcV2RToY~j4n~~u%tU@t; zraoY@0S@oH^il~{hMs~_B|%e`-C|Pb0~MIu5{%zMm~!VznF@|Z<=^JA9xx}qUmkp= zydh5mWYRU!TtZceh4yMyS2BBWxDIXV4AwVz!CTbsuhWJjdxLhaE(Vf2p?F>-Gm-{c zLiSRhy?r4X$~gpbM*;|?fPx>&_7bHZDXG+JlV}+!H9)PV(!1daC6{yz*?ysMoTAzZ z<#SwN_|nwB_7r^_TLyC%{-#3-e@3#+&_+7kb$fJ4)mBnJJu?*ZDglHnwni*v;vYZ0 z#D0~T^G{RmMea;F-tfDz)*12^_AYFu5DkU;st={0*d_Dy($qaVjEl#krva5^hP&h`o?QPKLE zXUxXSIJc&BH$eZ|aA;)hp5e7pQmOM<`w@*<%8vt(#DDwf(~Q)K{v6d% zi~rg``NzKrIbgI7T_aA=6`L|t-r#~9;RvS60lV<6fZPmaAIyOhp%$`OU8s&ec0hiB z<|x$QN(xIXk4^wWeesmu9#a{p@7SLp)ln&S3GWe{`lwX@vug^DBe@ZsYxm={ew}oj zGc3R{vwFG*Zre9iBk?}u9JB@Wx%EMQRc>|mD7PiM<$`^S-wq*z6xFH+jFftB8my3H z3Nv}Sb!FZ9i@B?uvcWh}Wn(i8E_!F}g$EBRxwtK8eN5{(^!~o6!crr_BD;?@Kr;<9 z9fKK!D%hu10t+Q1Sjs>XDafqi$f^i90oO8zwO5)tp~6w`8{!htQT>n7()Dar5~0h@ zP(;C&8NUaN*!3U-tw5JRniAsor_Vk7C;pq0*&rM>B^(AAQ(hcH=wKkNvymd{_4No3 z)5*(67eXiPzqQ?py59Ek3ILR{e&{T&Iq9@)@{vh^7*QZ5chB1MdaK>%Wg`pKrOPS? z*u(7v!zeCwCSSjg)zUh->=7H6R&JICW>?+AWbcpfC3z1?dtbWUL4`=J-(^E8cI*qC z4nZvsf*RhEvFWR=`Uf}K2;KQOj+U&s!-Bg~#gm?Ms*g496ZYth+sR8SPwtghq~1(V z)k-2Ku_+;hfhu}SIl{Kc!^6L zOwwY4s~+g9Gzz*R%KiunPnFNb}txBKJ%oY>$);vqyem)HX%!TS@cTVY5r` z^Oh-per41?Aimo_32U+G9XjC(U%>WpCyUfnk=_@DrG=pm)tXR+gw>| z^}763yR)NUeOg_K=mmnX*vOiaV&bx3RqtN_m&J1P^Ev9p_3Hqhe^-mr1Ryf#cRfzv z^{#^UF&yZ4V8(+Y9A!yK@7uacu#}pE|C?j-rBI}g2UOQ9oclM}Ufol;E~RLTL5e?*Zp)5v1)NqZfh~n%@!JW~9+YKHU=N!#FiiQj8C6ku)HA z8n>Lf1(PCK3KaqiwWk>Z>Oo@|5F35ms_CyZ=xMp6RW`}L=(J-&>V5jWx_Iic==5zB zs5$z`;e}wkVd5+n=6k5HTHBsUka-F>fg5X} z$3{0BAN!{^EAOGxt{rc?tFx;sua#;03GL7Ua30EHcLz)A15$P2c=Rk~D!kBq_HD;VgiF&-h=5zN1z&5_nwd!}$gOUND z(QOX42`mmK%;k`xW&_KSGKG1M?z1)O20=di; zQnZ%*v6%$?0d?MNbdCJyx<84{-!EGiFIpFn5Rt+Ce-3&d8W3t*%h591+6*FJk^~5f zL2V!NJbv`+Im7%?H8CH=au*~jJ3oKtJ~dT(Jf_+PN9KznFvP>amAIa6QJ&9rt_6XP z$2T=Z9g}D{jB8&j<7k3bm59G7ag!v-VP(T?jN~@FBBvl?3 zXq!-%bFknaO9rQq-nYq&GZTwWtM(Jaz%}!Y zi-r#F=-g!yjevb$h|!*ZZgw$^($0{dAhAIDK*Cpj*m$DqHJtHd=kUI&P&W7$y8we! zp#*2N$uwIgNwYoGzv>c{fB|zU!KPM)pe7@~!Jy?<|!+bGgyybvs=&g7A|&;jG|G_var51 zmuY=smqTuWSlVMAcfn3#;&5Qz&|lCd5Hr3A5RHSL5TafUl1#8+skSRg~bcyPI;}rI=mI94Y=Jpkmb1!Mq3SU$YzBN7ct2cH&9rvTFb&0C!CU zd`SsD8AUhXw95KV9fHmC{GAr04049M3z@apkTS|of{CND0qZjjUVau`^Zyk0-C^!r z)u9+;^Ygfd7_cd3M_r>fy{L_Pmqr@(GLlBps7s>?HUwe=F^SEKd0;==1Use#Fd;kx z$qP6McIyM8ATI`zGeMDDtizv~B&efF`( zuKdxPHm~|`x8D)F`}X_qioUa(dgTM&+YMhT{O%Xx_x$tQ7`*=RKN1JS-+sg}eg2;& zgKw4parwjLKgWL%9}O1l<}P>Y#m~PjY1DMTb{g?dPZST1C%laQ6 zH{9`H{E0U{cCRpNR(EbMv2n!uy+FeB$GM-+Ld@{cWfCk>}j@ zhmRWnZ+`Wa>F@r=eNSHW*oVzGZ(shEZ@=w}ul&eI|4?}Oqv0nX{}J&{BKiB*)tqtj{nyhN(aCHp5GjQ`~Js_-@K_Rw7>hTdw>4WZ$5VLsf+IaS@n*au6^Alw=Zv0?z;ay zS3U59%aD)V_u*5wf9}TTKKK^sgCDr-zSlqSmIE~T4peyfWzXGU*qvX(tv{K*>5?xZ zoyPZN1pdpnJ?E$2|F4feaPPMC@*lk5IfnUP-~90V{;2*J&TH7@bT%to$6lk{EIJs_}PE?@b{2Y-+u13AAkGxSKs!JIrlBmADFH?^G6Elw>#}; z{iGhg<}SZAVm|114^G{6i3NT}af7#ua=`T~BeDcDN`=7Y_;G?G=dh*F@E_mx(f9J9r{Xc$E+x#>3nlIk> zv%9Xn{U)9E%NPB%@09$(3##+`uIYU9S+_j?jM>c(+anQ zk$?WX4KI1Ow&um_&mP2TALefa8Y z{^Wgc`TkAs|Jbu`>3!l7-?djf@8HshUh{>^MyY`Bdy5Q~uhTud^5f1=JxSk>{_ahGSa{Xvp8V>^KK%NRUGk}m z{y_i0r=M}b#m`v0`;O8HIby_9xl@;)sooc!gF<(s`2gfyg+~3pvQp+SHHY?!S(a&ZnJ&U`Jt}_zd`)` z?l;wMdG=dA(Y@!1?|t}&Xa4-U%Ol@;9{b8a`38UYH9xs({-L)eKY!!x?M45IAHL_W zey@hTYcl!fS0DWR3%~a2FJ5y;{i>h6^NwqsmpuNNCtviPe|hnje&foC`KIA(-*ER; zp{s`vmo*Rn$avM;Y|oYM$vkvx?}kr*gZ=yHw_mdQ@pavoiuOY44b$)Z>++$0xbXgW zeDYNnUGt33-LW>Gz!vH_)#?Whe?AzGv((v2gy)wflnxx_Q4f%xp${Bq$}4i*fg`9+ z)m45Wca;MS+c6uIJ9Gp_n{`%M1=ZO?o$rb-vS-uccHMVv&M#!hx@r>a(hph#-4Y!S zC+SuqKlaW#b&aP&tnEA%)u-~A02-Q&ea^J6ZB1B4F{RJjI);*h=7l=M6mYpSGZY20 zJv}h8tM(`}nc8r(q}%_$_IuV?&s_IA=yjFPAMr!NG{@WIm*1QUW*B8NW!d7pn$@6B(PcGJ*qS%VG`%VY15Hm{y=Y`3(KToCDS#e|z*~4;WZnC8; z>k!0?aZTDl+G<4+$#z$fY}E8B@2+m-(Sn$V6x^jF;w(sGJX0l;VV*XIvL`aM+R~-W zvNl($dlu=TR+q+^el$zg;zeV|tOQ^f)~W`+-%lyNTvW(Z>Eg3W#A{BPi?x?`xPZ8m~QlV#U!LLTD+0%tS_oTY94Tj82K(E;V zt|i^=NVYK63#~g`&Q@de#^uri!?Q4BS&EJlrOAv?M(H9>jRWB{l?BvnU{X7yvZj@G zRLG48BrysvFeRp|R=L)shlSeuW(iiqrom`oTXyQBj1e=h6UoRRR$S_c#2kW=x+-$* zlX!SYwL?i}Koag5NoLQ>D*hES@pS6%9up;)vrH!%-J+fBtgSfQ<`LPPRY$t;LeK_6 zLAx=H`Ve@%G!*@tAgqV&wO&&WI%W%E#W@Ve+*l9A@7)gBwz zx~k`bN~jr_V1VRFlrOPZ6mcb0o!G2#*Um13#Iw%Am>CZ&#Q zS7W7TY}lF&alA~)b}@j-UWolI+!xdApWoT-o6A1jR-KawF<6n9!wy+j%mlw6(6~ zSZKvCt-qisUR>lW`hio6q&)>ywwXQfQcTRlxkfjNCR6@dn=`K<)5asW<0W*#d0Nx5 zWr(q`olE$Q+i?#M!={QxAFVY^feq{qT6p(%c%rVRl*4we6`eCT( zh6*?XB*~nznk|N@2bqVYuqmSY1ChE{H}YnEB!>rL(V8GfI?h!JNlsO<l zx*UZjnvLU73QtGZA}vH}0$`J+t|z^Ix$kVyE}JUAIa?~vWhPl}QK+OB%cZn&jfc|0 z;tA$;-L5um#c+tM_hA)@lPPOm5)`CEFO7m-$VgMZz_=O8Czd>8sf89PC)M)lNYlhQ z?$7o1st;|6?X@CNkZwKF`$Ia;ZL%dScrp{RktI6E1TC1A=()kxYMxD28(&|Nlcm5w zFs{9kbj1|!Oif{PaIhF{piD<=m#m#?&tRZu8#}vNdl&UsicR-ynZ(S5&d2$-lN=>x zVv(+%C~jw6OOia#JUwlm6MLfP)L^{KHirXoQ>;`-o*6lJ8e8jTQ^b=j8{v4!E)4yh zcsbHewc<&GZ<4o0sr4kVCdVv;K`moqj#4E-X7sopRoFq@AFM^2kr6SQ_Y&whwNR#U zj|E=9#Q+uQM)IhOk0xhHlN!O5s#Ebnjd9JHkRrKRA~JI7Z3^D+jiyc0nwY58vc))+ zd%@8xDtF5q5*Rm@;M3K)meHr$8v0bUQDu=Nq|Q2C!6J1PtIOt#ZVih-zr;oYPKVAr z9$2e|sy=B&9QHIWQq$lxkXUbctCY4l{nmC{icTF2l-afeYOjsPoYZW)jQd#8sr4bo zWzQ#jBvGpSxv(5hRA!o5lV4z)3T+SdYt>aVmK%y1tc~&zTJ^dUiLJ(wE2q6=#cl93 zysp}GNu?5PEMGKO^sGx)Oc=`!dXOfY-hO^g<*f89l(r|E+a)JJwJ~g$tK+dr-=?C0 zx>qtqS4AwI(gmYvh1FQNVmKa*GRv5M4P4U7P(T+=k#kq$eB?yo+i`ohHn7SvxQJ;RO$hNxrZ7d;HQz9~=tVMox1DM0gJCD- z?cP=+&rIIE1i9n~9NvY3%6eDeH!F2CX43SJfa{Sy~l*Ilg1gq!F8L>7Ix$5Km5wee+MZ!frE zSEv?P!UmiR!86+;M+LZUYy(Zrj39)tSv)FN%FQNRsC!G%ic3EX(@wRA@!DE0VTY@N zx4dnOBZkQ~wE0TOXG?lUHO2?0GElvgg4^|2qoGx+)`;Ch5%tw5NFv2ibH=Naaaw|{ zNj2f~4*0FL+Sr8JE=UD#Xjw!x*+dJnQbFB z&3wIF8zzprH<$HRwzy$3Esmpdq*<}C=pN@ONJb5Cn<+ix)>5OCYJu6S0Jq8Dt-t{zbjN1av7 zt%asWl^uC%$sZD#5^O=94bu~A!SL$2(M6hU+6j1>5! z-?5L&{c55x?iV=lXN9DPtlIp>5$iUiOrdMpMh%|qvYqv%H4TAqG9^=Q(XxrAjACE1 zhTJ-q(N9Sz*Qg_r)ygj|k#e3-&3T#dHHeanQ8k@yNA)x#zMfFTRkTFf*dXCAA%35; zWMWKa^T=mUR#1pvx);S^H`stB3{Q{X-rQ90_=yo`D_aK>YBM!x4be_9+!z(|=t}Aa zyv+^I%%|2_yVsxRj09A5tNhxcUm&h{1Pd2LnDuMh`bnJW>H2lv-ZR%^Q@^vFO_Pdc zxCo6)#!0sP1C2kD-)#guk+)MvtDhl%+b1gNh%w06}AUUA@3BPuloMJW7*cM zBh9&sl?%n7Z90u4Gx@2W4b&?Jn5LkK!!*jIwrw^gxmx8Z>g~s~t(Gh0K$ljEi7<$( zrVr`-7LCnSp&JE_2h<#K_xu@ucd&)^f^lYuohU-*e0p%2bk?Wmo;IJJ`{h1;tv%pd zlbPPSnMAx5Sm88<ZE#XvW=}!aq7~gs6v9(TVkFOx-r(pt)8z`OAQ*=7=6;9+aWlgvD_-OAb}DKMW3gT zcJ!EqU2Evzi)@`J*q83CegQZ8Qe(|6Y#!Wc)MY{r65aLk(OD(aR6D&fn&BZCH78as z!C2jDIV_=Jjd{=rO<4*Vt+{VSOo;}?)&m2YtH9Y{exda+ML!=AjctuZv)F8OLr6%r zb$(CQ!-b0(f!re55MuMNo@OOD9;B=DKm*OX(T3tcoJVl}V^} zpma?xq-@eG-8Y7Nriig(x3ZX90>=fuP+5=8;FPwgTTY66oXzNmsa0VX>eTIm)XST@ zxwz4UL7_Pna1(i9DE82SzEyUV11WBiUHVLwcG`p2QCaV;3`?>O?HE&~@+cEDPrO3I zDMS=>+zqYUP;eX$+M}>wZo>|aGc30!3gtP^ADl*<6UwK}^XGo4=WkcNS-x#BXs4z1 zW=&JtrPUOZgrL{aUB+l~?G?L|4w7nUjkCtw=>!jqR;iQ%>4M&vwv{iYr$}n3GX{DR ztWZmr0bhkDitLJGf>lAIfo^bCx5?&i!lVsnrF2^`ck*Jb+jI-iU^R<`gEMWhC;8)( zDe0bvot-h8DJ9c&aEjZ;O>MCjvla%cD9`|l?nMhR#cN4N(gNiWY ziSZRDGO0&5m?;u>;8bo73R0CC6I?%-!b?}V<1P@ISe<8V)@+8|6iiHKC6Azp#ZgD5 z+XSl&5H{7Nz|KhbEo?3!YE$*mDrQ9d;b9t-z!+smOQyBz^LA>ovX@qkgeDS9Q)Z~| z-WulCWdt0qb*GbMq$5|nUh8ny(?(kUt=T-)NxVFEM72@DXVk=aa{|t(g@UtdHYIf4 zoNfX65XV5{2)Mc%gB@=Vx(?QB4eF;3MF}9!giL7>U}*B*o0M6(c|;*3@<=c~o1tGG2}n>GV|qhyU|8U;G)(~SdU5U)2&qws)n`Z|GH*%z&*;{6g| z9hexU8}trp6@W`pu*!L_BCMjIM4?La@^3r%`mMGbbcrqu{@wrq^C$?$Qj zYq%gqn@7P_y*UnLW3!mQycx+evo^e_)k_nbcQEVQkZ5C5(8hHOEDBp-!Q)$^8I4L5 zr?eujajk8umlsa0Rg;Hgd%$ZodWx%A$O>1o?QJv9s!snHr%9=4O-4(3A2&0^bpz7M z&C_lT7uI*Ejs_uxb+4#Rl19j!m^nnYtU7}zyd17Ht(;R?m{r7=Xb+o7Gp+NF5KnIs z7?tSaM69|Qeo8bN_Ga3VH0vgI%M5DPaLOR9wD~S?tEN_qrVrK6s3m-&WS#Th@uv>R z!4~^8xn>x8q66QcdAo&AfYXbrsafVxy%f;<`M7c1D6XWDedcuAiHX%TH)gcJW$Aj- z<$<3;W9iOnSup!2@ zx3ZjiYT8bQmI{tq8&S){Q9cN~cwZ){bwIe+?hdHYc4VfwP|9klXokq5+}egDS!c4F zNXbU?gP2Q6SPMfj)u>tW@pPz0ua@?4H{Z6oB&eEIDB9mZv2cy)n@9O1GUCdG0n?O{ z+BDKNHna+z@cHDGi7ltQ(Qe#8s${<8P0)0|N;$bcw6HQ-E6iRr< zCrS2cQQAcv6#10Ph@nqg*ny!Z#~m7%UWqf{PP1uV`Fgms;Tm>%C%l$T!5O=(+2+(L zH^{|eYsnm5Pt zl>9o+2EqtJtU5I6z@nNty_#yucCiUc88)~6DmcGia5iN$qsMt?z9G!hB;g6twYuNl z>(x{GSt~*C8J~{vks3?QoitDpgHLwWjEru+gby4AJF&MQJ zy9Ica4_Vo|CN|F&`nh%rmXSox+v=oiMzgEQWh;s~0}X3id2SfS#i}@d=4RbraC5>L{^@vR%>EftZCkTk~mcwQ^Z!blQ}{ zEY?Wnds3yE?Ij(#1l^=V46?Gi^C{!dQLtD?IakgaAh+8wH4O~{HApt*U`N0nl_!Y4 z8F6s>DC4VWXsxiCZ6bqwc5RP2jCOzCJ$6`ot6Iub_tk4TlIDZqb-X>oBxoqM76G9; z8Hs`EAnW!wsSO=;Y{LQXnofl=R1oy~irwjmP_UwEd!D*wN+iA3`Lw{>Q>fb|LZz6C ztq*jF7<0`nX$CE4imqZ8SF!HO6I6;bl?_$sQY_Gkb=IB*$1^BAfOX+m)UCMM!8ja& z0vkEeMN1A}%+|>^=O=5%*Qj)}Qz z&Gl1$Kaa57l3wr&o+xw{x)4yoY{A#>WK+R{anO#XOKK3BCpO-})SOT>B$~Uh@_vq6KrPIt~QNfnk2%;devh~92+2?+V2!!um?|h?=ggJ! zj+XN(5t|@U``BdBN?{6jm}0?9Vwo?S8JzVhTRIs~8Uty?>$4?tJ%OagR4JLmqE%hw zHwF-7X*(X;DKE5{LQ)sV0)ZQu8nvNY$Zn)6I9U!PIj3R8ic^aN#D^|e3)#^?!j!Zx z%4LQ$a8%REPgf%4Br;iTLGTyt9dIe)3bf_}Le$1Z&Q51e7QJ&J6ZMq8 zfuT=Z%!Gl*qE7H-CC%Y&u3k$-^QUifVRP8MES1foK2e<@qLBo5bo~_{aKdu4l2&S| zIi4f8y>8w_`k-=Mj!PzAh-;JL8q@WR_HZ@@a}n=qx@ZmLEp5TToBOWX+}R0aYp6oC z2HJX1>jt-HczV@yji7XgQ#P>8BI#C0%c>XsL17k1MF*C!YG^bv_327#wC7lmuZR7d zrL2mnWQtmWOCp_{7LlPko=y%!)p^zyp7jeJ3UlHxUM~?9v#oEWiBz!7 zRZS)+)$1?1Y1?L@HOB%eO;fh!3gI z6}&UI8B=Dc>L90sP$idk=Q3&?%Nt3bqpdMv%f84lv_EIaDN-*hgNS-#fz9?#r0FH8 z041h~QFhf{nhH=^6li;9rm^#izZD6iU2^4iN;RJ&tifZFR#X0!=xV-WRk z7R@eS80sC7fZ+A^6a+$?OHYvV#B*XKlXRq~qeW#VEX3?8KCo8cOr)$u9TH1)P2-k* zB3SYPvx%+R8qrd8>DI@>GDyIx5p~97Cxu)~2{5`ABe=S{b+gx*lUZ-o21m`2Vc$_3 z5p!|0=#I23!~6S!zmxo-ByxLMa-&Q+Fm!1w)^{SPDBamI9`2mw2FC z;_Jq0O9X?9T!_rO2+H9>)25_#BD4)|uVzz7HRo|Hp0_Rewr!RQ7%9T+sX>^#%By%~ zY^bIQ@3b#y7XFnqF(Ps;lD81XNy}!(y=*TP*O?MrDjWbwKv*s@=G%pJA?79~7ATfk z=uH-y{35*<3N4)?l zL6fn?5uG-z+Tp5|FN|XrU)>v68R}TmA#S?vO&JdHOeYkWk=BvFiZr?@XQQ&2yc}ec zX`C`so*SVUGB=xxGae1Kwu7#;a2I2WLDS1so%}il*GQl`MKxPFk#C#Dy43gk>k zCDjznCS`57Wc8Kc#+a=|Itw}+c5~giCqs|jX*S2#wTz~>G-6(K-glV{u3VAs6hlUH z*VlkJTdxuFd%86==N-h;I-RTA!v&u~c2%sIc}sTlC0n)>*7NzkH0s6ZvRGTjqD!eZ zb8mwqjl@Iw&A{Xylx#6B6L9DKje=*LF~_HRQy>(VCyXOzn3dqkEL2pqgC2!Uw z#W7jznvz&^t9YS7#9^{TWsromoGYnvXqD5ts7Bt@&@GK*&dO448|%uOJT*-@9}r4N zByA?Gd_b~_?m;J;@n|7o7EiimXG3KzBUP}$<&&lDtXp0O8MEhW(d_Bn z3zg@!&aTwnwyUfTO#bdl?Z}&h&}h9Gft2jk0cl4M`s(TcoWS(dm#xjJDYlGp4Lws_do%|I2SXW2L(}FbNA~9#mH#Lp+WL57LLZ;0N)nZ3jC5Gsx?qqHI8q z!e}!E(}7mDGp!Drzf9)MH1!yh|tft2aplcqs+3sR*) zr^_8#86?Gn-?7s!$5E8dZbyd#P`F{C9Dw#mQ948$%ynk6^F{~HtL_pU&+cM}4R$8) z7Y~M?#^KB=iPH!Zr-9^|MW0?Zc&T-_80z%0pPF>{r0XwR5x(ni4v7%p`B9MpFEd~6 zNEFflepVchatS|cq8)|d2(aet>JEu~Zs1_{$N<1D6b93}VhqiGZ*!XKT3rg0F9 z@56TaWP31xN!LH!RUP$cZ_cwfgR%; zVEM82a@_7g>%H+fe0QRJbY70w-2$Hv1INzXPW_I;2y}Oew+F+SJz2xRZVcRuy><+~ zGXh8ZGUU!WAAtdtB==+kC=9-HJoeg=#Ibe+e!MS19`8%g$NK^X@5vC@AjzGHJUTB6 zG-(fpqdQA{v>jmO?!yL0`r;V7+Y*k#aCEm1@4;A*7q<`Nc3b_?b_BgI2f!Gh`?|1$ z?duzWvBWW4C>nfLVs8$LImS0|ElD41M>D%4jHCE5$7B-Nbc)$u8_gWECBRyd)V^E+ zsvKJfOB2WN<0zKe$AtqbxGzH-jqmF|#({P0&kJB+KRCKJj^=hx)E`+dfbH_rj>3S9 z@6(E7$YXNKF&ulW9Sf9Ue>^~hefi^9`k1cn%AoCY)qZjQBM_V;8^ z!hk)_H=5no4`8l= zeSHHk;`qEQah#?sNgl(G1$V&5<^^uvaoBMhu=M%3Y(TCM2x38$0|Lv@%YnN~pdjcD z)!hWw@5ro-lCr{3N~ePXquY_YB&*P5pJG|>|G$Lg~YwX6Zv0#ZM8e{Lh z#U6X_*rQ?;5ertn-<#dN+odQG^H1`{(;v4}cG@fR=FRNhsgnh7E?8UgmMq<;fh?Eo zLz<1uN)&I6YkM<3qJT_R4c8R>kd~H4v33Yl2C4v#z%ih^xlDE$(C3oLknQW|A2tGi z{Ipl*+Q`O2CQB`}nKzg@T}_O~COzP}*Y5Fhb( zD8khXnaKk2Jp|v!z@b9^CiBf@N`yNUHIq^Gi}CG_?*x3)NBDluhc|~KGd}6R=gu>i zQObOL(nhqaOF!=WwKjg=CTWj6xt=^6TXG^ z2=}EQU!k8uI`#K)do$Svw5K^Dn+)RWP5({hKY+ATdBed)RE{n33+)xgjmAg(5y}zA zW#?Mxk5C?!(+%S$l(%@Wxojb-3Y%yyyNmce;OgNB3+>5H9hv2^oWiwV=ub=ZGcPjs zII?$=+G8KbEC>sHcQc0Lt2UF(Wp1JZ&8C{m=H!7E#d!E2p7@VGqmXY9zQ6s63(NYO z%c??>D6B)gP(S6RkHEjecY1oJ4|8{!{u=AyqlEFHdfp+9_~<#lm+Q@CtMDz1Z^sC8 z+4l(F!*^lO{Zk)-AJcye{3v`=KNh3Er8{8^)iT-5T{78S&`$VN1)WPHAoQ2=(TDQU z$6RJ+qyZQ6_3zga_&Ng85wLFN>{Y{8Wr)&5D!ufPnmhp!njmekHb@nv)rTt-3XkZh zP<^Dk(q9`Cs)|%AeKe7MHCmlcqlgR@E*t41G~q$G+u)#&48cwQn!XN7M~AwK!pgvA zzKxY#8YwII;-geoSH|K~L0P1Ev@TXztGd$J(Xm!hMc%+rtw9-~3ehM-RR(2GjV4^F z4Uf`|HiL*7IiX^2v)BUPv%QlrzT3>vz}S?=gm)3H|O_XOy}G|C|4 z4cCT;D5E2kQK6Cg=#Wr-bQCT%O0_;HIt;B>c&ODHwQ_RnNPS48DlCk0H}0nji_mEd zQBFczgA%O-sc5ikfFR)^8iPW`>hNqE&{5e_8>Osbh*CvG z8_<^!jE^SLUcqHlD?w{zGe2dpK2qrs(9BC|h>nQRM@I1l1aVcc+qD@-MKbj`9fVmeI>Xi3#ankdX}b(1@DypmZvI4XKXphmT}pVFhcG9We_ zOkx1X`)dr*x+nwnrMBD|wY#AKAL&bLv^YqG?)dY>C2EC5>r_-i9eFKK3S6F930y0D zQJYqf_aIe-s;5?`jnYDJ6|FGJQCc?2YORX6)xi2zS586z@u~HZc1?Ed=jt_uh=nT; zTxr7vK6f&ab+UhZE)Twt!3zwh3RfHZl4ZugNL9EY46H5m8VytAby747kBZc*qnQvX z6vW*aQk60)MqeWaG^!49sG-IM%b?Oh-XgX5A`XoTRYfTyBK3W>YVd#x97{5(q~>UX zq5iZWvocHr-KSRSgBfEhHT^h7g?fsLAq}MlPXuA?l+B{3<}f|ia^r(HLl4Xe3ClDm+MItPX<}YpOF4YKFQ@ znbf42xxPn6Ym{IJP&tg#>eO3pc#tky%?XfB$0<*>CW;#v)@fBFL^YC^Om1v6Bx#&X zlYB=;Gj*!e2Gam5(Yr9nkCLkeLl_mM35$UC)02>bE;^h)_$31`wSMOt~8(G#a(RU8!gV4p-^il}?V1EqX>66iv`Ccclv- z^3jHbDp_84Wi1C+U&^8I3&)JX=V#sHbECre=0gh4`K1(RMSKU+hCar9CI=!2tTsDa>THp{T41nKla zy_Lblb(oxE6<#bH5EZG33JN7wptiPGL8@rJ&?rMR;U6zh71TRiAEVQ#F-e12NcuF4 zD~J~mQ6oYR-a^6ZNG(`L*)ujuQ=?}r{-bo78EP>4215%gJVflKBe7xZKrB|B>%S^G zN*{&+3F4RnHz|Wi7<7z96#-GI$f%kDQTm9Q{+b94+OJk}aUP;pio2B64PZZB4iuh{ z6!0s_m=G1f&5#CVZ8ls^j_9Wn^DWe{fx1fkq~It44i1jghe3Q}pi`7!WsDP4hc-L{ zMuC(XP`K0u>YgDsJP5W!IAjC$8nhwdiYC!y@F0P>OxvHRsmSZBz|2kaBG}v6!HF4b z%GN5VX*3oiYP^&O#ztsh00pZcbW%ge!#^Ofd&_n$<(}Foxzm)Mj&cui_2`r)3Wio+ zjSl)1m7p5o7ACW*EOHZRprxQ+nfz~cAhVdIIC14N_Fx?Npp7&TWeS4p#H941z#N*#$ZYw=aK)W=Y-Ip!dNz_gBv!THEk zhtC8f6%&*m;;N=e2u387s4<@&`TU}zr~@n`V<<_k7>%eG?IX1iEloI+cgAO|BtukB zQ6@;do@m3yvL@L)pc6B@Om_su28r%yr_yQFY?eek6p|?)R6ut<#+Yv-2@ID-tQ%kh zQwON;kZP6q29wI*nxho*GWMdOPzFPoqd^kLk&z={dwDw4qPY^&sR|RbF~v)#f*=N& zc*a5Lq0{lj@_pu%w_pvE0&lDe3I)9}b%O?~-l)u(7f{w47(*QWNoj}cA@HUOsSUiI z=DHwE{=6-r=FI2TO+A#%q9CK4sVrCtZJEmG%$400ZH9HOV&+9>fkC@6Q9}12yT}0J zlz0kiPgf(_K&vBC+Qg!Wh5jewkF+8z9Zir56Ax#DG(q)PO>x!@T8~+aEDY$#Oe7fH z38l7ykvO?EHW1QkY8YlTEo^#lte#0X=6Mxn#1K|2n?W3)q(G&{{h*IH(;O$}07{r*!%{qLlS4(@w zoa_$cRLltsZ^@GBMl=JbfWDwJxPpv5UJ=8v#8e%sf>x&$KdqU;qhJ#j3c~b{dnw2? z065+gyuum>BR9gm9C)?mB^Z4^v~ z1M2`WVSral)>ZU5T?J6U#ONfoyr6_?Vc0~%=)uZ~o8BBSeHxjQ%L74om(S~6T42Zi1Ry{YU zLU*E>N}vuID^axb@oou1s8Nps+^hVyzS79V$`~>$tMFmHCcL{|qpXib*A#oDMh9w5 z?lh&I0_@A~68KHHiwkFYmmqD&u zztUZZ?yj$=Fy==KkzdjvELB&(5!!gp%d*zz!_E7Sh|x1BErPDc#?c?l1S(1Zl|JG9`Pl zyIt7bSYEKDwkb8hnCdSlQxsFVDUn9b**J2<;et1frC?Nz1L4n)HslqvrBDRToU{%i zA&tYx=5mXe-~ejOFWT$;qUX znm)O4t0`mx(m0TtFcM~mLcx3f+?AN_nYjwCt>x_N)4w`XBFMz_T79;3_301qX=7i{ z#@@=teWIBSf!S4A#H=VdoGfkDAa|u>zb5!VA%SEd8WbB_dS`vb%(&ze zEZEGeHD&u~y^?IAie23uscITBIIh)jv$Da1jT^0@!Ej-O) z#Kxe^nz|Sv2W4w=?O}c}=BL#-yc$|VDA}7{!~u+njC^4#FdP#t$u=!<(L+qAY#9&r zR9&fc&^YiOUzIW-(66;nLv@;UjoijpYmz?lp)+X<^kZDe8p%i%s?yQyu8wAoC@e+z zszv0bsf*a&D1%rN(;|)dtCli@9{L!AxjR8~wZinyoB>SG1vS=Jt0KOG-x67xg=50R z>;>t9waS)A!jMFfS|Le_)R54TTaJ20nc#448Q|%lklbz1k$EDl!i4VOp7h0VBjn$n z3U^R|!y$~3u*cavPYZcgk^)mJ5@N8@q6F{-ix$|(D1*dCY=m5(Hl>>QqXPuyl(&RK ziyI)gDoKf}mB!KGxHV%nu14=^wgkPsJRlH`XWk*AQC7idGOvj}d4FJ*!0=O}i?m(h z27-XsM7goc5+TmviX8sl)!A@yP7i)iT#(S-A1)lWFf|QUEyR0xwLk(X6%}q|{fw** z1p|U2Nm+&d@RkgCyOlW3X^OpE?#!5p#)Fe%#y70cW=2PpXc_9D6jW1nP6Lz8%v|sw zqfuEvGjSuFK_9U3V#7c^rB2b(s~I&548yG{dCe|0^w0(r|G&N$a2f6I8 ziPS@$@{%~Yk<&^%^?r<3z$yOltz#5<4nuJwXBYDoFgiGJb8)av6@prMsX>d=XJ&%L zOA2_7zn7DQR#@JN@(YZ~i-SidNleGf;Sz}DCKPB5=1pUw#qC;QO#K7-#RO(Naj)ofwH`_`GK)1ah92(2}^+!SKP$2cegG+=~iLk&?o z&{Vb`!Im=2g{}=}>v)nr@S}@6wr@o96}Bwpfz4W1=QJ;yB}`KUr?|L|^BR*Wc2bXQ zU?oX3dtjFX+oaIC{_{B4Tr)9V<*1AUSi#&ihTn-(Q0Nu@b(WGVZn6p0BQ-15$RJB zKF%3{aiiiSUB_Mu-%3G9*DvI3nl9JdgD6FMIHE^52exO||(M=iaM<=;%FcTvKIiN;R0*xnzmfekS zramxv(MVz1RFS~3HEb0Flqxrs5aF3w%sZ&CVuKmxf@Kg%Q0qv2lpbCw1;2%;gP6iK zChV6|d8Vyb7(m2zAZ;*)KN{{;m_-J6g=EeWZzyGIXDS%K3KYZ5rU^}SuW@0A(<=Ia z-n1d-;2o(V7n4J6Ziz>oAQd5+F}bxCQH7>fj8Jf-MpIL()`6yB{7DonCWNVp?M+iQ zO?ZeZgz+AKYZWGeG~8_bj2<*vw9x3=(=hSH&|(1Vm?$l65t^0@5FRq!n6XTgr)gd% zQj5dYv0RU44lwW^_6tEx2&J$-sR1s=GKVHyESCgIQzL3b>1z=8v9aXYCYVWZ25#Y& z{NhSc9O-w?#P10YML0XB!3BC@WaLDF6^QHEQSlUc!zra`5A zMj~@9;fIcCZno~=&k>;CCZ6N>c~xj9IrEwEMTHQJMp?YC>p$iwE@JH3}oK# zLQG27MafyM{2-VtTlARXIFj=AHE&rl#xl^!3K}!`h9DV?i}+nbPVqwyAYUpazc^(c zE$-Uri8ob1SCTgK2O7wlRKkpB{(DkZ%(uYq<17nqosY#bizAjZw$+cO0aF!h9)lv_ z(~$zR3A^(_*dSopXgXx#ghM){uhDwCn;$Y}A7WA`*9s*;r$$hph7CKF7^|M2RN@E+pPnMTS3;{*(fod<&Sp2gU7b(C3HZ8QG=k1X+@9i4P(D} z>4G6ZhC5X#Ifo%W8p&-%F#8>g1)Tp7_AFQvaLPhOi^MG0j!kGd%)`k(w_2u+aUvNG zH9!xW2A>mG_&S7E@`4t~TM}S@&fm>Mj6{v@Od%wrxLjU!d!X^@C!G|geUXu;^*QJ8cbO035g3gDG2Y%xKf zm=qm5juWgkv6mncq$VUB$6H83M>!$J`~~Tg2v|n$;|Cx!Hsg=|@IB@Y4p0~(CRz@2 z=9Osj6jTvYSjLHh&4E4Xlot^}D!$NYqMOnwH-3pq+7y}*_tPdUKTFcuP!I|3j1n(_ z(3YH>a?5xk6USBXJL@44n1dv8Xk-koHyn-mqp@5;M*ESBUdqn*7_*J2?QCYusGzw% z&@7|pJw@CA(2g>z3vMB!5C};yQW-sY4fE%OfSUukapq@1p(0*hFfBnL(|$xc$0+L^ zr&jo^Sd1&lw*tEbGZr0`CN;uVlE!(3Sglz&S)d_Me9$NDh3QgYN@nyhy@}Biilj(d ziozzML2cHARV2)xy!(vzy@ROYWw|iya5o3yR^CNqQVy_hp{2qNv~7UX9c)-l^OsPQ zQF_^=Oa;M;!AU=?5^yXJ`yU*;aT*JhCDX!am~*~{%(^3J6Bn_uBzB~bLcks{HeSfi zq`sRhC1}NTIy;@sd*C2lY-$tS)pT@i#+z~HS$&J3AEjdL*P{H&KqNx;K8cu1UDr0w{ zZ-`lF!Xmckmbh6L#;bS1isYPDT-hiy2>zzK<`#W0+mF;nik8C;N;VT3 z&1`PKNPI$wI<#_O4gj<|RF73MwbEEEQ(oXCvTY$@I8d(Rc%B{6WCOt^F?E26ls1t> zJEfTps-y;Cw&w=~EW+06)GU6cNz&i-%i3fXtf<}uMAtD-oWR+1!A0wXjsCt&lkq?CnQyzDo8w|rcNca^Fxr#X# zqacW=ip@5#;rI%KTR>mT4`>!mqNDYZE312rx}JR1%3DZxEQsMsoJ5ob?5Ux0g3p0%z@l0U_qJEM}Hs7I~p3z#ILsQ|=7H|UR6^Yb_ zsh~D`644CIuf!9uDR+KYk4+uwh9{l+6&8bDoQA={7(Nly$e3naBYMN>htsYBp;|nW zBbc`i3TqEY6K67W)w5IC;0^B39dj7rL^*X6QxlPi%m_9+<7m1bXMke4O><`5x*Aop zvE`iemE%Waq|u;(p5P~3I^E_{wFw=1;pCp*H|J@=ls1kog@n+FB~n}h$I>BRFbDS} z4{ySFW;zz^SRu~7>S-$$E*@UL3Y4WzamUd~p4k&ZjK%n#IcGKeTo1FLjj<|X0Z0x# z2O%uHm^+jm4Q4IlPE9f+g4t6FcIdoUADSU03^O?Ac)8t&PvkFuzk z;Ks(1e9|5?dt67TgSWZ(DNXQ5a9kkBE9ZJ){b6Hh94Rk;XLx8+c}~ zbDP<4)N1kg3b6w76SLxR5>MC!;l>(nOqyh%|7sZ1aI%2gxlwT|O*-d+d5)h9|r1zxE5_j_+}6Z|47@ z%}}xHj$;OqOOCDgF}ah4WAZAb_kD6kvuEZy3zn%)$E|xZ?>s-6#_?r~V2mcFU62Ec z2reV;=@JQ3Gwb=I3X$J-rCkVvhNq<1Pjzew7lW)#j{NsJiS9oleex-z2SHT(*ff*p;VWwN+nkIqGSCyB^f|#R2v&i zRtg)14OWft$AMtnvl=W~fmCk~8^pu$5y55U;tB{=>c{p7a2k45kuP!10-)IzgX06(|5D|j6O)xn={VVg$^ zZlpNfD|o%C@m>f>k%E^vi93X;Y<3HOJ2m=KIYsre!!um<3VP6s9Vv6?%R=Lwl~pon ziaqJ$3}mDeGf|lKJV>K+0p8W8s8NGicT9$vEkut~ktJmGE}%f}#J;jd4YVIicXptJ zisG0R;!4hTVFpL}#tm(pgK{u68TNswagn?SQfM4IboM`Q9P~|wy?v4!Jk-i<&QhS6 z@ti>b&JocDi9fb=*uw>!9}GRl+JTmmY`%ro!x3jZ%S|&A?}Tm^;7>X>EE=1$!8p6c ztapAlgjvt*sTr!4)32zPUx$&SjhQ z^k=40;?h{*%VmOT&U_3+BSvLb5Xp%%ol>JF3N}~%K*;%qW+vlxz5aDF z?(Fyx8UGt}PF-*D5DIkvtF@#H4oE`ba_(VHrO^>UNEV%w<9J1)_Q>k_SE-@ArZ*EP z;G~4I!Z`?Gr2}Ln zU7e8^r&E^qo3(18tPmO%72#g9W=u?s1FUV+8&qmmFe{QFvZxIngTzZ!>d>-+KaBC{r3WFIyB<2liFBv_cLm&#W ziulNmcwSq<{&0s5I884U#l;B*pIRuzbQ9GjE{^#s_;#>dR4I)%*DAghq-Xd{?fsj9 ztunoXIzR^v`J0Tz(cLsJvVIDgFt#Qlv7zDq1c#}{Mk|xp5x;L|%__A6P(dq3x zLHwx_!EQk>G+54<_MSuuJP(SB{U>(s3-rui)Wy%e^jG6fezd)D_6&03#{Rm}r3<^n zQ%6c~XLjkt{yO4yJVb1e2j_*kv#{)Fo{0)gpz?86BO~1y3*t|9_6HQwcU652{RJan zg?G5(#jfT+E}$Gx9;gR=3j_e|fUZC{pgYh5=m*3CBY=^>C}1?O0XP60q%huUxEfdk zYytKHzX6YLp1OH(+nOb7=1iY6a?Z%uk!yN&Z8xW#pAY`!EgBkQH9%$?`g0VhZww7^ zo+%2IiK--%l@M}L$g`@ISMw^eh){YFZE10eoApr7s%BozizAT@Uvb;eaa~houGT}n znk!{tJ~E4NAllkYRytQN$|1g+&(#*G3fs_u4SEar70x7=F^*b`dZ~P49JP{C=oBGa@$v_{36$dS4 zX2IntUY4t{tngyoU6ANs%U32l2h8=C$=<(z|2OyWYxVz|5wNhp3sQ5D?A`?)0LjEB zHZoaVaRVI0fht)BgaiqH=FTru9JDgOSzu3j6W1P;$$H~;u8fb139M}XgHC37p?F?1 zyhB$6D_j$-FLaSvM5fg?|H&#iU)u^Ys{`hR5KXYig?CkxAXtT4P?)YwaeL(%SeBkw216C9wP;M7Xyj|rGZL7 zZJ-A*0(dPnj=qD$0ZGc|1jL2q^m;lm)%O@%D90Qy6}_CD7{d4gQ&F5TOcz#rz#def`16I>Ln5JaqVY&zEHDn33+xB(0hYO88vt5y_a!`#%|J(S0a*vc zxju4@mgTmS)Es0HbHAw#Rc3mM~*3X9{u2rr;Oi4yoiydbkC z`YM5nKnnr^~Jypo$MB^R69^eRY6?g_%W1aS8 zX`e$9fAsc@^lt{cIA?PKRrvp2{;i14Hb6e07+?>00Xe4qN9pyY6Eo7^!SXBpvmyVM zL~C!r2WSHX0i%F_JN*lDERh3S@IN8{mPBjv(|r$22bKayfPXvvQ@_$b8%sr*CDEFE zvL}Fxz(XK6e#WL8kYgT@*nVGH=ZnkBsQ<6SF8@0Jf67%Lvm!bxfr>y4pdp|ECIDN2 zFUtde6+>jC|F7_6eWm{==`SbQC;C?az5$32?15@Pb%1!H0U+?jW?U0rYz2-1$LSh7 zHM@a5fFYkuwg^}ZtOqs#dx2kpy!iRI#y|it02mD{02Tr(f&D-tP!yiA#y}v@83+Yp zfX%=Iz`G#s0TuzvfFrujF2VZA|#>$aTm3KTB=Cl>8glfbazh4Kl@<{6D5RlmCa}2s!ozqT=lNe}opY z?@zjlWi~`xlF#$N1t1RcHxL*E3)5~VPbn=)NvR4iux$F;21AYdU0~>&i0LkbZ zapNR`RB_7Z1X9EWJjq_*_b-|)o0Vpr?XI{vH^c$SfJk~O<$g?g%rR;Si6E7PB`_bR z9T7oF;Me8jAw4CDE@y#Dz)j!}fOM(Ep)aXZk|GJz5I0(OkX-V!;Y#|m)9|#kX_iH8 zmMZFCpGRgTh>&SdXJ{^plkW3O$z<qyR60;w5FWQb1Lp2G9ry1lj|`flSV*ip(;rd|G#-vqAOYv{cWbPjeOlC=A zljtAE2PXT8ojNK~l%4yr4JAt}%%_Zn0gxGEo3>bCiZ$9+6l*GHi)=8gZ0UI%ZMg~Q z&f$QWW)qA@?E!9InJ>q>MV0SL7J1=nkUZNNd`4L>IA zE9<~>akgv*#J7CC{)HU_9EAi)elcxCi_WBmv35E5H`} z*ssNumIQ*bOzD(milhK>u0M6138%3&ANd?|##D6N!e|dro4`~QCuyEl0n3bWSBi+^ zzKESb1&TjkWY1q}C&=VP<6=NrKnd6Zbpfro%Mwycs4Gd41jP4$;p>d_muNS}3sbOe z%^5FHqto+3hK_(2GR9qjon-oa5ne!X*|QlXxjwP}N1>KPYj?m4XbiLfx&i-o`bWY? z@s<7`_x}?8iPqhLP@oqO0gMFx?ezD@TJ|gbKTUt4^(bHxFa?+etN{M)^miuvy^MpU^B22I0gLM>0bza_)7mz%RkZjG;jsD2HXbBVZZ#_>HiG< z_)7mz)1PRa3&;!P1Bw7ufqy&w&!7)q>Hlf^6RoQOE`S?QALs;p4*dgZ%KVEyR>g>% zS$VfJuK(7-NBx!lpQb<2x-*~wLV$2!B=9-(|3|I=u_y7B{-35l(Rvg>`#rR;EJshVF6i2*8uPZ3XL$unfyPd zIFtW};t2oLJwurd(U$h_rUKJ}|B?T{2aZMkdGc(vbhl}qkIn^D%I3L%%>4gP{sGT_ z3LC)!L$U8K>>93)Abi(4~gcK6OC^He*jN_7eG<9NkE+Iv#-T%{d2rN7@U!v;&9s7T4aW3d9{XcF06RmYX3=j(p0p8Fq>(Zxhv!O|G{Oy6#b1nknQ!KiT-r&|Caue0r~X)e;%SKoyp7t zd#Mai6L16?01W{>Fb~)aoC4?^=2_r6kO0t`%$L9izyfn_9-tWTTHKIq240Ep`LY2? z3toupc`6PhiUX3v%{Rm;pA!(@|HZDw_OaETkwatax!ke8M@m`oh7o5?tY`xpbl<)Ii<3r0h_|-CgN*SXi z`noiWmPAn#%48ZXdFvBB4e%M=l9?0TD*|?aJcIbaq^j3Kf6;0=BTp z;6V|~vCNw2+Zh-D!~sKq5x^*5ED#U86gp1d5{gNvEJ=|BBn3zUl58lQ(Z6bioYSA0 zN^~-QtdfmS?+I~4f8pBrZFMV?xMMg?Wcqv&`lGlEg8moKUrg;1|&=%2}te{-=EcW#ukd(&vA#{H($8}<7Z@^oJ<-rE28rbU=MHr zI0PI4t^of``d6sHp>ApXh806a$I_PJlDe9MHjs76wT8AdqB7_(%eh z?H@@>b0I%{OHw2OV=mJ(z|x(4W*ypA{&Ms;>Hv{-D8z}aLm^HkvJOpgChL&m2sz#F zFM^>kPVmC@N3BES*a;WeS~SY6iN5r_>_*@S@EdRxxD7l2l7P3sd!bzV{+vLnxPWKb z3y5=lz5eVGkl4^B*`mdf4bgrcuohSctOqs##0vr+yu-B>{Hb!l07L;6cwmqJWfA~8A-=hYP>SuZ;yP6jM z65|_vFg}M( z_jAu-n_9xhmB`ggS;h2Q6!au|W1k0U`1OX$xq7v#wXacbYcru*O5}gqp`Eo^xQ~rR z6kzNNl_)DJefpf@=tnJTl57)wKLBQ+Ye}FH5CXK9i9Rhw*Rm#`5fI;!`L%>kGksqH zn*eTC{gV?w6IrflX{Ye+czSdEx6E%yO4{UeJfDd#aZM@8BlRU(alS-OyBE5EA^Mmf z@w0q}W+1@@yzj#FDP;_@8KE=_vP-JIj3k)BC-{jb%B+aap+GMn444dT0d4|urbftQ zeRCQR-~abqOZaOTc^d0i&lxL`^N!fW_^~>liT7|#Dat40$)SC~F$fhXd~(1bGAp9< zE#MyT07wS1N%sh$NB`t+D=S(oX7c76M*pICWznBnOQusL)bsT8&oCNRYp}L5eZC0& zQJhf)9NH-myX5n6!=U*~^_{ZJ)D0T>R91oi{ggs3PqU1K z2Sob6m`ML8ej)lBc|b&eQ=G~E6I4$Q{6l7Jfgsp^^quMaZycYG>wjyaFRjam0mFg$ zz&~97pK{8X&wxvZ27r7sr-4hrT_9`umx#P15N%{YTd)(K{Fj1$qI?fd3BtFa77~PxSr;SOu&BXg@Za z^#6b0|6f?=zb^m6{`(HlUD$*F@6caY_rFemI&)zT6ak6?u>hUH_yL#;ECVF`AX(*S z9r$;w|J~fb4E>EZAnbp^?lZ;xgZF=|iN18+W(BYcxBwKw*&0Wn1t9SSwh{V9-#HG5 z3;W2mc-6+vf8?u|Gole;2w&I#IMPKlZV9vk=*$(JwfYXA^HvhM&)N?lu>-Qs_7M{Q zg-IXZ|JQz-GghJ{xKYlj7yq{Pzm;&V?kCV&I9q3h^J29CI$t*#_#8e65!19&mH|mN zajuVE<9rnRVJp!)0YlVupbyUv8BcqJsOb>j$La4;KWFr(R;H(ahL-=6*ZX9B>VI2FP&&y9m$==nYH;rT{a5nZU{_cvc*!V2|IF1TN#i z-WA{;@H^1A8s0YnOarC^KL9g;nZPU{jed8eCVn##I0)Ed!{>D}{w3Z?yz}}_;^kwP z_b2X5T%Wii@u$RiTumAi-D}dA6}VcFh+A>i(Zd5@{OQeFxp?}fl(UH@8SZa_3ZcAQ|dt-!S5;s2k)T=!%_@SYQw^7&r}_0b19=nLuC=@C&@B?cMQ=7SIvs1jGRSfLNeE zFaQ_`yob}~1F+&-tPgn|V*}YMG`rpq?mxF)CDa=P^5e`J-aVMiK%v;q)OL7KWuIPto{B6L`WUvj57lO^xl5=$B5I-^Lp=^64L zsjm>_ryQocGx_yTV_DR9;ZZgv$)qpn-wG%R`j-Mq^Sp2or=ui%^*sEHZcAE_ zbwE-NRl}s7F^5UcG3kY<8H*To0(%Og6v?Fw@~8mv0VJQPz-!|r)`*kiX83LnbcPId0lER*fnIU<(3iK%j)B`+$P5{xfD?oIN07e0$0kscrYX*n0R4dhKpcfz;N7x7AkY@L1Y8EpTjG5KKtrGrU;w@c#sl%d zTwp%13Rn+p1U3O%fUUrO-~ez6I1O9`E&-Q;tHA4L+`k*_`|7jTH_rXGXZ@bvmOZ=i zY#}b5&3iTtnD=b_Jn=salyPo|DS7~2zI6T=IAdg1wxKM|l+$W}NN3YR1pXOS^Ww4+ z!rd{*!97U~w+*Ej%jHuf9v;4gj2<4;T%zkvSi{n%dewCciRVOm3Yca+WBZst$QYvB zwMiz20>gkYz*t}$Fdg^-m;=lO<^juqUw}2hT3{Wp1K0`d1NH+4fP=tI;1XF zdFz`b@JQfU`j({pzYhGKWw-B&Qxe31^w*ZKXz&)tZuBNcCfi24PC>7L%x`|Pz@GBC zPMQB`c{~s94N()xC2xl;L9_`1)PM%i0};SvU<$ASI0F0z90e`|Pk{oBVKV~mKu16i zL;!t&0l-n<4d4KM5DLry-iX^T(fyKuWKNc({DlF@)Scr%HXACj3MBVPQtpVy?Up#e zuFZ;J=|%cJ)T{@9OSU;;Ly_zXE90!@fRaQPL5Gw67WDNf=z{jV^YOQ`a`Ys#lj$aj5A=)aC&n*%iJoM0+h$|19?~Nd z&AR?cmnnPw-YCn*ieC$c+Ab<5vr4ffcPRn05_`jn%qrMAV_ar$Wm%HR+o9{((7n<( zwd8Ij6aEQrYk^baV{dE8EcLVA;F2r9ve-*>tT_Goe-0nsN5Vpp_d5*7%7Ze*|q6iZqyKCGRFWECHZ9QU2axv zP_z1lOj+i;U{*xuCBOz?Gq4jl2pj?=B$otoM*n<0a!!AuU3&Uwj60u8pt0~Rvsz*y zTnpbat9mxVHGO}1`b(%ufqxABiOz?C3jp~{ZUBkEBS1oONg!wRFQocPf8!4T5I6jd z@=rc8qWMFjH}DpC4}1V*zA!)lOCTRm04NBQ2Fe590F?npz!|6oxBv}-MnEgT56}R? zKscZW`T&u@3}7ZO3-|?C4y*�~>%nz+T`Ga2R;~!uan=BKvpe;@Kl-_nzImW$)SL zi>59gzx-_C*%1SxVF+jZPA^$iE=dWk7EwK=SMakUUFBE(zp}{w0IH9Q|poW*^vp z!rX0&GtK{|IMe)ZiZjjs6i4{7_Fo2V^pBbU1-%^sdXrv01DplU0lx$Hfmc8(V1v!^ z+(1d76hNEhE`TfG2KWM!{k^r~eoIo8W|<<%_OA)>-N{`52b3(#WM2k0V%*MAQkwrHO?&qTY#;=Y2XZS0k{bCZv($JFa?+jEC*HqYk;*tLx0#SKzpDA z5COyj{ec<4OyGIq-J92LUdZ9U6TfYhmCw~{(kgbI#5VMzt1gq1B$%nS6B{y+fG9_Rpc1Udno zfp9<%3jI#Wz&}NC{jZVgzonrP_phV{5@)g` zCF=mMm@I5%xhk5eD#GW+T#s}`@?Vz~^L>)RW9piG6)e zX<6TcBF*62H&HyD{Qp(+XCKU&k$?e+0-}MwKn&0i$hyT}Q~uJ?F|iuy|1v}ivUTEt zIlx?C9*|=ynCkg@_0JpuqQ52CwzGiQfSCTTk{;c^`Oj?k*H(!}AcN;m@Bn7Ig20O6 z4>&PxL+NB8RuuHeMs7#5f{tdklgx(LwKkKLTXU+Wa?Q>9<=0rtD*)e2`q8o?P-zX; z{f=h#qU@%umdI+EKC4}(Su>W}qkO5Sd*N`sKfCpXZq5q_`xnp-N$^Zc#jgV!`$;D! z;(-18s>>$Hi_0dGgZ4weD}U_lst;;5rOJ|7U6W=HyuPKw9{tFn>jzvP_shoZseOFL z>bmIS7w@09?QFT|&h^*VcW{a7SftY0aTQ!Ew*9G7r$Gt3TMt&L-n$c{53^7AKc@BV`{AzNhpXM6ygPDY z^Zds%=coPlZQAcM-aX#^`d-?$l=(3qj>Wxw)bQ=2xya(VKW%Gl!nZPVE{r&a1 z9W$Tx{qVNo+oN;S2FN4dMAfTz@!~~?GC!o;-VTu%1RefYRRH*d!8v0?LiH=h=N|I)WLkEdMu_Tn_B z+9%h>cAI^FW9+JBYp#8J==WIdyoI=k~x?-d0a2(e3=-2J_-+sPIwJKRpX zx>gt6x^`>qgySh^zFmFX;9EviS7M;hf|M=+O&7R6<%(Z&;=ZXMvx=sIY^w!)Rybiw80lEcb|M?O$6Maz z`EdR9xZOi`4?eKF-ryJQpTCNChweW4I_CasL+ac7@3yDpPm>K! zn>;w?Lvp!WNez>ePBeU9_}%*ulyHCW$lYnb?yi^itY%uzL#eLsw=Su9@pMh@R#PqG4*!lbB?s&= z)DC)bf5O$dqjlq)o|P{gIsD}K;jdJMp0zq0wt3&LHQe7O-am7)R8-2oZ|=?=uin0P zOgW44m7m5g-}vC<&h<8NODy+33Y+~hrPAFOsey{q$3N_`j%^zAO}Bw|H#UXdJh=jm zJM5+_y)$6_X6?0;BPYdNm>Txud&4umva0{F3v&miZRtA7&pyf7ZuMF1s=-f6$Chc( zwf2EmMVi{q-ICzlzhTkxNh?%d=A%~C>ovZAjfj>#3S8fL_QbBO%Lg4iGpY1cm#Se+ z7dpLdF3Z(oYl2m=r0J7lHhpt7qUz*j%X+Vhzc``TfOjA64&SkUg2H}6$yybYFRtv; z-RZSe*VJW8=ht{zBCz;mm!7^Y>-gOKu)C1spbDM|=JP$;_Bz&0e!b1uVzp-v{BF~p zgWLB$o-j?_z382@kD{l``jm;fKmCXJ3bQZS=8s9ZJlFTkq#`dibSZK>zTQJzNhvMdA!5_-p_sBHu=Fme&MT5NnPICK2Py&t}V2tXv3jh9_?Oz z_uHz;u`8=o2ySM+r`MaUXWJ})Gpmz&)cU!Fp7vZ-)#A_)MYCUgLf_o6*OmGsVaO!c z28Dl_G<;#jlIy1^x-LIaCoXZ>BlopY7q{3d;yRbOJmT?+(=UEH*W+~AW7W#PxArI- zaIi(4x($l@{#>`y>Ol#;-YnSBJ9*i-*6vng@>jZGqkZLGdaAzLfjOzZ8=MO*dNI92 zp=%wQv@6qf;>qE&-OXwgt^9P^=q{&6l|0*9y{ccFSK>;WjvM-fC`wfw-nmhcUT3WP zEDx-@+CQMp2It&A47jD-W>)@fT)ovUGP3wp1YL6ct+U2LG9hDWjjq2HS@gbiv;}@Jt{blr|qD3FC(0IjLe-k*k$yBc& zzdd`$+2d!YQe*F~X>|3$)<~y&*9*-*;MKj>RG)kHm4E1vP_?Jm&~0|zQi~N@SN!zm zefMXpYObj;qkD3fQZXxrC>|!yEx!Ih^OEH@2dp`~>-EXylg@Xkygy&vPI(Ty4fS)a za%N7wd4rz63BAAa$filne$rfys4;s=`07OtN0Q%HReMdYQexcneDn1ln;H*~>niJ9 zqgf^ELDy3QExK5oh#0;rPu!}h^}eaTE4FCOgKe9xD(o5Tc-~_89Dmy#)#6so>Rs6L zK&cvKZGF7T);2p)!E?f9H=FtTO?&Tmc3!ssc72bY`e_kulwOuA$5_8UA8poP^OW!; z-SSqe9WE9O9(}((dJs0TqNeA>ljGIZMkm#<>GE*olt!bM7G2hCo5QamxfQJ{BwU`N zu;2UW@vBOCHpC76p+b4b;g|B*%^&VPeA(vxOILMUH+_4s|KuUBmdFl`(cV2D-`=v? zoJTd1XHDs}ul|O54|D}fRqeKSarB91apC($`uq28e)Z|gMQ%l&`u7YvHgasR<(QMD zCWU#nEz|qSjUDHIo_?)PiOz>dp1HETcB}ajxz_C*G`+~K7s0%}F$KYA{_Q&dIuqF)p3?(`k+QZf2K?@OoprsjR|*gnp#y4j6x8%7tI-dOkZk3W>U z6QD0#X>-|bl{Wkl^NrWe=7tfE_S*&xohf^NZO7psnoo>aR(i#=jlbV)TP66$tWz^* zKE2Rv^Qn`MkG!0Ir~3lir1SBqoqP0XyP?yLji)-SIY0f<={~7l{5IX*GAiNv_p^p{ zN$OsCbAhB`GXqk)Y~DBOZM9O#%U;@d@4ED{~vw(33**SG$GYudwL$qv7km z*^n~U?&tj%TJKGsyy5bp&bQaES#)b%`}mF(5A|HItM-*L_6hB`w~B9*Ff(o2pvOBd zM~!XoaAex$mc4T6=a!CFw_2c^bIB}!%Qc&xtSL04Z?`btlCvw0Yuj%2v5n?+RWEI? zj5xS_Zf%>N5^d+Ujg8r`AkEjdsa6$!eS`nvls0en`ONOWXj;V8<)JPOzPVpubI1F; z$7~EA8*lsbtnLSXS=qsTLd?E#cju2!jSKkEEn(2D5y}X^b-6Wf<3&!8fcWz_7-cGNA146oYy`jEl`y_Zo&l~ZJ z7c{OO6Snp6u7neVZ*(p2Z2A6Hsmp6GIMQp&jFlG`-5R;iZhhd^n^!M4zrEGVvsK3; zMISyppSR>Vo6ffkUJY-kLhg8VX?&>Zs_75!#483|-2ChPdX?`kv}(HKf&TW{UX3qQ z-F)EwfIVS9AM%~K@Wu}c`=H@-<2^sjjoNfL_fy|1KL>ALBx{}&wSLg9dY<_VR zZ}j9g$2X}5EFZM1oaclC2jgcBxMtbl#y3rOO~`*d{9cXw`I27`^3F4ON%^%8U-hbA zYMWPFf_Ig;l)hVhFW(8Tc0EDU@W#f{(=ScEI!~9hV}8=iNdc8Ln^ay&KhIBEy}n_M z1~<+QyLo@;$yZgs(f9C5T0TE%>-yx&PhMR<8B?=q(J7DpPMv%;a~YhPy6D;K*SC5;)lIwHu<4>ZD|XbGTfbqsIp424 zU({lz%eZ~79~^n`uzGK|^^1}tv}d>Osk~@va*^iFPNV#SXN;P0e#MnlJyZ@)8@nD& zaWDE{>V9DB4*^`dGVO<|PRiE{Kl!!No7cMsB@g~EY}>o!rpxn|A01IYzJ941Ati>cm~y=G9eayF zXY*>7aqkLNnl+)7w{xBY4-cQZ{Op`tLX=x#nR5@7Kc%%_zxVWHt2rN%3dOx^b8yo3 z@6S|sn5Uj%Tgk@j+UeAetq+d-x%@AAQyh-eslM-E{hiNW9PQg?XGpQa$L_nodOl)x zOSfTF3zo>cL8Gv{6WL&UKh`89f90v;Ml3sIasBPfK^K1Y zo;zx#SM$`Xr{kRF`8GUyrTF<#J6`SDS!cHIg!MP7+b%kCxrh7C`Pbu5ELrq+((UcZ zkFI`N82p z_w6&|zn`zUzhuaP&@n^m&G~-bc)N}JPV8&aZeZ)9)pZ}5&i{Sf>U_Ir=AUqINtMFg z$J`Dpv8hJ>lP$k#S=V=}gWuA^J_~c_DKxv_*3!q9PW$=C3+0a$?0DRJv;XOFXU&FO znsz!;GxJ%#w|9;lR;KCJ981ja=y<5?yI@uS9?IM|?BCsrsgTzt%~U4KXSay^FI zADb4`;FWCjfJJxq9#D^2c_glCN_1?)efb6(@|DjMzg%1Omn%!Feu(!Q*XdTn`R#7a zxZ!`}=71VU*SlXxd2qVyxi+$ZD)r{l4YFrJwSS9u9xFew-;ve>FX1!?f`y+c6wtjx_!%szSD1NWJs8Ze^ zj~qC&Zc@7ucXsWn^EUEnPnQ_WP5E}$E$6#C{{4kbr-p3p7?x{suk9~ZbiLjr=y1sBwgw_Ev+>uvPM?JBaX%Sna>kKGn)H<$Ol`tH&nkKHQv z-dw&!+;_Vtt+@D|dS_Yn;}^;$7r%3P-(dgCx5t+pPe}3_HmF=J)uOdWE>&1jIH-KJ zL-+UWyH&2@cZ2qJ_py3^JE3RksN5esOFk&_ZsWj^h#tWYioF|A8=(;k3v}qA0clQ%w)L46~}`Rqj^J9Uk(@`EJ&9Ej#RHO_tBwZb}~W zVx48t&NVI_tX0V=am^U(0X`%51YPQpv^LkKZhO4_lsS>C!T0Q?ie=a#{j;(QLi2ukiCJ9sC*Ygu_!f4AFZ&4xFA{y6`Xb>-gAJfyyV z`q7~hxsFcEwK%G5u6c#R`uh2P`>g&ZsF+fx?5vg^f8z1$@q2cL;~gA>SGKG6QvRXv z@)c+NR*r1*q2Y&fk5Zqc-I;hKA+*P&w22Lan`~@|*bSXh6MAjE()sz>hdrht`ntoe zw`r+C>yjR;DA(J!bd%qK_XXz5OaIz^f6ZMfr9O;ma3OJL|3$myJ^qO9KQ}yogPl8e zZ@%hSKDK6(+qRv9PQDA<6F*SjD9(_-;JLL!-G(0TmHS~*+rH&T7IJecy8mTkcV#P| zZI!3A8@9N9m1~Q&k0Sah2TXWBq{)j{C1?J;Z~Pwt?RAxET=XC7ba`1+^@X`A#V`K- z(1rtQ?cFY=qw~G>yMAZaxX=|xo5cL=duda*!K-&wE`NUW#_ffc#cs7IcqnlBlKKUj z&s}kK!lhk?jtggmjdCv9Ev(JbmK*FB{$5hQ_PWmkhcfN2e|Mq4-GVJ+Ywll_SmZ#R z)VrIKmn<&XboTJK7IqHnN>n&{+9OZw?C^X?fw zRMY9yXtS{uD~?&RR5gBMeCoFMetU**YV_^iy=VGOJfy6R-(GU`nAYx;U-dFrNU}xYK%j%n!9;!I9$fblvTjsg-pRnhTMxGZ=C+`^5 zG=o zd0brVKH!>5=}m`scsE_Mr@^?<-fqJSl$ z<<)bB_ikElpw;@M0W*qgCfZ!^?>O>mvE6=3r98#mcl8-qzHIB6=4Jg(wGP@>E4NdeP02x4 zMOLO98|!0XSxBcod5Jc)4opAYh4sJ87FoAM<&d+la0_06UI^y(GWS@{OCMw7}`d zcCfN(GKPeBK;`4G*{5%P9F&fY>H*YY*rQZgCpq0Mc2qOvvVhn$7lVkibnF*mI9ah! zAlZXxyd_`19OwrkpexQ(BXa}S3Oi)_Vzp!^CA}b~1)39)u&sU_2hC_OVTiHS4;CQ% zYR2c4lq4GBw{9Uu65L+3C$%fU14VWrJM}zIBUIM?bb+#sBi-F-$T$%708y6y?fLNbqTN;|4d~%{drw&vrcqawW zlF;Ea59c(VCOrj)t)vwtXCuM9<8k6;FgNzCtcMUFFxGf_%*BG-QNNq#aT(h46Nl4d z$T7uW^PLfLzTyB*(%`yIBRPKsK9+8Tp}GlsO5@k8ziU=2o}n@gk+uVM^A`i+z?q zX!QA+SBeU?s^{S(QCSWk_+EoW)?P<09lBo15_+3nsIwt~y{APgH<_N`PvP88bpik5^vSeQjTUPx>BCiB({ z3B?UBEcvm3XeBvE@);iQ*i*f-S8FXVoQnlG_OI?GZojhN`QA%kZm#E@5I4j1{oVji zTTWlvPb`bVp+UIyD~nulB&Iq96tW{vZ(?PYU?!+>V#GO_-SsZL{ZJPR=DL^hcs}37 z7RaRCo)_0pqsCe;RyY&$Lyef6!Mz^UO`og`ZiqFVQZYCU5^K$!9)B;~$C4wiMaA^I z@EBWg*k(kyKlB}{J)Ga5N^~C(nUD@BfbK^&x)Yn!5HLq3(_SKS*m%6S` zybIf7p~Uk)Ubry{u}n*S5i)H*SkLJ#ZVHcf39HRO?a)xtmX+E|jl5DUKtEiQBkF_jp#b zb@en9V(SR8wI}xOqPmvcV8THt*ZPS$wb)j}Elg}Z zjbInPo-nUjx5;P=>B?O*NlNi7lOI{*K_&YVa%Z({|LkI7 z&FQT%zB?P@O4P?Z?p5mLYNg!H?`QY$6v*uS#e95nx-@b3diS$xyS4jiwcT#gX_SK( zi{r5uuw~%R(na+*o&qhb4unY6e9%m~@{j2dow~KQ=-&Q)m zSen1(^TF`x=x8vX4_;)?Pe=0+9M3WlEAy0Gk(^1Thj*K-gxLoR1E^7EI(#&nPHgm5 z_GCPzgG(l}0Y2m(PfxP)`RqKK%mzMkcrl+FJaPhY2(E+&dP*e&(Gni7Dw*u&ukZ5_ zo^s&aL1Ct|c)HBD06IUOj+f)XWc>Fd?r{V`|q!e)sFco{hE#8}8ev zW)@&CKo68VKY3DI?6+TZ<5C+NO4Z%*CEBBbNIsShfFKNkuIU5;lJWxyWJm_)r;h(9 zs#MQR6GoSCn#_e%Gs76@^~#Xxxfz-G)`0UB5b4WNm=^T1i*(eNtcL%6HqWHgu?x`njB z8n3cd-pWqs1hMHKBZi8XtQNYr_vj%U_o`Bez+5F7*9w-No0@Di| zXI=b$zRYkM@`WSY{M>{s?9@l0gXzh|;ACWDv!~P9^C|HP3nh!l!sI7r%CDKfV)B4W zsj7qFd^DQ&=P+!faFe)nIbGoJ~jHy*M8k(ig1U)(ZJ9M$_R7!PIr0T9oGt zgU-$Q8c{wz$QQSpi=czAg8zEJ(hTLZWx!qt+=d)5`jnawRdVH$ENoatjLlJrg((*& zXDd1W)nrx@qf9XfqI^p*52{s1Fc{Ei2LU<1rv{WCJ3JvVv>77n8y6;$4derkl*Y0b z=FxF=l2H}G!6%61BT>#|G+Z9f3QOVW2$nFv+kEimC$c4wTiH(E_G>tWoeiFjTzTQN zVb5KQSjpOfceyAn6?i1rv$sjeLyYXhV-Iyd&p6ouBY&{0&emj@&w%EsWZm>n@Im09 zgXs%9lYh?i3v|X$C7X^CvfyG#Cw(!;2{@mCFN*S0gmf|=S(!OqrkOwRRO;z`c5!mb z#k=}4*;bWbjQZKx`D`&>jvx)6uPrE@kE|9(V}do&kf0!XU>Zk{TYUJ&S=7t5e&GVd z0k=GSF_?ayt?QE$LC^41`{&uZF1pk&?AiCvvvnPIiJwf2@cVhTuJ@c)VB0J`7Ld%y z4xeY(5!Xhpo=P@&#$Ufqa^mLanJ5O_YN+LspA)iRbtG3ob`8yI zyG8RCUuEuy|0B#6u8J$kRdKysYnI#1ce*IP#R5^RsKd@Tf(12Be^I(a!_1$7yNYV1 z;(X1RbbjFpWFD2y)LvK|CpdIN=s(P!*b(DPJ}`Zlj2;aZ3zKsJ^bjQY%79}m;~nc3 zgcZy6yoNCv-6_3saQKfnj@BBio4Ei5I}FHvC;6U z8Fsini6=5*H#CC)ha}mVrAC}MuH0M^R{KtCqpkuc6zT)kpFrx8lj)FdoZev-WQs^= z^!t_I1keO5ViQS~HSVDhmVvx*vdRu;&OuMi`(^-wLLfNG8BF?y zOb|F>kwT&ZUlVf>Va7arQ{>r;1LFOqQVRyEt@Y7hezw5CLp!muWD*jWBUktVp35wk zI4&|=#0M6zF!b7!MVcwy1XasR(_-whfB)@ZV%P_)HG}{Pq7(QlXwf>y7g7rfsok&; zqAI6R;^DXGuX<^#PrreuA zeJivQS3#!3;jl9YcW}6s;@0Ufu%{O$0as(ii3+nwE;i7!$BYBIaFou4Rfl4(Zs20%BdO?+;ud_;0#qbvWN6fmQ{02%N@8m8Ofb2 zo613z>SY~@%2?TE|AW6JixwS*qjA1@@v_b_R@(}m4ITelx!U}!huPqt#CrO6G!C2OPFXF@z^oK!qI3B%Wd0+ z0N6A-Ii?oH@Z!J@W$uS&f!NWhku}VMR~$cS2m2$Dl2z-|9F1CrX5}p>6DmrT+blklY`H2e zEY8czu3#ZqDlk~VuBth$FUj+wfVFy1f4w4kym$qY9P6o}Uh-5Y=4RDin&huS;gzZo zBNxRqAjj#*>2FaIBMFQoFP69!Da8SGLj6;RNZyxMnm4CHf-O zxb%C%8Y1C{)TN~cGzMAJ1gKiQ(}C#+C!t@A%y>NdvHC8E-(jn&_QCXOo0>_>bV>F% z9I2u&C03;BRrA}AW&zW1j55kys|AU~yn1wbZf0YU29X>Bd9{3KRxY^Q@^Yl;e}u@A zSo3PIh!|Ta*8I(Ml!+E&O3H{q2hgxZtzm4S2=UqrqW;lz@MJPN zIyjrnmZt}&gClM@VD(#5suoPODlf)?ShF3%7g$N#{W&2Bs+Ha$eNQL!i^N0xnoi~x zmxuOSB9TX3$*H~$2H;V4ky2TTSWlE3<@%-CE*mJC;R~u$QF7?8nva$7#p=Dapq55? z5rpGN57ju%fs(zxNAN2EoKq=^zH_6Cw2EoN8P=wy4DQ&j#O<4ur$vTr(KZHij$#FL z-7ZH-vQ@f{P}vb_JG8n(m1HR~Qh-o!qz0kzSQ$bQN+sg)5?X6`wJd=(1QhH)ZU<5& z-Nu_k!0vGJ4ePuLch&UXm)*oTJQ@vWN29N2^Rv?9N>=>=%i{2db`6!49#^vpM}>>4 zK*Q*#K_{7rbpnM1t5hSJ1q>WlhAS~n!x1^2?u{2q!zD+4BSI~0lA$HH!+A17i!C9w zS(tup+P?q^b7gyCrN%2^H3408%t%QM>7f;<%|PvE`zhD@tUaq$k{WBfJIFe@J&PFs zYDW5j-IR7gw)n5m;*7((<+uvzZ)MdPjyLT%}9M@u+MHNxvv$|5e(Mu%s#3fj=N8p_fd`+;WkTs6`B; zpbA--kj(IU;WnB-Dn}Ie`8H9?zSeS(iJIvw&g-`&@iuF9@Lq8d1YT1;D2#|iowwj1> z8vVqQ>oK9`YX-{WyBS`Pw#b5{fcEaP_#YA?ZRXjLP+>mS7B{*{H*3^-y;IevlayL= z_s@uU%~nOfl7PF*R@UyOl?~o!b~~BgY@T$?pk~cP6>_kdX{L zIH5lV5DPu>bk;Erl3Q-)yGQ$Flfvd6OaAN*cLuX4Ddb+Qmz)vd9W6bv{^O$sY1D#*1y>OHoc zZZ;RasW|r~1q+2Xha|@N21Lmydq3js(N--+TXk!+Rqq^aKL=D*w?-A(l#5gz&zL^k z&`IkVrKv=uK~%=^E3`EPsrCC~(!xFVs!DCHbX5jA!1a_olt_5RgONt=u_ii@(x->) zNJ=uJX?&DP8XgSkoQXbsR88!mZ8(FJJnO`kW9xOHhwdPXFw%*&p$V0#+KOL^O|Bbg zO@-Q2aQcngjSh0R)^S>>oDQbb(S$Xd9uofKrt6{`!wWtNL3;%y7ZMo`t%H-#MV)o_ z0%6ow#ZkX2j{0>nid)FaG6rK7K`!2GG1&-U!j@Q)j}byW;M68NGZI=gSv}072_1$I zC;Zu5Pnp2FjYZTk$$TcGw)1mps1VwuMpKzh?p)>ey0(ZSDFrD9x2HOH1kx%3%G25O ze;LhZ&ZhWE#s0mDimaT(0y<^FHl=mAut-C6iSZU5f`H7e;$cLUbPwl=9*7?3|c}{VE#_5Q#L` z4it=a%*Ip#3Za`(;i~~-LS9A2ww?~0fp!XETW*5{&5^qbzG(&YzmD?LN<#*r?pt=NPTa9> z><(5+KmBT{vZeSP8$}DXkd$DGm@tvboe1w+H>P$6jR}nV*Dl-SX5@QXwf*!fw-1Gc zCx{J{mtmxx{(G z$X2FI_Pu505qTKg(AoXKS{)dja}(v75I6+*a`dd0@DpHyt7=os{Qmx8G=G7k2?Km` zxJ|x~n{mP*>(s!}I^FYO-&ofI2C}oGi=l~RO-pnLU!Y4VK!SGm*cXCo?BUpZaU}P7 zt1EUu(Dz>#{_BDN`ow?juJj4y(1wKqpn+^Eba~{HC+%KjC}tD^B6o@u-=8CdpV$dP z-~+)Uyz>x8FO`}F%}#Ry!jEw6X&DY4tykI8RF~ux)U=B!Xz^Ccd0EPL86F@jO2Yoi z5Q5D8Vjm=QjMkTE5>MH9?JD6UQ7%7|#lBUMH0gO)6We*KDD+g31Na{J8?Wq7C~(Vl4pMIx*9p2^UbFe?ih#b(6@e~C(u zqDqhAN=0Rx+QP;o3sW+aX@cM**0{Ejdr>3z^yyifOaMS9SM@FYqIwh@moNR0iPpPD zbDS9ZcF1XnUnsW~TfzQG)U79;t=~qiOLZ#Q5vv1U!IS_@sRK~v&7y?e8@ zfaykn>3YD(?IG#eG}B&AOMYvI_c{bOatV|xuELHxjhmc773irBe>T@lXlwEkJb@=7 zF;&1Vwa^aMq}A~vnimh&Zp#6y56_QB95YN5OnRG;^nJwizh|azByV!=!~1Ny9JSOH zS{7X22)kJ=d^_`!BaR1C?=u?hLZD`!14^J){v?tI#ulj=ohS5QVW_=j1l!Nv6v9U- zb6~qh;?}YVRGvJm_RVTVofyh6&}o9WXkRiHV-~vyr=!VaAyqFT6uAXTaTszb&LgRa zV1eK@VpyIK7Yj}|g~?ojnKnE>G^@qo=)0NSDJv>VrYS54%nhu`!Zyxw%gV@M8;7}N zX;QI`)7-K!-Pp!)ZdrLbMSn}KOS-vMw3W@V0irs&LM(f$leL9{*euJ0cTuDwSl3eP zYRZnHLgb~=s;;6#O&+mMM6ZhBR0)PsbbcXNf+Q;yC)nXQ>>YadcZHsh4Ngak)7hl( zFtAS4tW>hNGYjXBesgiDwADtc)ZuIjKJPc8OQuTm0aNS66Wn(E=GPhOh#Wk12Pe3o zjNS4l8$Ll&CTC-^A+$Qom{Z;&jV0l*6W@lOVlZx(z2YxXgp5-f-jsr@=%C3kZgENMGC9Y6CXcnShdC0ypqf)0&ftg#xijO(qI{cfQCOoLl;%2p zi^8hapfCs18yAM43on+036?#04QG}M9P+$Z|MeRJnA)_S|$78^(dQ93HTSoiA z`QbOhrFRA+V>WOZ%6se)NVokD(h{= z02P2kVC&(4UHZ~Uyo#AQFnh?P22TFRf%85Fj++Af5ZYqkz;=wot|f{WQHUQ-Ft|Jg zU}3@NBI{Y+@L`?j=4z}$VS-jo+J~|E{lp%%B3O!J5W;6U;mQV++j)7C-G&Qqs+hmV zE46dsWR;TU{w7Plbi>9}6EUp8*JOe+efgXD(P&Nrd-(vy++)$o1d@~+E7T=qt;huy zl!1ZY4yHzwc3DS9n#-|!MRH2al9lxlb$B*DLV=|6paD#bINTbU0|DwqwSZYfM*;#D z>0Z=fL0Jhwq4}+{pI(;`wiF#7$%Nziv1H=aY~3EKFcRktSKmjmgW!cAxBsfr$!!M> zsI7YIJj;uM%6=HaHx?q9Kf8`g(}+;>Cw0Z3!Zf)6RaSYlO8f0U)aUQ~Av&cv-BQc% zkQm%prF$S&9Czvg6NWZ11{(BsJEKe!gJ30V(!RJs+Or#^9Y$##q?6)%G;z;7WabETjsq zK-{JQ8eqov3I+xHQz(>WabX%w%*7evq_RhDniETF$(NEijV27nPBcTrj>?nMRH_y~ z^-$@4&I*q^M%or<9={6CLRwu_kxDKr^!;4u(OEilR#P|gv_gl-%5072CpB@&OXYBa zIzrxm0?II+5iUl-gpe1xM9%4GQn5eeyLZA|P-DUjp~wTWsL>WC{T{D90lU@xKk2)F zf^${{R{>q6FU9eyREbUMC7p_ou7MUep!3c3Sv_saR(ust*!WDD$Z%P8xlV|#xfl1* z6x38-*T3e5{t0Fz%@B*)T*_DR%{#8)A}m+8>ZnU~BuJwZ)iGc-DyO61ek1A242e5= z7ge##ZFP^k22()}6cJX%C#p+yAY`+X%d6YpVwt*yQn9+^?cx4Pq@p_-6|UBCjnqXM zzR_>r9Ll~t% z@ylAQ*|m?PLYv&}-{Bb`We$fHlJ5nYsAx5-WiHXWV`so3|FPa9fTdY~q~kIA9mqEAmLQ=A>KC|JX3aH9#@kmA%xy ziTBc2OnQ`V4ZzHk}mWT__{eFP8q8-?5y<*?L6~oe*}hCqq2c zPnMM8MjaQkA`?`3Q;pB&ro)g0dge|VO)=s6HA8gp1}y;#mlAFvkp#S3u9aZ2KJ@2OcvXmGp(yi@yh4hZPCf8l>s0y)~duRCJ72CV0ph$fi-{n*0KwA=O z;IOP>57XXx*7~-uY5u20?bl9$Q;xaF=?PW~UnMVeZot*zH026-lW%ak;Mg|MJ1((n zGwE>FSwF4u*8Z;sT(#}NW%IyZCBKT>Sp`W_NO&caqjnx8dh+#SA))%fnPJQEcf;W) z)d5B7u#`n0nZ4HoaHV=hUAB>Sedntl1?L^u3&FzL{5q*r*(9e9w#u0hYjdX@K{gWB z-UfcaF2-5Al7U5G#oq^8*(TjpMxwB|#Z;e65?e<;4b0tM64cjlrjLFoQO`qy*7!D$ zyrqtam{pIamWzS^?nJrlW#dTes{`Tcd zV=;O7R;kO~(pw!rKf#kv`Y^2&8U4xC6&;`Pr);9*(Sbl`g*lmlPmz-8oDCH^`vR&@ z-eH)iYvu2Ol}7DwDB3Qr;E(l`Kw|;qe5KJz1_9hQ1)&25ePS$b2&S_34Hk`3m=OqYsB)cuto%BJyZ zrL6yhMY0|gNVL*g1&vj#eTr-8Sa3Ez=$y$z&cd>@noX9>_eC(DV7Hmvc^O+)k@<`L z>xUHbEIVd+b7k|0Ob*HLfIHRa*4)9k_gazB@@rDK;li0#o^FL-IIBu+5$I({m*Zu; zoOHg2a3xEb+1y>}XM6`%jx*VLpPYZHAUaB8=% zbP4J#)E=x|#I4lfV%MtRpOvZ^U-eJjhOUa@df9FiC**A}lJAB) zlxsV=p^x=7eQd4iV|z^>tCcmKtX8+{Wt9vUgs8Vk29o+S`;B(f9o0I^DW=-MJi#f~ zaJmk&Yod1x@6;GZ>q1|MSyqfLaS)2(Q3^wIu?}&1(Ymm@m;Lf5_d`SrKf$9w6+p|j zkQ^|_rCQt>3W)mQk;a5TTHWF#U&&silk_l2Bay^A2nZZRCpIYqVAfN>Z2ZK)Y-|Q* zb2Bh^Hv_ZvR=^a(ho7{f*^y0g&6ud!rL~xSsf5HtC2~~@a?x~4DV=IlB(zRTSf#4N zS(6(TTQF9Onz&u}H&cVSmc76h%mFaA>vB*^*=UtK?o$_Q5Fye4=Tc#Zu2e)V?JD2*vrwuEs#yaLIl^nf2$%Ph^I(dT`>QtM3||#y_$r;@ zcZC^#m(K9(!VJF-GZb|dkD6U9!%6pQ^%m5WyiQRtld@33iJ_^bb6k*%O;?;Y#PzCW zsjI)FWsuw<;4rrsy%_8qqo2BE!>ChBHtJEj>=8Ly3P%&BctQOQeXJZJz=apCGZc6sjh z0VE(?ku?jeIaoSd{We~iIT?YmPF4fcW`B;)LD?7N09|6Fgf>hnIe9*GnIu20Sba7m zmTP^-(}1J#Ie$p$dPqJys0Yeb0MQlhfrw2DcbMPry_4YtchdV6q3UdSB`Z6{7Dw1l zv!4JHz}^AKH-J+@p=cUxX(nx`5FC-E3aZK;PRC1B5lz1x9L0p~R83aR)fPP|yHS6?RA9zhY&7aNh#pR#el7DBSfAdgB(?;12mZuCImcD<0kWKbm{RVlB8I*_)e({-;$igZVdr5pt3Jr#w2in4 zCzZuE`AUDxFkhuy3d?5m~tl`RKwCqB=*i z?vQjA-7J#vM&eZ#+(Thn3MNPtu5JiOweS!wmhe!uZCI1AF0UBEb`>XP$du24bdi-9 zanm_I9X*HJo-%LKkFFet)i|i8f{?Dz2~j<`&B5^gg+GBWLcPLA1j2w8uT}k;Xr3v3 zIIvrNA_&fVFLcn8i(?a@z2qI9EXOD4sK@!zG?~v{Jk^!Vmt!;CtFZ4M?ptXDw=i8G zDRM-j;SAi8$S^n)X%-G}EtU#KE94$1qGUKZWq35c98F}n#qF;~aP3tT{C7r*K8-?& z0qSmiJ9`ec^$VH0Kc0+&WZjG7s)_bA z_?P3wQaZ)7T(CSGH^u3z$Q5F?3NAppT0x7PFE1RvrVvaZ-5vWolUyP*p4~m!n=am# znY`ssIkE+E%?u-KV^@c>^=h!f1>`fWcsq^}YOr^m8 zvb!32Ob$F8^K=_N!j{f`z4D!bV-S`{*%~%8v@}NxEH(+O>I|lL9LjErRh9%sEx&Nr zs7D7>t9MZd7W3AHKrwGsi1cCV03>LQJy{CiSF-TtCWc9zPi3qM_y1Dnt?H~!m={@V za%VsZ@{m1Iug;#!?F(V(T!}mEEth2h4P|t|!a-z65WZ?F1NkBctgt?{W7$>f+_nq8 zV$Is@jg2Tbfc!T)!ueQ`Ka}fbAd!eAp_;g94To2pM!4v8OeG7v^pdDRD-0uIyOBw9 zMI1vB>iQHaCJw98nLv?<%)ZRp#r9MHfb@;GZkZR5RNYxru@ZnvE?0%~8R3^bpQ!}N zVv7=c?Pqh&qIMLZ?~PASj-0OQFB`s+ z4Nih0;`!=&v{Z(|Sz!}_I69Z(ipiW$wXz``+E+PXp}rX~3+KOA$iL85T2zb5^{`4j zNGU6I1Dv$+jt(4KDv6QnT)_()bOcjXdXp&bvn#b|Ot^FB!cRruwt`x^ew?^+Rw5@u zG*KWc1uQ4ZGYM6$aPuIOmHL{Z;_K<+g})CfhI8MJzLqn)I^M`MJs`xPBU`_2=vKVR zvvttAQxl?cw&GXXfrXep6fx=E-hx#>9oeO#WhPMBuDC|oiV}7mLpB{OUXV*N?`T0g zUsU08J+C52TVVYzP3=vmGM3Z@Dhzc6A}F}kSrfzvL>XxMs&d(<=T+#J)-IA+k?iunLU84+R&kS@Y zD;`R4t_FN#o)0EZ4WW~+3Y$Y^uuBZtB#89xe2C*o@473moh+3ax-lhRuuUb``ly>W zbCaDFGIu6kw-km5I|kUIt@W_2_2RZ#m-<0_b(>29p61sy)$$47*ph0mLBHi7FtM99 zV}s$Q2Ei2}q|tU;%_21CK)QVE**HT721Hhb(<&y>)dG})dM)m`a#t#b`Uc3*paFcD z@X3<84KLnKM~$=X8|wgMml>np8RO6s0TeU}IY?m=Kn=R!Bk4vIG@+Hjsg z>tmPdm8+{2**1wdxxO+hFr^u%c1EbzHdY=mNAtmR4-};^{!~**s>rMDHUViUh+zVZ zR=%=s!m2xzgt(HIO0o%wS{T!EOE1;0biib^&1$gZn_LZ7=Lmt=cN_9Eq0&sjDO#`k z>%2D%4;+gTXLBRK)+ehe?4}>La?gZXwHrt#TDDiC=f*-jG#JDZPiA5(6Ir2MY5A?p zFt8ehRH6_I79XhhFMWMdVWkq{-Y3?EH2~k{By7p{J=N)_j~4s&CJY~y~&qIHp^fGe)gT1$+ML>(~mtl$q= zi1{vCvkY542x;rvQGr4>wIKBF;L#ukl^;F$#a#}&W&1MWAn-aiO==Q^j@a!@Jl|49~q{fQVx|`bV8FE#P4cZn2?f@y5KrZfT zQgJ7N4+Q-w>;kR41`Zh{?+q6IE)tqv>I6sy5m+Z2$$ zf|iiEMyeqcbqfVvs&$~LTH83?vLZP}Yf^E6%T4#4fCC2=Y8FY9e8FX)KvU{CmVy+~ zAP))F`o&5(E$H7`oVt_ z>FN82UmKqHkm=qBlh$&93S?!gr0tn_|L}2O&r}K0;mxe}&Mj$SL~^EW`kARNYQuL7 zvNdhcmRE1x7_tqsLbtYRQZ`VMX++I_&rQY|F0f3KrdM($CK~&NZKtC(7v8tw)x1ET z`2Fd&);`W^hb``uLR)t+6&0zxLlZd}RdEi2P^}`QDGM>1unL> z4U;5WTqr7K-5 zUae^bxL>ohaqpA+M!p)Jnl<-mFb5%r7LnAta_6A;@L{V#`Bbaa|#X$401kGg%P%C^VJ)%T7%0IzWUHv@wt>9r$UfX5T~RyoZ^+^Eyk*l zKLUJH%y#-PAz>v(Mbb99a7wV>?fDQo4&si7@OhEXGP~j(E$N)d!^z~rzr8(q2gMfe^i2vhtRCHXN*Gn)+9V7GjDH0yzXLw+9~^Kr>z zLr$)tX3)>(;Dr>Gf-d*)bTCgwyqGG*gFH?mb+n!%-Swm&Um zIxWJ7B78EkchTC`*c*#nNyDhuXUoeVWMNii&3{*^x#CV=oS%-S*}YHibI9>*y0q7N zR%A$LF&z1`BN(BhG=wZ}(nA`J(=aw7Gn3H31*u_BPSRanEE*_7a zWf+Bxb*9;|W)-klP<1SH9g9RAi>MBrX|~XHEP^`D!BkL!)bP@3Lxr4&bbWlLsyIFh zUiJGzr(OiHLF%!3o6MdV*~Qbq=~%xHgHvMwq~UygF39urnH=Kg?S*`M{zSeFRZ2PR zpNy9<7F1OxENq_%3Y%zxo{nCG8IRmuYd%b!vM3*cs(pTBZ7k<10OXRyco@U*6*wguyQ&FotpsQJ0KiZ#OWsrKNyXspDUu9j-G>TC+nf0 z?!~eX2e%VZUk-FIc|D*DRt4;v)@E@GLPA5czwx!X=z`%~B^@Q9V2zDj#i5kxNHr ztK#H{nY9hNp`~;kKt}3q6k_>U?Yh&ng;WVe1>VE761UgcyrDAfbn*O0-)iIn&+WQ5_nQW|(P05?84qh2g96Zpe1~16`=PDb9hoPUj)>NdufP@w7(V?0wk|nEjgiukB z-8^u6m%e)qu_u!3+Y7h7LtTYex7-uGk;w*^ZcD(MG7H0Txn(1R#eD+rqAgN_qcEkJ zj}YPT&=i|lSOqSU`N*@aCJcK1BnleF8HYM!V$EQI02FHay2_s6mv9$h@!V5PC2M9m zK^J=9=!(}gC9yf@_m=#9Rmu<*scAbh`?Os#n}r4pMq+) z`Qb^Lf<>Hv04yS;vrPPKQKBMexz_(9AGi%4C>;H7J*JTs0jr)I;cewnuIQ2j zi|B(v$L}jmI)!NF1PEAu3lWYfT!-K_9>g@f&JsS>FiXMk256YU{mlN0hPDS(alv}r z-@dZ*33kDG9t589pw_Tr7y2r*ui`w&hUl><%5`b9M26<7STT?i>z!p9kf?^t zuM7YjQzdDuXA{sG1B0H47r)bMOn#n~2C;OG^-YMo0*D`U;*Ca>Kj)w0#?`l+)AOp3n@IEKQ6Yw8d zW66CG+Bf6r&|iLa;hUJ{ z7L%zqBb$>_s=ESC=f~mfR^0RchMpfs*n=z_dvH4_EZzW`1 zyW2tm``^!`;4UF^@E+iDusu||8=&$ehDz7R{vAyS9tfIC{0-$M&uP=qhWo*MyR>s1 z`WGo1FBBL0je7fi5yp5~hJ|wS|5%t@Tnevp{LO{Tf|mr*)@_1e{>^W6YLHOk)QS2% z%5?R6PCyZrJXaw2o4-@9|B10R&MYZXl~Og&m4bry$XcCx=(A$V$j5Z$JY5!#FHXho zRNjlz*>l`_vxV|(E6q9VcQ<{Ns+pdYd^}ElrK$~(lUnKV59pB#=igFVn9KZWuuzf< zX-l=w<#6`A9-RN1&~TRwOrzs)-X{VAK4<6(V|L|7!`TMY@y)8VYXhWIs|m zxz<;CEN#ab6{8Qq$qFF`=pqE@LIV`j2OwRfLAuxoQYvi?P#3OtoS>WnOC^d@Eh5;3 zNq!8|6oBcQKx&;G2ios~e4(^ETWpu_gn8*nSkdiB`{Y;|x&6FI`7Oxz3kRnVu(zey zlSKkj9{`2YBmlN1cft&Z0V{OQB>%7o=+_LkJ7amn#b&^L0QB_zfFSd^bNpl`X^_ma zr|uw^pNzfKmN*vFDJ<&OK~V&lb%iYoSYw0pyd971JY2Y=&wqIQ^814VhAE);cARq! z{5}M#zw|D8Qbgu0{1YAq{Sk5^bkOWxt*qZe5PL$mhr)#fCPm`73LQMK_?+d8q@wEC zOuUtSS`QXem_;|E@IYV5S|i?t;9-gZ7>XrH!U^La&hYv^B%j5b$HlY4%ED zZ{n`fo-Fg$=YFr7?N^;4F4VT1ou7}6xJ9Ihm9-0vthJrCP4-}t?b$6jBX&L?<*kQ- zMR;U_?>j+uO3oD&8_$#BeEiV(Au>fKFlLT_MU3(NF^4BtfCvFmVFa zdox5zqC31oXaqJ+!^vncrz@waX~OnO0R+M)GXRc2aldSR+!-~lszqHPY&pw7)&TL!9#uW%l7!Tb z^zOPDQNOnjca2(`h9DcHTm9pZ0bf@q}YF-r{7to*eRY_sx#Sw&>!epY^xfnmdy zIJJ^C>IlpY)>tkSnB}nD6x%D7znf=ez@9g`DtDiNk7@eejiXPDlvT6KU6<-CTQzma zFoRH;?KE4}Wg5JS?8_&7+Je^4yZ&^FQ?*HHvZ4TT?-MwiWY6M%3HZJbo|DX1Durc*J~b8EBxqCSBRmsVB8kuccl}F4nT{QXE4pM49zF5) zpG*u6!H))$(Q-Kw2v&K&KIctEm*ep3+4K>tLk-_il%c^kji|nJ4nk-UMuONb-I9b? zU#ok-H{H)o*H|y5A!2on9pYC6j^B%*BV}Cj6`}k;3X8g-Sta?S$mW%gSh@{7v7Qic z(3>)NLSULq<~OTxHJDDNR``&xmPjZE5X^M5>Es2X9y!MMk1(%X>nEG_{a!)8Y&o0n zel2qDVR)&Lt*7MJqk0p^9*qZ;>=9_lTR-DBXY#di!n9R>F;CU@TOEGpdSi%Y^zmoYVYzR!xR8~177b0iM-S`%$3G;~4k z=dDWU+Z*bTr>mvka*0##hhK}Nm*Zu^kt3~MO5wFU2tU)sBk4b>e0VW`5e8i9fXU=V z7!ahG>Q{LI?-3}*+{?L)8CcB7%k_}FkJPON{_;USo6J3MUI_3qgu^3sv6z%YwQDnQ zZmV4>fs|#w6Jo{Mjtc&_~Oe72K=C+%T{V~ z*Q?tC!I7ga3%;Z-VLu$|RS4YGj^diXr`@f4P21O)&iX=pRvqq^DdOI=E3+CvXS%9F z;b=N&VcE);apTHt*zDqd@L$V^$J5Nn?}oy}eT<=3GedBYZuo5By`cgX9==c`*`8wK z;(~h^G0M}$IkQuuIVKFrTg3h0$X)rrXIrMCLp1Pp4h>3|${hjue<^{36?;<5TF25E zDWx<$=lu_pQf_Rt+1YjmURyk^*lm_w6^U+pY=r0F5P@d^F{bXa*jhpZ>@c|@?@y~? z=_O0RLCUMp$$=x6RJl$FU5tsn3}*{__|K20reA(Rb~5#`*;5Sw$2X31pwk+?L*%!? zoKMKuWZp8V|(ZzQ2=%Q#IT@=ita;;CPwx3fMsX29# zno}1yno}2VH>WNVbLt{7r!L|-b+PUET&x+N3m%_~^!Qwe@wvF`@ws?k<8u+rslpLS zYA~wkcRZv15sNp+Vzp8@rEb9Nu41!`#U10=7Fs-`{t?%=z;(WUM%{pLCKbZ?kM9WO zH=0wEUKW);xm95Q5;{XHYo#E0%22va;VqD2oN~?Y(8NtBj?&sTbZ00Di`^A^RfKW? z<8Q5{4eru#V9HpSneSp@#-~t!%7?8goX0qIvsblZew;Q>U8j7q)I{O5dqP!WXYSF` zHiQqdN|m46V#m!exAhD#1noYhit6>)Xo~!9%5XKQ)*Lf%BsR{{o}@* zp+D^i$Zdwu{{9uJU1XN=^qC>Dqrq@EV%JfL9A#?Gi2M(K{1G@(6>3L&?{uR<1?a?x z(0kc^wumG7jrBm;@Y$3%2kfBJq>g1)gZskE7t^ubaFbe7i&Yf@E@b69Z0umOWhgkD zCa;xN*2T-brSslW`82vGqWnC(AEGRLSu5W>uKK{&bqQ37SzgoXxbk8$9*)d9!EfP} zFsKYBw5U3xfZtn}w!T|52UrJBzn?F&V?)?;amyQJ1NXfcQ0^Nh-0jXlTlm;H4g)Rg z58NC`LDyRd*WAKSXW99s4pA>kAm{%S(!Cf@j(VqqIrA_Y?Bb4+HRs7wj)8cLV-H<1 zFyMdhc4A=Q`xo6exGD~ZS=X3$mk#zBda46is⁢6*Z>C!gc@=O zg~{of+h_;2Z~EoL`8IUJuV`aI6M%EHAmIX$hA!C=f@+VGq}&BYUet$H zy$~*oN~RqzgwG@Uej?u|`dzyEuyz=_{9wl6eFWtt1F;WGPtGXFPC?iTJ?GMJ#cA1z z8r)0inL%9m#7J>1#{i-L7g~uzWCy8c;3aacKNijyG1cjlCzdziQkyQx@#fpe-vWmY zoXqBNDZtRqT85+W5Z|IKp+T~Not#rb3(2T0q^Y0;?Bhym3z#2)frmvz3FZpfS9ft1 zGBBI7Ox|T3vuDZL@~8{GU05(^SBmEVD>;&csS3Jj!w6s>wx(1du2rHDPH8!uO97Eiu$D?r(!-PigU%uzrJ8T2)!-&ET=GZ;aU0+NZ@C>PWz<|X#1?IuZ$yc<;-8p{PmZC{cYKkI1XSz`O|{oX0?2jb;F z{C^WdyTxSy{sIbwD1w@}u)lT!@q`GxGuPkx-U{dVJ8Y7=|Ki;``o5vJ|M35@$oNVX%>1BBX@B-K14*VO4m_4)y|8K@{6l64DHr^)C3c#WbP*(Ql zJ#lf!#~&rY7Uqo@nl!h)@oX2Y^`YOp(!b$&?e!>8oA4%tRB$M>JS*HyvIjbR8{Qws z{*c*q9^RaBOU6LX9{$M2e*!jMPvUTwLc{-Wfx{cK_xeWmUNCsyFTdYS0kB%3(CEv0 z?wEk$Tg(LQH8X*PU3gtYsSwhI^MXdjhS@ijID{Y{Aj5YPpt|TkYbLU+vm^I{Ag&tJVV}g)D8OAoJ)e z8i%C&2<}F_)y;>ATGHF<@vb^%VscDvrmNB$6*NGrmAOqzE72p^Mj>} z-3C3taPkUM7!P9s2>H{hBUvRkxR%LkOTKX|J5V#6FhRMhwYI4ubFYoI5j*(c7>Cws zb(yHvs8J%gzMa!@4Yja_W>sgdbLKE-ezTl#qm8Jx@iw_XWw@9QxnCt$Iec@0soM}J z3GBp!N|ckbgoOm6i_>>$xH&MqoY0AetYv~a{ORF)i~AX#o2&CpU#Kd*()UOBV&PRvouY3g*<|dA>}Jz#cLuiNsVcz2_|Ame z&G&8AVu5$dyF7DwEvW=Xcs;9^fvxql5PieGI>6{__=+$8Jbm`lY3vFGn{a)*c42EO z*BwyT$p*&@M7MPY82q2+>$8M8C|6-ca;?ASn1A+`64>H&0H#Jpm^QNGgUY7U`K{~# zGh~mjnD8`yC3zaZS}Rv~%kAAwr}1}hE|UqrXrtr7#l%Txfv^T#d85Flpi$W*wq;&w zlNq(_recmP@J-xTqs3_^i}EX%NE*x1Hjc7j^1GB2$*9t7wefOodHmbX`P&PZ&ez^w zS`#z(`tO9lK61DLJ+D`Ub)F50Z!x#EW1I$?w{aU8dBFhabA!HzEr{E<0l*E&+|8L4 zgb9Q*r-dapB!k7N)hId_4Uz|DiOaK}Adm&R}~AB1bET=}!hH9EkpE0Q2ZE z(4JvOMK&3DRO!Pq{op4FYc7SUYSBtiAa{kfRB_tq!e zz+Q>bvvP&tbx>e`%(+1pB?X=L72EJvZQ(3{78q9{8R8ba1dB|bRj&lC3IH%=2>#$A z=SSxQ1GZ|jg)XOHP?59Hd-t>Q!Nrrl$x36^nQ>guA0w#Uq(9Z>jXPxOzRMorRet_1(~~7NnrVtl zl&-W?gBeD*dyeo?;Xkm|_@C2k{OK4T}JD6HoGqOy{PvTHfCDFQDn zzG2B%07IOD4M@l{B-)Wnk4oImRdABW*=HsUn=X70tXgCvNMxY*Rn4njtEM;o0HqLF zAQe1s;kzgg1$E!jr^y=26Y$Dd2w@Zj+B~03U-Ll zl92(SQ@LWt?9uvdx$b383?|yD_P2_D$dP7WsGFG{57~Q&W=var5k=e-jgayfz3|TxB~h1i>^hcs_SZ{ zTJEGPbV@IZ^aANRLb0yqh?RNT!u1HBv__>#kBd|5=0GY9mxB?{V>SDxwrpt3S5Tu} z`UzEu=9_9cxOLl#29q>N(M$v+kRZhLLfpL*dnQgCgq^vY&$flodH{Vl>wWdRUu#@> zMZsOx^XLHAK&q-BQ|uMGBv;w>INGep{nCj~{0XdE_#%hCa`Dt@8z)vXsH1g)piIw= zXUEU>a5JrJ{UBW5zPzw~;{~+mV02#4Mt?!?&Dvy3Sl_7nZ5y?1OAg#6Znr`o>WUH< z?kI(y9iwcaZOIwzk2+Wi8vH3N-wn-@7%QJjrCvk*LH6i!e0(M%)k` zw0?lVBm*(*9iH^It4>M%B+*20cVEg<2Wh8wB03)`&UA-ySF6L>al()@q1|2CeSM0_ zR>Nm=byGrSfAFpv`$OzJ=E9`_~l7>R|Pq0n1cIyh9mK$i#PTW4$!DtEV4Zm@7 zXucj;Ju_%0N4Ti7z!hnXoMkY0z92)sFxp5&!x526-IQf^9==tnvH9GZ=GvvpLs;IJq|LQS94Z*M(XAf6D&u)QD>LKmJI+XW-?hYpCz>#~=Osk3Z`7 z`}_U9ey`u{clzyqtH0ZC_8a|rKkwK2)qZ8azrVk~x8K|E?sxXv`>p-m{pNmSzrLUE z*Y>OXmA(Gn{@&hRZ?C)8*=z5$KK^KLcdxnE*sJg5d$qmlUZvOX?f3S2y9u>U z-fpkiYxL^9yjSa0dzEg#yWidG_PX6}r`ztfy1U(Gx6!S4^KPwM?N$uP`knpGUZ>aT zb~>GQr`6f*G&_w>y_0upooc7j?zi{bd+lDk+wQd6?N)oY-E247^>*H_wX5w)tKZsh z?X`NXZmZL3w@e#byRBxc(WW4D)oMSzrI)R)w}ghy$yVYj3QLR_=YOPwWnl@GpIx7Ytm2SlVtzx=eX;w_rDyDG5wM>e?1=Idd4!d(p z9w78JDw&CaumeMFha#xE`1qqLzWR6<0I}UYB2dG;m^=l^%C){E-`?qbc4i5ezFGSK zPqL54 z_w3;9*#XR-j{UUl2L^P@4C!4vto^59hj`r%^V|;gnjP*{>TchC_U&iSetPiv_#?v# z@YA7B+kRS>IqX^n(X>pWVHrgo3$Ni1l48X&j=p6c`-X1!>9c29NzbwqKhnaHq|(HRVY<^Yp+JVZd%^qAn;x(nCNKX4=}bQGMLO@ zI^zkAr?kYRhEtlE+Ujmf(-T@ao$1NUO=UEZxoONyZ8(vorm><)%w%k}iYBm+Kf3J{ zmYl$v4Fhi=Q5;=`lNgMXYBY`6i7cGPa)X~}DhnsGa60o7nwrv>nuwQm)U?JEo1fbL zJ@J$Dr+%A{qsF@8QbiwVP52&sOo_ES_=w5Glvusn&y7pm_+v`Embo#4}rKE2?x#~)K7ev3NKKTH#LI>iYb#D#|a zH0@{Cet-?P?FWCl_G2al!{6b9h{6U|U=c$iD^A!T9-uhVu%D*=7}jlO4EVI|2MdLt zp8f3E52D<()N~Z5P@JeyC;$<}3Oi;_f=|$()^fmqlZR}92+zW zfbI?5dV2SiEh*U3DpIDWL`iWirY%Dgl8!vZILdHyN5yb5;NNvKTQsfI+cq;!*Pvj} zbg6F`UDeD>xtX6p8rn58R@=;6U4xuG)7idZ%T+VGEf+ziZ@xwjt`SA(A}<_P!Cg zszzSOjoi>M@`GukktEuN;Jb!|_6$n;Mlh?I2|G8kN5jYf%~GHz+o5K06t3y^10uIb7*Rr2=9IeO#ZU)kxM zJFTBC``(26tKpKl7};CcpSxiN=I;#NR{C9eBvD zeB>_c%WJEg&>{*FRg&NnZL~LZXx->VR~m1!QH58c#Cpa1tja8^vu2M)o=&3H!Y7Dw z>#Zob-iVUx^&N@fhOXKOw|zJ$Z(Vo7xY#$8rCzxVH8yTm`B~@;OfwLivwibGPFiyH zt&CJ{gpY3Eciq2v`=HRdcmKZq_W7B7CFDskkK-Q0K7xPdAH_e0feZ&J7BW0UO!U+5 z92+SVLODMQkEbZc30Yq|QDEKgxeCb;`4lLHigFKG)HB&`lE4g-rY`B~B62X&+Vk z*k{;hZu=pG)jqHM^DLzH^@$~jJQpU~?I)w%oD4e^&tx0?MBo^Rx+IZ_$UF;`ipWlu z!p^}ck&%Bwy(aQoovhC z9v`vjtT2!VY`w#Rk^f1#Y1f1#!zBNRpV zp5(YGp;5M|3Q+=cG)StHNqR|g_DnJ-WKT)qL{>ovVp0P_vX2`B=`5t|9`5R^#YCRi$eodNR&ws(8{U_=t+p|g2T!`N zlw8OBd6sgnPL%D}H36FeSqZ59wV-xI?AXNSDE*eDR75T)e4_H5r93l~PRvfE%m|s0 zF(qO~!e)=cLK*>6@&)3hqzi-#WJ`&bk*r7T;-FamicF&k*cX9%I}?8z<;LgLE}v5n zT~t%E$Gj=B&Z@C?`s~X3VaorLieGTu?9pi9OP>3eo2lV>!-DoPJJ3&6YFpl6^2?=k|@?Ax!|{=V1FYvvo%hT3YVFf& z`T?x{S$k7$SE#<*_r&(&6^$!vAAAqQ(2@jA1e#mk$T6Q&5^D5Pyax_3zI2hLD@`z2 zM3E@+*jq8hs5b%p30{ft0)xyDai)oAGk07}GiscPBE!8E{qSos(mc0Ur!(>YCl8HX z*8j7&#-G+(!{pPj{V&@8HsN(s{qH)C-5PpaP({AjLx0R6dT@kZLN9ph=6YoG zz|4blJu<)1HaZUu4h-)7w;vZjEc;QJ2Pgj4qjEkd^upK=%gF*NV|^p+J(&ELCqIZ? zUuhc4DI}<<#zX(wy&Ocin=?2l?sXA0mRCb|9cHE@Oi(eBYJ=CV(Og>1S5!KfC&SWm zcKR|jDlUu(%fgl=_iCUHRP~^`dh<5C-8>tf-M3+RH@w>X8-{D>bgk{L`8mujpFQrL z4)!-~zCPyn5Y3}!|4UB@`#V2#-(H`*cy@1{t9Q=X8>gSNfbJ_Hw&`VOc3Z3qC0DPZ z=ZEin?EAC5)2-`e`~T+ue-OXYRvEX; z=qhH>rJHT0i*06z0A=M&=SIfn(Mfc&Z zZ_AW#uKq2%hs);Ua`JF_?B!znmUmAv_YS-7?Xo<*uKq4>sPKFH&`O?99$4+Z?~8j= zaSP^2*gdAs9x!DE2GGm@NKd=0e~AH@zaj_#!BF*h3NLc(ZT>TFc$xo%c;MT_4EP3# zK>}0ebF;BW8+^yJ`p(Jf%%4i=1CN!6Zxf-_`A;1Ij}_^Jk8J@C-~Q+p2vLq}Bt$t* zzsH;3BS(Bp;)t1s1MdyBVBSGT!3j^PEMmgP9AP@gU3~BJUlFFUhi3fE@BjWE{?mW? zXT)aMe_nz!c&x_meDP3MwKP>rPqkdGMY%99Vw%$0QZATkfr^ETT47TvoODu~7D}{( zaZ@Oq)d^<})NysSmK(I|o?5Te)G7vFA-5*}e*(YNQZ=IjNfn!m{T_eh-Tcbd6r!Jh*heH0QC0lV&u{MWCZ1*X_~yrczN^Q#pZm-n-+uk)>g?^F z6pugSHgtg^+?_us^W59VpHq0l9&B@VY>vPtH}1`EE`dJrivd1)7bHbpWP+r5qU?b_ zXHm1?5jFkeluPNBQLRa{gkphSHKA3YR7$6mN`Xc#wV_cb&?ccwbCW=sK$k$3ium~r z@#=dmv?$R-4K#=pi1Y{4r%%cQy7Okz9Q8(n(&`XO^M*cXpfI2>uSi=!SBmpMBmHG} ziR+{p>T}hFi|v=cS3lHoF-yFdgy7k%pvx^b}@?GhG{O|&$)h)d_u^_l~?{U$9P{xI(gDv zW`FgkJDZUnnUhWiB{vscgZZCtKDQ|Wu9BA}8`$G7z9gaC$T{wxCt(c?M)+!fkFWFg zpv?XKq0Kku`-wfi+TY{rygh#U-S-;tA9#Drb=-Wau3lAduAWu&hZ`qd*!Zao7yBlNON*W<8j3@7c6wbM`s<&Nz&)&KD9MJdh;Q7d_!0>VG~v#&1f)@O{$mzoK5?8?^@i+Ij(7sbPPP)92;s z^Xl|@K7C%FK2th7p~F2x!P^U4cFs1HE*}qUn-tQF-0M?MpLY86)8{-f`7?X8KQB+8 zSEmo7|81pyiyOv0eINX4zuyhxp1x0ff4|!eQP%t8>ho8!hZ|omd*0jjt2V@R~&B#PQvv6l#}9 zDU)pPNqGH^6Cyt@A?}WR^^#`D{@(vwWKeMgt#@L&?vEFrUDofP9sfKZK9jS=-EQV_ ze=hmzZh1p*ZGEUA*yZQr;#T?c=I_gkW9ms;vbmO z{s-meer%8NJNd)#ZO*RWIL7~;mZ&e;jZPBA|MKTdynl}Ex~uKGi4lHviU}PzaiNcr z+)%GXeb;Sd@E#XzQKET|3bvSFj}>J^@H}OE#OS?v;4K>1<3=rV^!X+ZxQm6P-^2mm zj{?4@?>w&h&Yym_Ydk-r?|k)8-+77pRUfiT)bHyXedkr%xyPDbw4L)NygkR9UbUSU z+HtGfc+4-=JJyD=g~Z(26>hBv_iP5Y7J}U?>BbhYrAWTU>$gk1{vNYGC8*isIdcD% z2XH-~V)rfojj<``DE=*ye@b5Yloe%<=)c7D^Fzh*O>95o`+^|1Ec)=; zd5bf5apf;w5#tZ%E_U9+IEx>}S9}*&A$I%k;VM33Ka_NL*W}3HD(>?Xk2wmrAI{rd znfQC>Di%-iwH$@n4>d{r)vtBzN!87-Hv)BNsQCZ<(=O}(ImzXplU$xd!NMu>!$S(- z8ji?%mO9&YdMBS7@TTHe8;nljp(gz&uKIRTr}`cFI9Reqh))+5E*^|^9-@i#xK z@6`RUB?69+D(%riUD9bQzucI;>Ku<2#@;Yk!&9eUZ2OJzD!)i2(x-p(^wNpRA>&sn@>(_D?cZwQZtcm#!kMa2)zmDJef+qJa&-ax) zla1!26TkYMouem~&E&q-WTPsRitKd7au$NBTxrTR@+E)@a7lT|K@Nj;7Rp#CIGx(N3d&_xpeO15&y@{ku7!|7Y&jKR=bH|Ly5N z{KxS0fBh7m{{7!*WxkL2XU^l@6kpT&kAQ!J{_}S~N$02?%C2`=UtjYVPyc3{eSDg0 zIsgCPe*O5ui+p#4ZM-Pq=osf5mVNv6r{DcNo3!{Mg~NW+FYolHTIRtSgTL7~{#e?J ziDw#rv~T<|A1|ilQ23*LvmX;;`jh?0Z~QUUIRYChzwoCx<^ZY<)xOz}bE`wO2ko1^ zalXI#X!MKxgteIHZeJk>&80|@%OrE3Lgd!YL2M`e$xM&3w{y_m4ik%eD+2a5m_rP8 zZ{?u9oej9=DPhOc9OkY}nKzy1u(dOgwL8sWYiFQSJ00@YN&%-fHsGz5fllpg!1G1* zXs0>I`8Y0f*xEUW?M`#p+Bs-%r$gRa5wN$h0dK7ww70VXql-G{B6fvnwW z4qH0|o!aS;w^j-`wXp$jtqgQ(=K~J9Ir~27>`znJn)xv>TRR8s?QD2kI|uFUY?NC&2c7!U(eB+5!d9nQ*ag^TVMpw| z-m9H~PVIbES33ip+S%f+W`tO))94JvY@@SFd>Y->&Ii1=vjyGS`8nC!*^sw(KCx4O z`rmtZoY%2kG8?iX`)wAuY`I)!v9&YMshtgZYiFQSI~(%W&Oqn>bgX-K3b9tFS@ihg zP}=SDnuw)e56Wuh)7qQafLAl0)!xj;yPEl=PQB@Px6U{vHv0915wp4gcM%e0jh(e+TDykF(w0 z`jOxGUa+_A-uG-@%jw(SeQt3UyD!5Izn$Ton5sRsIRwSO9b;)pzRHdsFXGd%dC9oj z`mv7}a!UAfmzlJ_HIOH2^X|)wDR0#JBHD@Rlg2&O=v}n+)q0pulp;@{!Ca`PQcq)O zPr3RV&_SNB*@iIjV)oxN`b%va0VWaC)?f}f<~4*l!TCrmz6xzUV6T|(3_gdvzG??a zR$zGR*wAe(vYk_cY4zo>DtRsBUdIMy_opaa({kzJZAI@$lZy6j}`D+Go) zvZCm_LUNmD9!QHzI*tp~N7$c#VFtr{|s+A|T}l(l9DwQ~&ftW5M8Nx zVm>Tm^lOB*-hQvrz8&ioRSr_C-lEF)xTe`;-1E3{m`&!rkD_B-yR2`hXtmxZm2l5` zumJ(oe{!TOaA*6nw}LD_b2sMMHS|?g4u^hMV8# zVX9jXI34l0>~7kI34C*$ra94*|2+40UaanWi|)!c%Z3*gKRxq4&k>frgQysk1582# za@!iT4QJvNI>fRaA4QsFJ79B8dl!A5L&jl>=ktjkeJ|(p>${>0%(6D8ut}GF{jPY- z_p+4jyY&z;Z@!|)E6TPJMM%S(O7wS}@pzG0rbXs4%T!N;#9r5Jl=!|;Oq|b@pJaV- zsLT4QdyRFPTWEW6=n%%XSHlUYZpAng)QCsQx)oTcyxmhhp=-P2v3<9D6Ais+5193_ z22tgR`5@;ulu?96_u(x{p3Lp+sWi43(05Fi(@ZF1CXaCiq`oZ_}~iof#CMYPi`Yh4)?`yF>Ar41L&WzHg3aWUpuk#(<-&uv6Ngy!)59Bc14EbDA@;#p@CXIjZ_&WF$Z=H$$s;Uqgb#jrC!Ir}k&lFZ_4a^e~HlpZ^5)A@TZbDi#fOi4sS7|_n3V3eX;B*D~ zWZ&+B?aO^_=aekar3fMzL(;F!FPHuvPd^v?^@j-O@BZ-nPk;EQr@wl7`}<#ieS(O7 zfrv>9!c3n6&XmE9JlFN1aVv-wE~-iHsA=;?J0xXr*Br;|S4O`l4?EXQ4DoTW0Mu@p-)LF=LrPPOMOz6% zf}wKh{b}B~ILRWKw*!40V_qL^Utvq0crSnyPZSd}l+$zWa-B+>_yn9w)Amz@OBL$( zI2WhD23pa5XszJuk>WAG!e1KA(Ez5f7o!9*y2z;K;3HlJad6_4xhA-@&q4-}xblXeQ(Us+?yfOnfD*W(C7BI@#DFTJcGcnzS!-^mCw-&6Yz} zplFO4-$x1=Gzy_*z}2Zw?53}nq%8rP_c)J5L0;}T#QD9pBKq5jO^aar%D03UX=1|J z$dnLqKwNM=Z+sDFAV%NPhf?m zd44~}e91ib$J`rz$E2#b0(zJxYj^CjewE`JJ9bo{QR^)SF^-z;ILP3W9!R+_ z7|*<`2?%V$k0BF^I8o1XC~HxKiQ9{Rfe4~V#RLC#=w0RII)#ZVgiuXTiGqBDj^JH5 z5p$;lZrmJ}Q6|VXdFX+M>?q%hb{>QkJ%9($acDY`&X$w0ZCvs)c_uUghb&04%F8Do zA^RM8Hz9^$Ip$A|FH5NE5C=#(#(&fSx(7b2SRtSQc ztO}$mP=v_?pk;3$Diaff+|JulAf?$ zU15$SDLt>sE&&6B_nBkDFQ9Y~@XF9zJc(h%fyNVa6X&j>2|~L>)lic#Z)4&@QNiVY zPz7gzvMRt!;S3v$pW1k-0=sUXsGN%I8H@5cdseuDGf0LP*% zL!H}-t}|KdT9nDmLs7~Uej5-0-VqdWRxnJkdN<`TXBWM|8a+`}NNPux-^rjntB$)I zLX&eBgUA7oF!TWIS0^q3R)%Oc6uV8({6(6SCu~@Lss5T=^1`6K`xXWiT79oIE zMD8;0HS95my82`=Vdw(4Hj^QJ7Ug`ZW2k~)9uF95#v$KJnjEr7{&gK2oe7*_0TNbW zj#KCw#9h$cV-n`Bgcm6DSruFY0{2yAT&>`q$)sW25`G}DV|yZsGs7rcp04L#SlJ2_ z%3Sz)@q{*TfrVsGJamYzu;9)?t2HJnQsT0n^dmxvmPqY+7 zWq z(omUevd};P?}d?5nJdznBMOFvnh~lKuxUxY&J(N*X;!fd8bh3N9Dc}M5HRY{Cq(c{ ziktNjk-Wg`gGOX>0rQ=cPabj`F!2KZZ*;QbB7BJ^8)tPW7K9ESGy@aDaR(9|rIG1} z00zMaII*h$Ci>DM{JcnDW!?Bp(g`%$ac_spVDP3WLqt9i!eDML+{U0%*lg0ELKY4G zJiCI4y9`eRG2qR4aw%1bAO=Jk50Q~X5G!DWquA+05Sxce_zZoE2x2%_Rb^8V#3}_c zh^`RCaEgq(9wLZU>|PZEfCysqFejNxR6z`CN8nhMszeZ*=Rbf$vz4xJC<}5R1kY7S zH`!!X5yU1!DWi*_6?6vp1Q#1r0fHEM05;QY6fOjcTajL>{#%pm74RJ7usQ4+l#dXL z2Cm&4IdnGjyRgIqQmAWQ)2zB4QYJu}Pmpw|R=+ zdJ~VlZ%7(?mn%58iL2(_7AXu`=?olJR0@;t$`DL+AW~RC=TROJtUJ%zyyZ>s3#2fx z0lD%eB89C5Lva_VZa%UijxxbW?j*a$zL@>mr9L< zT0RBYwL@QJ-0=L~CZA3TOr@NzBqCx1=4e0{u}u(ip=lKl3Ps=0XMpqz!Kos06=2vl zZ(>$gJi+v^r-11d5iElnpZh2WOpl1xN2zb5FkClxGJNdF{hRfUo)e!ujjYT6;(DIfwAlA+b! zAjV6mRs=?8a2a!z!w_0|U^>!XWI4MrRXJar^*)bRQ*;i(2~JT($Ro*RIN%xqOba46 zZxcumcuT<&M_25AC|#V*r$P2tS(k|=IB~~;KTXU&?kSScyL?)BrDyXoSS?uE~ii5b_KWs7Qw6 ziRp`mV=iAlg#WAH*`PKN7jo#jMx#gug#x(HR746%kVasYzzKnrB1~sg(LqHY#ptAr z@;#9?EAkzx2$*bQF|n^hNedfzFCs%GC=$>FybvTth{7;-_D(q_;N;^VLE(vENe}aT zOCom78CRU6o+C$9VBVN5L`e}3Jt{5e08K!$zlMPYf{=u}7CDha%qb08#w1SJdC+l5 zcBCsu)F3r=p9o0NY*GqdBI}AiJ8VF*hh4vueZWLY^c!hkfrg5xX-=5tB#_}!4`qc+ zAQKmrm|Y+fkC1s`Hi>MGc5;u&BrY5$$dw z*=$mU51>reqY`}+$~w-MvUVnwbttDxG^q^cu0w@1k;-~eqQ6OHv&od9qDy77hqPoe zm@DTR>@pb|%tbMg3=Vs(C6~#1g}|S8nXH3(JCe&}y{pp0WHLDDQH^K(I+_#}sYEJ+ zWvX=-%J7o8MY~Ye$+w$O)}i_f(SwuM`HFgkyo+RAd@+*C zWF0D)o?Iq_V_?=%nM~Gk!E(KB*NRTUpZx1b~ zEJ7Pul9stkB>YdZmlW6rlbH&?w)HpI2w{xAwGz&20ggdz>GSr;e~XJa{sRsrn3Vl! z-@O5B`XQ{R?YlPsW8uHb7yk*zaqrx|dxJ@5*0b_u4eU5SYu~*AkhuRUU)GSuc~bV> z8%#uVnv{M2ZqNDeiAWv#+pB;4<3Ii1-q-*1zyIN%pZM)5{ss1S9)Lgo6rW!H*UuY+ z|Ef=x_63Z!;PgM9025KZ6To-`7@dH80{p{If1KUxtN$9sivP1Ts@ACTMe`a@bTa`% ziQoiH{4a9pWJ;(~++Np(l^2Tj_NNIiHyuKo3Rbs{L(K)~GAJ`T$A2j6vQG)tPNlTc z<$_z61_)2v6b|{8*Zb}HetllpzKNmH!(=%o(!{_)Ibas41Hwnor`{(1pyounY&Ts( z)CFK-+oI2kj;CQQ5eEXUW$UmXYRkGVn|D}z+2HxWWfL7jRhaNa`^mVhTEA{Y_gRnX zvmTR`Y1(XZ9&`HpNxDoLV}#%=?*cc&sbdX;j1&r;mTXf_ZQt9$?YIm>8^b-2@X0+V zok(7@-WMb9Yg9@ohC8}y6y>B9_*~$HQ*wmWLRR@O;B}RSm`^eaMDuTfX#U+qa)_v@ zPyf7MopmmGb>?X8>U608GGJhP&iH0soS%RC!3gZQ zBeT<z@yBY)^ zKqU=DD7R;*D-E<&YKS1_hrVY5VY!)z_7^+8{fG*#IT$yCke@@47=(#7MM=GMJr=&O zLCvdJ4E%hryo@0<*?UtFAd%;!Bvb5ozwTZlO3!&BcL0ML;1`gH7ak=cD?IgyIo{a~ znd>w*xUL5fpuDg_yQnjS8KfwE9P__YdAhrHzW{Gy8-gdq^Oc7B0E)S_g?>?EY*O0|Io@v?MyyBgk4o#D%Ycl4em3&&EmPaDOq99jmN1;LYzGCX}1@ zF`%LWtj}RZzEIrz-W#!-BD3JVt{O)@>^a1t$aTPRn@1Ilk08m3pCp5%9#t|92K1vk z6n)aq(j%tH*Nm8tQz~TGu+VQATl40(ftl2l|Dw`CIBt;xa zWniQqlpP^^a60KPZMZGT(W_ncZQQ6#j=;bQi&YM^#5yTgv;nKKcOR?+hd-St+pn zb+TAih1UVQwvb~Y%S*Uk_>l6Uz?g_J9XL6exh>how%|hzW2bIA4Kn)>4Cad?3bS+D z#>wrSI_x|E1Mh?NKKE%|m%!Er(GM{c#aUuncN#sE|1!7&Q|nQQ(unm6M5fthb34I9 zJ=)W$Tg33d)8RA*1AZ{1429*XE#6V(IBdP1fZGr@_!_VGx=-w86?}c*2cbJRSxJp} z<2;69}hUEg}{Y3K{tiLT27?KPCyvy*_MVM1n zs5savAFRSNgpaIG!}lp?9a4fHx<7sZEz9^TIb_7KDSv|KxM&-8p1&;2AAeHn!#O~i zUJqmMV4iAn01d8ORn@C9&uk4>SXHh32jjpDUexSy?iD83)}GEoAdo$Xr^cmigo{nS z^tyoOAuRYBu6Mdk>t+q?;6N81E4ez6w_UWWhcL7TS59W4uB5;a$`uH!OEGG*!Qo4z zd$)B9kFTRYoyS0+FOQgZ&yB$!38%MryMX60EciCy)$KO5n|0V$NF101DT&XJGmH7? zb%QGp)s#GspzaGNV2Agy->wY~=lk5APTe9NE|2_l8iN7X0Xp)fZB+k>bFT|{9)iR7 z@mjC@#7?VluDQ3Z74#A!(bkm>hGLNL)dp6@Sc!>Px*g*RL~25(APWvh0l4h#y}}g+ z)~3@449E_;df1*DH+aTfdv)Mt2n)WA*SpyEKZFO&l*>sNK_!G?6n%^Gdj+D*p>4%~`xoaB62Y)tI$f00gy@}o zSX1jkaxGB5*=<=SFdiju-5#>N23OiVM1BV>Dy#-IY70;V-e+U$qHZ}Nt=llExy2;C z29=tr$V$TNA)Kon-UDM6CcOEMLC}K<5N;3iR2JiU=QOJU?Rm&qhc%@L44@nt$uB@P zIznPa4T69?{QPWUQVhf3P@pIi*s>`7;C2cF`Y$FWlpvHX=Oy^V$456fEjIE-b@1%M zQ;BTcO1B=STu1r5!|Uf48=DxK7hJj4$-7A7q9#$DT{#+;fv(aDKH_0*i=rHex5C!J z#XPD$s6wA2%!>h?dWCk3b7R5SavIQUR}E%^x*~GX#pUqX(EB`MRU0?eiik^Fy37-CF@K&l#+y6Aw?2Gkxv0J`4) ztZqfxgQ}0=XI;lKLW%pA%t1VSPtOCffoY{~C#&2q@DR*aR6|sd@Ski74+%w?Q$DE5 z!S-gzF*7Lg+PDODIMtUW;RmgQ_ck{nv1@EfV2I2MouJgzYZI*(UCM3M5Kk5S z`F=q24d1<10<~vuV&0kCRX|NJqW-q3)QKbPR2Q(wQFA zIa7e*r=*jRHBsRZxh0-$a@1^!U$@>N5Z)S1asbe`PGW~ucu3Xld_g7$9Znz-ui}9> zVM9aPo=pXv586x$1q!4>P<3Hb7s2;#YfwfN2pa+=fbi>82^D8@#MQW{AO}bBp{fwX zz^m307&Q9ccO#5o-=mqnTGXZoH0pi;VK^C0;VG(!FjofwYSOKU)4n)Fr|`O^ItHvt zR=#P{OTH|_-4a(5u0uF+&-Su1q+@}1)1phhI#o19N67#xXDCPGSd$~s7A?A3Xru#` z$l;Qg+PX1KYz^>B!?Nn4#ndUiAJ9>=?V&Ep!c&>Kv_oU9njcU{-;R+~&nYiNX|5+T zq~HXlvYJJGe{w--!0T@CJyh!aDZK#@|O@b`Ck<0yn)Qa`wsEVoQ6E zYlBt)EIBlkssNE>Wi%1d7dC7}i!uC65wgM4AN4d97!LC4IL498G_1-!puRq6FBUFn zHLj7;q3JOMPe$^=*)ChucoNPn`q_a$UZ&bGtJVW};OJE6WW-ziVkqipVrhXNG?-Q; zLow0r6hkx}x@uQos>;O(1Nern*kRMRfiQGhY7Y7#+_KcqX3e4t0Nkt(rNsc|N+W|% z^JQF8kp#m?(G*}*FCvVnxaNk20BE;zGd>cgG-Y23gE_T_ksNhKwHkm~3xVB=3#W6k ztr6TXBWgHVWW9yevZ5y^bNt;zYf^3E?bdF8Io6^b`% zGW9YDdgvy#4P|phOi;NmpM3Zw%-G+b)Uidb81;wpB>e3;LcU-LtxqHq(UOvXxof92DO-0C$Wg+qM0r4 zq9+us%DIXbCOi`3Oh#3<>!c*p)?)2qF+k9crH3O5N+BSG0H zFiAREV9Dgm(_r#!Ixv&PiUh$Bo1`U4flbnr3UADw3>VOXh>KqIdOt)E-F$>##f#p9 z@aRNRE^Q}0x#;$A{EK`d$^J05s)J=xSpFXBn-R_(EmDjlA=P`gswao zkX8=VT}fCxFCbAyQKtsKm_n$OM4L$hJckkaxx;1Qs1PIZg9-dVoK^K|^DrTIn~x0z z*2zJ2l_^DzOT=;#qp`dpvasdYNm8dQ>Ea9}!od2AxuH$;z@8=Z5P;3Sxv(hF?|e0f zK`^XE(bV#X0UrcL&Kpk@LeA0F^A^?rq8TjO??0WUW_rlZeHFng&~-_p~?B37!MORyE#Xic@eCB^Y_nHOGZVG+}#6%)*PhI z%Ipv1IZDV6{iZfZxpG{%JMrPr*<-fo6aBwAUAOJDTr zCVxz%M70`BA2GQm%KYY9(gIb)Me-{y;|OCVplWDt2T_Gc zWl}vOUuL33`Nu`;)MZR&ZMFisbOI^Y;{v;q$^{o6dcI2?NO9u1Dq7FQsP&OI--KbV zbHUsgBzRLUoZCx+p?k*nelP+lIUE(iQK&*(tEl^0^fAHqreYG%CX|cI8&GAfCO(Dv zjt@Vn7gg$56h&HMLvq`?MEw@h$;rr8Mjs*T&d)g2Hg_smXo7 z2-aNSbge`kqiyOr4dq#D>@>7$ce0gAY$tfeJ&nxMDXO0+Z+c}&LMo2uE=ci%G1tF= zwa(udSl6$Cb^Y4|>-tS#-QCbS$dkdmR3;&e>y1=!NH%3^aV_>?p7cTuyMgTHIsmyC zyQ%k68zlK9P*QF4SgIQSm<)P1W*a_;2SYB7u6nN#O^ws)IfR8`<5-~*z8u1Sj}@3B z26qiZqVjmSiWfjgQXeeH>WHQb==6IXVsTn?NLUO=V3&7HGnwo1tJi zQ|WjF&1idf{XcvmH0cz-p6yhePpgC7H~{-dca zKF#8}g7VWy`vDh`Pex|B1WlNJyQ79#;q2vOh7~25#ko?_M&JRNBcw*1J$y3s%$~*m zzihp5EQ87XlbPss8DY*3x@PPBlC5dth)?F$K)FOxn?-XxHtrSPZ6AO}U7=gpH;>c_D7=U{d)JHB}zbIIn!2Hz%(`B%5nMLHIQL2*Bs zetIo>HzETrp5E-YSLM@}E>Ypx+|{ezHn-EEI4JZdKkawV&(Bm=^3K>Ac`^XPMPLXP zWWZ5GbvwAz3$K*Bi1V()bCcdf_YS`Fa=Tw2ZF6nY*UelQ(=PkJT#zG#O{AYvPX(el zm=SSLJ}t&=wCyACJZ+ey&GyRCqlvKZ4e_ucx(HbJ#H_ zg7aALwcMfaPS9LbZ~E@i$0PXG%O0H!+UY^c1uiEN_^A~+NOd7~)Abpt)!eO0YC(9E zhUmd{18EEQV-1>@-=h|!oQ_82$EP@2P1vC z&t3?Oaw#EM+e5iTNlsYJF3Aq6`a8M{dI*EM%-*9pkTY}{h^Z^@3coy!7eat)YnxJr z@oQ1jw$Tk&M<8WBqbc3zeQPw4L1D0kR~lZkAqd{K#)Xm32su>0QkZ0+g8i_JPt?;& zeE@|?9Hct!AJsyZX`~BUP{36obibDTaUSkxUSrJ4PM?=ys*FCC*&#Cq8ElZn9BOd7 z6dP6NBhjWSRvEGzOD)Hf)-;PFRZxd{0Sx+<-JZ!04E<)(C=0g_O{#md*a@^=MMLxF z*zJ5{q{EIUlvyiAOD)4#ZsQA^J03<&MSt&nKYNJH?OnKgYwk@)ht?Fs!CAc)kFgnk z)UDe|(Zww=w)!`VqY414>JzF|Hqub#ZGEvG zaEu7aBPec$^3hb0=aKse!_nxpDb)iMxwn$&IU>mYGlvOsm>FD>hZn1ZkS49PSWwCB zrbdiV-K(pbZBXi%<;@Z#X-s8cJ!PYaYoj{3tJ@hf`2LS;{bFs$Dg3?#9NU2msnGl7 z=$Rr8Ci{S|&BDS69_r@xEywNduKi+beY?f?&cfZHGgdMd^MdZ4Y61>5YEeSfB9CJw zOAa4(dRpGh!j9875~bULeEj@@?~-nh3iIR#=P;imQ>1A^RBrpREDlxjmn(EMi^m9x znkB^r!(Cui>h$Rn4~pdjRKhSv`d*eTRDx+W>Lw?%g_2JbGf**u){zY;{{3zeB9X!g zaCftm104bnOLla#W~cL5^ONsGlH?F_pEVw?qZMo4DbIB{MD5jce$SthlISE-T_G`77 W|5LJw_=d6x8;8cS2Vxxvw;20bt{ z$nDUcIZ3TT2NybU#Xu)33C#fJf=zn1|I!@qzV+_-9!v&IhB==~br+^Z>4p@{ep-VY zHIurIJg)%zPI1LJ51is)=SFOm@0WKMgTy3h^ScMrld5-r`9~;;UT^$x7(Ql@J9O~mPp{13^ABVWgJs2%;vx+BQ%g6z#0Lrew-V**>1LRb zp1>l>r-Tj94NhvIldBn#i4N{$z;qFaH)| ztlkY`OrggUZA4;|-m2uXs)rz%varb&Gk$!03w6%ST!LB-F)jyi@g&1#N~+-p4pILZ z1Z6EtIx6c0aa0A4U@#u=5W`#N#EQD)->%oQ-Q)`)dS`GtdtyLzwZLX*BtstN&?e<} zRIAd}H1)x}+j%=PV{DkSO~a3+njh)?b=98F1Z%Q~o|9=`e#P-1Uxt-#=J?UzcNXOC z(mh(|dz*51`>uO^iq~I`w0Dv94Q_XrdOB2D8BBV}s67h9V8rD^Cg}l3b(iS_%jX-;$h0RZdrzxER>iq^v-B4EF&CQAN8Nb6x5sK zc3wQ^u_)6*+N(l9(9C2*$@4&+6#laLA6HPp@W(GB2B4k}-;Os3v+NM$Q zDZZeKG*rgJ+NO~$fo&e5xlB?N$oMh@^N&V27`|T(%=mW!2kS#bM+J6GV2c2M4gCf1 zIMV8v$psSAA^z%=Q3IS%W|S!dOEQfQ3bq|;5HIQnmJU?_ugpxEzAr~*9xXEy!~KT^ zPz<}tr~_4-vBJngrJ?S?-ZVlTde>Eo>B$@;^@f7R=Wr}Bt!Qc=spn?_d&`-9hceIa z6FDTn%Q_#KI%9y1E6ireO;K4$0#o8?v?8t7D`76;QZs~LMVhZTA+7XCfLFgLbaKV(l-C3>3>(+wUV9H0_^&G?T}qaRLkt_P3?!bF zs%0MaK`(7w(;nTwu@IJr9|6R|S519ONuPRbr4^{m)w6{*OTJ>cZzAnIuW04L1S+Np zJps9O=%DeqhP88WQK*{PG%4~h!jx^e-JI;RZaw(e=(#ndMu**5bH_xiv5JBK)@+v9 zs0nLPyRXNs2kso;7Q1_B9Vfk>hsMrJ2y^01jgk}@ zu-W$=MKU)A9Qh7Q5JYEUv|TVtNvz}d>?k%wralqWY1#i0fx#T*Zw|93r5sE_AW~O7 zmc<|`;{ai%#q28)%~hOU2vTB0z33Sy?&;yA(z3v(WMR4F7(geHpob-#VOHM4`oi9W z1ih=Xck zJ&}+l4o&0dNi(9EQwGw3W&%^%+~cJJn!-(UH#E3ifk!tJ$9admgEQD2m6G{dOEt*e zwj`{@WUJw3QU;7bBpcb>z*CE6Kv*uVk|8!QAB{wzGq;iEg(yYEOqyxwHPdvVR>D8M z^~HIDCWRd>rbhXU#&Vhh6ISTM63!yMHY{LEYW7u2*RlfOsyh8iaB|s}y<|p{<&?de zL9|E*)2%T}Pt+2#?guY{R}@2|#Z=JYT$a07aAEiBBiF!t=yWj&>as!idJXFcD{;fk zCVB_Etbb{<9Ra%0LspMtWtuHTwN^=eJDHmsCNKexaoG56qq6#;y1JQ0FQ~YfufIk+ zL_A`Q4zMJZQvYj3rJTUOx;K^zZ3u8M(veIdfr~}&e93KL7Dr(ZmQ`L^DB0m`N>*k; z5l6CQ+Hqz&Lkvdo5CuWT=6S^!hhq6naENlZ{U$Ppz5`tUhn2RxWlIeeY#EAqpKTf3 zluny5aUCv=iW5Cb_mg;-{$*r`oi=xSeh2$uU@cl%T*<1ma>vq)SG8QE1&eu3@oF|L zWqJ$K!CrV_1OIAZW-ovtgLtMih(wXELVUGp9;aNB3l0nRXJ*+{pRbSn0$DiKsgn$)2XB4ImBtJnsU zeZ`vdmMS9=ES2OKewa$Kgk#B~^qJ*AN>SG>*TykS32Mn55NwsqO)j~??dS%S(A?EQ zwe*Hmq(}mfLVcwr`~r+^)2- z#eA}~EPW@lrEq2>7dW--78FThV{We{QUGpxmX!dS06WL9;{Q@DYb#>uq@aDp5ErV6 z4>f@45u>>$Y5L2x6_GKcomd@5G^j!EfFGhs#UWULnG2o3*#EkGQb+9tYTl$9LPBT>tAm6=^p3U2pK@gP=53~`! zO8SPtw}Nb=#?)n8+Q=CTW2WNbCJ+zHv@zi^6f^5kIf+uzquH7js?%5r)q9{$J2iJk zg_%ObQB!+SSN@wykYtYa*jpQc8f5KOip~?B3&k=d5yfW3@*m6!aR&ctVCISvfm2!Q z!q6;L2T|V(E|iG{yJm6mjv1Ml=|@D(S8O!6m~HoVbG(NO+%fM^Tq9~)*(*=Iw7i`I zvnNWHDC&O$P1l3*&gP@$XPqiIIQ@3NRdjfh3}$}+SO^726%Iju-KlMAj6$aZBj#?& zd4natVH7YjO{dgX4)mfN_Xu8T1B&hFb+4AL!Sek_-7F7;Q*R{1;ZUGO43{q02u`6< z^U^b}l!bXm^n?sx(e!R1ZcMdcom`kj`dBfr?1K6PQ~0fi8XwJW0v%&@msLwdT9h=p zuB51I^@K;3g6q+=d(gG4bUtR2%N|-q1q5Zq?0!)_njiq6Wn+%U&`3U5PyN#^_*Hbe zs%??g%%tkZG$8FCJ)iVt2SEV(AZYL(0D zMek%GAdyE%uKS0j_pQy+A68nxCC`pdpRs_ziYm=Q*TD=DgzMRaA&}Xn77Dd{dlwfx z6H9bgRze>X+zF^Bu?J{nso+X05=5!hQ|-(!AP@Plp!o5;+*DFP;HiBjl_d|HJX5!3 z7?cz#F1SdZ@y4d(@U-3wC~vk#T6fuf7zNa=~4?7Uvn}sf>`@Ujfsb>^i5U)PT z9#O43iy)CX-ovU%P?8E@O*x3=@fWQOo%vL4-Lf&uO?je&tu6@ogkg(e8O-ZSi8R-p z$v|$`;lsLMya6 zyaQUfyC-o0tR82OGYFkoPZ!-*TmVTRt>oGoW&w*L^RwQrz=y@v(Cm1=USfJ|c3>&I zAjRTg2b1B5$UM&@JYJ+wa@T((-g{Xm(eSx{029j z62CK}qm~l2I3av1o{HEjemUXcw@FgaAWge&XsZ3)n@A9iMUb7z}=@rpXv@bfW_)sR6A6Sy~@KjiOKuNpm>pw3s9@WQ|DHb)nfOg_4`z=U+jaH8ck0@8zJN3_k%#<7|QJ-7?a#~qoP?UNbyr83Wi7f;-Z znn}85@tS9Wdb@xKdqd!m7y%oCK|i^erbDw(H=ut_MI5^F5}&+CgQkBA)l*FY&8c5Y zz-jIl*^kU8s#gY_x`>-)_+&XRAs^{NG%a!Pkwx#3-(35NtSjw-?wn0Tbau9iq_odQ z=o$O5O5$k2Er7=`4}Yd+MhC!5zj#(gEhP~UMi_?|uNhoV%bYG;q27%{{ZzepO$mBB zS$OxIS8mZ4Ld-4ZnUPdA5h2;U!Kly#M2S6}mA+-jx5QXK9_Avr8Wn;E-$Hh9%4$-5>C z?Lyqm;j*mr$S@&f8dz3b0#|uK)D9Aio`M%z)G93HD9-vJcbf!^PU(3vAx=A+T*IXB z+&q3ZXCXjG@gioB4aCzO$X{ey&!Cqj1{`D9>w8NYYz#OybGy)s4A@o=1n|(R!i5*6 zcqf|;pvMEjaibB~+9nE$mm$f`Fpr6}-poLGSp-1278Vp<`ph{@xm zHReO~qV>(V3E<-1;--s;1GavF+pc8wrIbNhTn>QA5ciQhKuMB^Xb-~+M@Z_Az-dM= ziFr8~hU8JfS{+jZ0s>=O!Ekh!2G$$Nb1bW(FdSOK>XSLMkTr`8pt5RXnfMshtUei5 zqy99|L)EH+DYX>qhj$we?ILSha{~(V3(~wbWo~(FT~iM$G~@J9^kC-M6!HeWzj-@@(@tkbdtw?-L8i5O|hGD zx6?Dj_@>Ds=qZ3=YguB!O(bEPP+F6oJMl19NR%+cSfMmgP(SO8Qn1WBl8NrHLJ;tD zJ`y)3GlL2Cw^?pdla*7oD$W2FFWqy7gH`ZUaXob^*4#ufxxehSbiGm=5@%_MXKO2b zFT9F~i^Ap-aV5kfiDqagjor>> zW$t4Fi3FJN_t`q2D`t&eIZt}9XzdZyz2wwV)HFVXrpYxWQ;#a}!6+BnMMQMfMKH3! z;-??{f_Xt3bI(u7nA*y;!V0u9J4wx>A8a3ZkpO48D*&~Cpylo&uv`A|?79GKB(Y(1 zCkz25BKB&JUk-wy^%yM(0(N;!lWLj60!82kP2MvWzxY-8$xpVF$FOj`DbU!?Ns(U7 zAYEN%1w6QogcjmhtwjA$(*DVgy9HN+?N#|U77L4j-Z)yawrJ(u#15plC|kh-=+C<) zqKhaCRq2ixlNu3k7vht}uW1_P8_m^OJ9XAvowZsg&DC#Jw4F+)d_{g|EE20Gh^w59 zzAnhS1sP3>yS}?T`T)G1{|C?d$tZFLHJ2zM&ys*d3*uQAt!e5&CRszLE0_840$*vS zq59Iiu(u$4`rU(G-)S^v(p)@E6G|N#sw$}=*9NyAKSa-lvS2aB%P;)K&;F8DYt zrY{<9h%aV`9+-$)aET#lgLLsjza7FpFq;dcg|EUIMbWLQVqwJ37AIsj;b2$XMKJ!-Pi;(XJLl~Xffrr3yXhe4$O$4xjqp4(>)!RCyvk@aaHqG zRfH<9C86RJCeD<%CCTo4mORNGhC~gum>GvoX;{L=?s`m_XJN;qS&esDr;uroO>x+o zSW@e(CIMZ3=Ej2aln1$X(d`79q$R`1-Ff_Ci6VqM@I(=s44jD~s^*E1JZAFGT9wP} zKR!4>zA85xb#Fr-?R~Q}o4dQ-?36&uArvgk} z2?1oBVL!HrdyX`lThZ;Rd?Gikca|6}k_FI9YdBt$)HSrUHO2xJGNfWg`DpN}(c*wd z(P;um%`jYky&5tWpH4%VPqq9xI3#Lzk@m$>uH88&-u}*^x_kU?&Z;gJ^mc6AEYmNB zryiD_$(ruYn-}~hS&pt5kLu7xX12e9)BL6T{b&sytl8}vJG|TJ>S5eJaqq2b`ud}; zhGy)L6%!i{fL*h#W6(@qHiGBJQh4W?XHcSmG`IKAyt3zJE8Iw-2TwOcHbNEy};1vR_k)v*53!Q!9V$dg0KnX9v<%4-P3;WUOYtG4{-b!sDY6V=M_{3V^~BJq#82OE!h8Aj1^PXfcpz( zpy&vCEP)cNqeP<##7jIL01ROI-py+cEAy+ zz5dQNe0Z=uzp7E8OW>=HVv>R2A{t^}(@_a0E<})|hA}~-sF`p8wCk!~mcD58bm|B& zw@r>MQ)*(0BFJJ^fW0d6|6tOb83L=-n-!qCgab%gEx^bTNPz6>!QoXSkhEJ1D5SMS z$I#jaWDjfI=*Wm!z$6+ho=n3@I?S1B<227>E(4q?GJ9tAYMdP_)n1+YWH+C61_pfa zoge{Xo*&z`&~Aa%f$r@taCJoPbscszKDan?aqgam)x#O?=O^0*?|O83e4>SvclT$L z)eMRFMyus^iAW9}cxLW)GuS!#7y{s+e55Uy%62N17 z-YVU7r88`+!QcR@HR5&?)&Ndm-!!q@u`Z#6AUn3^r5{W-2w9K0l5163NSH4DKn3sVL-0ydG$^LoX0vD9f!I{qx*FL)`4V0WCz9k=uqKtu!p7< z!gXHc)vyRLkyIWV;u@|H&YD<=z}6{LGi*SB-H5GZ=vzJXYRem|zfPG60895C&Kt3f z;RN5dPE!>P`hG7uTPn*>(n^oDU@+70NDiFfL(@grOg`9+9hx70cmTKnnPfGqTM2>H zTLO=*9@L|Bs7ICc%9jnTL?JLe!UpuM6WK}OM&vy0Ggjg_Y%NIz5W`&89EMfd7+z&V zfJ$EgY`z=Jj{)GuEbMUSEYL%uxRGn{9!?vvIyiwhLffrAsDx;)jni;3sX%G8ghgp^ zTs025OTxv@Bay?h~fbsma=Teh8RHdw~)>9%JJ z(DuwG0?*cg2CV6MD!iRcY@)rkv`xWr0i8K;&fwEJ^C0~c3nw_L9#sn=Q_o4J^XJHI0&^m?AzAgFT@M3}nIG$u@4Cy=G*$yA-LMg|g z*9B(|sllov-c4!wu!*;_HgsV-Q|m3FIOsIYOF(g6yn5@JI7`1`lFhL`D@I{CQZ*)v zFA`6@#bOmB8r3clJe2ILHan~Cwgh0!dFx@p?Phe>-xMv+aSsoy4o=_=;nRBnboS1cHWtg#E*NRuL1i{!lGAE;a>m?9 z%iNWH<+8w-L0Nreq;P=3+iE=OE$lFSf9xyUG$|}b7RhS}kgyv3`SXof131I|4pocn zMN0O=6;tp~CNn)5E-i*FE5UMt@GxvfQ9=TwV$2J^0B9E9A(~}J*~j7Ku$^V6LVLUzvD#j4FWNYsYF>~sFkXpjnk8wh zC?IOiGVB}_b{9L3O23UI$;h8?11h7|RYTGQf5xN{{U;3nL;5=*iR4IcnGSOS-*b6De` zdCO&9f%;?hXO<<<1R5h~4I^h4Xbcm0^~OXf!1~5QEM2!m0Id!doHBa$J*@NM6WLrI!<-1n^g zE^XTwbZ>oD`VAaMNW1coO*Hrshj-98+D7bsvj?k#Gu(GAdBJ&B*Z63My;WoM7}bOYV%i;$DNVy6 zzCPKQrs5S=1s)viiW{b@+vwaG=q}(2&rbS-xwf#Z)hHJ4p+m6h76SCGXVwT2ipVe_ z&C4K5%5?Rz48erB6(}e0Z1R4u$+QzxR7qpkZkU4$)SxYHJq&9+LT}!<^{wLl-K|(X zoZ-HC(X`hvGo^Lqf@j$ZmIo1w!CYlx>I#vrHgk>5U|Z5yogUZxmGW21>CPNqTfAikE#uAEf6 zQ=q5*6}YAl6S%87Ek7H29mRpofVppXPGbrI)=W0qtEv=_QS5Pw1_ty^XR2m_cRL|W zB@AHp(-^C_%tu|DP72k#E3kmVg9E9b12E=tJBCAr4V?p3ap?9i-bChmcOTXm&hVYa zX$3zys%6u~F)<#9cnnTg6OWbY!?VQ|!UkP8c8a0kBUq_j5poVYKP+x2z+s$0_hQll zSADiHN40tni9IwrXvn*2+JH_Xf(HGJV7bs_UK*V#m%xFCb1bp+ok~#|IN`b5A~3a; z0EYuLjf1cuOPS$OV}KvoX_`jLZ3gz+jaXwi!#AOt>HbGsffmBFYHfkh7H!Th++jqK zn8$pqni^c4E2Is_-~`rYz)-x0YAr>zw0c-@(}e^JDFQGa*25sTO5n0q9%d}=w#{o^ zh1WKFMNt&N>U|TV=sG3vVRBeX)vIj<_p9}8rB1i^u)&K9?s>QOds08oUdSf!0moWabtGu6EOwv)&HEDaI03 zgGpV*EsIj{W0&>q&6PZ3N>_|Gn@3`|hdVHRsHnGv}H!bIr`PxaZuZ9Mcrv>epeR z3fFb#S|}`y))DM3qlF#Oxt;EGov6uOkiUjX;-NT=?5Et%?g@Id8)!9(o{P(RnsKjE zTcNt$f928PKr?RX`mNHU!lxfv%rh0}&)t4eS@UYs{;sPVUxsEMUdK4w?^cGA#Xfe2 z2aBsVoskHj&J{d$W=Ghmw~sPJll(UJeox={Wzg2Q&n>fMB{gey_-J==wzY)eUQeq5 zzw@_m-8{`>wvJZf@`?RotBcx&Qmm^v_>+#>S{(m=vs1jsFEGYaNr}tFQRms`6?SQg zVY}>Fk`kh5FD+V1Xk4ed#gyMusQLx<@#|Kb4vQGS9?lmIsVBIOci&R~Y`ygAz4K$n zTW`Kdx)o&-m&m442m8}zOi0n zW%w=jmhCF@yvDjk_w~x2xDzx#&vA^yHeX75;R0B?wcl{AyOxRgPy3duH&l%ChjUeFv5(UW%$Yd8+!vd#cK0C64QC`?+XB z1^EL;9Fr>Ct9ftD`*Di(qg|lauFS5Iu?3E4OeOnbI<1YXEG~@ zMMmwGi(4%XUYcDLFsoS|SuM~}nQvt9eoGHAHE&IX?E`bF;mnh7dzuO?XO%myyYMP} zu6)UC&YF|FN4}>mIN&MkC-nMRb?WBF$IZp1W{dx@cJ%%5`1U!1Q1iFSBD;(2XZt;F zN7C~Zs796sTdrnSlg`&n_0`K=5Zd2+F0iEfwe!ou2Zc*GBfo7)yWn*Ea;{1wb-vCp zpX~6)3raQT&oBHktI2Rno6*VMxm{O@N$)H-4up(aTW$1+iDkPVSEKR#YFDVApMS1^ z+tCv`eCtH&WA^k3`bc>BP+ts}sybts)l#SCE~-+sbE6=egztomW8Cr`!fZ~TN|rv( zy`vD#?#=TtMp?Wo?OMQwJVG*I+c3upW_t6W4WE+_IIA??b1Cju)~c8@FLZppw5RSP z)>hXTQ%}9_F~&QxOKYUMiXJHS+Xnjw?>b7`nQXWAwQOmORdh?%hmR#*bL(o_TEj-Z z&HIt5xr&SKrIemyfye2i^v{~jyH|-SX(|+r-BR$3k~yqtw(Z)6M?>6c1H7D?Yj@6V zUPE71^XORY{HH4|{P+64wrh2YNw`?MT&_@W1Xy1}I#25g1&FY?$WIu51R zNOB4tdfU7~?^B=?_iWwy$Ne?+25WkIb3>~0nN=*mjjp`JcWq#`>CF^Di;=f3%TL_T z+fbYnlrx;0_3>#WH;0V&MBch6d3S}ZP0@9-N0ZpO-^^|d&5{+aJi#;TiD=9o+J<4t zM~yt=Zp60TF@1%X*Ei7Ez8NvyR_&PYb@i;!?4%q0FZ~S5j)~4`lG=VEL(Ho2T+E?D z+m1}ZYjZMoJw7|`_ zu(sE%@>yjTd?tN<_J#&OUKJkIWT7127cBX+Okqvu`x5;FEvtm&elfoZ8@u)QeZ_Eki>(Lt5Os_H}2qeTx#@U!r!1%H3oI;X~nu z*W7PKM_cXh<-J?4>^b&iF$?eKWpiRVwQtQ2bt&7!skTFTdDbpR!Og|zi=TclU$IxM zsCU(+n$w$N%8m#yv)nSgOyhQp(D#~7`dVt)D*1=8_WlZoHs2IHW!=Aq&8q3v%fub5 zMGdbT3q$kzUX92eZ4TV|W>6yMUGO>vDURFo0t&be1O~p5Nu2+7_XUdsz54m@&D7fW zoqC;VMzvnf;1q{o+j<6z2SUU3b=jOD7j=5(&prOGJ&F*%!9K6#!|vOvj+Iq&f9#a< zsCw|`TmTERSp9`}N|9mFZ|~oq!#Lb$WaO>cQNLd*jh{c-aejYReg2jrldf6J{jZc< znDydUULXJFaI!~iu9U$(!lxyJAJ_3Qy+}X6l^oAVo9$=osu9<6t9om_-HHo4=UfRa zuwv_Z`02o$yb+zMpl@3kcIOhR7e+B??D~*Hh0S|_%L=W$1}pG*51AHk>W zW+8ATE=Bj?oh>GpyLcPCZDpE7xuq*i@8>h8v@^OYF15;cGqYWkS(CF>=D_v_?JHDz zE1xcU$~>30B29Nr&iyW)SBEJbu1mYG(V-zoxVXN%do_eu`E0*YZN}IovraW zi*;kX)CRt7m)6bpiP0%s=y#jNX~ll;=1kAoe#U)vIufC4s~i}Pyhuy9UvM|;z`+ZP z?%$12h|>+@P*PW@+`|4YxsD-LFhSE}t)P)fW{&0ZliBQFOPhDMMtrvG`QWj=zPqjM zYcvD={D?)-=ehM;^pdUA0=N3tpOy)hUm4ge`zd5#vCs#B5M%2Thj~ieDx{Xq+ZKK0 zMezko1^wDJ96z*%PnXWk4rU`}(Po(#ed=E};u@{n-6mADCM9J@?b_s&(OFfRhdhM5 z=(Z~6xQ7-uslPnx;QLUoCjaJ-bKzz?W#u(Yq=H4tSp~DMi&|%NZ`}~&>Y9Ev`r5YP z-mRV;ja%Q`a^rPp5^autxGm;=-;RT=ExaQQ3ZdH+;a9p9w{N%7UYOP7!5tJfka<|F zUi6H3M4y$QfrnH`&8eQUc#pF}$y=5^-uty!{ktAp)wa5MPF(h#d_q@rpU_37=RD9c zT4J)XaUS!=6*2qIm~W^I5nA!my=c*kCn}nsmaJCnmegBB_~=4qcD>|YXiA5n92@n@ zMz8S8W~(@KyZr2u?S^vW_4mu|dDGx9@TRD~=sc~|#KFZs?j73TnErm5Zks-j>*Foz zJ1pc<&oqRtOYcdzUHA~bk=^fgTc+;7vFPHa8m8-FiW|@D>#*ID#C_O1+Anf^QMsG# zCD#<^xpn63R(1S2S=Ws$7iUG@U*zcJWRx%`q+aTj=mNjO3-=xsi3Oa0dh4KNs_n&q zPfzcEGuvA}60o56@QL+SFBw2axcG$BeQvEm)Z|W23z(}-rU3Q zM`RDGe&Tmz;%B}pVK(#?g?=7l)XGpn`6%O5N5+D zK8thvuP5lG4i~JhDW4l_W-7kNoXx~&zq`P@ytftwQuMhEhu2r#3wgOrEtC7DW4^@~ zcZ&$xZxheTt_e!Tci%g-ME%fyhuV+3%kMLaQ74>D_?pYICdXN!SCxsX;Im61uhmJ_ zjV#6LJ6vtQRwSPN&XN3Pt;3$S_a4V13JabI*os{HD78p_>3peI$(KwYGD~k+m{e5C zeCGO#^@jro`W(d9Gn{9j5*3jSxqERff7b$K!B84L(Ng9u>}ITiv->07rr#QJ9Q4n+ zd$@txKil_Qgr@4Al{EXK%X7~AQMIfe6mz2w%ssiNh1s)LF0z@=>PB_}XIfyHrPiEv zH!l|mx~=OOZXUA~JhRJ5IbP>rx7U5qtI1}r+{&>Tk~dpKMLHGo@`ReaB3-_R1*skl zV7dQIu>s?FIvUqm=xA9&34h&P*#@AAbOt(9NXq}hDjvdaHE82Ko#3%M(Yh*P4Z1me8elC+|7}GygDR{?ZWK* z-lenY4CXR^zPnU=xz%@xbf_KicKT}EbYRpb-(=3}6q9)pnJFTyu4_)*=0z%Qd4ziN_4y)pf7o z^4a#rev1!7y8SSdurGTApG#NNTB(--BXlQHBkq{l8wdBZyGzEsVSdqf6@Gv6%&rCN z2KJoS)8FeTV$`rGcm8-ok_HN{c8{O@GV-tphqq*sBPWAK3(%sa~7cbpy zoLA%xnwcSFlv)gh;}ZBoZAeNQi^mA5(e&`*Fy#Qwsz+g3gc^Ddv{Ip_1j zNYT!D|2Ef6evdQx4_=!mCmE#h$>=%tYJJYOIgfhIxx}-Y96EIQ?c4>8PT4N;uOeiP z6&Q|}pL#!UvHtmky93i!+*!HOzV)4_y|LyiUE>_*(F1g*aXINtqQ+smtz{W$_Vp}6 z3j=MGmGj=6zxM8<+@-*RpxKuNmk5PjmZ+=Q(0J>koJ*kDO7W9|R|GjW#+t+)NsH{U zZy0v@I(PF*D~&+o*e9{p%_%+hHp=v8JH&PRe&~sg?&K}4*vMOYTwNvk_|uN3;}%}u zUNc)4zVoO(Ga7V*Z|>~Q4N0>j;#_^hv>tqzr~Cedg#=wntlsQ(N7-r;_kAAy5dN6f z_tEatM!q7#Z7(dh#`Z3gOB(CDZ8^rY*|+e;3(Lom^k=hc&JKR8w~-O35tNDj=$&OV zBo*nLdoDd#bl&^xy4KGh^MxcCadaIwsMvaK{1)SJ_t=ZP&A!^=&5hnc))V&IuO{dS zXzb#j zX6m)2eT(+xwF~z9=%+gNj8#1!D|~S=HHlYIs`eRUaCm9hhb?bAqvrA}F1<0IujAyd zK`!2^Im3loZ=JnNmPlT^WIEp4qjbfDDVv+t^G)+?*UN>BOK-Ji#(vgFRH@UuITHU! z>v{8`huTg_hG*GZXNl=d7&n~K-m;%3_sZz<;T!Yhw^of5Txs4_Sk|;=MXKHdmL?j* ztnFj>dYBH2ZPcvG7?!DKAc zqtb-o1=ZY_Ufb~ZpV6%xV`;j*-Xi41&gi1oADnpWS$iC|`^YuS=R$;|+M@K$B<5~4uYgf2cT>j+sM4#%sR(rMVrLn>B){e-?^(Qa0 zR9vy))U7Avcz-?j{YF`1(vH*HIEBBzV{uN8-C2{)Qo%yE59{o%8%F8t)DjDqB|+{B)vDKK0Bab_tn<6^8Uz}Z^O3bZGu7{p1hHX zWf^(o?CkuUexv&XnUkKyy$4@camBW8*M2yV8{%rv_2~J?=PExxp5j$P0;bY_OOkSh ztOHs@9>}C-zpiaP)yPv{sJYsL-a=?k;N6z$^wjLJzJUjaPdb;4bY`~bJbaRVW64Iv z%=)o6t!tj;45eg_92|Z4lHbbsx&611p`mxf^D?%LbvGVt8*BSi?=kx0^4OVjRkb~Z zOVrEE2G*(?ng)D3)mEL-xHVHof;QM}z9yO+ zWo@lxnL|!2LwA!_|T{+{^_Ti>)D{ll?Z_Zt=)^`2I_4|YI?R`qmJC_N( zTA;7KO!cJ#OTW^n-|NIywbR9C?dCk)`|x;ASYek{%zkBOB5a$_t zTsnL0({fLqYaY+?QsZ9992v{`rYy-5pdx+hW9)e1p6fRL20sj7$JjEfmiPq;>y4MG zmvwn+ge3d77t^)cUa&sr@a5b#-X9~a32IrMJ8Q1~xM_B+bUVv|H^S>DN+L5ozHA>B zcp-J`PQZG(;)n7FYRAe3=l4;6D178`gh%nds>K_Z@fIsr>DG124hNsQVc~3NVAmnj z^0D}Pob<%A*Yp*upADR3f1Wf#t+U}%!1JQ~^GSQ_WEzWNJWq)U$ezs%*tPQgz@`4y z#pX2w4_AJx3XgxH(`aBmB3SY4;nU9@m))Lky!o~+=f2J73H!vw0g^lJbq>Ex_7pGk z-qp}F@!6l{aMkRqojEP99KW;kcxKqUzD@GKGko}_^ZWZ9gG>BeQYE(tdvCp4kr-fo zttHsQY6O1G{DtP}QZ1qJ?QcJ2GOG<FBmiO;4RANqPMqG}CJ6d$Y!kF9-srT>G_ z`G@)|W2HaUR+k9`eYq&NUUj_q)c5D6dm6%yaR!eNn;O)QEU;2!j9$V$F65+hJ7`5) zSU~i*bEBf2A8AhT^yetejZo9Em%H#jI##JywWZuH#&!4JzQ*J2uSWch%;SxUY92;5 z7OCzHGz|W6tt3&!?b|0S{_=9^`UlmqEZa_)yphv;+t2^4XnU|^#oomg6R(Otd?_8$ zYVRw}TiAP4MNzQLS4`YU%9oSF*YfE(g`!XAPn(+`wxg}cr)uwLO1Ak#j8(iW4vn;G zM=CopGM<(zwERN8pu_52s;+Fkj6W8nXmG1OlFdEmq9|##h>?kFmaUfw|C}IJfsj3% zdv!NmS@FZu&?fP4OlG6~`vZQosqw+73y%+-TFCsKCCep8|6_THzt;HtL;czV(shzD zsczfVnwU3cvdb=z;C;^}T3t%Fd)~*A?2xK}rXKNxWu=5u4l0+INm<3WxX@&0t(VM9 zxJX;S)6hC0nRd5Tq-(}L$-#QM(=E@I&-TrbKbtx9x#)^<#-{!wthOGOEjRAP7ns}J z2r6s2=1^>S>DgxQciL7N&A~C31I=ESZSP<4BAdnLyTzxoGO^ylO1eFEd1@f+t-Qb1NaWyCa7f4G}E;#d7iz2 z)kol%<6Q#xZRH2FrB|;m$}@SlP9Uy3uENg3Ha#ikVdsrzI3w-PF|~IGy{;-`o7(A`FgvpFM>ljP(<(C;od$mH6gE+%|q*A zJohEBdI|5B3U@5I(aaK}W+r?tsiI)Z+?4C|@3Nimb)C7V?U>`aJH_RjbkF#e{BKIV z#E;a12J~WtflErS-LC0*HwW>pU3z%^q09GzUUpr+xaQuqqvG!K6o^g9$~TA1%*$Bz z56qwC<5^vF{pprPOkXZ9JlWSzpDI7bJvu9kZ6iB_m~V)fSZI4z%&x0Mv&;MZxF*d6MtDK&P90;e>{ZvUi)CFO-Z6sO;IKI*)o`U-#C95zgjXRr}&{ zRWGJ@Nx6EbW+xI8m~}p%qpev%;K*3hvpPcdj=UX+j-BVqzEQ~*aLU+4%(c1m!6wcx zicA_pA&Ud3S?h$`3Mz?`5pz_dO@zZ2pYR?!na|Fa88?=myVUr#(Fv{U9W<_ys|N#B zZfV))8wu1GmWFppHAK!yJsZZpIM`jYb)GKGiA7=w{EHY1+I2-|8Ej*@x4SEkgW*ZD z;ps;4eZzE7Cc|x^C*ha+mb?_pzMareJztY2i$0Ds{iVUpGHXkY<>!y(vqiT#eq&|# z;$?473KcuGbs@LuEx9FwQEYjx2cP$@s|%Mt$M3X;v5&hdLZowv;)dBCr`=Y~UtvE> zUt+pAX;H$o?CGv4DGUud^@m=FCNw;mieNgxqGow$ISwU0~?UIlcw-Txk?|fWP z_4v`@)mtmgZ5I&_v^l2cB=ppF-6^vVyLKjDSlZBGan|)E5;BYZeFQl5**&<}yV}Nz zxPncVFy#6ROkCutG2q@uF~3|UMX899mqZV+|7KAWi$=aX(lJ4XfB2taQDV2*rZ8n z*x!~P7N5luwqQ(Fjg{~%{ph%uyIk4f-MU+r9q{pD;VwQ_vZU*tpbf9Ip>O=Yw2~RsmSi8n|aIoPulVy2~xM1E$f)Y@f3b%SHDX;Z83+4PT;|M6IoB&-u;ias)qdV zyn5O%^o^!SUZ33RIAxuP_d!h@2_=bZyAye-nbtjuzNH;i=AFDDP3m-&TU@D6q9SW+ zRos_KAI3#NQK5Q1bh$Nhg-_1YS&lnAJW{a#Ufqp__97*6pH;poY+hJ5c`uL09 zymgf2imRSC$lV*XR78y1fl5lkjp6eT2?Ywkf>Q1=tx(!bn z+z&2!Jd5G#p%5lMvEkWQ)e@?sdWHC1+j;IRekdp^8SD7sU_|!PnYn#w=TTK}wWBSLRSOO098x5*Mg1r^&q8f-q;KviF?&ZL$%sWJZ{u^-9veQB zT(vQJnYJ-=Wm!?HP+#YbWi;2lnzkq8^E2-A-G98cj_;g6Rip&pk)7A)4rnqw3)uC< zcF8?<-eX@jgw3+=-}XLK)XOPxqb!&6*_&OkkIw<;APocVBE9RMibkGkOP!zlYf7d^Xh)Rh%!C5FRG$#5FvsIUe96$D z3vVAfboeUQ<&ayvR$QJDCbl$!99L)8-cB{3xo*Z>Rbu%~*=v7dNTpM)YuFrTdtCvQ zowPN%g?$H~c-1dDI@c(t1b&|}E>)JtZ)EMVzB9tk;c4$2<|~Yrs$4t#ZEtmEh{uIB z1#1?~Z!M7%clR1*GAN@yJu5KW;`?!rM4E~q$I~kP^g21a-R4B4)p?vR+@F2Nar-Pa z$=ffA_SJOw$WsqeAN)pr`?Je|{dU8V35s__=&5;zMMUngx39UawU5@F-bVGKZJ5Sq z^U8|(vlotE;xFX1;A9r4QFxhGXx8l|=B_F0nJ#y5{e7ouF^m1|ak55L#B*%BbjL;c zi`W(V_DIwi_HnOcX>g-{NMvwe(6CkclGt_J^$^cmY3V+CgA-cJ7y0=!AMbZ?$gP`4 zZ&Wp_m5;ieotHa$Aex44(0px`>?+o6Te|f$jm>YfSxX${6X!4&5zDV0o1-$H-&dBk zmQHG0qUgowRlc7(KX?j8`Ni+ZSi?@YXI8<6MH)%R2!})j4zYDAg=B;}gl~Cm>sJuQ zd9>t{K!9R*)Mdjv!X`bz-EkZ2hqdB0H^oNSebt`N-EUC9`f4-7s}Hx^7o}dLRd5bi zrckFRFC?6@lcCU|`t3zlrbXdqn!<}x+zOBRnbjEht{Y%8O(|E@uKPt&Y(bY-2pIJb=) zdK_{Z=VQ#Aw`f~^bz5VzXy($;!6&{tcZQNmcG7M593AnDcG;n@I!k`m z(=SaP%wv;{@0ueKzhY&JS;Xc*l_TAOYwZG7p1$=utZDH+gO>w6@drY)E~=c}`M!(C z^blvi3bmLimpMU7)P;UWxu`Sm5jmEPg-FJk)ZRc%vrh9tfeWSf| zZQhYbYql(DUba2YUAWt2r`9s>c?>(cj-T)CHuk0&Rm|h$pgEB#U?r1R>}7N(@2+fO zCPRnZAm>i&^;AsvYguVS=J_7FpQ9p4aJX?kGrn5RMoZ;peoy!9m^NuYQ$5wtMp=bz zgFEIwjMwFNE?;4@>bamEhoZwgK1?|g7^G247Gn!5cL@7>ps+?Q&% z;qJkpb64Zd0wknZBMlBwUmAaF(ZrMcvh&fg#n0%CXq_?#_BS%?Z5I{owIpFY$oevqWO$ZBH%`Q;yjjUb^31=}a;~o2HjutoxGVss;8{gt8|( ztG4bmGOfBB>S%tyx$pMMC!zADU+d}DGwhg?TjlhY(VER`tW?{vXIw4rsr0-jv6og! zNadyyBm0(LJn>B0#l=oBO53t+Z`X1*N3r(=A^!Mv2X?H_;$KEJ@I}UEL)L`4&5zNf zZ>4#OYp&?_J91VJi0i!xOLn;_zP(GT*5{UEVbhU!-)^<22EEvE-)cbYwOG>p2leK0 z4K50i9DaN~_gi^e#m}h+n^#7~^UyPS>fT)V_POQ* z{o?mMeW3Wc^7S=4i^ekw8dj7%?bAD~uftXU==FuxZ;rlZLVLdZeDC@)d}&2ub|CDX zvb$*fN2-G9nM0;41EiBgvRy{Ygo4LBOwHSptu2ioiO}t8rhZ=ky#9%Gdqa!O#CVFQ z=iZTr#kbohMxIo>xc0sI>sS4z47Z`rro}&^)hFt&$awCN8yml3F<}-wF~DQ_ z`D53!ghYLp=l+~EXM+#(sT%8w26*2|z9X+)EbDa7%)GcS_I{;4szwtu?@NJBj&+r4U)-8I{&$yjJny&LL?^ZRyPDR+Xy*;5BoEvO z{_(c_<+xg1h08Iq1K&9$4m7^x?R1{)tgF+Z_{g=I&E%T--jPE`L&jvH!qxBJ*jM)D z!Cvp@#II3b&a4-(RL#~o^J>$)YwpkC?-BXvzA_(II!-IADC@h{nl_o3O<;EHo2|lP z$&$>JT~%6XTqR;q%D{a6G;IrY3w;Zrg~pWDl-`ualq!kVdEc$BAh8d&-U4DHak+=8 z6OORf-E!T;qAR3Jt;?aywasiw@KfbTWoZX*2fvrGmr}`m6>3ZFWa{iW9z@GIFZfFs zfhSs0Sw`>?-+15Zcp)rwlGffg|BE8k9b%)n(t{68Q8B*P8LxW#muk2t+d1zdbOf#- zc*)Y1@+*apI~c{)*&0>u3O-v;+v4C`)!b_7wLpm{xjJp%r&a|o0a?LQbP+sv%b&T^ z1?)LnFI?PexAbntZg;xYi}I&dML7B%?Y>$o`@r$2UGgpQTPmkEMtIYmn*Hj!lFHmS zPb#Za(y5s5w9wZ@Q1mY@gY3S2k7NKeC?7i>`#K zgr+)h zYs9gPz}&_=&)nSnLVf+lXV0Ep@F><9A0MBaFSO#3=faq+CDL^I!ScJ>duq?}27Wa5 zTG?=OAgW-@?c+h;EZvdK6Jmzy?=v>+N%edf`TnvRM~3m9=giFmV;47+FP(R=$&=b| z;evr5aq+6x7S?{$(UyO?QA<&~meIl3bwtu{S(h|?Q?_yw|MLg?uby_eq?hI+Bt7HJS4WPl)1X;#QSF@x7T{#w>oBaN!R%C0`UX(J~@{< zd3V~d*eh?o^q9I@Zm8qrg8Xly5_;|TqsvV6_?3O-!;5|8Pn`9UU(yyoOKt8Y2kUmL z+B$)k-3#izUw62XoaDCGJdbLNvH6};Nu||q91}07i0>(Ia!kCkWytziM(~*<$0c7o zBwooB{vQ(rEeS&3aowW%K}VwurCA@x(0$x}N$w@w*)s zGQ4*FawEU#N$wBt!fh*R)HL*)Jo({04@t`o@^^Mj5Pa!-JX$|Ux&H?;NO_>ZA3;{t>XK_ijz?{{C25+{N8DN`)#g%(Bs(Quh)(5=*x&7&i2{v zkYM$}DTDRP+Fe%K9?@q??V6*KX{PzTGFRNcfpQ+nT_gM+{?x$0w11zrROu+b#QZYihg~+ zX1hp^&39q@OQTIgr55=TwdFgN?tTA}AY6QYoo^)E0~efc+qL*v49m_Qv%&tQ*EC*s zpn>o|8x9b;#fUs&L@g&GoCqaK;uGGd_qegQdd(!Or)arpn;f*n!1V}Vrm-d z0S-}r0+G6M-8QY{Li!nsR91y zy^8#SdzC;#oR|#YRcadKRV;6&cV7KJRAovf|0f2L|3v4dv?Qe^|K_|$>8?Ld+y7vi zo<5=c|9wsVZ(kbF;nP317??ecBT}P<({C&d!hc$s5(v{*rUW|D%5)z!X=NIZR;aKt zJ;I4rrUW{)GTldwR;KZ=KBcBP!ug+DnWj!(ng0HXW2?{qJ1f(_uqYk-X@&OhYKDI@ zQ2rH%PVafJD21+uEz*CfAO3L{Tt+*y3$h{}y5KXc3ofH2cR^O5scD}5w{*eZKk@(0 zF4*^nUGVp7gGPcsYKDJX7ySKGz#KY#8vFD6qW1rXYWrK0Hl=>(i3&b%{raHspXjZW z_9G_FobZ1CQvYupZ7A(WX}@1xlu*VOSR3LuuRqRp3Cx`^4I$fE~ezw+{(Ecf?b7|)m{|GY~7I$hHI z{_A)e+8M9ov8Q?X3uhYI8L#7M|Mhje*WYmh!~c~g`!@`=i=R+aQBQuh@r!Ps@mz{_ z`ulns+JAjt|CnQA55T(z<2ULGP#QfT1_1}5D|Gzxy zPhYao(9XDj$G%~kdG+6fNn$O*0agVlpsAT0y4l>RxM+K@SC-_|f2CLmG=p0qIgf^M z5X~z@G&e(0_5`LuF(CI6Lf{|GD)e$r9S(Ga3gT>n5COIZCNL1xsaXlEgr$V}L+p@W z0co~`3a}YMREKitA&qqq2oyr(3G{=r;f$$iLidVzb8iq9*G}Li{ONW5Y-(zavaMhCtvc5jx^+xU5HVAO2xuH8-921;_@!z1Jla73>Dddbj@pRqgS@DrB)^$pSIbY<0dve0|1)9PLK zp)ltCx9)TswNJ@fYV?@}y;0_YTbdd(vyIe3iV8;qsWjPzQH9Vt096`!5q-CadI<6> zYAdwhLamOz;YWRC4uBcJ0$>HO0Z_Ye05}0$0B!&eU@l-DfEU0A0A~^e0D=G^fG|J= zFdrZa5Cbd#hy&2y2%v^q2v`J=0xSkB0Z0R60O%`GIRIMQE(It66ah*AWq=A`8DKd; z6|e%Z5}*c92WS9R0agRn0M-ID0qX$k0UH2X0BwK{Ko_tPpa;+gYyubn3;{-f%>ZM7 z3BVL!2G|0CKXo8j04xDk0Be8^z!qQ!*b1-*H~<_0P5@_s3&0iN2G|C02W$s;06YO+ z0B?W~z!$Is;0N#r>;&ur1ONg7y8%IfJ%C`qUO)(7A0QME2G|b>2SflO0a1WxzyZKP zz#%{k;4mN-5C=E{hzA@690Mc(5&=not%d z21o~70%QO#12O?wfGdD(Kn@@ma21dT$OjYv3IRocVn7L?6mSht2DlC=2iyQu04f1h zfSZ6@fZKp-z#Tvh;4YvRa1T%ixDTiYJODfdGyom}9s?QyO@L;=6F>{#DWDbb4DcNA z0?-C%2fPGy06GC(fLDNSKo8(G;0@p{;2q#Spcl{w_yFh!3;+fJLx7KfPk>>-2;ehd z6z~P`6)*-E2Yds32mAm`0MKwq1W*B}0qA~;7J!~^&;u9%vjB_$CIEW+F$cg5U;(fK ze!xe*=!0ku04IP8zzyI5%mvH?@B;V%`~U%fAV3I!1|Jc?e1IrG46p#eOaFWb zX-fdemNdjN0BLIIvk)U0d5D(+6ab036s27neo8=wQw1#AT90rUZz00sa z6=EFnJMRDKVm2^?%EC;k1T*JSm_Zd_=2V$3@F(|Do0j+&$iLJ6sCdpv8$_7-J)zaT;5<-E{MEn??N19z^Z?|CU(tu| zht2UwmU_~z7W6tNIFr8`kbPtqT~5DhABIk>t&lA=rlJ-@F#@U!YDZKbJngq)7RYA> zpmt{mZ~%}`(Y1i&c_2ppavp#ezz5(52mk~DNJe-v29gr~Lmq&>NHsYWLu>r?K!lb= ze?Wy!|L1ET^?{A9_CB7zo=!W2HNE}pcDQm8^ism`vOMo! zJr`>1zU5b?f0jdil8T^Th1QTL490L0vT{kI12qx;HjI(T9_B@05Ay*y0(=4X06Ty? zz>z=|v64W|3`;4hsHFs|D0xWS2-pA!#8MSOgl9fglpN&BVqg!`Axl6mJ1G|mqKZ-i zKslk3AmIQ&M(u%Lh#5k8>|yIB^IazMcVYRY8vM1RCfq^zVRNu6j6NO&VYs_NGqNk( zML7OKQNrN8>0S31RIv6!YiJbE{DWp7d6;(;VdjyAnMjV5^-D)I|ImQs8~_vG{3>sc z5cNj3%!LHo-2P8!2@DHlDQw3~di|pr4Eg`>hX3E~|9}>wg=^^N0Dd8KgrEKRRo{g*^9Tb$N+Q2i7SirR2AXqG zejEU49tWT~y%K=h0^Jv)d3-hqp?MtLhoL@)?uSs@qx%#zXWK%IRzGOHOIr2%Lh4@& zu>SbV{a+63K20InnST8%!PTz-V}Qb6I0bzDiTXZTll<28-@xgFUH6+DcKuge|LA)C zcV7Q6_h4(WU%md(IEi2X`=BjC0cbr|2XQzc0uTv^0z?B201g5U0b&4$0kMEMz!5+^ z;3(i2AOVmFNCF%OoB*5zoB|{RP6N&W&H~N>&I2w0QUIxdi-0shI^Yr@18^CT3CIFm z0b~Pm0J(sxfIL7xpa4(^C;}7%N&uyRYk)GqbwD}b2A~2^38(_x1i;-9;WnTea0gHW zxC^KS+yfy0M8idfo;N%I{vn_N@Cfi2&3RA)Zr|$YBP^$~SXf?GRu0Ld z8$+$mvHJ||vo#t2rDVhLb7Q`Yrq6raNY{n1; zJS9*(K=A;@0~8NXJV5aP#RC)%P&`2K0L23o4^TWn@c_jG6c125K=A;@0~8NXJV5aP z#RC)%P&`2K0L23o4^TWn@c_jG6c125K=A;@0~8NXJV5aP#RC)%{O|Grd?ZQ=Ea+Q# z?1OpilTp$EeMe51mV;PQn3jmXFvWfafh0LS2lEA%{X1S6MCmN(KtM)eK-q=c+LdM zo~+uGIF^Wsvmk$A;xo!XRfqDC2|UcS&+^yoW9>mc-M?Ues?NV&cXv4TJa0@jvO_K_b*=~y1-7DQo1BVw`>Vlr4FDF@?8PDC=( z63M0gERF;xTN@*Bj;t}QKrE4D1qnlYPFnfx4*ioj$uvrwCXR}jw2z5TaU`>pBgF$~ z%tKuU`p4wB13hVS+(CVCG6#fUFr4gMxHvhHltV59l}Q$#;boLHBLO&6AQ}a+0}BiA z*a3KCe{y^T`zQyradB+qoFR^vfz@D2e1^H7?W2(qtiV7xX&;7avi(8aJ|s@rheXUY z9H8Q4@tI|i#b?+@)t#Pz>;tf5>;Md^GAVHoYKt1d0g4AG9-w%D;sJ^WC?24A zfZ_p)2Pht(c!1&oiU%kjpm>1d0g4AG9-w%D;sJ^WC?24AfZ_p)2Pht(c!1&oiU%kj z_!}NTpW&{hYb7jXddNg%MI1Zqn!vW!$8M*qhqJJWosX;CR(D5V^egv7*nAcR^o#X4 zjkedoX<8#scUK3R$rPkPqvhl2fp*+LA}9gvx&gZf!4V7CW_Ktr6IiqZ2VNBV^?jU1 zyE5Q3Isu$UyF1`CeE2;@qg_B~Vbl8{&g}J_f&10*813vqPCqu8eoP(h&_zy9olH+v zM>|oV^q<y^97Vqbo+xHcv z)2@N7t9-Q}1^gq7a^No$)bUsw2x=m#11ac2gx7o{(9}dK)JGsRsO!Oz%#(JHMY5=e z7ywXLK|8c;o<^HY(x_jVP10fzn**RML_%m#w}9jH^RNUSm4`fHh0!ECXjcXN{A_?G zpUgf78l3_(YO~0-Z?xx{-ce7TmUnR)oi>e5$LQJEU#MNdXgEN~2H+!Y z&NMoA8hv#dod-0zZAcpjPv;AM$}jwhFZziu1|GWrN^qhSC$8Z{8BSaWf_&{(s+R*# zBr;%)Uy0=r2}`l?O1%opBRTaZ&{R-MYG{L7lj*2AZeujb!PS%Lq-*%jWDb(50g$hD z*w^MKz7~_6O<-H&?&<63Agt>NQ{pNgAJ3ilNRpFdO{IF>49!9H`xs9>*>T>f*U#W* zX*>WPIfrV6+CwtgFiFxF3a?Omgfm}&c}x~+1oF40r6x$nukU7{$?hCfZ^3v}WfTV0 zpTZHzqM@r5Kz2fd`ZJ6t64>4^*>@tzd*yRXmedq4fX3RiZBprb#?a%9TQ{k<|HQw< zc-$cD=mR|7o}CyC9Tq|tM#BNZD*)bMyC-Q>LeI4H*Fd9AdGZ7b@6_L5d8D%5PNUyV zqu)=Xdx4%lDE9rtf52o({ic5!J%GvKgY#4MK@v{`5f+BvNOmG==jrMEr=RkNf8s|l z9yJFke1-@glt-u0Ux3CM;42X1t8-9&49^(}OCQm<&W|&6Lnnp8XG@5!yyK3W|8SU1F`(h5z z)7y`Ek{7@R_^;|Lm@MghS%JoU#RkORnLXI26~+Pdbiz+)AZ!imK=u>=Ub zV@YE)$*(fFfGiNxJ3HEQYdSBF@noMZ1s?A)3X?SIsfv?yH=MB2Bn=U^C;IfORRt2s zp~@#OPaIa!`D7Mj)7f&V)lQe%dl4)ry_V?JGr6Ph@o zg%jF1p#ubYxxPgM>J4FwhVJjA>p?o+arA*k4#9_Aq{#`O)wK(7^dZV2er7<|+#Fq3 zXO|tqMxK5?_Kv;`)IsXViBu&?eo(ytdf}Wkp6;GL!kg^e9e3<-M6(JSjR_MW zA+XyYqS6cvVIwyU4FUn(RuQzt#0UiV4+nO3kkbHa;!Ft0Ed(mf2?+}U6{4>J!XQD= zmPQ(AkP+iS8X-VURKPI}WC>}3NJ9gt5NkMUqoTD{kOtBf<3}15KuxrVAYq`=Vvz>A zXA1GqK*B(2uSOdDK#7SU4OE8-WGM~RAq`myK}NJu9kh`VR1G+Qa0L=>N5X+fI2H+8 z14r;e8Y)O*HPYZm8X`y|1Zh+t4MU_6h%_{S12qjO6ltg+4R)l#k2Exp#u=os5NS9e z4I$tN`bdKvX-Fdt2Bg7*G_Ym}K^j;y7?Nyg0E>jhkTBK^>?C2x2$?{OdejVtX-+_) zX3z-H2MRTVn6@-fs2SMBcz{ABhhUyXB^zR%MdzY{c@`BfhItkh&yIN(35QIebrupf zOp65y32TIS0EL9bv{wU#gxSSJfI`g>g0%%|219hv2nj*LPzN!rEl@MCV{L(&A*3A+ zSTh*LB0r&K(C~l*)(m2+QPoj1u#3O}iUwe$|dZ-!LvDQP) z5ON0DK+Rz2glwQ@(9nki)(m3O$OdW#b{=E{HA4t8gf)X9GNgfQV9g+gH8pAmc4R{X z37i`23G^hkAr0uP+DJ}Y3_7bAdhQ~|4xM%L=FJ3x zpPwJ>Uz!Lv#MiD}gIznHKZjCK=ZcYq)taWq4%Zz4!n&@$J7_lAxuPD4ZB|VKu@Y&4 zLmBnLA$^1oBTnbsf zD-b{Iq1+(&`Tlg^(kFO1wP$T3wO>HImS^hxbQ=1J#ImcNaf>n52eoj+Ng z8@v_B$vIUX>HImT?729hK;}vHA(KSwud&ehBvlS;L(`OoO(2j zW_Y3z{(1(_B|Z4XIkYCBLUMQlp+g;6vY+IMa(qA~V{ezI0Wd|H zrda>5QdFyy{;4Tg;t@!-Vf~X@DgIMaB4CO%dHz|g6#l6xQ7}bXSFrs_t(N{%QwzWp zX^F!2Cv7GFPfbaHDbi~9&uS(2Pffu+0D-h{V*le>8Jhk*Qx0GN1)>~U^OM$Ke{70+ z)f%0@XN!CdF9BPm^&I;j_lvdv_!^c$l>-n69DnS=wg31UmIouGyA+N;sntKeh84gR z>4xXeYW0t=VI?p{x_A1sTK(f|7(J~b-G*`gNn8EnYj`=BB0VqV{FAo&$Jg)*Fh#mK z{Igog{=MtU5e%R}lv9Hv>Asok4{JrE_qQ)%@|3Ru#z;4@Tz}YF#7%#_T4)*I&j<$y zL^&-u3L_GNGAWumPJc8(x~1jOc!k~iGi%^Hsrxc(kcJ!{4g66A&XXoymMQsM>{gS^ zlV(enDSgs-!aBv1MrhV4ebTKnnJ3+{K*C*A7ZR-3KPtiH@kb>%PpS`Dp5#w5PpS{wl)vytDY!nV zK4f{4zsWqQK4g9TQ46k5st;M73wxBo{!@L}r|gq15i(Dz4_V$Bd(=VZN%bMiyzq3mM8TmGEb@x zSs#BigzJ;)LzX9{lX+5o$olv#2_8>fjFSYOj>nYznI6FXg2yv+|MRzY_<7+m<@{!P z0QU`I#L$+IbGwZ%C#yOU;n&Z*fQfANCrlV*FeJZZH>=1H?XS)a7pBJ-r# zo-9vVZIOAB9kM+B;s&=*st;M7wAv!`r2248oj?9!2iGUnhij_7q}3LgC)J0nPg-q} zc~X7I@}$)kna5xJ;H!PS*WmH=_ChqCj>nYznI6FXg2yv+DgML#g2(^c{DTHIlgZED zh;mlI2~So5eF88&pwaE;*ZBBrd(x=Gqd~g*$*Ucce zUx>!j@tBf7(*w9)@OWnK|9=0Wt4n<9>aqb&c(RJDlQABltBW+Zkw5Yv&AntEe^P+= zPSV^;{%8Y#5`gzk(%eb@$b+OumM1-lAoKWI1=q(v0>OEFErQ#}KLWvde64}Y;~#3EE%<1wC&$9Os(mX99^>hF zjHlx3EE%<1wC&$9Os(mX99^>hF zjHlxYe$Uq0Re#SG`ANAe*donCEE=RM2JOjB3tqVOI6s%YkyLwzxk?*Y{?rev18AU+5WgrfAjqv z*%JEmPkZbsGut1x)8Bk4Nw(w%mh!Pr^ZpNePXQ3c)BPSLpoD-}D4?fep`s`%dM9Ae zNQi-f0*X=+DvEk`3$_C4&%(g&2JFV40SX4#iP)I@-05=|pPlM?xmpU#hMviR|M!Yil(WcJPy0P#maB$^_j%!7QKROiQW zYFjo;IuHhqCIDcyK!CV%O)zc<`rZq?w?J zjim06WA)QB(rnPgt~QF%^wTraT+qa>TZ+;2(=$>GXku4D#c2BJ8EHOfVy}mKKaSH+ z&qxbF6Fa7g(e%?Z5_}$>VMkIintpmlS_+!j=TKFC?7g3!kzzp;9l1Z8C4Rw-6wmSB z4|SDkMq+THS8??X2@VYhs8q?C31)(raK@J$@oHMSqytwNTz}D267I<`jrGMz22y|c z0!0g^Ib#N&M=)nvGOYl@&*YReRf9Q^>edCORh@`#~&9o524(_$~{Phkhst zKYr&~MNCLO8d6cQRSPpk3)AMRRuTRIK1OCOD?0^`@C_X38*0?TqKT1N^XB+nRQzHN z4o-*Xhc$%kg1U-OEC(*Q?YmA&sw^ZI@6Y-L<+L7eL?*+Rb*vmmz!B33$R-GY=)>fM z{TPzCo<+Dv5q^gUvWPvw5kSZyCOJ77D!_Vl0C<6%;D`=Euh1@1elGVwxd+NUQ0{?p z50rbL+ymtvDEC0Q2g*HA?tyX-lzX7u1LYnl_dvM^$~{o-fpQQ0uXrH0M22dWh^j0^ z<@)gl5r3St@}>PRMO_xBb9i#|i;sY;e(Bb5gp~;_UYg7coZ=f?s&*8wUq+z}S`uZs zOg{TBhxq69=Ry#3;WZbifS#h23kL?ILWX}V#8OfrN4-qnl1Cw9undZdv~r;VsF~=` zCAvtXX!in=e7QXh`HD-q$Sg@x2o|&Y8PK20pqp9!U^&Vr@`+;*l;Op(ClOo(luH^f zsg#jH^@H0%0mg#$HMF8Ye^LHN{e{FyG_g>z`nAyipcF&R(NAF3e)J0b%Swhq8QTg` zKmihQ$_qFY?yqCtFfd{dhqu-bxd4C`ou+c_!yB?%ej{oxq|!Ckvt z5^gEdaO~$cO)hVV3rnaU$3Na%i_;&`PnF>r7c`s!P-^|+yMI_4alHzHQEUk=ZN=$_ z#UF?U2SIN{2&JOGs57 zeo{#b;apPv=p%{O3&c`MXggR;jA0kNtfwg1V)TRkpaG2` z+R0!+O;j88zpVM_B~HKQ>Zh!183wYTMVyf+=0N|0{Sb2^4-V4)7xzDCpR`z{Drh8E zyKE_##?dcLiB)JjCL$h{u>DU)8%x7I{v!Qck)?xwjs2z5$)zS;gW`N(2M3TeAOwM zwiGob*Ix>ur6DcpZ1Q`$zwCv!=$4nXokdHKUsE!>ihW2bUw8>hORB#FqRK=9GqCK% ztq=~@OEpu9piEjNOeNGW75-nVU&=HoJ+zhhQiqd%=~PhMyCu{svv4Z$oWW^xkzh&m zV-Mj7D7Aj^{kOaqKydFY<#H*6>ID7V@$iv556wAx_XBC0cTqNp<5H^m9=u zgw#u||3C?J>LS*ETsbT(-6m;FOfB903a?mjc$R4WS7-qS3ZykkV^lxa`*Nmivi2@m z|A7c%y@Zgi|K#37$<-)UOi#t=msO;yt3-oYs*e;FQZPM|c4B=A*MC6y zEA&%0mF4{ZO}})g#TH35vatWlVmIZHl~%ldxfE1f3C=RtTNw_l<*r0on0v+hS^d%q zW$l;6S?XV_liLDWeH3TCL@9rteyTzCOxi&kBU||gaB2JhfI=A+f8Bm;&mZu=tP0Nf zgXf8ou_)u7UxpUaFP1Fr|KHdDi`0)(PC?~g(2sujoBGL=OT+xv{wZ9=_A`Ued*>fs&g?>#WUHw=H}p2x!<=ofFDBZhGwIxNR}b+;j~(SnhU zci0dmPO*}0XmAj2mqqz20IbME4w8hbaH2AByDmzHKfzDoiX0eQLCc}B1+W$e#*HSG zl@%Cv%bdvqdd4jQQXtWm7dMP{Lx|ua^KdC{U9E1{A18f!YAj zmK$9egFxW@jIdBg#jQy&o80N#dH)N27Af(HJK5*o=!wYcidgu|{m=xQD zdT?t6+P?k(OWKnw7$B}=H-PhIYx#6Drhx>PSc+S*@p#x?2DYydcMjY&O<5TgVUoBh z05ERzf(TBVpDe(AFC^w93qoXY+)qqWe_0SJh2Ie1z9n4w;eb<95IY6b0JHHf36@Ja2#T?9We&V$#ei` zk z;{G{YpT`4^e#61NoCB{QFxyd9bKo@qBmXp;$9B~`PYKp?>eg}K1P;8Oz?I1M9$N?u z2S{5X)0uV~2j0$scW~gH083&6`?|^CyJhe_GWcE@d>`OscHB>a0~9z&fkPBH41lCF zCku`Mjw1OiPmP3sOiO>(pZaD}a@LKzLkSjyxv_%sTj_xSSosr^Gc#bsDl{ zv@^^Z8T>4vt;AHe4hRnO^)Ye?hU;Rd(9qzK=U7VdT$3y~FU6TFxIl2)ah?h;O5tk4 zOMs(u6f8TYO2ErvP|4NEvg5xL$phqygy<@O@-9o)pd9-e8g?DB#GScZ`B~Em%a#o9kV$CSP}~BT#Pqh9iEh1lM+U!3aHHBVIkckFdQJ=hfF){12K#e9&*Yb0gRnAb{VFpg2$wemGy)JKjpyBIPi0TxdZft z4E~bPvi&B91HU44baK8S$YpUQIFa-kjuIy_%o`c}tqlH727ga*399WeDt8rj;0^_9zwkj0EaAGQP$~6Gy#!pj>*CG@ACxI5BW#gv}F!5Do z091(B(j9a-!gK-VD%K-)Y-6i%V0}Ud2a<9HRb}vM9NOvxW*b`rVA9x{ghA3148*u^ zSW^qvlw?6IDLhM1TMADW7|QS)0Zt=gOkj43sKbHl5*|2+8_g$m?2xa=f$PiAH6V4B z7-iryA$9c9nk#51gEs=47}%HsO(@V50NSxk3C#Mn86{{A0JpQ_##>z6jNlTVwFI1w zo>pQQCR@f_3_pNz+gc36iD?4|?&!53IM!ggENClJ-%bW^FNNm{ED0`YPX~hY7!Q)J z3j~0dr9%p(^kfOF0Vh7Sp+IK}*iyib0`>q%Zr6JWiET_Tp+gbnPEbxejx)gAX%~6* zAS*j~NBV~HTClRXM;vn+4*r9Ogd4d9M}!XY4O3O*`S|#7Y2?6gd(5-9w}%T;0j+Sn zkPy;y0ava-E>&^?0Rd#F*xKS`Q7ZOK> z#XG<^Jlq$rDmWW6`63a+Bp{UF>I%EL355)U%PPi>&u19;hl7p|&9!O;#y+gTt7^70LkBMG4V zJ0c_oC?Og-aLptN5+X4`c2>w?01)38InaiD)RcfWB%me{DzQTw>`)1s0S6#XLgG6} zJQ|6kk+>gVObBvVAcqxl7$Ao+a)^*42{~MmV>EII0RuK62t^JH%VF(!Gj2t@1VTv58$WawJNEk%OLBin5>JS2o#C#+sVbEcTp+c08H}x0>*91R+ zFbqPGGe8&yzMUyR7zQ1FRe(^khNXOUQxkM~(f?3xe_ z5E2VTK>#5!-_8mkB-Y^@1B77^kyv0DT=5_jiI5m<;FDNj7<5Q1FbtwQa3EoDjYdCV z7=%G^AYtHJq3IX~9b-6vXvidb7zS4oJs}VaN%Sxbd=fnjgAR!vhC#Ffbzm4={ZI#n zLFfzz5(d60>cBAQR7D*a1`#SEVQ@u7LexRRz$c-`FzBETArh}Z;)WPAKO{C}fY=#1 zbTDwH$f1fHRgpu497)LGiX5YfcqnE13E{v;4h!VaK@J1tNJ5S~$T1o@qLHH?as(lV z1#(y+hXHaJBL{rB5Dv(ZfE)?PAwpt1B(_6hJ`(d;;v^)7ASZwVAci1|Kml+-knM1< zNjp9SnGXtp1A?3n^}qpa319?p0GkM00UW?)hm!61*f+ok-~hIKtj!m#Ko01v39f#~ zVTc^iS?!R{jt`xckI!BBI?!3&-Q5``A|ire7B7Yc@xg-!874C`6Qp40(x?u1Yn_9_ z{lg=T9Q?z=l^nhOu}3m>AS*#mfGYteUJJyG5QN0u^{_A=aWOE2>{+fU)Zf}Qd$gXu zuoBttTdM#!B74tk3EACZs|eZsn6(S=zGT;K?E-ps7i9^~?rbZeXZJpq;B+xV&yv=$ z5G|+Y|F(MRXjKIBjKn7XC|U=}{_m1#QJh9=-m&*4UeWpm(V{qw7Nw`rqBxBfrKi!N zIE?~5|F=bh+C|T@_TjMV3R%?%#};Ck3(_|jP(r}yAYuoXJ{CdJ7E0qRb1~vN0prx? z-S^j4))o#XV^v=mgVEK}7Isv}!AixKNL(O@+m0iBtCo-k^#t~nV_HJm39zl0N?M01NQVyAQSsF>${}@89~M*uea0Q$L_3W7KZ1FZA`H{u!Wmro{Eifm~UnQ4g*0-8MTO7h&^~IN6tpX3xme4b| zMZ*E1<@C(0N0^q=b7||*Vz^b|#26oGQ;TtR&XtTYmbI9jg*1f~$&HA_!UX6F>1%#> zOxc)8Td=zr3uJp84jV=!neA!)pRxc)lJq!3dyF7s!dh;U7X#YMXbdP$EQ4_+8#5YH zdgjVUn3mJ?udY`Cf%q(JI-Dh!Wo4@X??J;**LldsSO*!On{gA`KWnMS%;(=Uh2yWT ze3PS~FQoQ9%vtblwUPuc%6`7ttGdc+TEZo@Yp-?VNiN7-JqWY>vZWIIPt_OauZz$m zV{v=s`HT8TwtrB6$@){)KeGKt;sI&sPx(Vys{}*+_)x9zh{BgUMqaKw7$G<9&e{c6 zc3FBF33`^bl9to+udXjH;`lbn7?h%i%VPh=#Es_LT(pHgYg5e}l*Nk}jz47Wp*ZUM zQTi42uR#dfBz@Jy*H1=b)jzVBUf1dJgRZOC<(jrIj=VS37t)ARdKyW3mbH?W)AO&c zFD~Nvy3H7r!s&+*r}oI&-_`m~qpy9ng=0ok?$>Odq%13?jF^?=}!Mj^hT{=q&) z6CyEs3#H?kO<4kL;~dD6HVUDdJnE{gExdFAll(R+jr8^pFIu4pger%Hdx!fLO#>vP zm&c< z;AU6dnnHHHU)W}Bgp!-JLkrf)3k86aB>Z0m~J^!m{ZL0d9%D0U(UMH>mtWk5+W_4h#1UZDCZbUR+(vbF8%9 zfgHU*a;#XdS=sf5O4;kf(Tjd8%D);T{X>0yns#!sDVt_8h*eyDL*TQ~L{0hOKfwdm zOfVC~gfqV67*^^g>p<7Xr94d~5pWUuVO?VwZ{VVQ{R`=etpnijWwUa#w$QpgscP^zXPBGxdLQW$ZC+)Ay!Vg zQL$AEGery2=Bic^{sBHlW-Ti_1&{Cz9OxTr)WV{Pky-QRT;|Mrn8Ufh^0bn^yPU6*` zxE`ydlZd_96^Y0_FCGDeEMk(AlYtaQKTZHJ3y>2WaY6tulc@pD<*D2Q4z^pjtdhwTZ8YIZk8{Q22}ZPMvhg}*5T zpbLJ;6@I>!+zH{1=LnJ#1W6@&3Bli!#QItLiJpQIEEC)R+w_B9@sHdMvQ`2}?Po!> z`*-OtFtNaX)J65PpjbbQK^&t+CL}9$A|YnO4!kS?`YFJ62CRXTl^A z1yL`;eu-BKD1T`?BvK_1S3w!{gUdKuFXBx|s}L6^tW(8Td3kKyGC3*=>Bpxj zn6W}qi7;7xi^nm$2qh(`fFt|$?kr%v7UVRgG?#pm!Q>jFjikKPE`;m)iBkV*!>ybZXKk>G_m*GS|%mA`$d(OS33|L@O zsQ~Q%a$kmnl(Mg-c2-tPF&OwULrP=KnG@xtyik1LYq0 z&-Q@KEhopAQr~@YcuNQV?K$qR=qJy;;ieA~_m&gy_{H}_SR-$hS32hwMa|yvvHHpT z2KLntx%VUYgUBep2`fs*&y}O`tbU17ycfbUvEtvTA6(1TFTUj@`XzUtzfnKLSxP@( zppCVk>wlz^xyzG6%Nhe#8S8(s+QOf|EZ%-1pe$`D)c++nq1gY~j$eHLFSCBO%`)ud zmaA0O$OtGEFA&SH|Ig_!t-lJ<%Q&!5{qHU)*Z;dc{A2ll%l}mG@4G-3W4CyMEb;?T zKW6{wTD(NT^aY2~&sjgM95ol{rjhs+QcEraw8B5}LCKkZAthRkcWjXUN&tx0$;ZVA z^b0L3nJA)_yaM`*`pM<{uehJyIN%4zO6IU%Ohvtp>lJy4bB`*o`lrjN{-Vs6G>cOH zv`Q%f1yYM>z#r33dxBK!xRXLbOJyhup)!vGe!TwYNR@jDETjD#v4z?vRlp^b=#r2~ z%D9x0`XZnb6LSgWb%E08FSHbbi<@{{6*au1qt0!N*swzS$-6`l2T_*PvBZUzf}FQ6 zazqm*>GHx|K%#@Cgd<(s%5lBCM*&ENEb@~~xkR0qTvEW%4~3{nHpzb!fK(;cU#JVn z>Ly~mhEh_&DlAz40}7R#xl{sT^EgTN8G)>RTwND+yHY6rpr19Ul=gFMlhsc@ z|0&P@Lj9k86oA$h>Z{abjw&o-)o}`C^^+{+HlB0!owiD3pX#M&5GYqahLb}hZJ11jM1VAz7~@hGbbd~ugk4-%F#pIESL#BJDv7O} z!b18>t>MQE995FZk5l;P^mCMQz(1zHAo$>~zoB1ZsFZ%mL@5c+k0V;TT5774bXr(C zQDveQtCCXB^?#X!mV$}ZFJrI_S_*NcCMBx4eGeu4Y5m;3_ZRd_2mg=TU)bA)OVBI7 zC9!u#GNS*ee%atdTmGc}Lc#yT`ej^C&_8IuJoSIl|G!0lsaD`}TJS6U|1&avhL@W2 zGcwA|TWAFW(+j)wD3!=k5mSTlTxbQxS%Guuih;|lpIsLdc6N=xS%DU#lUrS8{XbfP za}^W=msvl|<-l8DKZ5LPnXJEM*VdeSOBt}3_7z!ODE^!kc#%xMu%b}^FIa(>*`;M@ zos2Fy7uYfgEd|T}NBuHwDup%Wq~#tc_dvM^$~{o-fpQQ0|MCFdHqKVQ3EL8+R^(|T zrUSb$RJIBA9tnFZ8TIfE_4gha;2Va&UeDuILIU!O^%TbKB`B=y798O3qa-dt4kg>r z;2_*z2T8C1w_{c0Aw-gpY(FEe!%cpuDE#$(3RmR7*Z^9NyG2k~ivw2%SQ)l!pj#`^ zGi+)vw_I1Me64_`Un|fPm%~XaRRaungkWIPdlslcftnOBpg=7O)CPdI){p}miD49I zEQZmk^~5lombF`vT;wDJy#zOX7Ur6b269EpNNL)_OOi_G|fDqe?QD`QH;ly+k z!^+m7!C_&xfPtTl-~=gGK+kpnDDuz-HaWnFTJ8w2B2NMP2&BsbCpb!Q*gXPpq{SZ6 zoxtoCMeZE9hZx3w)l&@f;oKWC$U+d(WkDY}a@*krICeesNM8c8dT>_-+P?k(OWKnw z7$B}=cZ~98Yx#7$rGW&OSc*GF@p#y$VQ&h^q?h1^ugc135GIM60s!MSFNol@`N@J{ z89YP=$K8{;=Hl)~68we$x2)mH$IXYRYa=)??lwWqA1Q`$n2q9;M{?lF04s>inZkjm za^TrwdfbErH&CN`=K?Gl{<(r^z;UR`cElJcC({A)LY@bi(~$uyS(*=I-~**Nx2 z7(W#(Ah@LaEtJ6*$>57weum}$Bv>LHjnM9d7cui<)S8GIk$WB}}^zyS*2_Rpm15CskcAnClxf+K+ANJ7^plR93(re`Un zj&4D@kvIr5w!Fzeux;&OHjpAy#~)oI9*(atbuWbm_uwh~j>Iv_aA*T={q z7%qvOLPLW`o?|J+b4{`UH*}Ur$`xE7xT3h@JQZA&!qtSA07nlfSawX6fS1LflB5-4-*^tw8U{;CBg56*5c~;544u1cnX^=^laM0O>wt+F>7v zVU+NYQ~n5G?4+?|3)829$E1#x^@IaI<-pH4Fm9U5ofKcl;4cX++i!9>@GC+`C+8c2 zTozY?6G^Y(C~+dgyph4*%HZ#0@b?7AaIonEoG?I`K62nZfJp#80U#Nzxq{EM;)_hh zS6cB+rs6xT$d{?WLC521Kq(s!dPT!YpTP<-lqj zSe?ME%@xHkoR~_Sat(mF@zWIJwa5hjNuWh&+4yM#Onim=C#zuVN||&x!f@|hu43Ga zmIkN_2i7Naa3CpHP*n!6#-XiFV79R}049yCNf;zO!9a}rhBdWtO-UBilESkDwWaW6 zfuRh)5#Tf;#sp^Xdg^ds+*Ov_nfPKJI5D_IER9@!8M+3fj-5tuyL-t9$Q3k{!5aZi z3~Wq+CKPB2099d1V73!9qXf9GEVq5Q=N1CyP?CXCem=QnCt4#Xy0~VyKc?`dq z17v4~90maKjgbRw$VW{HXhQ;O5}^`1w80LQpc!xg;v^)#gT$keI2wuj0mg(NhXrz2 zA%_8S7$b)WIg*gW6*)#DhY&De6M|6Wus{wS()6gdNgVc^@D0)%1E z;a3F+C5wn>QL-!XEVe~RJd5J_#Iq<~hj077CN zzA-=;1`&w`hQSpNLXilG!3I8w1%^R~!~(-0x&sFi2G?lx6NW(;1P2lZz7?8|VbC## z1Bix9qK9E{CD9WCv5-U$!@wue!!YQO=wTQ{D^Lf9!PO6SU>JnXa3Epeo1zX3gHBb{ zfngA#A`%8yR3tJTFF3M6ibG4n%WLk5VQkwXUqXNnxE$WawJM97hZ z9InVQnuv!|rk@ZFeB`h|4jtq$K#nBjxPu&{ks}&8`XNUUa#$dT6>=CLhcR-%SEJy7 z90|ygfE*$uwnJh&B<3SApCwL0VhC~qC;(yzvIrCa2L#y;6aWVVnGXtp1A?3n^}qpa z319?p0GkM00UW?)hm!61*f+ok-~hIKtj!m#Ko01v39f#~VTc^iS?!R{jt`xck6T0Y zb)d7lySu~onGq2Tvv@Hqhz}k-$S|3inIHu_*A2K-`mV~->| zSmGtHB_c*}Eg?H)B0>`G@u?3`9J!O%60&D~A%mORkDiQ|NX8l|jpVXcgBr>@@7Q}2 zuV{U-2rVJ|cm_m?Q!%2db3{>AcDH)X(uy($QIwT#c;7D?o2MnDcnMoo2k2y3DSm%6 zI;q44*5!zztT)$$bWl$(b*rF1F|w?*RWuAGG_W40Rg{&ADy6R)$Z1t0FZ8>1Y29uD zqGVYqF1sszG5rd!$g-^L2It!1O=3$N!A2lTmX+e`Fpz6XEUGa_6lJBYq&Sd}RE&X5 zfJl~=;-!orOgIc`gT0drB1Z9#Fu0GsFR_8mIHD*k+Zs(F#fc_36f-|{2NF8Td?u}^ zQquVeCgTFu-0u5pPkCOYV1CLZV-G}$Q!#FK&+6$5>6oK< z2}OAToh&QGt)N4ObSQDedT>NhRyxU)vQ?fSN|u$jiiV+t2KMB%in3BsrEFC%Ijy1t zrIez2gD6>6iZ>-wUWwh_ha-xz(n*ElKtfV6UDOMRWLYV$LzkR#>+T8eBSq>FGtq-ui*Yaiebk)JTtUMR{EUebD zm*Jr%>)lkwe12TUX15A!wFRPs0*D7fua`E;EPfhM*sA}cr7KR9DY-MyV%0vmN% zyzZo~@9>+GIxSY{J+RSG=MQhTHyWDxaO~^zULpMkRH=Ds@gc4Ekr@l7A9$1Q^>tIE z#Y(S<)xxebT752#+Pgg0#{5bBCzDTG=ZDQoZFBw9ve3rg`h4r%bh=%5gO5vxcXSQ7 zHg~f18TUTjqJ?)2Yo)FIR`Z%^`0HLgeLk-$pJ(fb7XThC?Ttq;ibHtjY03;w(NYo4 zJO#z;cvetU++MTp2!>~=Sa$?Z;W``@prl=oij2bI07flMMF@`-gp7K)9r| z_zaX{3W|84lTZRp0j8jc6Ah(g6mjI|D}m%zX(|j)8L*Jz3`}Ewm1|j#{yvAHsniYd zh#%j{VgiRLD5AqiU4h|e{v+*@XUTs~gj8r0NKD9^v4RecT~j(j<%62`W*++?C9$u{ z2X&Ey`oVw9pymz**~zMWVEnbK-G6Kcg2|Iy*vn^D@Id+PQVH%a|5tC9{(Elx$}K6p ze*2S)40$)>|2;7&UsjYqD=2?fKpv9(_YCTCOUf@9yCGsIHMk#k7RP9t!&&8*aGw=xjh#JIH%;f_( zo)N*HQYU;Ui?2Q_FiG&G2}33yU{yw-sLg1@=g;cAh7Ywt4Z{pzlHfV6h$m2Dzly4z z3jijO8B-y*9<*3dY1pt^h7PY2w6Aa3;L%T0-#?$h?_pE`qJM-)TA3MOTr>9*v|X0c z$_4A$v{;W})`7;5TL#SZwhGK?wDtR_j6Jt1&USxTr9$qjxQ}0^=*Mkcm)<^bz{#df zjO;f@^xVE9abm5Ib~}b^wAgy(vc{^cL08jS%t@a+Z?kdupxHrzs`e&3YGf{*`fsm6 z0VXX@xeOY%X!EJv=5ze*2C0qhxi>%mX06JT?zA^Q{r>!m`>CI9hn;fHy%g1ZL96;N znzXJT^xa3}`^*7T0*~3eUaI-J{nsYC8Nm%M*=Ow=%h36jUF4N3xjGLXcRi= zj7Ln(E?Z|qdA$dtV-k(p%($iVpi4}j$+x;ZusieKc!@B`xMruVDO2Yq+I46(%VF8Y zd#~pEG&kg+69&aPGojVghk97(eK@=7pB^); zJ0-Mee>}TRn@f<-K|YgR=W)o8GZ#aLoN+Y1nsqrQTX|=?*);DOEq57A-8k2Ic(nwx zjI8Dkks;HMH?Oz;LVd?c)~B*I8JMYfX2-PHZ#Hdq$|4J&N?TjZFi`QV)k$+wVt|e2 zq{bhOr=={?f3wo$L7vZm5RYq{b7v%Hor|i|e80{!kCzRSC*5il+VadC<2G||H4j~LM#HGhbT6$?&Cp$6g+Vh7&zQ$l zzgJ<*!)ZP)TUuCGUN(H@nK`aDK{0hgH4oVY#rCb!X6m+(h(+EbX5M1jcivi|ZFuHV z8_nB2y2e=VTQp~?r@%eOTJ!c|{n(3fZQ}YK8nJA~47ISlT<^E1Y6fTduUJ&;h?$RL zwdh2j#|?awMvfTwdh>F_`KNV1Tu2ps4xVaJ`>xjVZ@0If%{`#j^}_YEJp!!*A7;c1 z+O~J@%e9g9mWMy6+au2{_T3$I^Ou>%&R(^q9P7E?|CRcfI!{gXYHJ<(;Bup~ZP!)X zJKoD^Tz77_cIs2w*Kr&(_=GMLx=e2!*zwtnwQF2@R*iT$;2ILORr>||*LUqU5VW5c*t%~K>U;GYhzxG&+^xkIIcSk2!&I47aUAK;H`*1q6V}H|^ z8C(1b{qd&>WBD&h{a*huin}pCI3w;2vFu1>dd}JQbs9QWvIUNI%MT74WytacnZcI4xxce6b=e%dB1N==Y&>r_9*W z_x7-Jh6h)KT_0vhnx z6)O@C9ZSlYvGrN)Irg{5Y+%Y0)p1NzB zmi_m|+#A>PP|mYvA7eX)?Qnj%BD_ud*J!8$my#M*y*!77AufCao{` z8oBY%t)!e(bs%1E6tUz}`fZO&u5H@)|9Gs<_`STiHK|HBYb|n(dA9uSX;ae{BX94# z6XxFGJ*+qZ;=n{fK#PMEcR=%c*al-2W%m%GhvN zT)<`|^B%rA=%`*I>6a7s=&IL)POkW5aGTFJHYT`?s`cF5)2Qr zWuxHT_clUbJ2%}-izxDj`5022?|E9KlGH4|zn71L$eRX|o$7-9*g}TJf%pF}; zxwwx0VBG58)Ui(nxjU}PRZmNF$#ILTHYI8G%A{4%p4au%m(5v&^dKHz0G)`;kVgjmiItfOuiSBbvPrcc|BT5g0_RQ#>SNHR$ zmY+x2INS}bXlP4EL?HgfF&&_drPO_9Y=9p`ecrc&a zPVaaMoZ4W=ok^iCfdlnI*Bv~&kVGRW#)EXffjmz~eyxXFL$3C#?w|3#@+)1Z@nd^G zv}?QIX9Y_Vm=%Ox#)S;jpi{jhRy#>?T({B`Mh4;=JU{o2;?KXUGV#(ABsM=aqY5FYGx z$>((dne&b31!VNRqHFtf55GWp{rw-Rr8Ra*WH9eYjiC*)bA3TK!PRLv7$f5WdaX$^P}Vg?OFFPB=Vl-vgN8 zzt`+V3LDJ7GA7%o$;0aZOa!n)y|ztHy19X?Mpp4UwD!!y=?~V&M{2$L_DG@T*V}Ku zT&Now>vQ$su-3WXQpUZ?dzJ8E!?)L7FW(Pqlb7^y)JnAxLw$3OoKB4$`JmFpH@;h) zuMZg9qn*dfna$H*^)bIOW0BMKS6!F&$p$zQ;KYF!w!GU?MoxzxHa%h*$0VdVK5K?naKr zh=c^U_v@DpIMn9Gj991Zfj1F~1nBw!g!}<=>3Ab&?5@O3%^psAd1pWa|8^dRjg&Hv zq+I$peA6ATN_)pldO7iu=9jUX;%?VOg=>_PZnyu~V8N5GwpUt?H(U0l<;i9Fk3D;i z`z$b7tn;L)R?$lvTmCH#BSk~YlvU4v>B<1OPi5RpVk<2 zERtC^;zZ0+gFWz7EH@}e4FjC8jgypdX=4v%vXmiRv7SxZLZHO&-!Q$I{oZw$>OhZ6 znoO zF)_XnyZcF@3M@{nNWW;!{@5T{hjRX5)1iH*_9gGU?>1Zhg8`sGhRy zU3}cw29u{o4-8TZ{_rR%|66`e+gEq?o!_3CqIb$`TlAm{r{}h<_Vw(f;}3INw6T2D zzI#gd`(Wshdy#-Cw zqHX&Q{?;%zTr{}OsAtK#gPZix=vXUiU6!K5P9~~XZp5T*Dp5_BDj)5g+sVbFUS9Ib z*%P+ajGC5`u5h$zR-$r$#k@u-Z7M{y$nBLi>Fj&!Aq^b1>RRp6?y0;WaiVZ(ZG(2t zRZ_ikcZ&vB95vKi^W(&AI#FTCRTWn%A8nF%FvU~xsDAFcWY3C6`5Es=Jtt8TlRp`|)Ks{}SI zsC?G|kR|~&@;>kI&w8%ptRJURJKiWQ_JrT|7un+iR1$AqnQ?Kz#;HwOPpbFW-Mi(| zpn5yHEk0S_`u@=EXD{#9YhAs@k`sW12bi@o&E$0);^kN?@1Nlthvs&=KYv};q*G6o z_peT#)=dVy560aG_@pZ2rtgqir*v9p>UDLezS&2%9;mb}Yr)wNN zo;8ejS3mdq#BIE&MOkr*N2}#E$$fucE3e(_3J@XpY^}7Jf@6yQH;;EyO4a8B*^I2X zNjAB?de+N3xWg`YPjYbcL+(v`s2{c4tOtSWwCAQK9LyuKCJlAFUTJXuMNO0q-4m;B zuV=q_d&Kj!9f6s9cicWSa@V8^TF3lzsWirLqbwg* zi~4@7@uaG?lR`B;`Zf_gKDFrd=%~!&8c}0LbqKEbF)!oT-kO649(rp!;K0%Px{+^p zU;TGo#ypeIhb}SAQ!Q&P_pV);Z>wi?V)M)FsKlnT`EB+1@zs(#L#=(Lv%SyO zTon1Ji|^E%{BApYyy;TUZ&Bo%Ep@c!`)qk&mOA6L?~1Vdvy^Pp>`dRj(+hV0JTp$r zv{lD4R_STz!t;I4_qy~{&*^xiQB1aVSMO@ejkj3s+S507%W~tUx;yvuHSUvmxuNrV z%PWnJEv%YgdBsfSVfBSoR~=S;IIl5%P~Oa8AmX|%m~+VG5j;Mb~c1GUDtU4QZN=&+2@ zzINeHOkRXHsu-4$d9+VhhC=@^r?+1+!ZQ4VJ)OSH9qH*b=I&c>r_5)c`0ML+*y`2) z`HCz)Am}Al>(>gYB`kR?1(Yp_WIOTGu z+PS)cIX%zSw2vHqZkAhZf71nT9M09fxP9u~ZI{l!NZ&f&ws-&6{4MJ()lTt>$H-srm|Yg3$_`SE!P<%Y;XS0kcOS+ zTX#A(`e?Ju$*EXzu8l0?maP%C*cE=KLWen_-*(+e!)%rIwGSv2dXzPEq zen!Tn84J5+WY{ijGviHtw>v)2%nZGAaaNIQw=~_+wQoJo^ewd>`MuYAl=-adBh&X) z-gff1)V9+x!NpxelRh;=l~$Ky&p9S8t&8nAnWmN3X3N8gs9pVeBSU%jq% z>hWvV*@G^A>oVhJ<8IiZqZ>Q-i2Fub{rJMCd3Tq#gm^r38#jo*d1K{ikETbRXj}*A z_B(yKY?5}zJcj=?w$kLx-RtM<{;-scvR+?S?65l2i?pOyP2b%^rV@P(1N-lH%&gf3 z8?txUN5zM1`}~?#4oaJ;Y}@0sPIi|qeYRb{^Y1+LZ|4l1Bd&)WQA+b3U-%Ewzt^&w zzc#U+w$mGjA%axmfDvKipG4NyN5@-USb076)2tfvf!^h`TW^j2MrcjXGlGXzzUp;F zr9oM#uV;MqB$m}2RcqymB^Bp4v8%Q1-qlZg4%?t2m-FAED!=k1`t`rh=$$HXCGg9y zAD`9z8qsNf_`?cTTH|fU&Jol@=@yp^J+xlGpU8iz+hnDFuZtDyH2$>kOy#4(D-G)L zqc)E7j!O7Uq84|(-+klvF-EqdyQ)}iJb1SG_4TBD+NAUvuaqAXV^*jg)xD#4i*=A$ z%CKW2mDX3pc0Zdm?A^(fF14_~oKecO8mZLBy4!pY`#wXu=JpG83t2Q39q_ej&y>3w zi^H%ptn%uhW3Z-nr8?MS1a37IwKgOwbc4>UaDU||frs;FVpaWq>u+5O_9SCz!B@ps zbN zch`fsu+ezq?#BpOwC-KkkM$pI#1x7chRQ% zQKNkldk;Fi_WNav6OZjjkMDG>M?|Lw_4Zg!U+MRplxjv=)MMKZ%V=bbhEe~}4=;0c(eevDYK6x64d)H7_Yw+p# zvvGGe)qyL-td+d>!&~3|C+*Fyp;Ob}tZ82RX-?A69$mJ!samb!u8X5i#p}+yT9qF^ zr`dFy3vX1hdtdgw*WI*R|D-Sd!t~c(oO!|Q`Rw73R?eQ6Gj7_aqn>+KxXj#qXwmXV z9k<0FHOh{EfB2Q?{c0^T+3dObeC#F5C!MdPzxuA0cs||tL!`#ABcVqkW`#a&ye#`g zM8@5*d%JoM&hQ=f(zK7;n}DXv2M;^4wqa18VIyHu_t$zDX_9Im+3#Fk$JgCgM8D}~ z-+1AAmlZ4Dd|lWzcD{4ur-fa6#~#hU?Q}Q4$HawI*IHiDTlB{LT-~M@8%DdW=n`4u z;k<;&Ce3Uj*RG2=ceP(r@9L)ga1M7}@Gs!)jh_r<#XP z9(E=zqwn5?2RD1SOHCVX>vn5zS`J((uhc&G)@8+#H-~}#-Wp3oxKj2oab9I9c=)Md zbgOzR-@IuUy*pg=MgiJ!*a#GFSh(I5Y;cNHX&JW{ zKCb<>M^YlQ?ey@&N@ib1kKEY4^DXO~hSm4ay|=;rmcU@r{rHwI&&>3+j6JmeMt1z| zo=E{!+Ap_Fc{OtXw@)c?&VyHns45=vJG1D<n5Ho{$>QPunWGgw`vtpS%Y0r*d3cY+oU=i*f@AciM z?YZ4(=&cd`<~&Y)?>acu{#D$h!5tPXF+6l-S5B=NK}y>W*X;D~oSTt5{>}69>oxXj z?G5AWzw^$^NP2YPTH}?~tF{~#VOaI!*3E&v({?MQ4OrB3Lfr_z7a!;5XD-Xm|8n%} zfD6}i&R4b!&RCRssQJF6*wc@WKiT?u(a49bt6d243rg6(FR1#24y{A>T)e!;!aDu2 zL%+uUW4i5nl`wH|#lVY4Dnd+v)N=Vo>9H~S4C^PIiP^2&=t z8?$ciSXg!aVT*_J{O&p`Z)*%&&}-^^S7dh?lXsTM|l0X9jY2UYyOzDb_l z)$i!oJL`Pww!eGqR&3ASQ`6@KH=C5IGsn$wX`AO0OcUpB379#kLEMWQr@HK%tFbA* z-Ox{;A{N?j8`Q&R?el8O`v~mMhrAs8tfps^X3NH2ozqk8!k3PF7i2%Xdp2j{-Hd-W z&GKm3yz0mtx2#HjhLP@#3n&c}e>g-ogxL-pt zgN13KFQ5FltW4juP}DN2_5oJ>>{nBYHwiv%$taK~1oYGCN>U%*Rp5SAMM;_aRTTyN zwY7q8Y$%c60WA2ciZVV!kp1{c8JwW~M?&@!pE@g(!?`cw$q&^PeE63kjw3JiAv8+L zg}?Zs@IT-Ye2HG}gK{4f*AM?w zs0*1rkb|V-@T;;<6rYsnd9V*~(2u$(aGnPXp)?C=&O7e&m}`zvmN$Vz1Mu9L0t{kCvADRn17oN>$=c=+?}znE`FRf-Na@{ zhqt~jeFnFl_S$du{oC7GXx>jv-SJ`I*!H#iMK5`NcVCI) zX?W`D=Xt^RU%z{L|8%HeMOy0Uo_EJvj{o{@{1HKZX8NmX!$-Ei6rA6iZ#$p-ANXQ< z>aC&mD(!9-4YhTg)F)3~pkSQ6R`?@SNyq7&np%UH5Lc&e7M-RnI6Pwhs~0wUP8r=7 z8rM^AkiGW9>PiVAI!?!IEwcIXlNW>lpw^)CMZZvc3lB@5FJ>vbx=s`;YUkkqrzes;oh zsT*&mN8DfF8tU{dVUUWwTHE(=^K6ZL@4LVL-tybr1HAQ%-bEDqoC3tW@c!k@P8|;eGvb-*D=NQF=HxC z-0`YXkHb$Cb(|)2Rdsc8>YQ{{X=8`=zL|HudBVL1&W~_^q_@7J)&7PQq@#66rCfRFu$4_@{(qOgT`57inKkW@vZ?HAo!^tb#=ltGAp=K{ECakrLuVn6I z)KIIz@madv&K*A+b5Cjhn-H_v>e)U_m1AcwH=MknlbdRTGIiUk)IA;syurlvx%|aSP^GG zoKUl5%3DF%5GEY{LYQ{2b3rp^B>6Li*)W9hWrARS3}!+B3S+P=6y{0%xhM^oza;aw z^hXtK`EL5d6{5)n0Z5BXvhVYr;wJ{>ywAg8{HUTzSwE_njI*~VTyYeYcxPMfvh-{e z%X835dG+A+^n9izlxu(ndw*;w`%%TlP$o*BLhtm-adT_7_i(5iIs8=oDKoo$&Hc{x?7iUx?*~TbS7QNQ`xhEr;?|_66L`Yj^B&ozZ@{U7XN+B z_Sq*F&C@=A+}}mZ!O%g`LD!+mu$~2kZ#ec*U(6K|C*w zTL$~p0q1!sculRzdp!Jj)-5B$Smi-syYkExPVuhRZ++?Iwdr%h4y*4NKH`8dAl`fM zC?;#PB@@zI`G7&|DPMftV$Kh6OCB|DHLo3~ zGPUZNBN+iIkz-e0G}?F5yXBdUV**ret}~14G}Sk3!Gj%Vn_u!>;2nRw{&9;q$Em|r z;ws%g+}fhr}q3@!f+Za8P) zep40aab~0LJUqLy#^^U5AssFsdo_Lc=b>+Ag>80t@1D zMjc!XGA~WuvD{~a!-j25XG}TgK4y@P?#Wy5NPEgT8}n=%jmNq{4SIEGw!>xFvyA;G zY=&RxyRhd52ahZD>dzb$x@|*tjgkGe2DNeDa7FQCi`QAP^>%%&+ra70g&Bu>I2p7F zGn=w6%xu}pP_w4D=c{$Bw!x?0oxW$!*Shh;6pT(;k+;yF=mBz3bjC6Ss>;>$K=@u;9Q9 zv+M3o1A*n^%o&LgMTb;F-l+-LcDr|L?F>Pk# zHyv{S(X%(7&uw|w{@wBqM?SD`Yh`EGGx)3>!uDzdhS^=X=u$; z8<&pj8TfL<*vP=${YG`z`s~;{+tHhSb-bhZ^-x)0(c6EN&YJ7Fk?lL}IkL;yxz?7w ztzBQ{-MRO+!SLPTIn5jeoAuj%&L5q+^1f5($9uEfudi{wnA7==@wWcoj0SD^cV~*Cyhdpz1S{@YM&BBQg5?(FB86(Dx ziC_l8k8t_IwF7_oM*;4uRTy*C30|rb9`WYFHW`X4kH|0e8@LBUy$<6J_uK>Fp4%6= zTf%k42p~WBVY1q$5U7-xiD{}L zk?6-%3e5Ox#mnL`qT*$lGD;u|+ChHA1)4~~qMB&RsB##fwZ${=pdFN|L>d%048>}g zmZqMZoJ@YDmWOd0z?d^r&Z#Ov@OWOTyea44cl!afYE%iZ0d|#`kRkYOf+^>;7*(rA zY6I-lpai}efWH+V4RO|0X?k(msu=R(sM;!_Sn5P+ z_fTa;MGW>LD6)!Cm0u<0)amf!`U=q7_0g}ynZ&QEj4JEma9#}ft*%OVDgA~&8T=9a z2IH>ue$&!sq#8LiP0NT|qRI}s|A)Q>cg`Rl9SC=?&<(X2t47QKJGeW8Zph?AiIHrH zJg*Prg@V^xstn|KT}x_KvWD5o8}5O_7=M`6*!k!M_5&NnBa|NC-cqsPpFYdMdJXSB##6J5$MmJB0&ov#3A@=TDFsW47t zEy+G>V}GVlkFmC^#`N#TQwr>+rW6J_yqm6)3&RuQjOoJRR)byjd|@>Dz*r1|^(Z?U z4M4LZUqOY>(>Mjc#^z_NP~WF{bzra0c=Hu1;QfcS-2nW(b_F8Qj!4W<-wZ7(ED`&j zKIoN}D6G$u$m)hGU_~O!24u}j`;*C4#*f6RbPXGy|!m+V{QVr0nyEd26 zTWiS(>sNrs2B5pI>I84-Lr#nuk>d2j7PKW&)HqU9VS^THJAKC4PJ!tTxgTV2z-^dL z!Z-P=;7osh5Br7KlaW9(2A=5<8$v@S%e2DAR;^#QBe^A6;S~J5f_vccT*5h zFcbvbw<|mWm&$!#P|MQH+)67;O*5A=+_lBDw5+V4O*57M=Q}g!-ZS@|xf^qN*q?## zo%`n8bAHSDp5Iw!W2`&ZAN5Xwkqf}_{^xvFRhqqtuv}weZHIVs#{PqgXAZGS=@{u_ zqHUs?*42UMtFUSlteTrI?Q7_YbU5v4Nn$JS3*JB1Jg%1q;K5*P@Y`22oR~w86^y}y z);GfIi*Nq!jj()EpKVXG&|SXm>}~W^qnCcG>9KzPy7>sNSsqPAbIH1Slx}i0<>eac z9X$3nuS;sEB}=t=hQG430KcjIegTQ~-sr)MD$_%}t;x|ZHN?u%fwnKNYHqMU-ae6; zLLgC`AB|qaQa0!7@aY`1(rm)>y77-ToTG|@0muAkFxK05Qtxba^XswR;W+WY)YwQJ zO~A?-zGO4QnT$sBeZ4pFwwut*TlWswrw-24dBePMXmo69m}NmbZyY7e#O`Kvo5gD) zgBs~hYaYK(lQ-1cSKeixi;(S^Md>`mDg1Uim_rFCAu;VKI?VM3l4Egdu9c(7+mm0M zO8GjQp|!haZx5u-q)xSZw~&1Oyq;d?ma!drwtT#1)0Tg(>D98T&t`)%+e~<*Vf&UZ zdPkE)jEVBUCpL{i+T{_O8hF!$=xv?EfqvrlG!>tG&~U9 z7GdRr(7Im8AHUDW5gqRF_NJ`+S)t1Lr;|51w90V9^a%ksj`uc0n~}9$TAu#zz?qzu zN^RkP4)Q;@^gp+o;5AKtD%+3Ndiz6q#ya)9w&{S}*D1NLpUZtcn!=}1xWiw&dHp@r zCq16kBFFoj$<<_g-bm8zE2k5|PRDmXA2(*Kbp8ZyD%QT3QlDbB_Ap4^o?T z-0Re`qOLPgIiF{LG~f*+-nPCDQ!O1fjzpVz%&)}|F>E$vFYsH<9N(*&llQ@YtGq5P zO>2&3KaIWKaIzn|rP=3nt0fYOp=xbuy%mMNV;jj%(|2U;@95Y4OlmoZ7`7E@^iWIK z7>^xE`CZfYSV6qr&Oa+R2Ons4VGevd$(JeK1akW!cPHL-lk)nfce1o==lp$pYADB5 z${^pc^a3m20OHhCIJO@Wr}$Ei^L?Zdi&o2L(XO^7?NpA+chh}us@*A4=~vUKtDv0^ z$a1=#7*S8ZQco{A4VmN8b}!k{l9}If<@5Tmj~fMFR12d#z1uI?8oa&n$xLLQj#gSN z>B{?%Ti4(y`i#PPHS+1|4U&ISLG8bl3Tj`v7S5}YPFLQ&+_`0CI>@^+ZSrnZB<~16 z?^fh}tR~3&SdGccT%w@88r5{=-8`LJ?xn?xvG>wA#n@3qox*uFhUw}JChv;6An%Gg zleeizUX5b9!uHNBm(~Y)FReFuyA;W*QA}4j)VU?o5ai7?n7kTA7ph;4UAodLI=9Sk z4D!xz4DwF$`i-RrOHZ=e-bN8_m^f+TcAE1#T@`;!*e3>Q%%;P+xmd)64mvZH~1&-^!5f3c%Iqa`s*tkUn9RK)&Xj3AoxdlQL=c)bezUMyhj z%I`0&KN_g~%?kWpHQ(Obncrs`js_Y74e@`^7$_SJI{5Xp2lbR++x0|e^*Y@hHLkJq z2ygqb^z}9NNUs(dW*pg-HGs}7vxyAXetIo{X$Hmw`o)L{^t?D68 zfqj~(?+t%vr!>Y?tM{1M?nxQ9)lRg^=xp&Xzl^|-otPIW1_b3OT2J&QQqKKllp}w3 z^7f^ik+nfNBZ*DPdTMj8-CL>0YF5-S3}`pDLo>5*O{Bt2)70GP zF@Ke8B=27&lGNJqx1+xXupqpit(oiXuI?~P|L#udisTFYHI(M; zC@NUd=|tS`((H*^%%CM77O^{5N29&olzMrjjyKBVw5_AYMTb+?lZ*qOB(m_fQ1ahaXRkms!UUb0 zR%|mXM4h>YhgHa6A(7?Xlw(FelG!j(sxCUCRg624e`Zz4UfVg+=flSIQ=60XM|Jd5 z**LHf(JELubI*p43iD3%4q-Lyg*rRNcJcFSR4|Y0v@{EKt*3Pi*3&u$r)MX5*P+92 z#YuGd<+jhmgO1dBaGk3(IVlp4So!oKwl8EXU4qKS5Yf_Got{c87=6r8>9 zOuroX!KbM)GjGpS%-{Syr$*w=&7AP+(Cq0L6%l(XMCUZjiIx)2&3M^!Gm$euM88?Y zD9&F8=dL-+?Vs=-H&~}ertvm#|74;$^<|yqzTjD2J@237V)3%kKhMSQ%^4T(($p!O zRhdzLX~}0*)F=Iyb>HKxvnsyZbX~PJQS9Qj>Os^_S!82a*r?n(B zM4EM!!*I2ICQBzAOZK|^JXbn6&vjtxRBB{l{ef1EM91&qvf9=H^w8)#nCZSoogDAi zNhTB2LEIkS*>%I|yf~)&XYg_-3%7{7vLHCqm*si^7Z*BnXeu_P^ZL9XIxG+FAJ3{A z>@{ZzJNew_d~QHz&f^-2XAk(-Dr8UWPEDPLPnSf_9TxMc=7X=`)7c@A9yIPH{W)mmzTfQR;#R{D+*A*aH zuZ~Eaj#sa!A5jKV)t~CxnK>qU=#C3*uD$#eW$LcAx~xjS*QvPRTA{)xyK_7$Jz8X` zSNKe~)Ce4J@s)M$G(C4uyt|2~CLKiGT*!Sebp~F$z5YSVYx&o4sD0}!(n*Z!g4W%E zYYa{>J~I(EW*=cB*U9)-&KPCu2!WmbD`yNJbfjk-TMH7jXF7)CTs)HHZawQ)?jEv8 zERMP0Be<641U$rgAnSkx(^9UeY2@dex-elo{F=@kRR{@>nhTu>@#gA-t5auEYY)_4 zU2?4{e*6Nae?bn9R6%{V3e=^DK~<@O!qGZYJZ7kV;wvG|xr0olb>NR)21()!{M>%yIEz1)^Mi+XvY{Sb=wnlfR8iQD_VK3RfvQ7V1&55kSlo4q(G9h}1&B51v_e@KjgHL`}Kdoe+XbtCid~%X_ zt7||N{p0rh*6#&O59;D=WidZsspP6>j$>&M{tN#K$ENAw4ynMexr==*7v~KEho&yW z!~dusYI!(%6}MUc)49<3{&-qf$DD!h?#Ivb!rnI0n;O5Gy@Iz!E@sbwfpL{TLhLwg?{_gP-P`QHeTwZLxd&jMvUY-h!-WEXyg&jA@;FJX2sS78lF{a)nMyW3_+nb|WX_!)spC z;nzN?^RQ!hLm#VE#Mz+{%=fWlq4Aq^XY-lu*hlOsY)9OLlYwJ)X{AtnDVaSBy=`Gn zqeg#WOm@bO>;=~!c^UjOzTu-1>RBU+Z{nXt`SonZ<>A~Vf2QdBsjz?I>bc}Oj2Yb5 z`*Z1_&Vu%|$Z#X;5z|YJPMweK2Q`c?fg9?fb#3h_dE97aYrZe!lY+MA*4E+)V9jPV zm@aV@DBdThu+qqU&1VTQCK44n?mMXUalcQu0#ajYG5(v;&{%^1)SIhqoocva{1mr0 zTq^3nk|t%6;_jxX8*_2D&(l^tSQuo8%%{dOX<%vzo>V>E9#AzjZ!bBg_ ziq$Xr1aj9^ydp)-9Cg>wxi z8v(2G|IQl`6dnWHGv}UhW8hMU0VM|hh|IWqjDow~&gA@eX=7+>sdOV8&8lp?!hTmC%~ERB`>rFu zi!mPmJ4j}{-_!IZXL);-=yx}}ZI5?NEyv?eG}!A6(J^k0=^Dd*1CO7b7gvpkTa9PJ ze%Md9X<8`ul^P-AZ_W(sSW?s8*<7p0sKh_#!C5E2m7AMdwd&l+sGs9LBhB%rSWjUM zroj9q=M#W_Xz_y(OHBH&h`YH4(vN8SUnHzi$<{*y^FBp zcMUJbj?Z&;XpD6McARVMu*XoOMfynV@33wQoc}GQLL*Z$YVF)$w3HheWak`>sf)4c z9|gvZIh!_ts zLv7dQ)>4PTl1BK6U&@yZhFL!&Cy$N6P4)32ApeV3WI z$QD!Q_% zyFql7Z*Wgla9@_Lq|r5hqYhbIVhx!VV``}Iik&N%r@h6ISRS2OKZ}m6MKwNa#d2M- zmb+3}_t9u=HhAiW?ijfg{g*^qd>Gp_&QKI$i^M$hcebz21d+g9YuT7q@K-@hlNgZp zmhfdkYCg7%Z(LxtltQ*>r1u`Sv?$p6&kEsy@90>?N(;#)EuWPVxX~-}=#N;vyj0PL ze5Ab=uI7wehl^WZ$##&|;7(t|(@NeCS(#`Kc?>e@3G{Gsx#ma3x@l|II|$rEq5G*wSwG&jb-g5Ha<6VukD;n)H zSW#)g_2t0|$84lsXr$HmB0r^-vLF6xy_f1-voWkL?LSG#G89_{Wtx~cQ1p0V7zgx=1hn>&v-JCE+* zJUYsGbXVum-JD1FcOE^$d9(v}O18GGqx0y_&ZFI(M|(Pt_I4ia<2>5ed2|Qo(NWH$ zdpM7daUPxEJi5R0=zh+ldpVCzbsjy`dGrY9(V5Pp$2yOG-g$Jk^XNk7(UYA==Y~gj zhbp?VHKh?#c3`n3NA#kI=+Uh>qGv)xk49TzuLgeL|0dY`1Hm<$Igj>t9v$F3y0!D@ zR?eeaI*;-w9Dj@IyV7~|=gyJZk(G^^PL8Bu0;F6^ZbecT{T`1jxLjy04iq zn0Is(N7VeIL|fAWauHS23rKmW78KDlF?t!beD zxrP5ZNS{2Vf~`@l-D}i6kzV6qeeyI1ZQJ^v+xeeE{7*gg+T-kiHm#O;{$1@J&l1q4 zb$#Au|1%etmh<<6Kw|=U-dnYy zc31ChZ+$-^`(4@Z#_it(%W?LF;1=Ay0-S|=&jvSu8^JHYFTwFRc{X?pybaFB(Tl+? zxOxS+4F}&2F2lu_gJ0w1H^I#l_zidp`~>_7{26rK6DNZmz%XzNx*xDhYG~-NFb*(w zs%h~?Tzo-5p1_$>-`ZBxDPKBF3*twRZ|ZAL7tA-Ae6@~zmlVk-dG(HbKb>A&UZW#l z?Xku4wRhy}_LF1euLTK(AM%kVwZ)qD8BuHKV1%|1VSIr9DVji(Fb3wYhzk+0`>$Tv1lUXZVk zBj1z~^7VJ*drbKdSIE9WP5Oc9zk~L7jZv~)Ld|9Fb!M)mV%4G#o#9JBzPBS zPN#WXGtgXaJ1_>!1YZK*2akZqz%$^N;P0T3VM{yE3G@J4gYjSjm;^otW`Jd2Ik*H| z1vEE&20RO%2d{xYg7&rO0h+-;upQ95WM+Xcfrr6&!S}!qz_UPo^ddTiQ^4)u0q{6@ z1+a4BosFl@0q27Az;f_S@Fe&X;5s}m_T?NjcnG`)I?{dj1rxxT;7agy@D1=F_%?V3 zyaZkcZ-Mv0U%&&A0I6SOF#>_04xQ|z;bXQxCmSfE&*Qz^7og)rQkAfIk*B` z39bTHgKNOG;5u+UxB=VDA|@G^J>yb4|euY+HJPZ$S?kG}%H25*AjfVaTg;J4s+;2rQT z_&xXocn|y${0aOSybt~Y{tEsER)N((JpTvyC-@imH~0X22>t^;0{;acgHM3gKh^*Z z>3cG5+7$ExTZ0yG0ayyY3hn~;g6{!6cJC)(C3q3M4&DX72Y&;r!3Ur_W11eI4`>F1 zz%F1E7!URY`+}pvF<>@00n7(W!7^|uxEx#u%sA=We18c10IUQrfM0-Lf!~5O1K1kS z5p)6@gTY`MFaqoZb_Yj*Bf%_iJU9s~1;v`#2Z1kux!^Q#2FL(2F1(fR z*Ae5d2Rktw9|?8=qres5W^fC51$1DbzB$+)328Q@ZIHMj}f3GM=SgL}ZMpeys3 zpJWe;C!$cTnH`# z7lW(7)!;^O6Sx`N3GM>-g8RVz;1Tcx@C0}g{1`k1-U4rf{dU7=UyT;0>?}H0@6O1f#&=U>=wcP6lUz=fFo`$Y^{Ht^v=1pMvMXS!3`kSO%7Z zhrq+&XJ9q>JNO4kjiuhf&fpL*7hDYP0?&Z=z$akz9`q4lDmWM%1HJ{`1YO4w-@!@X zHn18rkEgwYso-Fcof&0tGl)*^=UeLgrDya-+bFN5EM zKY$OwhoId=#^j(E*bMXrBfySeComc84-NzefkVMz;BasRI1-!$=7ICUVsHhx5?lpt z1m6I6gV(_u;C=8H@FDmQXxfW+kb#ZCCSWtL1sDVdgKfa}U?><5CV)f0q2LH`Bsd04 z12e%aZ~|BaP5~KkKDYoZ1s8&gz?Z?*;2Ll}xB+|>+yU+f4}gcj!{Gbi5%4&84*V4S z9ION{gIB=o;0^FL_#0RSR)fETe}E6bhhW7d;wg9-d>6a`UIed#*T9*3!+mf$xB`3w z+zsvp_kl6{z&UUzI1J1OCxZoGAy@=X0jGk~!0F%&a09pzXq~Fjqt6=3hqg=zedmvB zwX@Uox4#uiBOdEF&V1`rT88trw4svpT;^MCK1$E`pvmIz@6=~jp3+kOaayfcjkN1r z@@jQ3kk@%V(q-NGh5paw7ahYd#eBAI_3YT}mM0sO7V;-!bIGKoeT%$E+WKZ6Dmpp% zS*oKr8`dXZZ_vffv-Qa@33FZ@d%=EXg*y%w&6jcKlQ_2c*t)_UTUjTPp3yNw*l&KQ zv`ldILN-qLhm_!NJ^3`}%e;(Mi*sAFOsyj4w(6wSH1CqtGhbef6|Y@sCSK>Kp>u4R z>o#pG#MQ*=Qipw^e=}Nr&u!OwN;7?xE6v#MN~;M9a+VoZsWYvvK)(6{X`$-QGX2H@ zC0%;9D^OOY0&J)p4^zW^fHUt5T|D$U84S!F1c+aU(LCC zFB46R=Ia#_C&*i<9x6?X+OD$|(fZ5To=jTQXJMJn`b$P$A^VEylC1pmK^DS?WUbS3?Jt@(l6-NoJQ_oERe2mIN>7W{QJBxkiPFm~q-P;{QGZHa zZmTq{bbsoq`#67=o))z)%;)rHsb%K3v1q(@Jmex~nCwy_cRAZGT}tr$0+CGuk%oeEI!Y z5^4FINX~{FC;k`p>|tGply9HXLT+X9)8Ke^JZPt_>w2i3Jhy$7a<{mix%pVspYiP| zKVIAUis{+2K)ox?Rqusuh{ihUlH010R{DCf?w-qSQC)ObU2co6T}0EO`E(avZd;$y zO1D9G3+A?{4f$#H7GGRxhA*x(bG@P~&0MdTpN3x9_>xT8NQ%tONeY#zyCZX3)GuwD zR=N%TU7T4XqBg9*X{FnzyHImm)V}pMt#tcz7j15f+E;p7>9Ig}>E^bmp3$_ZF1o8X zw^f=}NM5CBQC)PGac(QU@9^(R&Ss3389vG@OkLM$(Yh_9i|(GzZBadwNh@6!-L;+D zqPmoxR=Pah6`tFo^8Wv&<;Iw;ZQqavPcj?)Vp@yZN*pJOwZB|GJryChMcZFAt&yLV zc72GRu8`ZJW$LL5xvfxI)P_R&^z??@7A^C$n$~EI=ksk)niWgNGQ)b7m^#>wAQ6iTCT0M&R0p= zXGYJlFe@7;)?Dx50K|dnN=sZSiqFhG%2_Y~|+*vi;BGE5xNjY3}wO;tVr`iro-K&%<`1G|E$;9zhF zI0h^O%fW--$KWaO99Rkd*WY3DS$R**hWC_gcu&cO_mpgSPsxV&lx%oU$%gloFh1c* znE!?MloWeE$@=1omaD9q>6pxGZ2<;H`h zms!k)I6VvL5{+llCA&3@;%n~S+h|%e-yb9S3e`iUX;Iq`hK$kr%h{exTGVG@na=u4 zMqVNNis_QH?HhCn+d!uDy4_GmZl7)N%Lc!Aj&_v0w<_AkJ}Z2Q_J6V=yXB2hN>3}) zH%4P}@6Pf4UovUYdI-yO_J7I9D`ejWT}%v#`uelvm)sa7YD1xVuQaWgU)C30qA^5% z$!>WuWJ6l$nGJr)u3ePQ2~EyQTbKG)w=4s>9!mVo%~S7-q(%FxXj-)0K0>~@xmxwp zqOl;9XZ2&bSWtak3i)M&o+0xx$<)IJe{S%nXSnnkYwyu6z;r?J|S)>+;hI=~5`IdV1!b5gfIzkYA$hLHV*U0>X$H|lh>t}8Rc~}-@28T&p}(4O4IVW8}(U~OG?XbK9_Q9WUbS3?Jt^E zIw!X470-#%)1q}0=5unQ^fIHIh~_IKFX~U_%WipXv-Gsm{dr{pf0mvWwJ*%)^k?a1 zM*SJhS4>`xXVH9x$}E(&L0-to%=+!mT;CYgvs(36iM(igm!8=zuf3O^R(gA%);m6a zmYx>%XPD1w@45C@dYRGoXXnfB$C60P@8goQA;*dTK|M2iPlJgCdG`5f<~pRjG-Yw- zTi5kaJ$Y{XD&=l*J#+Ih+th`cmT6FCqnijQhMkL?*lvcV8&kcv$X1-?Y;0>%U#Red}*p>GqwxL%e;Zr)Y#8M&(o#!w9@4rX+K{#Pu~B(wA>i8we1_yXdRgiehFiR z-&W!{QLO#t^8IUUSe|xy?Jt_vo*$HUeaKnk;>$dz z6e?5s;_9eSzCvj#(_OcdcS#Lh9L{_sRoJ$s#angI;0C45%1a}eEt9IW-TGP>Uk8PS z47DrJa~xrZ3keFZ8>&!Y|Y03;dEyTGT$u^zB;_ zkUioT()?z%qE3F97qLC6OEuE=iukNVJznzy{Lytr0oN3_67Ta zaPyx-5arSZ-lYF5_4An@#qBj|7gnqVZYQrtSNUuQ&)c-C=iRh9&(7S!^R{MX?VUY5 z@0Te1^kkm1dZ6ds3niXT z*rbQ&jR9W(w+Nduw%iO^;4p9l_&)dr_z-NuS(p>C`@i7$K9m7ggQJ=~?|d+_pXZ$e zZUOIruKhi41egqFfjhx>L23Zs!M+1M?>2B5e!LZY0DjGC`RQBo98!4j$*!KaaGdAu zKf&{^nMl4#JhyaT&s%`67lK>BPr#o+6TTk?Chw1)2YBAq;6rdab)ov$23Kzf^fKG+ zfhed&(P3aX7ySAN4mcN_2QuJ%uo$#}3&2va99#%4 z0vCfzfVg}UhHcAN>8o<}``=z0@kz%P_BD)j;+&wSTyN%Bx2YyY0LK zo91NR9QU^m*LcsYp&Zf{_x5vJzfCh!}z21J8Tjqa5w*bxi#e`fnt$&7J(u$9xqY z=QHK~D79wI8t)1J_>=zeAMc^ko_buLKk<(|?SDSwe?IGfK9|~Y3(xy0-y6N3`A44j zKY#wXa=n(4-fL3zs&L2_G)@QqyzU==!$1BD|M;48cdxHkTO)VxQS$!R)e@n1DuTpA(985KP4M4xAkMbY-*MU9l#@mR`PV75-HLE64ZfCD{ z)mHqS^4hJE0%mKdYxeV{z4pFb6|Sh>*9ZxRgyHCwl7Kh@wY7rultgINd*~6lab^X>vi@m zu{B!2@4QIawW|~|Z|fLX*G%4Yd(XSV>##~)N(M9#EOk6+wrW0|ynxaHIfJSXs&%2tFMxvm6aaCW63MGqX)PQfH%9mx*qHE~mjU8H~&_c(J7M)7g;%a;+KYe>+ z&-+79Ne+Cwv%Y4v2pC{%(cPg%8ZC6Zd@UyR3A8wVKvatzBU+?e`C?Ot7By(02-S8x-sfQ>2&LtF24l zb)d_A_({5GZ*&T(?W7sJ@mFVtlrei_X1@@qtVxPqvqniseE-{CeIp5oxMtNwe$ONIMs)rWf8J zlxFwBjYJq7FQ3oq|JDB;wGq>OtN+(@Cl_rSvo@QSG;FPHmc+h2m^9JrJj>qHr^Z>v z!$z7W7aDm~-fksL^(kp~eKPpSN;B> zhx`u6*YR@XtL?~sMQB^TA1bo;ckty;!y|@UyNB{;;D5QT9GPO(BSb~{UVWnRxdxKr z@HF;@RioEZPPajUoFB4x$uahfSqnL;JJ};&7PDvUTFQ|#gKMDEj zOOuo@ySJm2#My6qe(yv*FN-C)6wCJuB`0aQxILYIMq?@Mjpy~%jHOH;yMLbL8Gh4| zn55$Y3xe@;;rXtq^JbPA6p^m&7R+{yswGxWvk_M5cwkjwd%CeYQBQeh zMIz{T&SafK+Fg$$|K644P=jWD&Boo0vf7x|b!ezfu{wU9H3coq6`Gy6H5-REGtf+X z`ORo%YFxfC+8kDOZQ8>>X`_8HZ4R%xHZQUYC2h2~wJ|+c#`4Wkp*F=MaCfhJ>H;y#b%Eg`PzCMQ$;<*V#ya*Y(2KX4{ArY9@DC*hw+2z#ifVM*_ojp)oVd&(_)GC zHcK?|&G*WzDtbloC&3U!K>R7r%@#Hu(G`*^Px0=a;>T)j# zZF<#3=&2R*LTm9s&!-Y<2$(A2aDSR(ugll2T7WduzkHrjHI`eu7>X7CT+itK7c`LW z#_v1vO?KLn=O^NKBUuuS*R(g1HI~V5iKiqY+1+o*=kmQ6sJ+p{)o+|yMLpCKkEiZc zBib81w&0t_b0;~NC*9>c>25RcZ1gORdCJ)ZtHpa;su}g2j&#Gk<3sC<^%84Rg?jJh zH54P8eEw-HEzOMu#_FN^1^lz+pPrEXV00VEHy$*482N*J`8BKNhhAUA-=@8rJVs~J z-p>iW-i=YQMw-iO_$Z)HykNM0exlYJtMmP?FqrT6#feIe^Lrq_lm%pooH{*PH>jr9y9DsB)F)GUeWrDB9ip5=WH~T zR(f1qWj)kFrH4H`;ZQ3*E~&B}Vu$pwS>n>;i&fS`=X|7x_NLVYdbp$J;^yF7=RQz+ zA}!5~6263<8kGbc3$}G|Ma?fGBe^+(u|#XGvcy=gL27<)b!j5CK)L?tP!e^% zSU%J~D%tIK6KPG~YW#j#g?=}YQsou%`{jvRUrujzMWT|84~+)K@2=kJ%0wi)dn@B} z^%l18UG4v>D(Ye6tGBZCFfAYhGfn?+Z58!U|8Os79TbPOH}&G`r>?7_9!9=mk*x=( zC5Q;_c4?wWemuM$Ju8Wal0AoO%f!`3Enm3e;SI>Bemo4UkFJ^Am`E)Yi-$&X2LBr# z-js-Bce|9&<$JYH?F|p1WvlVQ%~jMx@=rj%+NbtL50^h~siGc6zT$(ehpSz#sG=T< z54W?Dp!TV~@dpdJyiGN zfZC__hKGgXvhujjb=-rVmGIEWR@=0F;o{-967hv$gPB!H1N)qtPJ8Chr|(TvvhktO z!1&!r&Y*$e;eC>v;uJ<2=zg18PzUNk184;8Kzq;ubOalLPM|Yr0$o5?&<$)1HUZs1 z56}~A3VMOfKyR=)=mYwKX3!7x2Lr%Bumu2D#)Ao9PcRYe1tx*L!9HMLupgKV_6G-m zDd2P9KyVP43JwN`fJ4Dy;BasRI1(HMJ`aut$AD>IIye^005icXa2z-u%m#D73E&H0 zE;tdK1m=TJxV7_wOmG%B8=M2q1?PbbXwQ6?vspSD{HV`P z*VU4R&i1+EkZE)35AC*R+P~{u=i+9nn7&+VLi%pjb$$@G|KSDhcnemO6#f27ucuMz z=LTU;-g!3rT;l_js-CCVnsc#pojMO_+I_wSMt;!yM$dJAFKqW~E1wGni^_Mk{vRU0 znzIAdgQ*YW2UjojXqEL452T092bUg?h4oR5)*wF0A8Hla7xKsBRn|j(kRGl55kER~ zwaO>Zvyxuf_+U>~du<(Dz4DXDNOp8+TasT3_UQ1(iPS=|xZvX9Q;A4+M`ih3{M(wS zT#Sc5sj?obd+A|wz~zsptEh)&OJC=<9>oXk4I}uIaaw$PFlQ|6vp&zDXC>`HBlQEh zkx3&rTL)KrcorGSwg*{~f7U0kKDx^JTq3n#+C$t~A0s(~|IH}%r-?{*w+B=2ssr2i zEflZ2Q8+!=ESO3&RF8S231Et&j3> zWulUe4~+)-l5HNokcebA4~@??TDE;(EDqVdnW_I5E3ALDfrAF~U?ui<>e9%(vGW3s zm^S$mGLnr$wk1Zg=?!ejFDFt9hIw)0^+0lb{I|6=et)Gxzl-(q`#B8Ki~0T4b>epu z{cOL#wod$RB-`!o^+Y7Q+nu;B-W#4_tyozE3b+PFO}fkH`~m+zgcg+ z`&L2k%B%A3xr~&Harf;u^X_lgTkrm^pm*g}c~>*RV%~kH&Aj{WI`nRIy!-n^C7U>` zh%c|3m}L50yT|`S;*wRrrnibpu30sb`;+r~IL*$brADU8YxQTe<-Q?JshU{9<}TAqeSa8u7?XGmp6X+Z$aIzqV(qOnXg|yL#wAd}Pq`Uc_B_ zxtMp;l+-qnp$5t6_0qew1-<)cf{nZ@FBkJ}U7LBgejR!@I(}$C^0>t6)$Wnyb<@ka z`kKbXC98hTSRg97X4UDenri%T5;~a~@@VWH-Y$5w_I5kv#t%!-OwU4z&Q-@VUuH1s zeYr+<1y5DI%>N!dTb0Wl{O64Nhw|3O&LxL=a>~@ay3UZ+hbO1#cyNZj@RL)P*T|bD zPL1gpoEaF)!7W)GFm^M5V>3_c>f_rkEnch-o+sGBp+zUO(D9rW^|4Rjh-%^2JD&Uw zD+d3?t4536^);(Sr>qvg4Yla#(4sS1=y+vX^u!<1;u4~%(c%Do&1%s(tA$yu+$7ZE z0j_fx$1elPt*>)1&t@ugwL{b|4>GQlKeU%-MkC0E7B#D8hbt)4_}j2jzZL$=|0Ugz|=RJOjGodmYdD zKK=>3hJpF|8ksv&Ue4=XeXmQt@p_L?ezEHtO7VKWF49T9GrH`^H~ITO{HG`|jlYe* z#a;bYtkLYl_Grf$kCEjQ}UJAVLzK;Wa#NaEm?WRDCO;I-En99ZO8IHp}kjx*J)+Y zX=D7Y<2irFGi!N`AF{(c?rg0GTX#A}+w;c0*QJGN&&{D0$wX0GBXLifXm4LFIWqM9 zNnxwDSuVfzpb+{0KzwOfzBu%Kj^!k(9RF^^{9G=U8+%8D_7-DVvFpRM-fPR3wnl3s zU#EN(x9s@2Bl0yDNK(G!+FmpAb?JlT+x5K@@-=cyroQvNV6@QQrG<$#JBPJkbH54h z>v)d)@v&xkjV)Qvko*&!tFC%z@QD#Thr_@0BO7t_j)0m~&(i_RCpPQUcKVQ4e!RDD zj&_omvo1fEvDa?vrWfh!uy+&e)$yFYh1$ApuOutFi1%0Y$$8ozx@Ya(#J4xD zl^J^v=hjfu$}+9&wWUg$oh#p7o8?17d)Job{&3K0<4R+WVJF~$FEkV zXQ&#<+v;nUb=`f|l`}%#1#Mg-WSe{HL&S8AW7I;8Y}3|M_r~f`q18*Q5poZ#*6~uT z#*colBAJ32(HoimBeJvh_VB%Kb8pvBelhMRF+!Fu<&Ka?$M`!xw#m<8+a6oE{cU2~ z7>B<%#os!f^LKn~GyYaoGb4I?gzR59mG$?gzP;s)kjIAh=Ee@P$4FHTmpVe;!(nMp zEY+j9uJwUDcGLq1a)MkjICf9#CMetGr^A@(%J1 zjy^L>Ey=8QOmO(S7yj1qoWDzBmeJQ98%O=!tChd^tdhT7qhjOld&BX`H2h%Cb#+au zY3EJ^#iOUjA$m=v#v$^$Ei=ci-eva_lhGqO+E>}8juo%PWsL^Z28~q1E_vEmy1&EH z&9GF*%du24UHwF~9c|VsZXFQHPqLUQ*GMUu_-$)p*MhWhX<SzNYi!9w2Jqr&ShqcX*u?U`?9Yvw`unVN^&+PKH>)9rbu-Y$ z)r;8N`v;XQrtAK&xH*WidS+;~-HY_YY8@}dYSUlm>uY;DXK%k&+?y53FUI{+`tE#P z^2bhwdv@D9u0;98+MXTjG)mWKLG@sd8U|7GX3fxW|M*aTGTgVdFw(VGA7^SLSi_3G zXLfd|h0Xneut3L4VL^1%q#45i;*VwwV`&FQvaduo;ttIE!o>Z#4lTAs3mwmC5kI1d zYN3{+F_gwqx^Bg2;Wx&t7F+r)&=vQU@8Ru(_cr}wcrNargEsN~Tz)PiHHG~AxO-A) zZ!zu{*_FS)kYjC?(GE}#4Jk)K@Lx3#cqLE5;q zFcI+Nuoi6Y4}x_%o?~61xNl3|2173%7CeFD>z4mze=ZIV%KE}+vCyH#7HFa4rDT&_ZqCdzKdd4T)LqZ_$bor-WLpEhF=FQ7@!8WcNmP95T`H)KGr%(NM8S(zVwN z%P>MQDZgEuffnLrl3vhNbZU>P5xZRua>zdu`AM`(yA~v0XNip-#(p!OKNmerEu2-g zS}8~GVR%JhoxbMHmzQ$H zw6Fn`lg0xJStS_E@eCMJQrjB9HH|Do_-}BYmiI0;tq4v*J3X!S4r_Kn7-Tb777p<_ zW^2>mq0M${t<8Xq0$=G)?B$j={sk&oZMJKr&1Md5wq0v&RCB#(YZ?R3x1un?$@0y% zt+eUo&}N%Nw0RPrT+uGj%5a6jilxmqzBU<-nAUJr*cwd#5JY3w+I9Kw%j<_OpPxRLZb7dR$XQJ^FX>ytj#tSB86|hc1=P*W+6BP;{z>KO|p%_*n^hTvtVZ znEEh&DddmqtEh+kAb;rkWt$%~?^gA4LlyNf@=r$(@x=JUrN@dY>Y?^>5D`WFi1vl_ zxV4IU82P86$Iez{bG6&ss;GyNeEe8?dVahb}RX+54B%g50^i_Qbm7AzVr}J zZGHrLuzt*VLGkQ3m}ABlP0gOSlmW9OGP)?@83=IIg)Qe=AYRhTSGk8LkDAYgU!R zwR_R560V73;+mfLRg7!*C2D(5w=!iDTm0AUf`1T>E~a)|bPz zN08h$T>C+yHkZS-A0{d}Nv=JLW|eSF923{hW|CHnYmX&reK}lv9La6NwI3yFb2(gl zB2mdna_vbptAuOfn7F1V;uYiCj}x`N9Iicu@O-lZa&Z zlOWBy%Y7ZA>p*m`t=21_t)d=AzV1#ewjT8ydaOPB-2RY!twZU#6Sf|@QYe32{ijv* zhvZ8S&EM>GG5&0&$Iq&$hmrqz1l#^_>G6CO^)T{}p)a=e2-Zz&R{e^lyZm)R{q~nz zdY;a$J<2MRSttAtdMY*-U#U?E^1e#2rJ8j@d%fi6l)Cn;#0r0%%IJPA8c27ucF;Af ze_Qg(MEq_fOQO7{o=AHm*+c|e@(YPdHWE#Ji&+(XF%ik`7+`99I?pMvYh4e* z&^5N@tlPYVo|TLP)cAzMM6}R};0loN>TwiApX! z4k(XnucKKdTocE{wR5@BrWn`WNYwgrxb_Pqw++{RnW)X>aP3!#N=}k%zecl4xF(K? zYcF#ZPBE^%nW**UaP2opZX2$>m8i|-aP93xB`3+X-=bM1TocE{HQk?7jBCG3)cSI` z_70NUhHLL8YI8YU`+cI4ljPbT(5w=!iDTkghRe2#aqYcCtuKdbe?)TIaP3ct+FTCT z{+y`fB)RrJnpMIzaZFr$l}pr%aqTaOT3-&={)*(b;o9F4wYeOwtx8mKl3ZJjW|eSF z923{{=AU9*`+K6+m&3JxAh~V0_RmCZE{ALXN>p+&uDRCN9%3x76{3xLMc3CpLNjr# z`1+bwShT*TlI)ch*ZSJO6Rl&TyY4Qr*VkD5^4AgVRjv;b^|U0)YZ{%}>uWB_A0{f< zNHq0j*4K<=dwuP{iAZ*@ubJAG9(Jtg<-lDMXF z#Jm}d+iV?N%=-ix$we1qNj~$sIGFcoBDG*v_Trf5lDsBS$#Kjxl5OUB4Z&SMYj?e; zn|Y?Tzsm^9u636lDfC$TT6gOq`C^~$dbIU$omEL!(I1j8J=D8vZz4i)wyV6=#~Spk zq$NtWMi^TCEjGfaO~e4&}>pgJxse>k=OoQW32AzvG%RNT`!WaIPgXZdi1EGKO|pz=&pS2%~;Qj zb}}3>S6B3`qCdn7jWzepSAFao}wX2p|{^%X*QS2VT=Tl6Zyfvvp zcjCEOqLq*fxA8pf^~?lQJG$3ht;W`7^Qvppf^Vda_O>>I9oqD%x;DCZSK4TAYh&(~ zyacTbbM*v+G{1YG$de^k`VXRb!T&7$v>tbTNtY3{BGs4n`SoS%)NdW>WOPxj?QH23 ztnbvUy5sVIOHe^$W9zh~L#G|kNypb#CtW)#ohHy|<8|7hl}=kZbQ+3II=;3#seZpplWJv!-l5<1PG&U7!lbaU&py{}V-RMWNxhdNbbWvC8aZbTUk^L7pj*Xs;GyNujg63YK@x|-}2k- zuqx_dBP75yRk(nCD8`4Q+*-pcZh=vm1M zjAW~=-rp(OR?Jw%Uf4X?2@SD z!YeT4acvZuRl+rKOk8Uu@Yr$L#kE}%wZ0s#?S|yFk35xdZTCcNE{AKQ6P27K*T$e( zC0rB7#I>Vo)Wx_qHc{)#;o2TZZX2$ROVs9axHdjf$w_i;0-9CAHE~Q_)AMPIac$2; ztuKdb6Or6DT-z&Ao6F(aq(mhr$+f-FtP-w?W8zwS2F=B|wojtgm&3Jvk=!<1+b>a@ z%i-GOL?tK5wf)ho60V73;@UJ8k&AKdfJCh?hig-i+%{bMT%tCY!?gnwm7FBk4nng^ zxF(K?YkJE>F|JKb)cSI`b}*9LhHHl;YI8YUJ2X+rg}D~J65y~zB)iW|nKhTIHY&ab zba)l@F!J|czGAOMaRGFzHL+u>sKz2xh?QnnskfQ=sItq;sV&q`u|+P-2yM~p8P z17;@T3o}cPivi}UZ+k^(7LuzU16-1iOVsAL7+^_e{n|U5)fv`}z2)p#uk@~DPn+>p zuxCBTyPLf(OL&mI7L*@huOgmg&$&_WomyUa&b}|$d)WBxCQo0uXx4(}@$(kUYCdTG ztQm8Tn=@njqB-+US{VFpOMZ92{8=aI2Me3Y(H!R3d&+ixrU}y*OB)~rR|aVnSb zuy^2`8MCJ^nAtpO)`C-K&6zuQmN#pG(on(kNYi&UsHnW=sk2U;KX>|~S-yVma^}xf zUQF?yoK|QThx5$JjCuWbjVO|EXTbMe|Fd1?ELS|(>Fb4k0(<8 z_jeBK{?=uW1>c`-zGNoHYxte?(|#(B*8Vr6f<0gT?b9`$SLb`t90~UFYxjD_ z&6=*brqSDG+yC4%fn#-f$7Uj+8K}M_Yd%n2oepHvB|tBjxDx0@^^Kql_zK{hy(e2# z_YVTUZrS^F%U%~<{1m9{mqAB>x{*2;f3kJyo#xx<-CO7H&mm1V4+!^7dj@~+(Z0Pe zlf$w5J}4u5O5jvSekymV8fD_tIg6l&1giix*``0WH3J6#iUVGUb-J6Yj*kNKb8M74)J9#5DzTZNs(siP~Ha*G^7U za*|wIfM%6&O&k-~I&s%hF|I94)cSI`wg|~>!?jZqwYeOwotmiRB)N7PnpMIzaZFsB z$^GxexORG?)|bPzGmzXiTst#So6F(aS&2$cl51z9StVQ($HcYoF_16DwQ~}+z8tQd zi{!T9+IflETn^VViAqkAYv-d`C0rB7#5L7?F|I96)cSI`wgky-!?l(~Z7zpv7bGgV z7}v^q#=^yDRteX%-l}W=_M$Jf*IhN$*R`4U%Ht)8)_B}A7J?PusN^pu>i4*3EVv|p zDN)IB&sYc~m$&k8d4)BuHm>NRHy#y>E>|RKeOz?e*uk|ckzD=g;*xw-qBh4x7nkI# z6O|knT>{DFaqSv3t0cOJW8&H){fl$$+C;4{hilg%xox<1eWEs(!?ha{m7FBkZbY+6 zxF(K?Yr1!}SX{d)QR~a$+RaFA8?N1wsLkbYZAGGz3v(@c7w*?X$<@0jcu&^xx7wC_ z3E2-GN=FvnyJzluUCI%2Z`_?#*GBhCPedE-Ct2E8 zR&t-c;qCT3rNQPeQ_5C;dajCoGCjZiWRC!Jsep+$=Dzozg?iZcz3;@m(>fmbZ0+uQ z@3cd3-}}sA@@3N4vJED`0udPnH?_E0SzV~>Yc5J27&mB6A zKqnnvTb*>@`|HDl``(A_DBrmJG@_MGD;+uwM<*RmLZ`*lneKa+Zf>21qf>b^s9&L5 zC8JthC-{Bz(Ykn4eXVnH<7&dy^@iPwq)YN| z616#QRO^!bR-%&QMzw+D^0@XknpMIzaZFs(y=%p|_S;0QkDEcc{Qf&6w++|cNz~?Y zxb|+Ml9S}x@6oIhu8Cvfn(kdI#w++|+k*Lk(aP6OoN=}k%|3b4$xF(K?Yr1!>7}x%tsP*M=?E@sY4c9(Q)aG)y z_Mb#0C&{&s(5w=!iDTlL?vX0Swf`n+eK}nF7|CtJwNDbYxg4&2nyBQ$T&rD`Vco&3 zMSHB@q**6fyY&Y5TD0y<{MQI>Z(*LGy}b^jbq`yQR2B938+@01tyW7AB)QfR%_`xVI3}*?o{?f) z+bB`%%i&rlB)1LMIwxv#Ib3T>RC1DB>w;#La7`Q&*L2TFF|Kt@)cSI`)(y#R!?leQ zwYeOwZIYyfDS<#4ShlG}!Bn=Ib7?NsN^KMwi%jL z!ZmSBT+=-x#kkfxQR~a$+U7`Z8?N<9)aG)y);CefNph_j%_`xVI3}*C=8JKyU!vBR z!?pfMZX2!*NYv(XxHd3R$w_i;Yc#8bYpQW^O?MC!<#26BB)1LMc1qOda=5m0qLK@9Eqa&AE}`V= z-lekF4#8QBy?3M|3$L^_@!h^JWK`9)(e>i9&_;W`&#aI(yH;Hr-4U`a+GuZIh1?6h z%vIy&jMr|VHV;(!&2`eHi7277PTCs}xpdln9qD9rQLWiJx$epsjZSMHKip>qbw;oY zXXJF3hpDf!YvS7B&x>d2+X*edE_R#6YhmmXJ!ds8niJr1j4y%_m=J6bV+99~5|jC{Ry%GSe-u*-SM#1ZIO z$*4!NRVU`GzNr(}T?|Ji!VNg*-^CF3lnKLz1r{rhN~9KyWzf!W!4R05#6l{VT=BxyK}GeL3UYX-HoCe#+E%+@LYCCMr3uXE%~<=AD#?WOoZNa}CwL-2%FVzPI(5 zmq@L<_0XJD>^qmetp|gSR&$Q|Rn$ZBrN<3S{&ez@8+YR;d&yg%h#P6j_#yQzp=AuL-$1_hpR~^{CH#Pnkm6l)QswdU>U@jiE zYy7N|Yg{FWUn;?t8q|2rszXAa9f22$@$A%4YB$!h>iPY0af>aOH|e>6wyvgzUEDg2 zlB&-w6I&Lbqgh8dJ&_tVHpj8rCHag*B^zcKuN!Z3Lw2k7bY|j`#YIWhJ}S9p)$<{b z7m;EZWwg!b@!4or$rwWAh}9Xcn_62|pX1cQ)Uffd(cD<;V)eO{RDD*Pp2}wRd5P9= z9IIWDGl@zzJTYE3-gZeoKXJ(}RtJ*HWA$P*tAy2JvsnEqS7@y*tCu)+sD#xml+-q? zz97*WE{D}i6O~*JtCuA%IVn~zN3%*;EjEkQ+xA_1R$u7Up%PYKL`iMK>WdSt;c{4g zNurX=Vf7aimz)%!|H1jm0S+1uS;BVJgcKC z@GBCL>|T8lqs44pMW;QV{!jm#G8EH>S5Zet|7C>SuQ=kUPV2O{D0@^;cB;cqQ~O3UO9KS7s(et z#1q>eMvrT)ICfX)kC%!**|(NlFQizw^Ip`#miLnXS;>=q>#$qb`kCty^Lg_&Dg8dv`JUf%u z&7R4NXZ5n$M*dyTYh(Gt-`{=^&pDdvd1h~pYd@YdC6b~i8&BhWiR51He94Fl$lh4+i#D@hczCWSupp~Df!d|JtkAiIMV9VwqI(nGUx@bybNoCn z!@t@+#DWeuWQ;e4&jx(dk=FnRH8G~9i6=ovWvgB?sWDK9$I66$lRu5$%sK9H9O)XK zvGN}-4P_5PHn)g{Pndqr&lX6kt!c;cG1Q3;1~m|r%5#ueUcZ|*u^FE#@PCbN1-}-3Hn*N;RJd{l0mgmXdg>|qD1N*Vk_CB^#2-^W1y#waVCS_GW z4xsV~|MkgBIL)yWrFEv1>rk{EL=5u$lG5}%{Nwof5nYkPiu591*I$z&Zv7G{&j?Bn z^8AXF|8Vq=;7sO^)3X|^S)(%s^!{nb1CYvuuc_~qu| zUmd+iNb3{+b))~wzTsc*kM7Mco5R1(?ykT3g@65XKb6@({A-c_*MRV^2lrLlK>m{V z7x{l}5vGms{~Bb{>Lh*3Fsa^G5U=ap zS10^D30wl62LoZ$?f75#8ucaAPx8EX!F_uNxP=78J2G~EhK(`YH=v{i}B(15g*j?z&2NjvE#&7_yKl1@QNEebTR!`I~quOqi_Hr0@#_PvdzLS~0_W{06*7}yz%1iPd%!)i0bh7R4q{|vql zPiKbl<6%3dGJG9AG?n4oPN~e!HJJ(1xo_Ers*|nL&XH8$w#is+2#IIh31JR=! z5G``4{J~!VFhgohq^` zRYZ=a>Mzk*z&2nwI1aV%mgQb)4-YF9B>i10^A7h0N(=lgKvX}!Aj7RM%xE$4|WFofGJ=)m;*) zcOn==z8k@<;CFj?-g{u-IL|vB44Xh*f}_E);1=*z@B{D|_%m1y>h`2euqD_A3o8Y&gE8Oh?#(|08B(MP72EGRV2|fe^#=}2g^YssK_I=QP zFWLy$4D3S>6t(Rt)kvWRBZ={l@+~iSGe7 zN-atJ=m!n~%fW+S=alD712=)@G_eId0)7eF(ND|(8XNo(G}n?2H0gL1=q1&9yuBVd zr5j7%0~6X2FTvLB8C!tghJC_v`hdys@n2yK&k(b(fRp0q3-D=cVxwZ^k>G57CnkOy zex;ah&ITufw?Q3ue{2KaW`M3vYYIsFW{1N;hn44&!3i?Tq!0ki?|+-?Dn|1pM(_{PG4Jp!JNB~A{5SK`_{VB+Ju zd>7~b4L$^o#LD(yQ_vd>2RniN!2aM6a3nYp%mW#)7+ek%YwrSYfIox3fDb?y_}L8% z0$YP^zz{GLi~|#ZxP2%%92^Ob2GhU{a0)mNoDW*SQm`Cc1k?tu0oQ>Wz*oQ>;6CsG z_zrj&d=ESV)K-29o(C(zOW+mo8u$nJH@I~Y@c?`mtOPHDSHNpPeZyJcGH?aB3)~I9 z1;*0e4grUOc|d(eersFb|4x7AG$t@aB52T42hnED8k#0^k^@;}oZ-#(rh5xmYHao< zvDCQ0JC)Bl-dz68+VCsO6Ak#r_LpXME|2x}&Sute&mY@QndGhM9Q~K_&LQoPE$Z*u z%aL|2X%GJ9#*-&H(#|98M_>Bu6?;0;GNfJf`E#Ei??^kJv@`#E$5+NV(iW4pYX8jZ zdpOdTkT!MasU62U(w38U*IA>^nczsfkhGHyJL>2$jVw zd&fyZzG#YOmN7iO@j;tl;$l6kKu1sfhu1u42WjdNux0>c}9SYca zz&{O{C!UAYF|BTrtvp} za=(3L+gMFqUgkjV)3lUEEBDj1l*Ui%v$@l`R$5cnkXM8+|FQZmEvJ9tx9`{6QP+>v zPvh^#NC`EOQrbm}@k@EQu}jN)AN!?zy(6VO+&GQD+qWvEHd+#M32XC9y0?9omcxRQ znmSR^z3r#*HUV#+$A=&DwRo++5A%9YUicfgmkty`ur~)GlzI zAK!X73JkQHPx}r>0rMN-=X~1twVxiaPa_33<`?$0pr4E_Y;1>YVgJ|Oy}(9QrePd^ zJDs*@2knKnG%j?+wJL5+1jMkT4@|&6OT)uNZXTI~k zQ*z^}EH^$_S@*5hy=0-A?rACuu4)43V`#~o>qP?Rqn7L^uc}VPP=(GVL#N_TUeyiB z-IY04iw(*BSk=X;-IX~PiN&e?T-BoV?h1?2*%3wQXI|BWA0Kn)58(3$aIY>)XTt$} zx^KO`x&v@wH8m4Y9{)Uir@84atBL6@tLf-2t7+#ht6AnQtI6mttC{F7tI6yxtNH0J ztJ&->tEucRt2yp2t6A?Z>k)vvtj7iJvK}qC%WC?&%lGyu>k)=~xE^=7%X&28E~^Ra zE~~lgE;ITs+-WcOwF?CLHdPWg+Y7wWh(V;!y&H>%jVVWfm9b&r@Gi*(=--a|@Zmr`o?ft8+u+rk;cJ=HL zwrf(h#osd8me0(wB_nUNWFjqZRps07=N6hj{x-X-rPNBZW?Eidxh=YWuI{{SJ`3jv-V)tdK){S z-S%DBZh86b_C(8OOP{{Qnp3vf=D1GV|C?PlGGi}~ACFkUlyyo?y3q0a|nVgC$?% zdw2bUqgjM((R%0!m!#Z@}HEhK; z>_8{>VLuMwV|3vNj^kULKopUIXv81|sd$qh-cEdkqxc;E!3lg11^B9Sg&Lpkn)0)u~nf`elS2r58083m}o9L&ce{0U2-0OC_n z5b-5!#7?}8y*P|69D#z2Ndy)ZXiPyGMj;!&MGgv3h$0lD60=YZ1t-@*0m>J!0k7ay zyaoj=qX<}fkOBoTmtiHIz&fl)I~3T=Cy=S&W+4>dTmuC;*Fu5LM+j;v$hi`a;qO?3 zXQ066H#m;(paAGU34R`c0-X6Mh7v}~(SSy@pbbm$2%dzJNuI?ExwuVEx6I+~bT+N`e_N(V$K%=9KfE3~2zzedp8MiV<^I&wmudSl z?wOXkzr+8Z%c6Pr+-KqIV$b#ZU-b`fj|DYJ7RdF4pB3q+Dt9W?IhWf)fbX~#XSeZ# zrn#u+$4zyVPmQK0+9ba4mocP{cfU;|)?R5*i0e9@ZL`3cPFX17t<4tB)DnBxR_rRTv#lG1Wb9$)wfO@c7;Inc_8j&GAGF^%p}2MDCC#m?@r=Fa z<;bAPba1SmE?venUW-mx#QUjz)kocZN#^|V?O^HrYu~oO)3N`E>#z<^q!FWZQAuZS z(bR>$W=elLTJ)iA;K)Bu(SAC3Fx=;K@3%NTulzz;D;x$q($LipAKgIA&`()^FzMQ@&Lr!wUFZ?hJ2aoLQWOe!G?TSx)~-#+b@a{&b$! zFkI(cozrg~pL7lf$17vH+0JlXxk?yTYx9^w{VRzf>ZhemHq<8C2$m^}xt1|^4b!=& zbFqQXe&!Xq-b|KDL+iT7*Svx?`g_zkk#)}M9?x$RoF&mbtEr)qIjieUPFioqaVqQa zqU)~WQKJGF;vb%iz8py|QetgcqfxKRXi;;jt zBq14tkb+dC;g{;7oGqw5c`N&WPM>F! detect falling edge on SDA while SCL is high + // detect stop condition => detect rising edge on SDA while SCL is high + always @(posedge clk or negedge nReset) + if (~nReset) + begin + sta_condition <= #1 1'b0; + sto_condition <= #1 1'b0; + end + else if (rst) + begin + sta_condition <= #1 1'b0; + sto_condition <= #1 1'b0; + end + else + begin + sta_condition <= #1 ~sSDA & dSDA & sSCL; + sto_condition <= #1 sSDA & ~dSDA & sSCL; + end + + // generate i2c bus busy signal + always @(posedge clk or negedge nReset) + if(!nReset) + busy <= #1 1'b0; + else if (rst) + busy <= #1 1'b0; + else + busy <= #1 (sta_condition | busy) & ~sto_condition; + + // generate arbitration lost signal + // aribitration lost when: + // 1) master drives SDA high, but the i2c bus is low + // 2) stop detected while not requested + reg cmd_stop; + always @(posedge clk or negedge nReset) + if (~nReset) + cmd_stop <= #1 1'b0; + else if (rst) + cmd_stop <= #1 1'b0; + else if (clk_en) + cmd_stop <= #1 cmd == `I2C_CMD_STOP; + + always @(posedge clk or negedge nReset) + if (~nReset) + al <= #1 1'b0; + else if (rst) + al <= #1 1'b0; + else + al <= #1 (sda_chk & ~sSDA & sda_oen) | (|c_state & sto_condition & ~cmd_stop); + + + // generate dout signal (store SDA on rising edge of SCL) + always @(posedge clk) + if(sSCL & ~dSCL) + dout <= #1 sSDA; + + // generate statemachine + + // nxt_state decoder + parameter [16:0] idle = 17'b0_0000_0000_0000_0000; + parameter [16:0] start_a = 17'b0_0000_0000_0000_0001; + parameter [16:0] start_b = 17'b0_0000_0000_0000_0010; + parameter [16:0] start_c = 17'b0_0000_0000_0000_0100; + parameter [16:0] start_d = 17'b0_0000_0000_0000_1000; + parameter [16:0] start_e = 17'b0_0000_0000_0001_0000; + parameter [16:0] stop_a = 17'b0_0000_0000_0010_0000; + parameter [16:0] stop_b = 17'b0_0000_0000_0100_0000; + parameter [16:0] stop_c = 17'b0_0000_0000_1000_0000; + parameter [16:0] stop_d = 17'b0_0000_0001_0000_0000; + parameter [16:0] rd_a = 17'b0_0000_0010_0000_0000; + parameter [16:0] rd_b = 17'b0_0000_0100_0000_0000; + parameter [16:0] rd_c = 17'b0_0000_1000_0000_0000; + parameter [16:0] rd_d = 17'b0_0001_0000_0000_0000; + parameter [16:0] wr_a = 17'b0_0010_0000_0000_0000; + parameter [16:0] wr_b = 17'b0_0100_0000_0000_0000; + parameter [16:0] wr_c = 17'b0_1000_0000_0000_0000; + parameter [16:0] wr_d = 17'b1_0000_0000_0000_0000; + + always @(posedge clk or negedge nReset) + if (!nReset) + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b0; + scl_oen <= #1 1'b1; + sda_oen <= #1 1'b1; + sda_chk <= #1 1'b0; + end + else if (rst | al) + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b0; + scl_oen <= #1 1'b1; + sda_oen <= #1 1'b1; + sda_chk <= #1 1'b0; + end + else + begin + cmd_ack <= #1 1'b0; // default no command acknowledge + assert cmd_ack only 1clk cycle + + if (clk_en) + case (c_state) // synopsys full_case parallel_case + // idle state + idle: + begin + case (cmd) // synopsys full_case parallel_case + `I2C_CMD_START: + c_state <= #1 start_a; + + `I2C_CMD_STOP: + c_state <= #1 stop_a; + + `I2C_CMD_WRITE: + c_state <= #1 wr_a; + + `I2C_CMD_READ: + c_state <= #1 rd_a; + + default: + c_state <= #1 idle; + endcase + + scl_oen <= #1 scl_oen; // keep SCL in same state + sda_oen <= #1 sda_oen; // keep SDA in same state + sda_chk <= #1 1'b0; // don't check SDA output + end + + // start + start_a: + begin + c_state <= #1 start_b; + scl_oen <= #1 scl_oen; // keep SCL in same state + sda_oen <= #1 1'b1; // set SDA high + sda_chk <= #1 1'b0; // don't check SDA output + end + + start_b: + begin + c_state <= #1 start_c; + scl_oen <= #1 1'b1; // set SCL high + sda_oen <= #1 1'b1; // keep SDA high + sda_chk <= #1 1'b0; // don't check SDA output + end + + start_c: + begin + c_state <= #1 start_d; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 1'b0; // set SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + start_d: + begin + c_state <= #1 start_e; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 1'b0; // keep SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + start_e: + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b1; + scl_oen <= #1 1'b0; // set SCL low + sda_oen <= #1 1'b0; // keep SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + // stop + stop_a: + begin + c_state <= #1 stop_b; + scl_oen <= #1 1'b0; // keep SCL low + sda_oen <= #1 1'b0; // set SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + stop_b: + begin + c_state <= #1 stop_c; + scl_oen <= #1 1'b1; // set SCL high + sda_oen <= #1 1'b0; // keep SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + stop_c: + begin + c_state <= #1 stop_d; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 1'b0; // keep SDA low + sda_chk <= #1 1'b0; // don't check SDA output + end + + stop_d: + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b1; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 1'b1; // set SDA high + sda_chk <= #1 1'b0; // don't check SDA output + end + + // read + rd_a: + begin + c_state <= #1 rd_b; + scl_oen <= #1 1'b0; // keep SCL low + sda_oen <= #1 1'b1; // tri-state SDA + sda_chk <= #1 1'b0; // don't check SDA output + end + + rd_b: + begin + c_state <= #1 rd_c; + scl_oen <= #1 1'b1; // set SCL high + sda_oen <= #1 1'b1; // keep SDA tri-stated + sda_chk <= #1 1'b0; // don't check SDA output + end + + rd_c: + begin + c_state <= #1 rd_d; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 1'b1; // keep SDA tri-stated + sda_chk <= #1 1'b0; // don't check SDA output + end + + rd_d: + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b1; + scl_oen <= #1 1'b0; // set SCL low + sda_oen <= #1 1'b1; // keep SDA tri-stated + sda_chk <= #1 1'b0; // don't check SDA output + end + + // write + wr_a: + begin + c_state <= #1 wr_b; + scl_oen <= #1 1'b0; // keep SCL low + sda_oen <= #1 din; // set SDA + sda_chk <= #1 1'b0; // don't check SDA output (SCL low) + end + + wr_b: + begin + c_state <= #1 wr_c; + scl_oen <= #1 1'b1; // set SCL high + sda_oen <= #1 din; // keep SDA + sda_chk <= #1 1'b1; // check SDA output + end + + wr_c: + begin + c_state <= #1 wr_d; + scl_oen <= #1 1'b1; // keep SCL high + sda_oen <= #1 din; + sda_chk <= #1 1'b1; // check SDA output + end + + wr_d: + begin + c_state <= #1 idle; + cmd_ack <= #1 1'b1; + scl_oen <= #1 1'b0; // set SCL low + sda_oen <= #1 din; + sda_chk <= #1 1'b0; // don't check SDA output (SCL low) + end + + endcase + end + + + // assign scl and sda output (always gnd) + assign scl_o = 1'b0; + assign sda_o = 1'b0; + +endmodule diff --git a/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v new file mode 100644 index 00000000..d091d1e3 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v @@ -0,0 +1,344 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE rev.B2 compliant I2C Master byte-controller //// +//// //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/i2c/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: i2c_master_byte_ctrl.v,v 1.7 2004/02/18 11:40:46 rherveille Exp $ +// +// $Date: 2004/02/18 11:40:46 $ +// $Revision: 1.7 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: i2c_master_byte_ctrl.v,v $ +// Revision 1.7 2004/02/18 11:40:46 rherveille +// Fixed a potential bug in the statemachine. During a 'stop' 2 cmd_ack signals were generated. Possibly canceling a new start command. +// +// Revision 1.6 2003/08/09 07:01:33 rherveille +// Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line. +// Fixed a potential bug in the byte controller's host-acknowledge generation. +// +// Revision 1.5 2002/12/26 15:02:32 rherveille +// Core is now a Multimaster I2C controller +// +// Revision 1.4 2002/11/30 22:24:40 rherveille +// Cleaned up code +// +// Revision 1.3 2001/11/05 11:59:25 rherveille +// Fixed wb_ack_o generation bug. +// Fixed bug in the byte_controller statemachine. +// Added headers. +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "i2c_master_defines.v" + +module i2c_master_byte_ctrl ( + clk, rst, nReset, ena, clk_cnt, start, stop, read, write, ack_in, din, + cmd_ack, ack_out, dout, i2c_busy, i2c_al, scl_i, scl_o, scl_oen, sda_i, sda_o, sda_oen ); + + // + // inputs & outputs + // + input clk; // master clock + input rst; // synchronous active high reset + input nReset; // asynchronous active low reset + input ena; // core enable signal + + input [15:0] clk_cnt; // 4x SCL + + // control inputs + input start; + input stop; + input read; + input write; + input ack_in; + input [7:0] din; + + // status outputs + output cmd_ack; + reg cmd_ack; + output ack_out; + reg ack_out; + output i2c_busy; + output i2c_al; + output [7:0] dout; + + // I2C signals + input scl_i; + output scl_o; + output scl_oen; + input sda_i; + output sda_o; + output sda_oen; + + + // + // Variable declarations + // + + // statemachine + parameter [4:0] ST_IDLE = 5'b0_0000; + parameter [4:0] ST_START = 5'b0_0001; + parameter [4:0] ST_READ = 5'b0_0010; + parameter [4:0] ST_WRITE = 5'b0_0100; + parameter [4:0] ST_ACK = 5'b0_1000; + parameter [4:0] ST_STOP = 5'b1_0000; + + // signals for bit_controller + reg [3:0] core_cmd; + reg core_txd; + wire core_ack, core_rxd; + + // signals for shift register + reg [7:0] sr; //8bit shift register + reg shift, ld; + + // signals for state machine + wire go; + reg [2:0] dcnt; + wire cnt_done; + + // + // Module body + // + + // hookup bit_controller + i2c_master_bit_ctrl bit_controller ( + .clk ( clk ), + .rst ( rst ), + .nReset ( nReset ), + .ena ( ena ), + .clk_cnt ( clk_cnt ), + .cmd ( core_cmd ), + .cmd_ack ( core_ack ), + .busy ( i2c_busy ), + .al ( i2c_al ), + .din ( core_txd ), + .dout ( core_rxd ), + .scl_i ( scl_i ), + .scl_o ( scl_o ), + .scl_oen ( scl_oen ), + .sda_i ( sda_i ), + .sda_o ( sda_o ), + .sda_oen ( sda_oen ) + ); + + // generate go-signal + assign go = (read | write | stop) & ~cmd_ack; + + // assign dout output to shift-register + assign dout = sr; + + // generate shift register + always @(posedge clk or negedge nReset) + if (!nReset) + sr <= #1 8'h0; + else if (rst) + sr <= #1 8'h0; + else if (ld) + sr <= #1 din; + else if (shift) + sr <= #1 {sr[6:0], core_rxd}; + + // generate counter + always @(posedge clk or negedge nReset) + if (!nReset) + dcnt <= #1 3'h0; + else if (rst) + dcnt <= #1 3'h0; + else if (ld) + dcnt <= #1 3'h7; + else if (shift) + dcnt <= #1 dcnt - 3'h1; + + assign cnt_done = ~(|dcnt); + + // + // state machine + // + reg [4:0] c_state; // synopsis enum_state + + always @(posedge clk or negedge nReset) + if (!nReset) + begin + core_cmd <= #1 `I2C_CMD_NOP; + core_txd <= #1 1'b0; + shift <= #1 1'b0; + ld <= #1 1'b0; + cmd_ack <= #1 1'b0; + c_state <= #1 ST_IDLE; + ack_out <= #1 1'b0; + end + else if (rst | i2c_al) + begin + core_cmd <= #1 `I2C_CMD_NOP; + core_txd <= #1 1'b0; + shift <= #1 1'b0; + ld <= #1 1'b0; + cmd_ack <= #1 1'b0; + c_state <= #1 ST_IDLE; + ack_out <= #1 1'b0; + end + else + begin + // initially reset all signals + core_txd <= #1 sr[7]; + shift <= #1 1'b0; + ld <= #1 1'b0; + cmd_ack <= #1 1'b0; + + case (c_state) // synopsys full_case parallel_case + ST_IDLE: + if (go) + begin + if (start) + begin + c_state <= #1 ST_START; + core_cmd <= #1 `I2C_CMD_START; + end + else if (read) + begin + c_state <= #1 ST_READ; + core_cmd <= #1 `I2C_CMD_READ; + end + else if (write) + begin + c_state <= #1 ST_WRITE; + core_cmd <= #1 `I2C_CMD_WRITE; + end + else // stop + begin + c_state <= #1 ST_STOP; + core_cmd <= #1 `I2C_CMD_STOP; + end + + ld <= #1 1'b1; + end + + ST_START: + if (core_ack) + begin + if (read) + begin + c_state <= #1 ST_READ; + core_cmd <= #1 `I2C_CMD_READ; + end + else + begin + c_state <= #1 ST_WRITE; + core_cmd <= #1 `I2C_CMD_WRITE; + end + + ld <= #1 1'b1; + end + + ST_WRITE: + if (core_ack) + if (cnt_done) + begin + c_state <= #1 ST_ACK; + core_cmd <= #1 `I2C_CMD_READ; + end + else + begin + c_state <= #1 ST_WRITE; // stay in same state + core_cmd <= #1 `I2C_CMD_WRITE; // write next bit + shift <= #1 1'b1; + end + + ST_READ: + if (core_ack) + begin + if (cnt_done) + begin + c_state <= #1 ST_ACK; + core_cmd <= #1 `I2C_CMD_WRITE; + end + else + begin + c_state <= #1 ST_READ; // stay in same state + core_cmd <= #1 `I2C_CMD_READ; // read next bit + end + + shift <= #1 1'b1; + core_txd <= #1 ack_in; + end + + ST_ACK: + if (core_ack) + begin + if (stop) + begin + c_state <= #1 ST_STOP; + core_cmd <= #1 `I2C_CMD_STOP; + end + else + begin + c_state <= #1 ST_IDLE; + core_cmd <= #1 `I2C_CMD_NOP; + + // generate command acknowledge signal + cmd_ack <= #1 1'b1; + end + + // assign ack_out output to bit_controller_rxd (contains last received bit) + ack_out <= #1 core_rxd; + + core_txd <= #1 1'b1; + end + else + core_txd <= #1 ack_in; + + ST_STOP: + if (core_ack) + begin + c_state <= #1 ST_IDLE; + core_cmd <= #1 `I2C_CMD_NOP; + + // generate command acknowledge signal + cmd_ack <= #1 1'b1; + end + + endcase + end +endmodule diff --git a/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v new file mode 100644 index 00000000..ee3b694f --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v @@ -0,0 +1,64 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE rev.B2 compliant I2C Master controller defines //// +//// //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/i2c/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: i2c_master_defines.v,v 1.3 2001/11/05 11:59:25 rherveille Exp $ +// +// $Date: 2001/11/05 11:59:25 $ +// $Revision: 1.3 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: i2c_master_defines.v,v $ +// Revision 1.3 2001/11/05 11:59:25 rherveille +// Fixed wb_ack_o generation bug. +// Fixed bug in the byte_controller statemachine. +// Added headers. +// + + +// I2C registers wishbone addresses + +// bitcontroller states +`define I2C_CMD_NOP 4'b0000 +`define I2C_CMD_START 4'b0001 +`define I2C_CMD_STOP 4'b0010 +`define I2C_CMD_WRITE 4'b0100 +`define I2C_CMD_READ 4'b1000 diff --git a/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v new file mode 100644 index 00000000..30689bd7 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v @@ -0,0 +1,301 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE revB.2 compliant I2C Master controller Top-level //// +//// //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/i2c/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: i2c_master_top.v,v 1.11 2005/02/27 09:26:24 rherveille Exp $ +// +// $Date: 2005/02/27 09:26:24 $ +// $Revision: 1.11 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: i2c_master_top.v,v $ +// Revision 1.11 2005/02/27 09:26:24 rherveille +// Fixed register overwrite issue. +// Removed full_case pragma, replaced it by a default statement. +// +// Revision 1.10 2003/09/01 10:34:38 rherveille +// Fix a blocking vs. non-blocking error in the wb_dat output mux. +// +// Revision 1.9 2003/01/09 16:44:45 rherveille +// Fixed a bug in the Command Register declaration. +// +// Revision 1.8 2002/12/26 16:05:12 rherveille +// Small code simplifications +// +// Revision 1.7 2002/12/26 15:02:32 rherveille +// Core is now a Multimaster I2C controller +// +// Revision 1.6 2002/11/30 22:24:40 rherveille +// Cleaned up code +// +// Revision 1.5 2001/11/10 10:52:55 rherveille +// Changed PRER reset value from 0x0000 to 0xffff, conform specs. +// + +// synopsys translate_off +`include "timescale.v" +// synopsys translate_on + +`include "i2c_master_defines.v" + +module i2c_master_top( + wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o, + scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o ); + + // parameters + parameter ARST_LVL = 1'b0; // asynchronous reset level + + // + // inputs & outputs + // + + // wishbone signals + input wb_clk_i; // master clock input + input wb_rst_i; // synchronous active high reset + input arst_i; // asynchronous reset + input [2:0] wb_adr_i; // lower address bits + input [7:0] wb_dat_i; // databus input + output [7:0] wb_dat_o; // databus output + input wb_we_i; // write enable input + input wb_stb_i; // stobe/core select signal + input wb_cyc_i; // valid bus cycle input + output wb_ack_o; // bus cycle acknowledge output + output wb_inta_o; // interrupt request signal output + + reg [7:0] wb_dat_o; + reg wb_ack_o; + reg wb_inta_o; + + // I2C signals + // i2c clock line + input scl_pad_i; // SCL-line input + output scl_pad_o; // SCL-line output (always 1'b0) + output scl_padoen_o; // SCL-line output enable (active low) + + // i2c data line + input sda_pad_i; // SDA-line input + output sda_pad_o; // SDA-line output (always 1'b0) + output sda_padoen_o; // SDA-line output enable (active low) + + + // + // variable declarations + // + + // registers + reg [15:0] prer; // clock prescale register + reg [ 7:0] ctr; // control register + reg [ 7:0] txr; // transmit register + wire [ 7:0] rxr; // receive register + reg [ 7:0] cr; // command register + wire [ 7:0] sr; // status register + + // done signal: command completed, clear command register + wire done; + + // core enable signal + wire core_en; + wire ien; + + // status register signals + wire irxack; + reg rxack; // received aknowledge from slave + reg tip; // transfer in progress + reg irq_flag; // interrupt pending flag + wire i2c_busy; // bus busy (start signal detected) + wire i2c_al; // i2c bus arbitration lost + reg al; // status register arbitration lost bit + + // + // module body + // + + // generate internal reset + wire rst_i = arst_i ^ ARST_LVL; + + // generate wishbone signals + wire wb_wacc = wb_cyc_i & wb_stb_i & wb_we_i; + + // generate acknowledge output signal + always @(posedge wb_clk_i) + wb_ack_o <= #1 wb_cyc_i & wb_stb_i & ~wb_ack_o; // because timing is always honored + + // assign DAT_O + always @(posedge wb_clk_i) + begin + case (wb_adr_i) // synopsis parallel_case + 3'b000: wb_dat_o <= #1 prer[ 7:0]; + 3'b001: wb_dat_o <= #1 prer[15:8]; + 3'b010: wb_dat_o <= #1 ctr; + 3'b011: wb_dat_o <= #1 rxr; // write is transmit register (txr) + 3'b100: wb_dat_o <= #1 sr; // write is command register (cr) + 3'b101: wb_dat_o <= #1 txr; + 3'b110: wb_dat_o <= #1 cr; + 3'b111: wb_dat_o <= #1 0; // reserved + endcase + end + + // generate registers + always @(posedge wb_clk_i or negedge rst_i) + if (!rst_i) + begin + prer <= #1 16'hffff; + ctr <= #1 8'h0; + txr <= #1 8'h0; + end + else if (wb_rst_i) + begin + prer <= #1 16'hffff; + ctr <= #1 8'h0; + txr <= #1 8'h0; + end + else + if (wb_wacc) + case (wb_adr_i) // synopsis parallel_case + 3'b000 : prer [ 7:0] <= #1 wb_dat_i; + 3'b001 : prer [15:8] <= #1 wb_dat_i; + 3'b010 : ctr <= #1 wb_dat_i; + 3'b011 : txr <= #1 wb_dat_i; + default: ; + endcase + + // generate command register (special case) + always @(posedge wb_clk_i or negedge rst_i) + if (~rst_i) + cr <= #1 8'h0; + else if (wb_rst_i) + cr <= #1 8'h0; + else if (wb_wacc) + begin + if (core_en & (wb_adr_i == 3'b100) ) + cr <= #1 wb_dat_i; + end + else + begin + if (done | i2c_al) + cr[7:4] <= #1 4'h0; // clear command bits when done + // or when aribitration lost + cr[2:1] <= #1 2'b0; // reserved bits + cr[0] <= #1 2'b0; // clear IRQ_ACK bit + end + + + // decode command register + wire sta = cr[7]; + wire sto = cr[6]; + wire rd = cr[5]; + wire wr = cr[4]; + wire ack = cr[3]; + wire iack = cr[0]; + + // decode control register + assign core_en = ctr[7]; + assign ien = ctr[6]; + + // hookup byte controller block + i2c_master_byte_ctrl byte_controller ( + .clk ( wb_clk_i ), + .rst ( wb_rst_i ), + .nReset ( rst_i ), + .ena ( core_en ), + .clk_cnt ( prer ), + .start ( sta ), + .stop ( sto ), + .read ( rd ), + .write ( wr ), + .ack_in ( ack ), + .din ( txr ), + .cmd_ack ( done ), + .ack_out ( irxack ), + .dout ( rxr ), + .i2c_busy ( i2c_busy ), + .i2c_al ( i2c_al ), + .scl_i ( scl_pad_i ), + .scl_o ( scl_pad_o ), + .scl_oen ( scl_padoen_o ), + .sda_i ( sda_pad_i ), + .sda_o ( sda_pad_o ), + .sda_oen ( sda_padoen_o ) + ); + + // status register block + interrupt request signal + always @(posedge wb_clk_i or negedge rst_i) + if (!rst_i) + begin + al <= #1 1'b0; + rxack <= #1 1'b0; + tip <= #1 1'b0; + irq_flag <= #1 1'b0; + end + else if (wb_rst_i) + begin + al <= #1 1'b0; + rxack <= #1 1'b0; + tip <= #1 1'b0; + irq_flag <= #1 1'b0; + end + else + begin + al <= #1 i2c_al | (al & ~sta); + rxack <= #1 irxack; + tip <= #1 (rd | wr); + irq_flag <= #1 (done | i2c_al | irq_flag) & ~iack; // interrupt request flag is always generated + end + + // generate interrupt request signals + always @(posedge wb_clk_i or negedge rst_i) + if (!rst_i) + wb_inta_o <= #1 1'b0; + else if (wb_rst_i) + wb_inta_o <= #1 1'b0; + else + wb_inta_o <= #1 irq_flag && ien; // interrupt signal is only generated when IEN (interrupt enable bit is set) + + // assign status register bits + assign sr[7] = rxack; + assign sr[6] = i2c_busy; + assign sr[5] = al; + assign sr[4:2] = 3'h0; // reserved + assign sr[1] = tip; + assign sr[0] = irq_flag; + +endmodule diff --git a/usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v b/usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v new file mode 100644 index 00000000..60d4ecbd --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v @@ -0,0 +1,2 @@ +`timescale 1ns / 10ps + diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries new file mode 100644 index 00000000..2a33278f --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries @@ -0,0 +1,7 @@ +/I2C.VHD/1.1/Mon Sep 24 12:21:51 2001// +/i2c_master_bit_ctrl.vhd/1.14/Wed Oct 11 12:10:13 2006// +/i2c_master_byte_ctrl.vhd/1.5/Wed Feb 18 11:41:48 2004// +/i2c_master_top.vhd/1.7/Sun Mar 14 10:17:03 2004// +/readme/1.2/Sat Nov 30 22:25:47 2002// +/tst_ds1621.vhd/1.1/Mon Sep 24 12:21:51 2001// +D diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository new file mode 100644 index 00000000..c210ff4e --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository @@ -0,0 +1 @@ +i2c/rtl/vhdl diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Template b/usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD b/usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD new file mode 100644 index 00000000..64d1eb65 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD @@ -0,0 +1,620 @@ +-- +-- Simple I2C controller +-- +-- 1) No multimaster +-- 2) No slave mode +-- 3) No fifo's +-- +-- notes: +-- Every command is acknowledged. Do not set a new command before previous is acknowledged. +-- Dout is available 1 clock cycle later as cmd_ack +-- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +package I2C is + component simple_i2c is + port ( + clk : in std_logic; + ena : in std_logic; + nReset : in std_logic; + + clk_cnt : in unsigned(7 downto 0); -- 4x SCL + + -- input signals + start, + stop, + read, + write, + ack_in : std_logic; + Din : in std_logic_vector(7 downto 0); + + -- output signals + cmd_ack : out std_logic; + ack_out : out std_logic; + Dout : out std_logic_vector(7 downto 0); + + -- i2c signals + SCL : inout std_logic; + SDA : inout std_logic + ); + end component simple_i2c; +end package I2C; + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity simple_i2c is + port ( + clk : in std_logic; + ena : in std_logic; + nReset : in std_logic; + + clk_cnt : in unsigned(7 downto 0); -- 4x SCL + + -- input signals + start, + stop, + read, + write, + ack_in : std_logic; + Din : in std_logic_vector(7 downto 0); + + -- output signals + cmd_ack : out std_logic; + ack_out : out std_logic; + Dout : out std_logic_vector(7 downto 0); + + -- i2c signals + SCL : inout std_logic; + SDA : inout std_logic + ); +end entity simple_i2c; + +architecture structural of simple_i2c is + component i2c_core is + port ( + clk : in std_logic; + nReset : in std_logic; + + clk_cnt : in unsigned(7 downto 0); + + cmd : in std_logic_vector(2 downto 0); + cmd_ack : out std_logic; + busy : out std_logic; + + Din : in std_logic; + Dout : out std_logic; + + SCL : inout std_logic; + SDA : inout std_logic + ); + end component i2c_core; + + -- commands for i2c_core + constant CMD_NOP : std_logic_vector(2 downto 0) := "000"; + constant CMD_START : std_logic_vector(2 downto 0) := "010"; + constant CMD_STOP : std_logic_vector(2 downto 0) := "011"; + constant CMD_READ : std_logic_vector(2 downto 0) := "100"; + constant CMD_WRITE : std_logic_vector(2 downto 0) := "101"; + + -- signals for i2c_core + signal core_cmd : std_logic_vector(2 downto 0); + signal core_ack, core_busy, core_txd, core_rxd : std_logic; + + -- signals for shift register + signal sr : std_logic_vector(7 downto 0); -- 8bit shift register + signal shift, ld : std_logic; + + -- signals for state machine + signal go, host_ack : std_logic; +begin + -- hookup i2c core + u1: i2c_core port map (clk, nReset, clk_cnt, core_cmd, core_ack, core_busy, core_txd, core_rxd, SCL, SDA); + + -- generate host-command-acknowledge + cmd_ack <= host_ack; + + -- generate go-signal + go <= (read or write) and not host_ack; + + -- assign Dout output to shift-register + Dout <= sr; + + -- assign ack_out output to core_rxd (contains last received bit) + ack_out <= core_rxd; + + -- generate shift register + shift_register: process(clk) + begin + if (clk'event and clk = '1') then + if (ld = '1') then + sr <= din; + elsif (shift = '1') then + sr <= (sr(6 downto 0) & core_rxd); + end if; + end if; + end process shift_register; + + -- + -- state machine + -- + statemachine : block + type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop); + signal state : states; + signal dcnt : unsigned(2 downto 0); + begin + -- + -- command interpreter, translate complex commands into simpler I2C commands + -- + nxt_state_decoder: process(clk, nReset, state) + variable nxt_state : states; + variable idcnt : unsigned(2 downto 0); + variable ihost_ack : std_logic; + variable icore_cmd : std_logic_vector(2 downto 0); + variable icore_txd : std_logic; + variable ishift, iload : std_logic; + begin + -- 8 databits (1byte) of data to shift-in/out + idcnt := dcnt; + + -- no acknowledge (until command complete) + ihost_ack := '0'; + + icore_txd := core_txd; + + -- keep current command to i2c_core + icore_cmd := core_cmd; + + -- no shifting or loading of shift-register + ishift := '0'; + iload := '0'; + + -- keep current state; + nxt_state := state; + case state is + when st_idle => + if (go = '1') then + if (start = '1') then + nxt_state := st_start; + icore_cmd := CMD_START; + elsif (read = '1') then + nxt_state := st_read; + icore_cmd := CMD_READ; + idcnt := "111"; + else + nxt_state := st_write; + icore_cmd := CMD_WRITE; + idcnt := "111"; + iload := '1'; + end if; + end if; + + when st_start => + if (core_ack = '1') then + if (read = '1') then + nxt_state := st_read; + icore_cmd := CMD_READ; + idcnt := "111"; + else + nxt_state := st_write; + icore_cmd := CMD_WRITE; + idcnt := "111"; + iload := '1'; + end if; + end if; + + when st_write => + if (core_ack = '1') then + idcnt := dcnt -1; -- count down Data_counter + icore_txd := sr(7); + if (dcnt = 0) then + nxt_state := st_ack; + icore_cmd := CMD_READ; + else + ishift := '1'; +-- icore_txd := sr(7); + end if; + end if; + + when st_read => + if (core_ack = '1') then + idcnt := dcnt -1; -- count down Data_counter + ishift := '1'; + if (dcnt = 0) then + nxt_state := st_ack; + icore_cmd := CMD_WRITE; + icore_txd := ack_in; + end if; + end if; + + when st_ack => + if (core_ack = '1') then + -- generate command acknowledge signal + ihost_ack := '1'; + + -- Perform an additional shift, needed for 'read' (store last received bit in shift register) + ishift := '1'; + + -- check for stop; Should a STOP command be generated ? + if (stop = '1') then + nxt_state := st_stop; + icore_cmd := CMD_STOP; + else + nxt_state := st_idle; + icore_cmd := CMD_NOP; + end if; + end if; + + when st_stop => + if (core_ack = '1') then + nxt_state := st_idle; + icore_cmd := CMD_NOP; + end if; + + when others => -- illegal states + nxt_state := st_idle; + icore_cmd := CMD_NOP; + end case; + + -- generate registers + if (nReset = '0') then + core_cmd <= CMD_NOP; + core_txd <= '0'; + + shift <= '0'; + ld <= '0'; + + dcnt <= "111"; + host_ack <= '0'; + + state <= st_idle; + elsif (clk'event and clk = '1') then + if (ena = '1') then + state <= nxt_state; + + dcnt <= idcnt; + shift <= ishift; + ld <= iload; + + core_cmd <= icore_cmd; + core_txd <= icore_txd; + + host_ack <= ihost_ack; + end if; + end if; + end process nxt_state_decoder; + + end block statemachine; + +end architecture structural; + + +-- +-- +-- I2C Core +-- +-- Translate simple commands into SCL/SDA transitions +-- Each command has 5 states, A/B/C/D/idle +-- +-- start: SCL ~~~~~~~~~~\____ +-- SDA ~~~~~~~~\______ +-- x | A | B | C | D | i +-- +-- repstart SCL ____/~~~~\___ +-- SDA __/~~~\______ +-- x | A | B | C | D | i +-- +-- stop SCL ____/~~~~~~~~ +-- SDA ==\____/~~~~~ +-- x | A | B | C | D | i +-- +--- write SCL ____/~~~~\____ +-- SDA ==X=========X= +-- x | A | B | C | D | i +-- +--- read SCL ____/~~~~\____ +-- SDA XXXX=====XXXX +-- x | A | B | C | D | i +-- + +-- Timing: Normal mode Fast mode +----------------------------------------------------------------- +-- Fscl 100KHz 400KHz +-- Th_scl 4.0us 0.6us High period of SCL +-- Tl_scl 4.7us 1.3us Low period of SCL +-- Tsu:sta 4.7us 0.6us setup time for a repeated start condition +-- Tsu:sto 4.0us 0.6us setup time for a stop conditon +-- Tbuf 4.7us 1.3us Bus free time between a stop and start condition +-- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity i2c_core is + port ( + clk : in std_logic; + nReset : in std_logic; + + clk_cnt : in unsigned(7 downto 0); + + cmd : in std_logic_vector(2 downto 0); + cmd_ack : out std_logic; + busy : out std_logic; + + Din : in std_logic; + Dout : out std_logic; + + SCL : inout std_logic; + SDA : inout std_logic + ); +end entity i2c_core; + +architecture structural of i2c_core is + constant CMD_NOP : std_logic_vector(2 downto 0) := "000"; + constant CMD_START : std_logic_vector(2 downto 0) := "010"; + constant CMD_STOP : std_logic_vector(2 downto 0) := "011"; + constant CMD_READ : std_logic_vector(2 downto 0) := "100"; + constant CMD_WRITE : std_logic_vector(2 downto 0) := "101"; + + type cmds is (idle, start_a, start_b, start_c, start_d, stop_a, stop_b, stop_c, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d); + signal state : cmds; + signal SDAo, SCLo : std_logic; + signal txd : std_logic; + signal clk_en, slave_wait :std_logic; + signal cnt : unsigned(7 downto 0) := clk_cnt; +begin + -- whenever the slave is not ready it can delay the cycle by pulling SCL low + slave_wait <= '1' when ((SCLo = '1') and (SCL = '0')) else '0'; + + -- generate clk enable signal + gen_clken: process(clk, nReset) + begin + if (nReset = '0') then + cnt <= (others => '0'); + clk_en <= '1'; --'0'; + elsif (clk'event and clk = '1') then + if (cnt = 0) then + clk_en <= '1'; + cnt <= clk_cnt; + else + if (slave_wait = '0') then + cnt <= cnt -1; + end if; + clk_en <= '0'; + end if; + end if; + end process gen_clken; + + -- generate statemachine + nxt_state_decoder : process (clk, nReset, state, cmd, SDA) + variable nxt_state : cmds; + variable icmd_ack, ibusy, store_sda : std_logic; + variable itxd : std_logic; + begin + + nxt_state := state; + + icmd_ack := '0'; -- default no acknowledge + ibusy := '1'; -- default busy + + store_sda := '0'; + + itxd := txd; + + case (state) is + -- idle + when idle => + case cmd is + when CMD_START => + nxt_state := start_a; + icmd_ack := '1'; -- command completed + + when CMD_STOP => + nxt_state := stop_a; + icmd_ack := '1'; -- command completed + + when CMD_WRITE => + nxt_state := wr_a; + icmd_ack := '1'; -- command completed + itxd := Din; + + when CMD_READ => + nxt_state := rd_a; + icmd_ack := '1'; -- command completed + + when others => + nxt_state := idle; +-- don't acknowledge NOP command icmd_ack := '1'; -- command completed + ibusy := '0'; + end case; + + -- start + when start_a => + nxt_state := start_b; + + when start_b => + nxt_state := start_c; + + when start_c => + nxt_state := start_d; + + when start_d => + nxt_state := idle; + ibusy := '0'; -- not busy when idle + + + -- stop + when stop_a => + nxt_state := stop_b; + + when stop_b => + nxt_state := stop_c; + + when stop_c => +-- nxt_state := stop_d; + +-- when stop_d => + nxt_state := idle; + ibusy := '0'; -- not busy when idle + + -- read + when rd_a => + nxt_state := rd_b; + + when rd_b => + nxt_state := rd_c; + + when rd_c => + nxt_state := rd_d; + store_sda := '1'; + + when rd_d => + nxt_state := idle; + ibusy := '0'; -- not busy when idle + + -- write + when wr_a => + nxt_state := wr_b; + + when wr_b => + nxt_state := wr_c; + + when wr_c => + nxt_state := wr_d; + + when wr_d => + nxt_state := idle; + ibusy := '0'; -- not busy when idle + + end case; + + -- generate regs + if (nReset = '0') then + state <= idle; + cmd_ack <= '0'; + busy <= '0'; + txd <= '0'; + Dout <= '0'; + elsif (clk'event and clk = '1') then + if (clk_en = '1') then + state <= nxt_state; + busy <= ibusy; + + txd <= itxd; + if (store_sda = '1') then + Dout <= SDA; + end if; + end if; + + cmd_ack <= icmd_ack and clk_en; + end if; + end process nxt_state_decoder; + + -- + -- convert states to SCL and SDA signals + -- + output_decoder: process (clk, nReset, state) + variable iscl, isda : std_logic; + begin + case (state) is + when idle => + iscl := SCLo; -- keep SCL in same state + isda := SDA; -- keep SDA in same state + + -- start + when start_a => + iscl := SCLo; -- keep SCL in same state (for repeated start) + isda := '1'; -- set SDA high + + when start_b => + iscl := '1'; -- set SCL high + isda := '1'; -- keep SDA high + + when start_c => + iscl := '1'; -- keep SCL high + isda := '0'; -- sel SDA low + + when start_d => + iscl := '0'; -- set SCL low + isda := '0'; -- keep SDA low + + -- stop + when stop_a => + iscl := '0'; -- keep SCL disabled + isda := '0'; -- set SDA low + + when stop_b => + iscl := '1'; -- set SCL high + isda := '0'; -- keep SDA low + + when stop_c => + iscl := '1'; -- keep SCL high + isda := '1'; -- set SDA high + + -- write + when wr_a => + iscl := '0'; -- keep SCL low +-- isda := txd; -- set SDA + isda := Din; + + when wr_b => + iscl := '1'; -- set SCL high +-- isda := txd; -- set SDA + isda := Din; + + when wr_c => + iscl := '1'; -- keep SCL high +-- isda := txd; -- set SDA + isda := Din; + + when wr_d => + iscl := '0'; -- set SCL low +-- isda := txd; -- set SDA + isda := Din; + + -- read + when rd_a => + iscl := '0'; -- keep SCL low + isda := '1'; -- tri-state SDA + + when rd_b => + iscl := '1'; -- set SCL high + isda := '1'; -- tri-state SDA + + when rd_c => + iscl := '1'; -- keep SCL high + isda := '1'; -- tri-state SDA + + when rd_d => + iscl := '0'; -- set SCL low + isda := '1'; -- tri-state SDA + end case; + + -- generate registers + if (nReset = '0') then + SCLo <= '1'; + SDAo <= '1'; + elsif (clk'event and clk = '1') then + if (clk_en = '1') then + SCLo <= iscl; + SDAo <= isda; + end if; + end if; + end process output_decoder; + + SCL <= '0' when (SCLo = '0') else 'Z'; -- since SCL is externally pulled-up convert a '1' to a 'Z'(tri-state) + SDA <= '0' when (SDAo = '0') else 'Z'; -- since SDA is externally pulled-up convert a '1' to a 'Z'(tri-state) +-- SCL <= SCLo; +-- SDA <= SDAo; + +end architecture structural; + + + + diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd new file mode 100644 index 00000000..1b8eb96d --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd @@ -0,0 +1,495 @@ +--------------------------------------------------------------------- +---- ---- +---- WISHBONE revB2 I2C Master Core; bit-controller ---- +---- ---- +---- ---- +---- Author: Richard Herveille ---- +---- richard@asics.ws ---- +---- www.asics.ws ---- +---- ---- +---- Downloaded from: http://www.opencores.org/projects/i2c/ ---- +---- ---- +--------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2000 Richard Herveille ---- +---- richard@asics.ws ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer.---- +---- ---- +---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- +---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- +---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- +---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- +---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- +---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- +---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- +---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- +---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- +---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- +---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- +---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- +---- POSSIBILITY OF SUCH DAMAGE. ---- +---- ---- +--------------------------------------------------------------------- + +-- CVS Log +-- +-- $Id: i2c_master_bit_ctrl.vhd,v 1.14 2006/10/11 12:10:13 rherveille Exp $ +-- +-- $Date: 2006/10/11 12:10:13 $ +-- $Revision: 1.14 $ +-- $Author: rherveille $ +-- $Locker: $ +-- $State: Exp $ +-- +-- Change History: +-- $Log: i2c_master_bit_ctrl.vhd,v $ +-- Revision 1.14 2006/10/11 12:10:13 rherveille +-- Added missing semicolons ';' on endif +-- +-- Revision 1.13 2006/10/06 10:48:24 rherveille +-- fixed short scl high pulse after clock stretch +-- +-- Revision 1.12 2004/05/07 11:53:31 rherveille +-- Fixed previous fix :) Made a variable vs signal mistake. +-- +-- Revision 1.11 2004/05/07 11:04:00 rherveille +-- Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit. +-- +-- Revision 1.10 2004/02/27 07:49:43 rherveille +-- Fixed a bug in the arbitration-lost signal generation. VHDL version only. +-- +-- Revision 1.9 2003/08/12 14:48:37 rherveille +-- Forgot an 'end if' :-/ +-- +-- Revision 1.8 2003/08/09 07:01:13 rherveille +-- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line. +-- Fixed a potential bug in the byte controller's host-acknowledge generation. +-- +-- Revision 1.7 2003/02/05 00:06:02 rherveille +-- Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles. +-- +-- Revision 1.6 2003/02/01 02:03:06 rherveille +-- Fixed a few 'arbitration lost' bugs. VHDL version only. +-- +-- Revision 1.5 2002/12/26 16:05:47 rherveille +-- Core is now a Multimaster I2C controller. +-- +-- Revision 1.4 2002/11/30 22:24:37 rherveille +-- Cleaned up code +-- +-- Revision 1.3 2002/10/30 18:09:53 rherveille +-- Fixed some reported minor start/stop generation timing issuess. +-- +-- Revision 1.2 2002/06/15 07:37:04 rherveille +-- Fixed a small timing bug in the bit controller.\nAdded verilog simulation environment. +-- +-- Revision 1.1 2001/11/05 12:02:33 rherveille +-- Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version. +-- Code updated, is now up-to-date to doc. rev.0.4. +-- Added headers. +-- + + +-- +------------------------------------- +-- Bit controller section +------------------------------------ +-- +-- Translate simple commands into SCL/SDA transitions +-- Each command has 5 states, A/B/C/D/idle +-- +-- start: SCL ~~~~~~~~~~~~~~\____ +-- SDA XX/~~~~~~~\______ +-- x | A | B | C | D | i +-- +-- repstart SCL ______/~~~~~~~\___ +-- SDA __/~~~~~~~\______ +-- x | A | B | C | D | i +-- +-- stop SCL _______/~~~~~~~~~~~ +-- SDA ==\___________/~~~~~ +-- x | A | B | C | D | i +-- +--- write SCL ______/~~~~~~~\____ +-- SDA XXX===============XX +-- x | A | B | C | D | i +-- +--- read SCL ______/~~~~~~~\____ +-- SDA XXXXXXX=XXXXXXXXXXX +-- x | A | B | C | D | i +-- + +-- Timing: Normal mode Fast mode +----------------------------------------------------------------- +-- Fscl 100KHz 400KHz +-- Th_scl 4.0us 0.6us High period of SCL +-- Tl_scl 4.7us 1.3us Low period of SCL +-- Tsu:sta 4.7us 0.6us setup time for a repeated start condition +-- Tsu:sto 4.0us 0.6us setup time for a stop conditon +-- Tbuf 4.7us 1.3us Bus free time between a stop and start condition +-- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity i2c_master_bit_ctrl is + port ( + clk : in std_logic; + rst : in std_logic; + nReset : in std_logic; + ena : in std_logic; -- core enable signal + + clk_cnt : in unsigned(15 downto 0); -- clock prescale value + + cmd : in std_logic_vector(3 downto 0); + cmd_ack : out std_logic; -- command completed + busy : out std_logic; -- i2c bus busy + al : out std_logic; -- arbitration lost + + din : in std_logic; + dout : out std_logic; + + -- i2c lines + scl_i : in std_logic; -- i2c clock line input + scl_o : out std_logic; -- i2c clock line output + scl_oen : out std_logic; -- i2c clock line output enable, active low + sda_i : in std_logic; -- i2c data line input + sda_o : out std_logic; -- i2c data line output + sda_oen : out std_logic -- i2c data line output enable, active low + ); +end entity i2c_master_bit_ctrl; + +architecture structural of i2c_master_bit_ctrl is + constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000"; + constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001"; + constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010"; + constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100"; + constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000"; + + type states is (idle, start_a, start_b, start_c, start_d, start_e, + stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d); + signal c_state : states; + + signal iscl_oen, isda_oen : std_logic; -- internal I2C lines + signal sda_chk : std_logic; -- check SDA status (multi-master arbitration) + signal dscl_oen : std_logic; -- delayed scl_oen signals + signal sSCL, sSDA : std_logic; -- synchronized SCL and SDA inputs + signal clk_en, slave_wait : std_logic; -- clock generation signals + signal ial : std_logic; -- internal arbitration lost signal +-- signal cnt : unsigned(15 downto 0) := clk_cnt; -- clock divider counter (simulation) + signal cnt : unsigned(15 downto 0); -- clock divider counter (synthesis) + +begin + -- whenever the slave is not ready it can delay the cycle by pulling SCL low + -- delay scl_oen + process (clk) + begin + if (clk'event and clk = '1') then + dscl_oen <= iscl_oen; + end if; + end process; + slave_wait <= dscl_oen and not sSCL; + + -- generate clk enable signal + gen_clken: process(clk, nReset) + begin + if (nReset = '0') then + cnt <= (others => '0'); + clk_en <= '1'; + elsif (clk'event and clk = '1') then + if (rst = '1') then + cnt <= (others => '0'); + clk_en <= '1'; + elsif ( (cnt = 0) or (ena = '0') ) then + cnt <= clk_cnt; + clk_en <= '1'; + elsif (slave_wait = '1') then + cnt <= cnt; + clk_en <= '0'; + else + cnt <= cnt -1; + clk_en <= '0'; + end if; + end if; + end process gen_clken; + + + -- generate bus status controller + bus_status_ctrl: block + signal dSCL, dSDA : std_logic; -- delayes sSCL and sSDA + signal sta_condition : std_logic; -- start detected + signal sto_condition : std_logic; -- stop detected + signal cmd_stop : std_logic; -- STOP command + signal ibusy : std_logic; -- internal busy signal + begin + -- synchronize SCL and SDA inputs + synch_scl_sda: process(clk, nReset) + begin + if (nReset = '0') then + sSCL <= '1'; + sSDA <= '1'; + + dSCL <= '1'; + dSDA <= '1'; + elsif (clk'event and clk = '1') then + if (rst = '1') then + sSCL <= '1'; + sSDA <= '1'; + + dSCL <= '1'; + dSDA <= '1'; + else + sSCL <= scl_i; + sSDA <= sda_i; + + dSCL <= sSCL; + dSDA <= sSDA; + end if; + end if; + end process synch_SCL_SDA; + + -- detect start condition => detect falling edge on SDA while SCL is high + -- detect stop condition => detect rising edge on SDA while SCL is high + detect_sta_sto: process(clk, nReset) + begin + if (nReset = '0') then + sta_condition <= '0'; + sto_condition <= '0'; + elsif (clk'event and clk = '1') then + if (rst = '1') then + sta_condition <= '0'; + sto_condition <= '0'; + else + sta_condition <= (not sSDA and dSDA) and sSCL; + sto_condition <= (sSDA and not dSDA) and sSCL; + end if; + end if; + end process detect_sta_sto; + + -- generate i2c-bus busy signal + gen_busy: process(clk, nReset) + begin + if (nReset = '0') then + ibusy <= '0'; + elsif (clk'event and clk = '1') then + if (rst = '1') then + ibusy <= '0'; + else + ibusy <= (sta_condition or ibusy) and not sto_condition; + end if; + end if; + end process gen_busy; + busy <= ibusy; + + + -- generate arbitration lost signal + -- aribitration lost when: + -- 1) master drives SDA high, but the i2c bus is low + -- 2) stop detected while not requested (detect during 'idle' state) + gen_al: process(clk, nReset) + begin + if (nReset = '0') then + cmd_stop <= '0'; + ial <= '0'; + elsif (clk'event and clk = '1') then + if (rst = '1') then + cmd_stop <= '0'; + ial <= '0'; + else + if (clk_en = '1') then + if (cmd = I2C_CMD_STOP) then + cmd_stop <= '1'; + else + cmd_stop <= '0'; + end if; + end if; + + if (c_state = idle) then + ial <= (sda_chk and not sSDA and isda_oen); + else + ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop); + end if; + + end if; + end if; + end process gen_al; + al <= ial; + + -- generate dout signal, store dout on rising edge of SCL + gen_dout: process(clk) + begin + if (clk'event and clk = '1') then + if (sSCL = '1' and dSCL = '0') then + dout <= sSDA; + end if; + end if; + end process gen_dout; + end block bus_status_ctrl; + + + -- generate statemachine + nxt_state_decoder : process (clk, nReset, c_state, cmd) + begin + if (nReset = '0') then + c_state <= idle; + cmd_ack <= '0'; + iscl_oen <= '1'; + isda_oen <= '1'; + sda_chk <= '0'; + elsif (clk'event and clk = '1') then + if (rst = '1' or ial = '1') then + c_state <= idle; + cmd_ack <= '0'; + iscl_oen <= '1'; + isda_oen <= '1'; + sda_chk <= '0'; + else + cmd_ack <= '0'; -- default no acknowledge + + if (clk_en = '1') then + case (c_state) is + -- idle + when idle => + case cmd is + when I2C_CMD_START => c_state <= start_a; + when I2C_CMD_STOP => c_state <= stop_a; + when I2C_CMD_WRITE => c_state <= wr_a; + when I2C_CMD_READ => c_state <= rd_a; + when others => c_state <= idle; -- NOP command + end case; + + iscl_oen <= iscl_oen; -- keep SCL in same state + isda_oen <= isda_oen; -- keep SDA in same state + sda_chk <= '0'; -- don't check SDA + + -- start + when start_a => + c_state <= start_b; + iscl_oen <= iscl_oen; -- keep SCL in same state (for repeated start) + isda_oen <= '1'; -- set SDA high + sda_chk <= '0'; -- don't check SDA + + when start_b => + c_state <= start_c; + iscl_oen <= '1'; -- set SCL high + isda_oen <= '1'; -- keep SDA high + sda_chk <= '0'; -- don't check SDA + + when start_c => + c_state <= start_d; + iscl_oen <= '1'; -- keep SCL high + isda_oen <= '0'; -- set SDA low + sda_chk <= '0'; -- don't check SDA + + when start_d => + c_state <= start_e; + iscl_oen <= '1'; -- keep SCL high + isda_oen <= '0'; -- keep SDA low + sda_chk <= '0'; -- don't check SDA + + when start_e => + c_state <= idle; + cmd_ack <= '1'; -- command completed + iscl_oen <= '0'; -- set SCL low + isda_oen <= '0'; -- keep SDA low + sda_chk <= '0'; -- don't check SDA + + -- stop + when stop_a => + c_state <= stop_b; + iscl_oen <= '0'; -- keep SCL low + isda_oen <= '0'; -- set SDA low + sda_chk <= '0'; -- don't check SDA + + when stop_b => + c_state <= stop_c; + iscl_oen <= '1'; -- set SCL high + isda_oen <= '0'; -- keep SDA low + sda_chk <= '0'; -- don't check SDA + + when stop_c => + c_state <= stop_d; + iscl_oen <= '1'; -- keep SCL high + isda_oen <= '0'; -- keep SDA low + sda_chk <= '0'; -- don't check SDA + + when stop_d => + c_state <= idle; + cmd_ack <= '1'; -- command completed + iscl_oen <= '1'; -- keep SCL high + isda_oen <= '1'; -- set SDA high + sda_chk <= '0'; -- don't check SDA + + -- read + when rd_a => + c_state <= rd_b; + iscl_oen <= '0'; -- keep SCL low + isda_oen <= '1'; -- tri-state SDA + sda_chk <= '0'; -- don't check SDA + + when rd_b => + c_state <= rd_c; + iscl_oen <= '1'; -- set SCL high + isda_oen <= '1'; -- tri-state SDA + sda_chk <= '0'; -- don't check SDA + + when rd_c => + c_state <= rd_d; + iscl_oen <= '1'; -- keep SCL high + isda_oen <= '1'; -- tri-state SDA + sda_chk <= '0'; -- don't check SDA + + when rd_d => + c_state <= idle; + cmd_ack <= '1'; -- command completed + iscl_oen <= '0'; -- set SCL low + isda_oen <= '1'; -- tri-state SDA + sda_chk <= '0'; -- don't check SDA + + -- write + when wr_a => + c_state <= wr_b; + iscl_oen <= '0'; -- keep SCL low + isda_oen <= din; -- set SDA + sda_chk <= '0'; -- don't check SDA (SCL low) + + when wr_b => + c_state <= wr_c; + iscl_oen <= '1'; -- set SCL high + isda_oen <= din; -- keep SDA + sda_chk <= '1'; -- check SDA + + when wr_c => + c_state <= wr_d; + iscl_oen <= '1'; -- keep SCL high + isda_oen <= din; -- keep SDA + sda_chk <= '1'; -- check SDA + + when wr_d => + c_state <= idle; + cmd_ack <= '1'; -- command completed + iscl_oen <= '0'; -- set SCL low + isda_oen <= din; -- keep SDA + sda_chk <= '0'; -- don't check SDA (SCL low) + + when others => + + end case; + end if; + end if; + end if; + end process nxt_state_decoder; + + + -- assign outputs + scl_o <= '0'; + scl_oen <= iscl_oen; + sda_o <= '0'; + sda_oen <= isda_oen; +end architecture structural; + diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd new file mode 100644 index 00000000..bdb2a881 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd @@ -0,0 +1,370 @@ +--------------------------------------------------------------------- +---- ---- +---- WISHBONE revB2 compl. I2C Master Core; byte-controller ---- +---- ---- +---- ---- +---- Author: Richard Herveille ---- +---- richard@asics.ws ---- +---- www.asics.ws ---- +---- ---- +---- Downloaded from: http://www.opencores.org/projects/i2c/ ---- +---- ---- +--------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2000 Richard Herveille ---- +---- richard@asics.ws ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer.---- +---- ---- +---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- +---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- +---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- +---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- +---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- +---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- +---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- +---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- +---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- +---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- +---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- +---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- +---- POSSIBILITY OF SUCH DAMAGE. ---- +---- ---- +--------------------------------------------------------------------- + +-- CVS Log +-- +-- $Id: i2c_master_byte_ctrl.vhd,v 1.5 2004/02/18 11:41:48 rherveille Exp $ +-- +-- $Date: 2004/02/18 11:41:48 $ +-- $Revision: 1.5 $ +-- $Author: rherveille $ +-- $Locker: $ +-- $State: Exp $ +-- +-- Change History: +-- $Log: i2c_master_byte_ctrl.vhd,v $ +-- Revision 1.5 2004/02/18 11:41:48 rherveille +-- Fixed a potential bug in the statemachine. During a 'stop' 2 cmd_ack signals were generated. Possibly canceling a new start command. +-- +-- Revision 1.4 2003/08/09 07:01:13 rherveille +-- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line. +-- Fixed a potential bug in the byte controller's host-acknowledge generation. +-- +-- Revision 1.3 2002/12/26 16:05:47 rherveille +-- Core is now a Multimaster I2C controller. +-- +-- Revision 1.2 2002/11/30 22:24:37 rherveille +-- Cleaned up code +-- +-- Revision 1.1 2001/11/05 12:02:33 rherveille +-- Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version. +-- Code updated, is now up-to-date to doc. rev.0.4. +-- Added headers. +-- + + + + +-- +------------------------------------------ +-- Byte controller section +------------------------------------------ +-- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity i2c_master_byte_ctrl is + port ( + clk : in std_logic; + rst : in std_logic; -- synchronous active high reset (WISHBONE compatible) + nReset : in std_logic; -- asynchornous active low reset (FPGA compatible) + ena : in std_logic; -- core enable signal + + clk_cnt : in unsigned(15 downto 0); -- 4x SCL + + -- input signals + start, + stop, + read, + write, + ack_in : std_logic; + din : in std_logic_vector(7 downto 0); + + -- output signals + cmd_ack : out std_logic; -- command done + ack_out : out std_logic; + i2c_busy : out std_logic; -- arbitration lost + i2c_al : out std_logic; -- i2c bus busy + dout : out std_logic_vector(7 downto 0); + + -- i2c lines + scl_i : in std_logic; -- i2c clock line input + scl_o : out std_logic; -- i2c clock line output + scl_oen : out std_logic; -- i2c clock line output enable, active low + sda_i : in std_logic; -- i2c data line input + sda_o : out std_logic; -- i2c data line output + sda_oen : out std_logic -- i2c data line output enable, active low + ); +end entity i2c_master_byte_ctrl; + +architecture structural of i2c_master_byte_ctrl is + component i2c_master_bit_ctrl is + port ( + clk : in std_logic; + rst : in std_logic; + nReset : in std_logic; + ena : in std_logic; -- core enable signal + + clk_cnt : in unsigned(15 downto 0); -- clock prescale value + + cmd : in std_logic_vector(3 downto 0); + cmd_ack : out std_logic; -- command done + busy : out std_logic; -- i2c bus busy + al : out std_logic; -- arbitration lost + + din : in std_logic; + dout : out std_logic; + + -- i2c lines + scl_i : in std_logic; -- i2c clock line input + scl_o : out std_logic; -- i2c clock line output + scl_oen : out std_logic; -- i2c clock line output enable, active low + sda_i : in std_logic; -- i2c data line input + sda_o : out std_logic; -- i2c data line output + sda_oen : out std_logic -- i2c data line output enable, active low + ); + end component i2c_master_bit_ctrl; + + -- commands for bit_controller block + constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000"; + constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001"; + constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010"; + constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100"; + constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000"; + + -- signals for bit_controller + signal core_cmd : std_logic_vector(3 downto 0); + signal core_ack, core_txd, core_rxd : std_logic; + signal al : std_logic; + + -- signals for shift register + signal sr : std_logic_vector(7 downto 0); -- 8bit shift register + signal shift, ld : std_logic; + + -- signals for state machine + signal go, host_ack : std_logic; + signal dcnt : unsigned(2 downto 0); -- data counter + signal cnt_done : std_logic; + +begin + -- hookup bit_controller + bit_ctrl: i2c_master_bit_ctrl port map( + clk => clk, + rst => rst, + nReset => nReset, + ena => ena, + clk_cnt => clk_cnt, + cmd => core_cmd, + cmd_ack => core_ack, + busy => i2c_busy, + al => al, + din => core_txd, + dout => core_rxd, + scl_i => scl_i, + scl_o => scl_o, + scl_oen => scl_oen, + sda_i => sda_i, + sda_o => sda_o, + sda_oen => sda_oen + ); + i2c_al <= al; + + -- generate host-command-acknowledge + cmd_ack <= host_ack; + + -- generate go-signal + go <= (read or write or stop) and not host_ack; + + -- assign Dout output to shift-register + dout <= sr; + + -- generate shift register + shift_register: process(clk, nReset) + begin + if (nReset = '0') then + sr <= (others => '0'); + elsif (clk'event and clk = '1') then + if (rst = '1') then + sr <= (others => '0'); + elsif (ld = '1') then + sr <= din; + elsif (shift = '1') then + sr <= (sr(6 downto 0) & core_rxd); + end if; + end if; + end process shift_register; + + -- generate data-counter + data_cnt: process(clk, nReset) + begin + if (nReset = '0') then + dcnt <= (others => '0'); + elsif (clk'event and clk = '1') then + if (rst = '1') then + dcnt <= (others => '0'); + elsif (ld = '1') then + dcnt <= (others => '1'); -- load counter with 7 + elsif (shift = '1') then + dcnt <= dcnt -1; + end if; + end if; + end process data_cnt; + + cnt_done <= '1' when (dcnt = 0) else '0'; + + -- + -- state machine + -- + statemachine : block + type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop); + signal c_state : states; + begin + -- + -- command interpreter, translate complex commands into simpler I2C commands + -- + nxt_state_decoder: process(clk, nReset) + begin + if (nReset = '0') then + core_cmd <= I2C_CMD_NOP; + core_txd <= '0'; + shift <= '0'; + ld <= '0'; + host_ack <= '0'; + c_state <= st_idle; + ack_out <= '0'; + elsif (clk'event and clk = '1') then + if (rst = '1' or al = '1') then + core_cmd <= I2C_CMD_NOP; + core_txd <= '0'; + shift <= '0'; + ld <= '0'; + host_ack <= '0'; + c_state <= st_idle; + ack_out <= '0'; + else + -- initialy reset all signal + core_txd <= sr(7); + shift <= '0'; + ld <= '0'; + host_ack <= '0'; + + case c_state is + when st_idle => + if (go = '1') then + if (start = '1') then + c_state <= st_start; + core_cmd <= I2C_CMD_START; + elsif (read = '1') then + c_state <= st_read; + core_cmd <= I2C_CMD_READ; + elsif (write = '1') then + c_state <= st_write; + core_cmd <= I2C_CMD_WRITE; + else -- stop + c_state <= st_stop; + core_cmd <= I2C_CMD_STOP; + end if; + + ld <= '1'; + end if; + + when st_start => + if (core_ack = '1') then + if (read = '1') then + c_state <= st_read; + core_cmd <= I2C_CMD_READ; + else + c_state <= st_write; + core_cmd <= I2C_CMD_WRITE; + end if; + + ld <= '1'; + end if; + + when st_write => + if (core_ack = '1') then + if (cnt_done = '1') then + c_state <= st_ack; + core_cmd <= I2C_CMD_READ; + else + c_state <= st_write; -- stay in same state + core_cmd <= I2C_CMD_WRITE; -- write next bit + shift <= '1'; + end if; + end if; + + when st_read => + if (core_ack = '1') then + if (cnt_done = '1') then + c_state <= st_ack; + core_cmd <= I2C_CMD_WRITE; + else + c_state <= st_read; -- stay in same state + core_cmd <= I2C_CMD_READ; -- read next bit + end if; + + shift <= '1'; + core_txd <= ack_in; + end if; + + when st_ack => + if (core_ack = '1') then + -- check for stop; Should a STOP command be generated ? + if (stop = '1') then + c_state <= st_stop; + core_cmd <= I2C_CMD_STOP; + else + c_state <= st_idle; + core_cmd <= I2C_CMD_NOP; + + -- generate command acknowledge signal + host_ack <= '1'; + end if; + + -- assign ack_out output to core_rxd (contains last received bit) + ack_out <= core_rxd; + + core_txd <= '1'; + else + core_txd <= ack_in; + end if; + + when st_stop => + if (core_ack = '1') then + c_state <= st_idle; + core_cmd <= I2C_CMD_NOP; + + -- generate command acknowledge signal + host_ack <= '1'; + end if; + + when others => -- illegal states + c_state <= st_idle; + core_cmd <= I2C_CMD_NOP; + report ("Byte controller entered illegal state."); + + end case; + + end if; + end if; + end process nxt_state_decoder; + + end block statemachine; + +end architecture structural; + diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd new file mode 100644 index 00000000..a2557120 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd @@ -0,0 +1,359 @@ +--------------------------------------------------------------------- +---- ---- +---- WISHBONE revB2 compl. I2C Master Core; top level ---- +---- ---- +---- ---- +---- Author: Richard Herveille ---- +---- richard@asics.ws ---- +---- www.asics.ws ---- +---- ---- +---- Downloaded from: http://www.opencores.org/projects/i2c/ ---- +---- ---- +--------------------------------------------------------------------- +---- ---- +---- Copyright (C) 2000 Richard Herveille ---- +---- richard@asics.ws ---- +---- ---- +---- This source file may be used and distributed without ---- +---- restriction provided that this copyright statement is not ---- +---- removed from the file and that any derivative work contains ---- +---- the original copyright notice and the associated disclaimer.---- +---- ---- +---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- +---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- +---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- +---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- +---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- +---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- +---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- +---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- +---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- +---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- +---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- +---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- +---- POSSIBILITY OF SUCH DAMAGE. ---- +---- ---- +--------------------------------------------------------------------- + +-- CVS Log +-- +-- $Id: i2c_master_top.vhd,v 1.7 2004/03/14 10:17:03 rherveille Exp $ +-- +-- $Date: 2004/03/14 10:17:03 $ +-- $Revision: 1.7 $ +-- $Author: rherveille $ +-- $Locker: $ +-- $State: Exp $ +-- +-- Change History: +-- $Log: i2c_master_top.vhd,v $ +-- Revision 1.7 2004/03/14 10:17:03 rherveille +-- Fixed simulation issue when writing to CR register +-- +-- Revision 1.6 2003/08/09 07:01:13 rherveille +-- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line. +-- Fixed a potential bug in the byte controller's host-acknowledge generation. +-- +-- Revision 1.5 2003/02/01 02:03:06 rherveille +-- Fixed a few 'arbitration lost' bugs. VHDL version only. +-- +-- Revision 1.4 2002/12/26 16:05:47 rherveille +-- Core is now a Multimaster I2C controller. +-- +-- Revision 1.3 2002/11/30 22:24:37 rherveille +-- Cleaned up code +-- +-- Revision 1.2 2001/11/10 10:52:44 rherveille +-- Changed PRER reset value from 0x0000 to 0xffff, conform specs. +-- + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity i2c_master_top is + generic( + ARST_LVL : std_logic := '0' -- asynchronous reset level + ); + port ( + -- wishbone signals + wb_clk_i : in std_logic; -- master clock input + wb_rst_i : in std_logic := '0'; -- synchronous active high reset + arst_i : in std_logic := not ARST_LVL; -- asynchronous reset + wb_adr_i : in unsigned(2 downto 0); -- lower address bits + wb_dat_i : in std_logic_vector(7 downto 0); -- Databus input + wb_dat_o : out std_logic_vector(7 downto 0); -- Databus output + wb_we_i : in std_logic; -- Write enable input + wb_stb_i : in std_logic; -- Strobe signals / core select signal + wb_cyc_i : in std_logic; -- Valid bus cycle input + wb_ack_o : out std_logic; -- Bus cycle acknowledge output + wb_inta_o : out std_logic; -- interrupt request output signal + + -- i2c lines + scl_pad_i : in std_logic; -- i2c clock line input + scl_pad_o : out std_logic; -- i2c clock line output + scl_padoen_o : out std_logic; -- i2c clock line output enable, active low + sda_pad_i : in std_logic; -- i2c data line input + sda_pad_o : out std_logic; -- i2c data line output + sda_padoen_o : out std_logic -- i2c data line output enable, active low + ); +end entity i2c_master_top; + +architecture structural of i2c_master_top is + component i2c_master_byte_ctrl is + port ( + clk : in std_logic; + rst : in std_logic; -- synchronous active high reset (WISHBONE compatible) + nReset : in std_logic; -- asynchornous active low reset (FPGA compatible) + ena : in std_logic; -- core enable signal + + clk_cnt : in unsigned(15 downto 0); -- 4x SCL + + -- input signals + start, + stop, + read, + write, + ack_in : std_logic; + din : in std_logic_vector(7 downto 0); + + -- output signals + cmd_ack : out std_logic; + ack_out : out std_logic; + i2c_busy : out std_logic; + i2c_al : out std_logic; + dout : out std_logic_vector(7 downto 0); + + -- i2c lines + scl_i : in std_logic; -- i2c clock line input + scl_o : out std_logic; -- i2c clock line output + scl_oen : out std_logic; -- i2c clock line output enable, active low + sda_i : in std_logic; -- i2c data line input + sda_o : out std_logic; -- i2c data line output + sda_oen : out std_logic -- i2c data line output enable, active low + ); + end component i2c_master_byte_ctrl; + + -- registers + signal prer : unsigned(15 downto 0); -- clock prescale register + signal ctr : std_logic_vector(7 downto 0); -- control register + signal txr : std_logic_vector(7 downto 0); -- transmit register + signal rxr : std_logic_vector(7 downto 0); -- receive register + signal cr : std_logic_vector(7 downto 0); -- command register + signal sr : std_logic_vector(7 downto 0); -- status register + + -- internal reset signal + signal rst_i : std_logic; + + -- wishbone write access + signal wb_wacc : std_logic; + + -- internal acknowledge signal + signal iack_o : std_logic; + + -- done signal: command completed, clear command register + signal done : std_logic; + + -- command register signals + signal sta, sto, rd, wr, ack, iack : std_logic; + + signal core_en : std_logic; -- core enable signal + signal ien : std_logic; -- interrupt enable signal + + -- status register signals + signal irxack, rxack : std_logic; -- received aknowledge from slave + signal tip : std_logic; -- transfer in progress + signal irq_flag : std_logic; -- interrupt pending flag + signal i2c_busy : std_logic; -- i2c bus busy (start signal detected) + signal i2c_al, al : std_logic; -- arbitration lost + +begin + -- generate internal reset signal + rst_i <= arst_i xor ARST_LVL; + + -- generate acknowledge output signal + gen_ack_o : process(wb_clk_i) + begin + if (wb_clk_i'event and wb_clk_i = '1') then + iack_o <= wb_cyc_i and wb_stb_i and not iack_o; -- because timing is always honored + end if; + end process gen_ack_o; + wb_ack_o <= iack_o; + + + -- generate wishbone write access signal + wb_wacc <= wb_cyc_i and wb_stb_i and wb_we_i; + + -- assign wb_dat_o + assign_dato : process(wb_clk_i) + begin + if (wb_clk_i'event and wb_clk_i = '1') then + case wb_adr_i is + when "000" => wb_dat_o <= std_logic_vector(prer( 7 downto 0)); + when "001" => wb_dat_o <= std_logic_vector(prer(15 downto 8)); + when "010" => wb_dat_o <= ctr; + when "011" => wb_dat_o <= rxr; -- write is transmit register TxR + when "100" => wb_dat_o <= sr; -- write is command register CR + + -- Debugging registers: + -- These registers are not documented. + -- Functionality could change in future releases + when "101" => wb_dat_o <= txr; + when "110" => wb_dat_o <= cr; + when "111" => wb_dat_o <= (others => '0'); + when others => wb_dat_o <= (others => 'X'); -- for simulation only + end case; + end if; + end process assign_dato; + + + -- generate registers (CR, SR see below) + gen_regs: process(rst_i, wb_clk_i) + begin + if (rst_i = '0') then + prer <= (others => '1'); + ctr <= (others => '0'); + txr <= (others => '0'); + elsif (wb_clk_i'event and wb_clk_i = '1') then + if (wb_rst_i = '1') then + prer <= (others => '1'); + ctr <= (others => '0'); + txr <= (others => '0'); + elsif (wb_wacc = '1') then + case wb_adr_i is + when "000" => prer( 7 downto 0) <= unsigned(wb_dat_i); + when "001" => prer(15 downto 8) <= unsigned(wb_dat_i); + when "010" => ctr <= wb_dat_i; + when "011" => txr <= wb_dat_i; + when "100" => null; --write to CR, avoid executing the others clause + + -- illegal cases, for simulation only + when others => + report ("Illegal write address, setting all registers to unknown."); + prer <= (others => 'X'); + ctr <= (others => 'X'); + txr <= (others => 'X'); + end case; + end if; + end if; + end process gen_regs; + + + -- generate command register + gen_cr: process(rst_i, wb_clk_i) + begin + if (rst_i = '0') then + cr <= (others => '0'); + elsif (wb_clk_i'event and wb_clk_i = '1') then + if (wb_rst_i = '1') then + cr <= (others => '0'); + elsif (wb_wacc = '1') then + if ( (core_en = '1') and (wb_adr_i = 4) ) then + -- only take new commands when i2c core enabled + -- pending commands are finished + cr <= wb_dat_i; + end if; + else + if (done = '1' or i2c_al = '1') then + cr(7 downto 4) <= (others => '0'); -- clear command bits when command done or arbitration lost + end if; + + cr(2 downto 1) <= (others => '0'); -- reserved bits, always '0' + cr(0) <= '0'; -- clear IRQ_ACK bit + end if; + end if; + end process gen_cr; + + -- decode command register + sta <= cr(7); + sto <= cr(6); + rd <= cr(5); + wr <= cr(4); + ack <= cr(3); + iack <= cr(0); + + -- decode control register + core_en <= ctr(7); + ien <= ctr(6); + + -- hookup byte controller block + byte_ctrl: i2c_master_byte_ctrl port map ( + clk => wb_clk_i, + rst => wb_rst_i, + nReset => rst_i, + ena => core_en, + clk_cnt => prer, + start => sta, + stop => sto, + read => rd, + write => wr, + ack_in => ack, + i2c_busy => i2c_busy, + i2c_al => i2c_al, + din => txr, + cmd_ack => done, + ack_out => irxack, + dout => rxr, + scl_i => scl_pad_i, + scl_o => scl_pad_o, + scl_oen => scl_padoen_o, + sda_i => sda_pad_i, + sda_o => sda_pad_o, + sda_oen => sda_padoen_o + ); + + + -- status register block + interrupt request signal + st_irq_block : block + begin + -- generate status register bits + gen_sr_bits: process (wb_clk_i, rst_i) + begin + if (rst_i = '0') then + al <= '0'; + rxack <= '0'; + tip <= '0'; + irq_flag <= '0'; + elsif (wb_clk_i'event and wb_clk_i = '1') then + if (wb_rst_i = '1') then + al <= '0'; + rxack <= '0'; + tip <= '0'; + irq_flag <= '0'; + else + al <= i2c_al or (al and not sta); + rxack <= irxack; + tip <= (rd or wr); + + -- interrupt request flag is always generated + irq_flag <= (done or i2c_al or irq_flag) and not iack; + end if; + end if; + end process gen_sr_bits; + + -- generate interrupt request signals + gen_irq: process (wb_clk_i, rst_i) + begin + if (rst_i = '0') then + wb_inta_o <= '0'; + elsif (wb_clk_i'event and wb_clk_i = '1') then + if (wb_rst_i = '1') then + wb_inta_o <= '0'; + else + -- interrupt signal is only generated when IEN (interrupt enable bit) is set + wb_inta_o <= irq_flag and ien; + end if; + end if; + end process gen_irq; + + -- assign status register bits + sr(7) <= rxack; + sr(6) <= i2c_busy; + sr(5) <= al; + sr(4 downto 2) <= (others => '0'); -- reserved + sr(1) <= tip; + sr(0) <= irq_flag; + end block; + +end architecture structural; diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/readme b/usrp2/fpga/opencores/i2c/rtl/vhdl/readme new file mode 100644 index 00000000..0d049f73 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/readme @@ -0,0 +1,25 @@ + + +-- This code is provided for free and may be used and -- +-- distributed without restriction provided that the -- +-- copyright statement is not removed from the file and -- +-- that any derivative work contains the original -- +-- copyright notice and the associated disclaimer. -- + +-- Comments and suggestions are always welcome -- + +The i2c_master core consists of three files: + +- i2c_master_top -- top level +- i2c_master_byte_ctrl -- byte controller +- i2c_master_bit_ctrl -- bit controller + +VHDL needs to be compiled in order. The files are listed +above in descending order. + +I2C.VHD and tst_ds1621.vhd are not supported anymore. +They remain mostly for historical purposes, altough they +might prove usefull. + +Richard Herveille +rherveille@opencores.org diff --git a/usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd b/usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd new file mode 100644 index 00000000..ccf50460 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd @@ -0,0 +1,283 @@ +-- +-- +-- State machine for reading data from Dallas 1621 +-- +-- Testsystem for i2c controller +-- +-- +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +use work.i2c.all; + +entity DS1621_interface is + port ( + clk : in std_logic; + nReset : in std_logic; + + Dout : out std_logic_vector(7 downto 0); -- data read from ds1621 + + error : out std_logic; -- no correct ack received + + SCL : inout std_logic; + SDA : inout std_logic + ); +end entity DS1621_interface; + +architecture structural of DS1621_interface is + constant SLAVE_ADDR : std_logic_vector(6 downto 0) := "1001000"; + constant CLK_CNT : unsigned(7 downto 0) := conv_unsigned(20, 8); + + signal cmd_ack : std_logic; + signal D : std_logic_vector(7 downto 0); + signal lack, store_dout : std_logic; + + signal start, read, write, ack, stop : std_logic; + signal i2c_dout : std_logic_vector(7 downto 0); + +begin + -- hookup I2C controller + u1: simple_i2c port map (clk => clk, ena => '1', clk_cnt => clk_cnt, nReset => nReset, + read => read, write => write, start => start, stop => stop, ack_in => ack, cmd_ack => cmd_ack, + Din => D, Dout => i2c_dout, ack_out => lack, SCL => SCL, SDA => SDA); + + init_statemachine : block + type states is (i1, i2, i3, i4, i5, t1, t2, t3, t4, t5); + signal state : states; + begin + nxt_state_decoder: process(clk, nReset, state) + variable nxt_state : states; + variable iD : std_logic_vector(7 downto 0); + variable ierr : std_logic; + variable istart, iread, iwrite, iack, istop : std_logic; + variable istore_dout : std_logic; + begin + nxt_state := state; + ierr := '0'; + istore_dout := '0'; + + istart := start; + iread := read; + iwrite := write; + iack := ack; + istop := stop; + iD := D; + + case (state) is + -- init DS1621 + -- 1) send start condition + -- 2) send slave address + write + -- 3) check ack + -- 4) send "access config" command (0xAC) + -- 5) check ack + -- 6) send config register data (0x00) + -- 7) check ack + -- 8) send stop condition + -- 9) send start condition + -- 10) send slave address + write + -- 11) check ack + -- 12) send "start conversion" command (0xEE) + -- 13) check ack + -- 14) send stop condition + + when i1 => -- send start condition, sent slave address + write + nxt_state := i2; + istart := '1'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := (slave_addr & '0'); -- write to slave (R/W = '0') + + when i2 => -- send "access config" command + if (cmd_ack = '1') then + nxt_state := i3; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '0'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := x"AC"; + end if; + + when i3 => -- send config register data, sent stop condition + if (cmd_ack = '1') then + nxt_state := i4; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '0'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '1'; + iD := x"00"; + end if; + + when i4 => -- send start condition, sent slave address + write + if (cmd_ack = '1') then + nxt_state := i5; + + istart := '1'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := (slave_addr & '0'); -- write to slave (R/W = '0') + end if; + + when i5 => -- send "start conversion" command + stop condition + if (cmd_ack = '1') then + nxt_state := t1; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '0'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '1'; + iD := x"EE"; + end if; + -- read temperature + -- 1) sent start condition + -- 2) sent slave address + write + -- 3) check ack + -- 4) sent "read temperature" command (0xAA) + -- 5) check ack + -- 6) sent start condition + -- 7) sent slave address + read + -- 8) check ack + -- 9) read msb + -- 10) send ack + -- 11) read lsb + -- 12) send nack + -- 13) send stop condition + + when t1 => -- send start condition, sent slave address + write + if (cmd_ack = '1') then + nxt_state := t2; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '1'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := (slave_addr & '0'); -- write to slave (R/W = '0') + end if; + + when t2 => -- send read temperature command + if (cmd_ack = '1') then + nxt_state := t3; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '0'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := x"AA"; + end if; + + when t3 => -- send (repeated) start condition, send slave address + read + if (cmd_ack = '1') then + nxt_state := t4; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received, expected ACK + end if; + + istart := '1'; + iread := '0'; + iwrite := '1'; + iack := '0'; + istop := '0'; + iD := (slave_addr & '1'); -- read from slave (R/W = '1') + end if; + + when t4 => -- read MSB (hi-byte), send acknowledge + if (cmd_ack = '1') then + nxt_state := t5; + -- check aknowledge bit + if (lack = '1') then + ierr := '1'; -- no acknowledge received from last command, expected ACK + end if; + + istart := '0'; + iread := '1'; + iwrite := '0'; + iack := '0'; --ACK + istop := '0'; + end if; + + when t5 => -- read LSB (lo-byte), send acknowledge, sent stop + if (cmd_ack = '1') then + nxt_state := t1; + + istart := '0'; + iread := '1'; + iwrite := '0'; + iack := '1'; --NACK + istop := '1'; + + istore_dout := '1'; + end if; + end case; + + -- genregs + if (nReset = '0') then + state <= i1; + error <= '0'; + store_dout <= '0'; + + start <= '0'; + read <= '0'; + write <= '0'; + ack <= '0'; + stop <= '0'; + D <= (others => '0'); + elsif (clk'event and clk = '1') then + state <= nxt_state; + error <= ierr; + store_dout <= istore_dout; + + start <= istart; + read <= iread; + write <= iwrite; + ack <= iack; + stop <= istop; + D <= iD; + end if; + end process nxt_state_decoder; + end block init_statemachine; + + -- store temp + gen_dout : process(clk) + begin + if (clk'event and clk = '1') then + if (store_dout = '1') then + Dout <= i2c_dout; + end if; + end if; + end process gen_dout; + +end architecture structural; + + diff --git a/usrp2/fpga/opencores/i2c/sim/CVS/Entries b/usrp2/fpga/opencores/i2c/sim/CVS/Entries new file mode 100644 index 00000000..d08a896a --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/CVS/Entries @@ -0,0 +1 @@ +D/i2c_verilog//// diff --git a/usrp2/fpga/opencores/i2c/sim/CVS/Repository b/usrp2/fpga/opencores/i2c/sim/CVS/Repository new file mode 100644 index 00000000..500d8590 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/CVS/Repository @@ -0,0 +1 @@ +i2c/sim diff --git a/usrp2/fpga/opencores/i2c/sim/CVS/Root b/usrp2/fpga/opencores/i2c/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/sim/CVS/Template b/usrp2/fpga/opencores/i2c/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries new file mode 100644 index 00000000..8ab9f73a --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries @@ -0,0 +1 @@ +D/run//// diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository new file mode 100644 index 00000000..b97ee33c --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository @@ -0,0 +1 @@ +i2c/sim/i2c_verilog diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Template b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries new file mode 100644 index 00000000..ddea0baa --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries @@ -0,0 +1,6 @@ +/bench.vcd/1.1/Sat Jun 15 07:37:05 2002// +/ncverilog.key/1.1/Sat Jun 15 07:37:11 2002// +/ncverilog.log/1.1/Sat Jun 15 07:37:11 2002// +/run/1.2/Fri Apr 6 09:02:38 2007// +D/INCA_libs//// +D/waves//// diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository new file mode 100644 index 00000000..bdd990e7 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository @@ -0,0 +1 @@ +i2c/sim/i2c_verilog/run diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Template b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository new file mode 100644 index 00000000..6b760e39 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository @@ -0,0 +1 @@ +i2c/sim/i2c_verilog/run/INCA_libs diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Template b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd new file mode 100644 index 00000000..9a364415 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd @@ -0,0 +1,1496812 @@ +$date + Jun 15, 2002 13:36:37 +$end +$version + ncsim: v03.40.(b001) +$end +$timescale + 10 ps +$end + +$scope module tst_bench_top $end +$var reg 1 ! clk $end +$var reg 1 " rstn $end +$var wire 1 # adr [31] $end +$var wire 1 $ adr [30] $end +$var wire 1 % adr [29] $end +$var wire 1 & adr [28] $end +$var wire 1 ' adr [27] $end +$var wire 1 ( adr [26] $end +$var wire 1 ) adr [25] $end +$var wire 1 * adr [24] $end +$var wire 1 + adr [23] $end +$var wire 1 , adr [22] $end +$var wire 1 - adr [21] $end +$var wire 1 . adr [20] $end +$var wire 1 / adr [19] $end +$var wire 1 0 adr [18] $end +$var wire 1 1 adr [17] $end +$var wire 1 2 adr [16] $end +$var wire 1 3 adr [15] $end +$var wire 1 4 adr [14] $end +$var wire 1 5 adr [13] $end +$var wire 1 6 adr [12] $end +$var wire 1 7 adr [11] $end +$var wire 1 8 adr [10] $end +$var wire 1 9 adr [9] $end +$var wire 1 : adr [8] $end +$var wire 1 ; adr [7] $end +$var wire 1 < adr [6] $end +$var wire 1 = adr [5] $end +$var wire 1 > adr [4] $end +$var wire 1 ? adr [3] $end +$var wire 1 @ adr [2] $end +$var wire 1 A adr [1] $end +$var wire 1 B adr [0] $end +$var wire 8 C dat_i [7:0] $end +$var wire 8 D dat_o [7:0] $end +$var wire 1 E we $end +$var wire 1 F stb $end +$var wire 1 G cyc $end +$var wire 1 H ack $end +$var wire 1 I inta $end +$var reg 8 J q [7:0] $end +$var reg 8 K qq [7:0] $end +$var wire 1 L scl $end +$var wire 1 M scl_o $end +$var wire 1 N scl_oen $end +$var wire 1 O sda $end +$var wire 1 P sda_o $end +$var wire 1 Q sda_oen $end +$var parameter 3 R PRER_LO $end +$var parameter 3 S PRER_HI $end +$var parameter 3 T CTR $end +$var parameter 3 U RXR $end +$var parameter 3 V TXR $end +$var parameter 3 W CR $end +$var parameter 3 X SR $end +$var parameter 3 Y TXR_R $end +$var parameter 3 Z CR_R $end + +$scope module i2c_slave $end +$var parameter 7 [ I2C_ADR $end +$var wire 1 L scl $end +$var wire 1 O sda $end +$var wire 1 \ debug $end +$var reg 8 ] mem_adr [7:0] $end +$var reg 8 ^ mem_do [7:0] $end +$var reg 1 _ sta $end +$var reg 1 ` d_sta $end +$var reg 1 a sto $end +$var reg 1 b d_sto $end +$var reg 8 c sr [7:0] $end +$var reg 1 d rw $end +$var wire 1 e my_adr $end +$var wire 1 f i2c_reset $end +$var reg 3 g bit_cnt [2:0] $end +$var wire 1 h acc_done $end +$var reg 1 i ld $end +$var reg 1 j sda_o $end +$var parameter 3 k idle $end +$var parameter 3 l slave_ack $end +$var parameter 3 m get_mem_adr $end +$var parameter 3 n gma_ack $end +$var parameter 3 o data $end +$var parameter 3 p data_ack $end +$var reg 3 q state [2:0] $end +$upscope $end + +$upscope $end + +$enddefinitions $end +$dumpvars +b101 p +b100 o +b11 n +b10 m +b1 l +b0 k +b1010000 [ +b110 Z +b101 Y +b100 X +b100 W +b11 V +b11 U +b10 T +b1 S +b0 R +0! +1" +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +bx C +bx D +xE +xF +0G +0H +xI +bx J +bx K +xL +0M +xN +xO +0P +xQ +0\ +bx ] +bx ^ +x_ +x` +xa +xb +bx c +xd +xe +xf +bx g +xh +xi +1j +b0 q +$end +#200 +0" +#300 +1Q +1N +0I +1O +1L +#400 +1a +bx1 c +1f +#500 +1! +1i +#1000 +0! +#1500 +1! +#2000 +0! +#2500 +1! +#3000 +0! +#3500 +1! +#4000 +0! +#4500 +1! +#5000 +0! +#5500 +1! +#6000 +0! +#6500 +1! +#7000 +0! +#7500 +1! +#8000 +0! +#8500 +1! +#9000 +0! +#9500 +1! +#10000 +0! +#10500 +1! +#11000 +0! +#11500 +1! +#12000 +0! +#12500 +1! +#13000 +0! +#13500 +1! +#14000 +0! +#14500 +1! +#15000 +0! +#15500 +1! +#16000 +0! +#16500 +1! +#17000 +0! +#17500 +1! +#18000 +0! +#18500 +1! +#19000 +0! +#19500 +1! +1" +#20000 +0! +#20500 +1! +#20600 +1E +1F +1G +b110010 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +0B +b11111111 C +1H +#21000 +0! +#21500 +1! +#21600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22000 +0! +#22500 +1! +#22600 +1E +1F +1G +b0 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +1B +1H +#23000 +0! +#23500 +1! +#23600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +0B +b110010 C +1H +#24000 +0! +#24500 +1! +#24600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +1B +b0 C +1H +#25000 +0! +#25500 +1! +#25600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26000 +0! +#26500 +1! +#26600 +1E +1F +1G +b10000000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +0B +1H +#27000 +0! +#27500 +1! +#27600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28000 +0! +#28500 +1! +#28600 +1E +1F +1G +b10100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +1H +#29000 +0! +#29500 +1! +#29600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29700 +1E +1F +1G +b10010000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30000 +0! +#30500 +1! +#30600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31000 +0! +#31500 +1! +#31600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b10 C +#32000 +0! +#32500 +1! +#32600 +b10 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33000 +0! +#33500 +1! +#33600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34000 +0! +#34500 +1! +#34600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35000 +0! +#35500 +1! +#35600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36000 +0! +#36500 +1! +#36600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37000 +0! +#37500 +1! +#37600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38000 +0! +#38500 +1! +#38600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39000 +0! +#39500 +1! +#39600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40000 +0! +#40500 +1! +#40600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#41000 +0! +#41500 +1! +#41600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#41700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#42000 +0! +#42500 +1! +#42600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#42700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#43000 +0! +#43500 +1! +#43600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#43700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#44000 +0! +#44500 +1! +#44600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#44700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#45000 +0! +#45500 +1! +#45600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#45700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#46000 +0! +#46500 +1! +#46600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#46700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#47000 +0! +#47500 +1! +#47600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#47700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#48000 +0! +#48500 +1! +#48600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#48700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#49000 +0! +#49500 +1! +#49600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#49700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#50000 +0! +#50500 +1! +#50600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#50700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#51000 +0! +#51500 +1! +#51600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#51700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#52000 +0! +#52500 +1! +#52600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#52700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#53000 +0! +#53500 +1! +#53600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#53700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#54000 +0! +#54500 +1! +#54600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#54700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#55000 +0! +#55500 +1! +#55600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#55700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#56000 +0! +#56500 +1! +#56600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#56700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#57000 +0! +#57500 +1! +#57600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#57700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#58000 +0! +#58500 +1! +#58600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#58700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#59000 +0! +#59500 +1! +#59600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#59700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#60000 +0! +#60500 +1! +#60600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#60700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#61000 +0! +#61500 +1! +#61600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#61700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#62000 +0! +#62500 +1! +#62600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#62700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#63000 +0! +#63500 +1! +#63600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#63700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#64000 +0! +#64500 +1! +#64600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#64700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#65000 +0! +#65500 +1! +#65600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#65700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#66000 +0! +#66500 +1! +#66600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#66700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#67000 +0! +#67500 +1! +#67600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#67700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#68000 +0! +#68500 +1! +#68600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#68700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#69000 +0! +#69500 +1! +#69600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#69700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#70000 +0! +#70500 +1! +#70600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#70700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#71000 +0! +#71500 +1! +#71600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#71700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#72000 +0! +#72500 +1! +#72600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#72700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#73000 +0! +#73500 +1! +#73600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#73700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#74000 +0! +#74500 +1! +#74600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#74700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#75000 +0! +#75500 +1! +#75600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#75700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#76000 +0! +#76500 +1! +#76600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#76700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#77000 +0! +#77500 +1! +#77600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#77700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#78000 +0! +#78500 +1! +#78600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#78700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#79000 +0! +#79500 +1! +#79600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#79700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#80000 +0! +#80500 +1! +#80600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#80700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#81000 +0! +#81500 +1! +#81600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#81700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#82000 +0! +#82500 +1! +#82600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#82700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#83000 +0! +#83500 +1! +#83600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#83700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#84000 +0! +#84500 +1! +#84600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#84700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#85000 +0! +#85500 +1! +#85600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#85700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#86000 +0! +#86500 +1! +#86600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#86700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#87000 +0! +#87500 +1! +#87600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#87700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#88000 +0! +#88500 +1! +#88600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#88700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#89000 +0! +#89500 +1! +#89600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#89700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#90000 +0! +#90500 +1! +#90600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#90700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#91000 +0! +#91500 +1! +#91600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#91700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#92000 +0! +#92500 +1! +#92600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#92700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#93000 +0! +#93500 +1! +#93600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#93700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#94000 +0! +#94500 +1! +#94600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#94700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#95000 +0! +#95500 +1! +#95600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#95700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#96000 +0! +#96500 +1! +#96600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#96700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#97000 +0! +#97500 +1! +#97600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#97700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#98000 +0! +#98500 +1! +#98600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#98700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#99000 +0! +#99500 +1! +#99600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#99700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#100000 +0! +#100500 +1! +#100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#100700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#101000 +0! +#101500 +1! +#101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#101700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#102000 +0! +#102500 +1! +#102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#102700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#103000 +0! +#103500 +1! +#103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#103700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#104000 +0! +#104500 +1! +#104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#104700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#105000 +0! +#105500 +1! +#105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#105700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#106000 +0! +#106500 +1! +#106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#106700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#107000 +0! +#107500 +1! +#107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#107700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#108000 +0! +#108500 +1! +#108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#108700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#109000 +0! +#109500 +1! +#109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#109700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#110000 +0! +#110500 +1! +#110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#110700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#111000 +0! +#111500 +1! +#111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#111700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#112000 +0! +#112500 +1! +#112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#112700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#113000 +0! +#113500 +1! +#113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#113700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#114000 +0! +#114500 +1! +#114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#114700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#115000 +0! +#115500 +1! +#115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#115700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#116000 +0! +#116500 +1! +#116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#116700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#117000 +0! +#117500 +1! +#117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#117700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#118000 +0! +#118500 +1! +#118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#118700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#119000 +0! +#119500 +1! +#119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#119700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#120000 +0! +#120500 +1! +#120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#120700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#121000 +0! +#121500 +1! +#121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#121700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#122000 +0! +#122500 +1! +#122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#122700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#123000 +0! +#123500 +1! +#123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#123700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#124000 +0! +#124500 +1! +#124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#124700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#125000 +0! +#125500 +1! +#125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#125700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#126000 +0! +#126500 +1! +#126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#126700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#127000 +0! +#127500 +1! +#127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#127700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#128000 +0! +#128500 +1! +#128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#128700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#129000 +0! +#129500 +1! +#129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#129700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#130000 +0! +#130500 +1! +#130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#130700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#131000 +0! +#131500 +1! +#131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#131700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#132000 +0! +#132500 +1! +#132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#132700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#133000 +0! +#133500 +1! +#133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#133700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#134000 +0! +#134500 +1! +#134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#134700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#135000 +0! +#135500 +1! +#135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#135700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#136000 +0! +#136500 +1! +#136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#136700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#137000 +0! +#137500 +1! +#137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#137700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#138000 +0! +#138500 +1! +#138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#138700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#139000 +0! +#139500 +1! +#139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#139700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#140000 +0! +#140500 +1! +#140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#140700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#141000 +0! +#141500 +1! +#141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#141700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#142000 +0! +#142500 +1! +#142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#142700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#143000 +0! +#143500 +1! +#143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#143700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#144000 +0! +#144500 +1! +#144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#144700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#145000 +0! +#145500 +1! +#145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#145700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#146000 +0! +#146500 +1! +#146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#146700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#147000 +0! +#147500 +1! +#147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#147700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#148000 +0! +#148500 +1! +#148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#148700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#149000 +0! +#149500 +1! +#149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#149700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#150000 +0! +#150500 +1! +#150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#150700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#151000 +0! +#151500 +1! +#151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#151700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#152000 +0! +#152500 +1! +#152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#152700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#153000 +0! +#153500 +1! +#153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#153700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#154000 +0! +#154500 +1! +#154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#154700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#155000 +0! +#155500 +1! +#155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#155700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#156000 +0! +#156500 +1! +#156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#156700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#157000 +0! +#157500 +1! +#157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#157700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#158000 +0! +#158500 +1! +#158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#158700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#159000 +0! +#159500 +1! +#159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#159700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#160000 +0! +#160500 +1! +#160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#160700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#161000 +0! +#161500 +1! +#161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#161700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#162000 +0! +#162500 +1! +#162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#162700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#163000 +0! +#163500 +1! +#163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#163700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#164000 +0! +#164500 +1! +#164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#164700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#165000 +0! +#165500 +1! +#165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#165700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#166000 +0! +#166500 +1! +#166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#166700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#167000 +0! +#167500 +1! +#167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#167700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#168000 +0! +#168500 +1! +#168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#168700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#169000 +0! +#169500 +1! +#169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#169700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#170000 +0! +#170500 +1! +#170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#170700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#171000 +0! +#171500 +1! +#171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#171700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#172000 +0! +#172500 +1! +#172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#172700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#173000 +0! +#173500 +1! +#173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#173700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#174000 +0! +#174500 +1! +#174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#174700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#175000 +0! +#175500 +1! +#175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#175700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#176000 +0! +#176500 +1! +#176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#176700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#177000 +0! +#177500 +1! +#177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#177700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#178000 +0! +#178500 +1! +#178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#178700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#179000 +0! +#179500 +1! +#179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#179700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#180000 +0! +#180500 +1! +#180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#180700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#181000 +0! +#181500 +1! +#181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#181700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#182000 +0! +#182500 +1! +#182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#182700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#183000 +0! +#183500 +1! +#183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#183700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#184000 +0! +#184500 +1! +#184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#184700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#185000 +0! +#185500 +1! +#185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#185700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#186000 +0! +#186500 +1! +#186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#186700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#187000 +0! +#187500 +1! +#187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#187700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#188000 +0! +#188500 +1! +#188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#188700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#189000 +0! +#189500 +1! +#189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#189700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#190000 +0! +#190500 +1! +#190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#190700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#191000 +0! +#191500 +1! +#191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#191700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#192000 +0! +#192500 +1! +#192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#192700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#193000 +0! +#193500 +1! +#193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#193700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#194000 +0! +#194500 +1! +#194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#194700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#195000 +0! +#195500 +1! +#195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#195700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#196000 +0! +#196500 +1! +#196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#196700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#197000 +0! +#197500 +1! +#197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#197700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#198000 +0! +#198500 +1! +#198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#198700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#199000 +0! +#199500 +1! +#199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#199700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#200000 +0! +#200500 +1! +#200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#200700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#201000 +0! +#201500 +1! +#201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#201700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#202000 +0! +#202500 +1! +#202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#202700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#203000 +0! +#203500 +1! +#203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#203700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#204000 +0! +#204500 +1! +#204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#204700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#205000 +0! +#205500 +1! +#205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#205700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#206000 +0! +#206500 +1! +#206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#206700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#207000 +0! +#207500 +1! +#207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#207700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#208000 +0! +#208500 +1! +#208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#208700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#209000 +0! +#209500 +1! +#209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#209700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#210000 +0! +#210500 +1! +#210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#210700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#211000 +0! +#211500 +1! +#211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#211700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#212000 +0! +#212500 +1! +#212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#212700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#213000 +0! +#213500 +1! +#213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#213700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#214000 +0! +#214500 +1! +#214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#214700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#215000 +0! +#215500 +1! +#215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#215700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#216000 +0! +#216500 +1! +#216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#216700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#217000 +0! +#217500 +1! +#217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#217700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#218000 +0! +#218500 +1! +#218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#218700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#219000 +0! +#219500 +1! +#219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#219700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#220000 +0! +#220500 +1! +#220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#220700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#221000 +0! +#221500 +1! +#221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#221700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#222000 +0! +#222500 +1! +#222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#222700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#223000 +0! +#223500 +1! +#223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#223700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#224000 +0! +#224500 +1! +#224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#224700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#225000 +0! +#225500 +1! +#225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#225700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#226000 +0! +#226500 +1! +#226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#226700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#227000 +0! +#227500 +1! +#227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#227700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#228000 +0! +#228500 +1! +#228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#228700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#229000 +0! +#229500 +1! +#229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#229700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#230000 +0! +#230500 +1! +#230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#230700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#231000 +0! +#231500 +1! +#231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#231700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#232000 +0! +#232500 +1! +#232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#232700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1_ +#233000 +0! +#233500 +1! +#233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#233700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#234000 +0! +#234500 +1! +#234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#234700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#235000 +0! +#235500 +1! +b1000010 C +#235600 +b1000010 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#235700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#236000 +0! +#236500 +1! +#236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#236700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#237000 +0! +#237500 +1! +#237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#237700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#238000 +0! +#238500 +1! +#238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#238700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#239000 +0! +#239500 +1! +#239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#239700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#240000 +0! +#240500 +1! +#240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#240700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#241000 +0! +#241500 +1! +#241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#241700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#242000 +0! +#242500 +1! +#242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#242700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#243000 +0! +#243500 +1! +#243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#243700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#244000 +0! +#244500 +1! +#244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#244700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#245000 +0! +#245500 +1! +#245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#245700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#246000 +0! +#246500 +1! +#246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#246700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#247000 +0! +#247500 +1! +#247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#247700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#248000 +0! +#248500 +1! +#248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#248700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#249000 +0! +#249500 +1! +#249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#249700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#250000 +0! +#250500 +1! +#250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#250700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#251000 +0! +#251500 +1! +#251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#251700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#252000 +0! +#252500 +1! +#252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#252700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#253000 +0! +#253500 +1! +#253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#253700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#254000 +0! +#254500 +1! +#254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#254700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#255000 +0! +#255500 +1! +#255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#255700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#256000 +0! +#256500 +1! +#256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#256700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#257000 +0! +#257500 +1! +#257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#257700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#258000 +0! +#258500 +1! +#258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#258700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#259000 +0! +#259500 +1! +#259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#259700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#260000 +0! +#260500 +1! +#260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#260700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#261000 +0! +#261500 +1! +#261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#261700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#262000 +0! +#262500 +1! +#262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#262700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#263000 +0! +#263500 +1! +#263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#263700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#264000 +0! +#264500 +1! +#264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#264700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#265000 +0! +#265500 +1! +#265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#265700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#266000 +0! +#266500 +1! +#266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#266700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#267000 +0! +#267500 +1! +#267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#267700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#268000 +0! +#268500 +1! +#268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#268700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#269000 +0! +#269500 +1! +#269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#269700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#270000 +0! +#270500 +1! +#270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#270700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#271000 +0! +#271500 +1! +#271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#271700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#272000 +0! +#272500 +1! +#272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#272700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#273000 +0! +#273500 +1! +#273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#273700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#274000 +0! +#274500 +1! +#274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#274700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#275000 +0! +#275500 +1! +#275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#275700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#276000 +0! +#276500 +1! +#276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#276700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#277000 +0! +#277500 +1! +#277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#277700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#278000 +0! +#278500 +1! +#278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#278700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#279000 +0! +#279500 +1! +#279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#279700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#280000 +0! +#280500 +1! +#280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#280700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#281000 +0! +#281500 +1! +#281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#281700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#282000 +0! +#282500 +1! +#282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#282700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#283000 +0! +#283500 +1! +#283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#283700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#284000 +0! +#284500 +1! +#284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#284700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#285000 +0! +#285500 +1! +#285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#285700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#286000 +0! +#286500 +1! +#286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#286700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#287000 +0! +#287500 +1! +#287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#287700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#288000 +0! +#288500 +1! +#288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#288700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#289000 +0! +#289500 +1! +#289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#289700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#290000 +0! +#290500 +1! +#290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#290700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#291000 +0! +#291500 +1! +#291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#291700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#292000 +0! +#292500 +1! +#292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#292700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#293000 +0! +#293500 +1! +#293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#293700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#294000 +0! +#294500 +1! +#294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#294700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#295000 +0! +#295500 +1! +#295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#295700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#296000 +0! +#296500 +1! +#296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#296700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#297000 +0! +#297500 +1! +#297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#297700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#298000 +0! +#298500 +1! +#298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#298700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#299000 +0! +#299500 +1! +#299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#299700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#300000 +0! +#300500 +1! +#300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#300700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#301000 +0! +#301500 +1! +#301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#301700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#302000 +0! +#302500 +1! +#302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#302700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#303000 +0! +#303500 +1! +#303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#303700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#304000 +0! +#304500 +1! +#304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#304700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#305000 +0! +#305500 +1! +#305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#305700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#306000 +0! +#306500 +1! +#306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#306700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#307000 +0! +#307500 +1! +#307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#307700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#308000 +0! +#308500 +1! +#308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#308700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#309000 +0! +#309500 +1! +#309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#309700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#310000 +0! +#310500 +1! +#310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#310700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#311000 +0! +#311500 +1! +#311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#311700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#312000 +0! +#312500 +1! +#312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#312700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#313000 +0! +#313500 +1! +#313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#313700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#314000 +0! +#314500 +1! +#314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#314700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#315000 +0! +#315500 +1! +#315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#315700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#316000 +0! +#316500 +1! +#316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#316700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#317000 +0! +#317500 +1! +#317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#317700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#318000 +0! +#318500 +1! +#318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#318700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#319000 +0! +#319500 +1! +#319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#319700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#320000 +0! +#320500 +1! +#320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#320700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#321000 +0! +#321500 +1! +#321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#321700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#322000 +0! +#322500 +1! +#322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#322700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#323000 +0! +#323500 +1! +#323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#323700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#324000 +0! +#324500 +1! +#324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#324700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#325000 +0! +#325500 +1! +#325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#325700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#326000 +0! +#326500 +1! +#326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#326700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#327000 +0! +#327500 +1! +#327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#327700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#328000 +0! +#328500 +1! +#328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#328700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#329000 +0! +#329500 +1! +#329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#329700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#330000 +0! +#330500 +1! +#330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#330700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#331000 +0! +#331500 +1! +#331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#331700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#332000 +0! +#332500 +1! +#332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#332700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#333000 +0! +#333500 +1! +#333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#333700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#334000 +0! +#334500 +1! +#334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#334700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#335000 +0! +#335500 +1! +#335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#335700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#336000 +0! +#336500 +1! +#336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#336700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#337000 +0! +#337500 +1! +#337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#337700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#338000 +0! +#338500 +1! +#338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#338700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#339000 +0! +#339500 +1! +#339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#339700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#340000 +0! +#340500 +1! +#340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#340700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#341000 +0! +#341500 +1! +#341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#341700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#342000 +0! +#342500 +1! +#342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#342700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#343000 +0! +#343500 +1! +#343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#343700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#344000 +0! +#344500 +1! +#344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#344700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#345000 +0! +#345500 +1! +#345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#345700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#346000 +0! +#346500 +1! +#346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#346700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#347000 +0! +#347500 +1! +#347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#347700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#348000 +0! +#348500 +1! +#348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#348700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#349000 +0! +#349500 +1! +#349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#349700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#350000 +0! +#350500 +1! +#350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#350700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#351000 +0! +#351500 +1! +#351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#351700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#352000 +0! +#352500 +1! +#352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#352700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#353000 +0! +#353500 +1! +#353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#353700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#354000 +0! +#354500 +1! +#354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#354700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#355000 +0! +#355500 +1! +#355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#355700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#356000 +0! +#356500 +1! +#356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#356700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#357000 +0! +#357500 +1! +#357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#357700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#358000 +0! +#358500 +1! +#358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#358700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#359000 +0! +#359500 +1! +#359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#359700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#360000 +0! +#360500 +1! +#360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#360700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#361000 +0! +#361500 +1! +#361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#361700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#362000 +0! +#362500 +1! +#362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#362700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#363000 +0! +#363500 +1! +#363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#363700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#364000 +0! +#364500 +1! +#364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#364700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#365000 +0! +#365500 +1! +#365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#365700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#366000 +0! +#366500 +1! +#366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#366700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#367000 +0! +#367500 +1! +#367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#367700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#368000 +0! +#368500 +1! +#368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#368700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#369000 +0! +#369500 +1! +#369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#369700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#370000 +0! +#370500 +1! +#370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#370700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#371000 +0! +#371500 +1! +#371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#371700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#372000 +0! +#372500 +1! +#372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#372700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#373000 +0! +#373500 +1! +#373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#373700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#374000 +0! +#374500 +1! +#374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#374700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#375000 +0! +#375500 +1! +#375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#375700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#376000 +0! +#376500 +1! +#376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#376700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#377000 +0! +#377500 +1! +#377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#377700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#378000 +0! +#378500 +1! +#378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#378700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#379000 +0! +#379500 +1! +#379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#379700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#380000 +0! +#380500 +1! +#380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#380700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#381000 +0! +#381500 +1! +#381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#381700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#382000 +0! +#382500 +1! +#382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#382700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#383000 +0! +#383500 +1! +#383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#383700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#384000 +0! +#384500 +1! +#384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#384700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#385000 +0! +#385500 +1! +#385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#385700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0a +#386000 +0! +#386500 +1! +#386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#386700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#387000 +0! +#387500 +1! +#387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#387700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#388000 +0! +#388500 +1! +#388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#388700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#389000 +0! +#389500 +1! +#389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#389700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#390000 +0! +#390500 +1! +#390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#390700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#391000 +0! +#391500 +1! +#391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#391700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#392000 +0! +#392500 +1! +#392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#392700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#393000 +0! +#393500 +1! +#393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#393700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#394000 +0! +#394500 +1! +#394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#394700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#395000 +0! +#395500 +1! +#395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#395700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#396000 +0! +#396500 +1! +#396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#396700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#397000 +0! +#397500 +1! +#397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#397700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#398000 +0! +#398500 +1! +#398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#398700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#399000 +0! +#399500 +1! +#399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#399700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#400000 +0! +#400500 +1! +#400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#400700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#401000 +0! +#401500 +1! +#401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#401700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#402000 +0! +#402500 +1! +#402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#402700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#403000 +0! +#403500 +1! +#403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#403700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#404000 +0! +#404500 +1! +#404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#404700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#405000 +0! +#405500 +1! +#405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#405700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#406000 +0! +#406500 +1! +#406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#406700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#407000 +0! +#407500 +1! +#407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#407700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#408000 +0! +#408500 +1! +#408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#408700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#409000 +0! +#409500 +1! +#409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#409700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#410000 +0! +#410500 +1! +#410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#410700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#411000 +0! +#411500 +1! +#411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#411700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#412000 +0! +#412500 +1! +#412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#412700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#413000 +0! +#413500 +1! +#413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#413700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#414000 +0! +#414500 +1! +#414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#414700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#415000 +0! +#415500 +1! +#415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#415700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#416000 +0! +#416500 +1! +#416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#416700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#417000 +0! +#417500 +1! +#417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#417700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#418000 +0! +#418500 +1! +#418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#418700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#419000 +0! +#419500 +1! +#419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#419700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#420000 +0! +#420500 +1! +#420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#420700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#421000 +0! +#421500 +1! +#421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#421700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#422000 +0! +#422500 +1! +#422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#422700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#423000 +0! +#423500 +1! +#423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#423700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#424000 +0! +#424500 +1! +#424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#424700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#425000 +0! +#425500 +1! +#425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#425700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#426000 +0! +#426500 +1! +#426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#426700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#427000 +0! +#427500 +1! +#427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#427700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#428000 +0! +#428500 +1! +#428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#428700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#429000 +0! +#429500 +1! +#429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#429700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#430000 +0! +#430500 +1! +#430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#430700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#431000 +0! +#431500 +1! +#431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#431700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#432000 +0! +#432500 +1! +#432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#432700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#433000 +0! +#433500 +1! +#433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#433700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#434000 +0! +#434500 +1! +#434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#434700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#435000 +0! +#435500 +1! +#435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#435700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#436000 +0! +#436500 +1! +#436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#436700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1` +b111 g +bx11 c +0e +0h +#437000 +0! +#437500 +1! +#437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#437700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#438000 +0! +#438500 +1! +#438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#438700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#439000 +0! +#439500 +1! +#439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#439700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#440000 +0! +#440500 +1! +#440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#440700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#441000 +0! +#441500 +1! +#441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#441700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#442000 +0! +#442500 +1! +#442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#442700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#443000 +0! +#443500 +1! +#443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#443700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#444000 +0! +#444500 +1! +#444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#444700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#445000 +0! +#445500 +1! +#445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#445700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#446000 +0! +#446500 +1! +#446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#446700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#447000 +0! +#447500 +1! +#447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#447700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#448000 +0! +#448500 +1! +#448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#448700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#449000 +0! +#449500 +1! +#449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#449700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#450000 +0! +#450500 +1! +#450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#450700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#451000 +0! +#451500 +1! +#451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#451700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#452000 +0! +#452500 +1! +#452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#452700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#453000 +0! +#453500 +1! +#453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#453700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#454000 +0! +#454500 +1! +#454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#454700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#455000 +0! +#455500 +1! +#455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#455700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#456000 +0! +#456500 +1! +#456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#456700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#457000 +0! +#457500 +1! +#457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#457700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#458000 +0! +#458500 +1! +#458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#458700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#459000 +0! +#459500 +1! +#459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#459700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#460000 +0! +#460500 +1! +#460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#460700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#461000 +0! +#461500 +1! +#461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#461700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#462000 +0! +#462500 +1! +#462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#462700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#463000 +0! +#463500 +1! +#463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#463700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#464000 +0! +#464500 +1! +#464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#464700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#465000 +0! +#465500 +1! +#465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#465700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#466000 +0! +#466500 +1! +#466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#466700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#467000 +0! +#467500 +1! +#467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#467700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#468000 +0! +#468500 +1! +#468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#468700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#469000 +0! +#469500 +1! +#469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#469700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#470000 +0! +#470500 +1! +#470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#470700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#471000 +0! +#471500 +1! +#471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#471700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#472000 +0! +#472500 +1! +#472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#472700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#473000 +0! +#473500 +1! +#473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#473700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#474000 +0! +#474500 +1! +#474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#474700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#475000 +0! +#475500 +1! +#475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#475700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#476000 +0! +#476500 +1! +#476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#476700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#477000 +0! +#477500 +1! +#477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#477700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#478000 +0! +#478500 +1! +#478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#478700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#479000 +0! +#479500 +1! +#479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#479700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#480000 +0! +#480500 +1! +#480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#480700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#481000 +0! +#481500 +1! +#481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#481700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#482000 +0! +#482500 +1! +#482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#482700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#483000 +0! +#483500 +1! +#483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#483700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#484000 +0! +#484500 +1! +#484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#484700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#485000 +0! +#485500 +1! +#485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#485700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#486000 +0! +#486500 +1! +#486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#486700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#487000 +0! +#487500 +1! +#487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#487700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#488000 +0! +#488500 +1! +#488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#488700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#489000 +0! +#489500 +1! +#489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#489700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#490000 +0! +#490500 +1! +#490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#490700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#491000 +0! +#491500 +1! +#491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#491700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#492000 +0! +#492500 +1! +#492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#492700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#493000 +0! +#493500 +1! +#493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#493700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#494000 +0! +#494500 +1! +#494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#494700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#495000 +0! +#495500 +1! +#495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#495700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#496000 +0! +#496500 +1! +#496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#496700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#497000 +0! +#497500 +1! +#497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#497700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#498000 +0! +#498500 +1! +#498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#498700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#499000 +0! +#499500 +1! +#499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#499700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#500000 +0! +#500500 +1! +#500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#500700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#501000 +0! +#501500 +1! +#501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#501700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#502000 +0! +#502500 +1! +#502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#502700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#503000 +0! +#503500 +1! +#503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#503700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#504000 +0! +#504500 +1! +#504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#504700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#505000 +0! +#505500 +1! +#505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#505700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#506000 +0! +#506500 +1! +#506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#506700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#507000 +0! +#507500 +1! +#507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#507700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#508000 +0! +#508500 +1! +#508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#508700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#509000 +0! +#509500 +1! +#509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#509700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#510000 +0! +#510500 +1! +#510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#510700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#511000 +0! +#511500 +1! +#511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#511700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#512000 +0! +#512500 +1! +#512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#512700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#513000 +0! +#513500 +1! +#513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#513700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#514000 +0! +#514500 +1! +#514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#514700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#515000 +0! +#515500 +1! +#515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#515700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#516000 +0! +#516500 +1! +#516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#516700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#517000 +0! +#517500 +1! +#517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#517700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#518000 +0! +#518500 +1! +#518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#518700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#519000 +0! +#519500 +1! +#519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#519700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#520000 +0! +#520500 +1! +#520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#520700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#521000 +0! +#521500 +1! +#521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#521700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#522000 +0! +#522500 +1! +#522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#522700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#523000 +0! +#523500 +1! +#523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#523700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#524000 +0! +#524500 +1! +#524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#524700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#525000 +0! +#525500 +1! +#525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#525700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#526000 +0! +#526500 +1! +#526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#526700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#527000 +0! +#527500 +1! +#527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#527700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#528000 +0! +#528500 +1! +#528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#528700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#529000 +0! +#529500 +1! +#529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#529700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#530000 +0! +#530500 +1! +#530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#530700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#531000 +0! +#531500 +1! +#531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#531700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#532000 +0! +#532500 +1! +#532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#532700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#533000 +0! +#533500 +1! +#533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#533700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#534000 +0! +#534500 +1! +#534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#534700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#535000 +0! +#535500 +1! +#535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#535700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#536000 +0! +#536500 +1! +#536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#536700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#537000 +0! +#537500 +1! +#537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#537700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#538000 +0! +#538500 +1! +#538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#538700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0i +#539000 +0! +#539500 +1! +#539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#539700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#540000 +0! +#540500 +1! +#540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#540700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#541000 +0! +#541500 +1! +#541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#541700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#542000 +0! +#542500 +1! +#542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#542700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#543000 +0! +#543500 +1! +#543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#543700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#544000 +0! +#544500 +1! +#544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#544700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#545000 +0! +#545500 +1! +#545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#545700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#546000 +0! +#546500 +1! +#546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#546700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#547000 +0! +#547500 +1! +#547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#547700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#548000 +0! +#548500 +1! +#548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#548700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#549000 +0! +#549500 +1! +#549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#549700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#550000 +0! +#550500 +1! +#550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#550700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#551000 +0! +#551500 +1! +#551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#551700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#552000 +0! +#552500 +1! +#552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#552700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#553000 +0! +#553500 +1! +#553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#553700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#554000 +0! +#554500 +1! +#554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#554700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#555000 +0! +#555500 +1! +#555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#555700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#556000 +0! +#556500 +1! +#556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#556700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#557000 +0! +#557500 +1! +#557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#557700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#558000 +0! +#558500 +1! +#558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#558700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#559000 +0! +#559500 +1! +#559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#559700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#560000 +0! +#560500 +1! +#560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#560700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#561000 +0! +#561500 +1! +#561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#561700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#562000 +0! +#562500 +1! +#562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#562700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#563000 +0! +#563500 +1! +#563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#563700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#564000 +0! +#564500 +1! +#564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#564700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#565000 +0! +#565500 +1! +#565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#565700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#566000 +0! +#566500 +1! +#566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#566700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#567000 +0! +#567500 +1! +#567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#567700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#568000 +0! +#568500 +1! +#568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#568700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#569000 +0! +#569500 +1! +#569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#569700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#570000 +0! +#570500 +1! +#570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#570700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#571000 +0! +#571500 +1! +#571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#571700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#572000 +0! +#572500 +1! +#572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#572700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#573000 +0! +#573500 +1! +#573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#573700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#574000 +0! +#574500 +1! +#574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#574700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#575000 +0! +#575500 +1! +#575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#575700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#576000 +0! +#576500 +1! +#576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#576700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#577000 +0! +#577500 +1! +#577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#577700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#578000 +0! +#578500 +1! +#578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#578700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#579000 +0! +#579500 +1! +#579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#579700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#580000 +0! +#580500 +1! +#580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#580700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#581000 +0! +#581500 +1! +#581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#581700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#582000 +0! +#582500 +1! +#582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#582700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#583000 +0! +#583500 +1! +#583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#583700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#584000 +0! +#584500 +1! +#584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#584700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#585000 +0! +#585500 +1! +#585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#585700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#586000 +0! +#586500 +1! +#586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#586700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#587000 +0! +#587500 +1! +#587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#587700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#588000 +0! +#588500 +1! +#588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#588700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#589000 +0! +#589500 +1! +#589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#589700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#590000 +0! +#590500 +1! +#590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#590700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#591000 +0! +#591500 +1! +#591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#591700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#592000 +0! +#592500 +1! +#592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#592700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#593000 +0! +#593500 +1! +#593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#593700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#594000 +0! +#594500 +1! +#594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#594700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#595000 +0! +#595500 +1! +#595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#595700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#596000 +0! +#596500 +1! +#596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#596700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#597000 +0! +#597500 +1! +#597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#597700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#598000 +0! +#598500 +1! +#598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#598700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#599000 +0! +#599500 +1! +#599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#599700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#600000 +0! +#600500 +1! +#600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#600700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#601000 +0! +#601500 +1! +#601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#601700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#602000 +0! +#602500 +1! +#602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#602700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#603000 +0! +#603500 +1! +#603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#603700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#604000 +0! +#604500 +1! +#604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#604700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#605000 +0! +#605500 +1! +#605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#605700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#606000 +0! +#606500 +1! +#606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#606700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#607000 +0! +#607500 +1! +#607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#607700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#608000 +0! +#608500 +1! +#608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#608700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#609000 +0! +#609500 +1! +#609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#609700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#610000 +0! +#610500 +1! +#610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#610700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#611000 +0! +#611500 +1! +#611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#611700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#612000 +0! +#612500 +1! +#612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#612700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#613000 +0! +#613500 +1! +#613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#613700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#614000 +0! +#614500 +1! +#614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#614700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#615000 +0! +#615500 +1! +#615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#615700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#616000 +0! +#616500 +1! +#616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#616700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#617000 +0! +#617500 +1! +#617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#617700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#618000 +0! +#618500 +1! +#618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#618700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#619000 +0! +#619500 +1! +#619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#619700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#620000 +0! +#620500 +1! +#620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#620700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#621000 +0! +#621500 +1! +#621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#621700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#622000 +0! +#622500 +1! +#622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#622700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#623000 +0! +#623500 +1! +#623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#623700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#624000 +0! +#624500 +1! +#624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#624700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#625000 +0! +#625500 +1! +#625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#625700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#626000 +0! +#626500 +1! +#626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#626700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#627000 +0! +#627500 +1! +#627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#627700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#628000 +0! +#628500 +1! +#628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#628700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#629000 +0! +#629500 +1! +#629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#629700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#630000 +0! +#630500 +1! +#630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#630700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#631000 +0! +#631500 +1! +#631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#631700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#632000 +0! +#632500 +1! +#632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#632700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#633000 +0! +#633500 +1! +#633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#633700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#634000 +0! +#634500 +1! +#634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#634700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#635000 +0! +#635500 +1! +#635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#635700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#636000 +0! +#636500 +1! +#636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#636700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#637000 +0! +#637500 +1! +#637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#637700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#638000 +0! +#638500 +1! +#638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#638700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#639000 +0! +#639500 +1! +#639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#639700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#640000 +0! +#640500 +1! +#640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#640700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0_ +0f +#641000 +0! +#641500 +1! +#641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#641700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#642000 +0! +#642500 +1! +#642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#642700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#643000 +0! +#643500 +1! +#643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#643700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#644000 +0! +#644500 +1! +#644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#644700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#645000 +0! +#645500 +1! +#645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#645700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#646000 +0! +#646500 +1! +#646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#646700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#647000 +0! +#647500 +1! +#647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#647700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#648000 +0! +#648500 +1! +#648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#648700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#649000 +0! +#649500 +1! +#649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#649700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#650000 +0! +#650500 +1! +#650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#650700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#651000 +0! +#651500 +1! +#651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#651700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#652000 +0! +#652500 +1! +#652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#652700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#653000 +0! +#653500 +1! +#653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#653700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#654000 +0! +#654500 +1! +#654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#654700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#655000 +0! +#655500 +1! +#655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#655700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#656000 +0! +#656500 +1! +#656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#656700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#657000 +0! +#657500 +1! +#657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#657700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#658000 +0! +#658500 +1! +#658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#658700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#659000 +0! +#659500 +1! +#659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#659700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#660000 +0! +#660500 +1! +#660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#660700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#661000 +0! +#661500 +1! +#661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#661700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#662000 +0! +#662500 +1! +#662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#662700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#663000 +0! +#663500 +1! +#663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#663700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#664000 +0! +#664500 +1! +#664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#664700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#665000 +0! +#665500 +1! +#665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#665700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#666000 +0! +#666500 +1! +#666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#666700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#667000 +0! +#667500 +1! +#667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#667700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#668000 +0! +#668500 +1! +#668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#668700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#669000 +0! +#669500 +1! +#669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#669700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#670000 +0! +#670500 +1! +#670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#670700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#671000 +0! +#671500 +1! +#671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#671700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#672000 +0! +#672500 +1! +#672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#672700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#673000 +0! +#673500 +1! +#673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#673700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#674000 +0! +#674500 +1! +#674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#674700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#675000 +0! +#675500 +1! +#675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#675700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#676000 +0! +#676500 +1! +#676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#676700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#677000 +0! +#677500 +1! +#677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#677700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#678000 +0! +#678500 +1! +#678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#678700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#679000 +0! +#679500 +1! +#679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#679700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#680000 +0! +#680500 +1! +#680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#680700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#681000 +0! +#681500 +1! +#681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#681700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#682000 +0! +#682500 +1! +#682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#682700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#683000 +0! +#683500 +1! +#683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#683700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#684000 +0! +#684500 +1! +#684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#684700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#685000 +0! +#685500 +1! +#685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#685700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#686000 +0! +#686500 +1! +#686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#686700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#687000 +0! +#687500 +1! +#687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#687700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#688000 +0! +#688500 +1! +#688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#688700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#689000 +0! +#689500 +1! +#689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#689700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#690000 +0! +#690500 +1! +#690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#690700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#691000 +0! +#691500 +1! +#691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#691700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0` +b110 g +bx110 c +#692000 +0! +#692500 +1! +#692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#692700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#693000 +0! +#693500 +1! +#693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#693700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#694000 +0! +#694500 +1! +#694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#694700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#695000 +0! +#695500 +1! +#695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#695700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#696000 +0! +#696500 +1! +#696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#696700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#697000 +0! +#697500 +1! +#697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#697700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#698000 +0! +#698500 +1! +#698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#698700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#699000 +0! +#699500 +1! +#699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#699700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#700000 +0! +#700500 +1! +#700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#700700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#701000 +0! +#701500 +1! +#701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#701700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#702000 +0! +#702500 +1! +#702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#702700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#703000 +0! +#703500 +1! +#703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#703700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#704000 +0! +#704500 +1! +#704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#704700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#705000 +0! +#705500 +1! +#705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#705700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#706000 +0! +#706500 +1! +#706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#706700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#707000 +0! +#707500 +1! +#707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#707700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#708000 +0! +#708500 +1! +#708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#708700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#709000 +0! +#709500 +1! +#709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#709700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#710000 +0! +#710500 +1! +#710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#710700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#711000 +0! +#711500 +1! +#711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#711700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#712000 +0! +#712500 +1! +#712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#712700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#713000 +0! +#713500 +1! +#713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#713700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#714000 +0! +#714500 +1! +#714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#714700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#715000 +0! +#715500 +1! +#715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#715700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#716000 +0! +#716500 +1! +#716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#716700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#717000 +0! +#717500 +1! +#717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#717700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#718000 +0! +#718500 +1! +#718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#718700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#719000 +0! +#719500 +1! +#719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#719700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#720000 +0! +#720500 +1! +#720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#720700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#721000 +0! +#721500 +1! +#721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#721700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#722000 +0! +#722500 +1! +#722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#722700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#723000 +0! +#723500 +1! +#723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#723700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#724000 +0! +#724500 +1! +#724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#724700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#725000 +0! +#725500 +1! +#725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#725700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#726000 +0! +#726500 +1! +#726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#726700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#727000 +0! +#727500 +1! +#727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#727700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#728000 +0! +#728500 +1! +#728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#728700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#729000 +0! +#729500 +1! +#729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#729700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#730000 +0! +#730500 +1! +#730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#730700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#731000 +0! +#731500 +1! +#731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#731700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#732000 +0! +#732500 +1! +#732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#732700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#733000 +0! +#733500 +1! +#733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#733700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#734000 +0! +#734500 +1! +#734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#734700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#735000 +0! +#735500 +1! +#735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#735700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#736000 +0! +#736500 +1! +#736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#736700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#737000 +0! +#737500 +1! +#737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#737700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#738000 +0! +#738500 +1! +#738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#738700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#739000 +0! +#739500 +1! +#739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#739700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#740000 +0! +#740500 +1! +#740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#740700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#741000 +0! +#741500 +1! +#741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#741700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#742000 +0! +#742500 +1! +#742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#742700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#743000 +0! +#743500 +1! +#743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#743700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#744000 +0! +#744500 +1! +#744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#744700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#745000 +0! +#745500 +1! +#745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#745700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#746000 +0! +#746500 +1! +#746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#746700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#747000 +0! +#747500 +1! +#747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#747700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#748000 +0! +#748500 +1! +#748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#748700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#749000 +0! +#749500 +1! +#749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#749700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#750000 +0! +#750500 +1! +#750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#750700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#751000 +0! +#751500 +1! +#751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#751700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#752000 +0! +#752500 +1! +#752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#752700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#753000 +0! +#753500 +1! +#753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#753700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#754000 +0! +#754500 +1! +#754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#754700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#755000 +0! +#755500 +1! +#755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#755700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#756000 +0! +#756500 +1! +#756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#756700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#757000 +0! +#757500 +1! +#757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#757700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#758000 +0! +#758500 +1! +#758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#758700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#759000 +0! +#759500 +1! +#759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#759700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#760000 +0! +#760500 +1! +#760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#760700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#761000 +0! +#761500 +1! +#761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#761700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#762000 +0! +#762500 +1! +#762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#762700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#763000 +0! +#763500 +1! +#763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#763700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#764000 +0! +#764500 +1! +#764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#764700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#765000 +0! +#765500 +1! +#765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#765700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#766000 +0! +#766500 +1! +#766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#766700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#767000 +0! +#767500 +1! +#767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#767700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#768000 +0! +#768500 +1! +#768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#768700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#769000 +0! +#769500 +1! +#769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#769700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#770000 +0! +#770500 +1! +#770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#770700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#771000 +0! +#771500 +1! +#771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#771700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#772000 +0! +#772500 +1! +#772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#772700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#773000 +0! +#773500 +1! +#773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#773700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#774000 +0! +#774500 +1! +#774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#774700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#775000 +0! +#775500 +1! +#775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#775700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#776000 +0! +#776500 +1! +#776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#776700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#777000 +0! +#777500 +1! +#777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#777700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#778000 +0! +#778500 +1! +#778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#778700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#779000 +0! +#779500 +1! +#779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#779700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#780000 +0! +#780500 +1! +#780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#780700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#781000 +0! +#781500 +1! +#781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#781700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#782000 +0! +#782500 +1! +#782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#782700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#783000 +0! +#783500 +1! +#783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#783700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#784000 +0! +#784500 +1! +#784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#784700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#785000 +0! +#785500 +1! +#785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#785700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#786000 +0! +#786500 +1! +#786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#786700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#787000 +0! +#787500 +1! +#787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#787700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#788000 +0! +#788500 +1! +#788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#788700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#789000 +0! +#789500 +1! +#789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#789700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#790000 +0! +#790500 +1! +#790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#790700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#791000 +0! +#791500 +1! +#791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#791700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#792000 +0! +#792500 +1! +#792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#792700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#793000 +0! +#793500 +1! +#793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#793700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#794000 +0! +#794500 +1! +#794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#794700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#795000 +0! +#795500 +1! +#795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#795700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#796000 +0! +#796500 +1! +#796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#796700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#797000 +0! +#797500 +1! +#797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#797700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#798000 +0! +#798500 +1! +#798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#798700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#799000 +0! +#799500 +1! +#799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#799700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#800000 +0! +#800500 +1! +#800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#800700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#801000 +0! +#801500 +1! +#801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#801700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#802000 +0! +#802500 +1! +#802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#802700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#803000 +0! +#803500 +1! +#803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#803700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#804000 +0! +#804500 +1! +#804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#804700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#805000 +0! +#805500 +1! +#805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#805700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#806000 +0! +#806500 +1! +#806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#806700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#807000 +0! +#807500 +1! +#807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#807700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#808000 +0! +#808500 +1! +#808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#808700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#809000 +0! +#809500 +1! +#809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#809700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#810000 +0! +#810500 +1! +#810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#810700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#811000 +0! +#811500 +1! +#811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#811700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#812000 +0! +#812500 +1! +#812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#812700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#813000 +0! +#813500 +1! +#813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#813700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#814000 +0! +#814500 +1! +#814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#814700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#815000 +0! +#815500 +1! +#815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#815700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#816000 +0! +#816500 +1! +#816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#816700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#817000 +0! +#817500 +1! +#817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#817700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#818000 +0! +#818500 +1! +#818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#818700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#819000 +0! +#819500 +1! +#819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#819700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#820000 +0! +#820500 +1! +#820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#820700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#821000 +0! +#821500 +1! +#821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#821700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#822000 +0! +#822500 +1! +#822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#822700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#823000 +0! +#823500 +1! +#823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#823700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#824000 +0! +#824500 +1! +#824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#824700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#825000 +0! +#825500 +1! +#825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#825700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#826000 +0! +#826500 +1! +#826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#826700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#827000 +0! +#827500 +1! +#827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#827700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#828000 +0! +#828500 +1! +#828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#828700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#829000 +0! +#829500 +1! +#829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#829700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#830000 +0! +#830500 +1! +#830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#830700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#831000 +0! +#831500 +1! +#831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#831700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#832000 +0! +#832500 +1! +#832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#832700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#833000 +0! +#833500 +1! +#833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#833700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#834000 +0! +#834500 +1! +#834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#834700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#835000 +0! +#835500 +1! +#835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#835700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#836000 +0! +#836500 +1! +#836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#836700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#837000 +0! +#837500 +1! +#837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#837700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#838000 +0! +#838500 +1! +#838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#838700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#839000 +0! +#839500 +1! +#839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#839700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#840000 +0! +#840500 +1! +#840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#840700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#841000 +0! +#841500 +1! +#841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#841700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#842000 +0! +#842500 +1! +#842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#842700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#843000 +0! +#843500 +1! +#843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#843700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#844000 +0! +#844500 +1! +#844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#844700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#845000 +0! +#845500 +1! +#845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#845700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#846000 +0! +#846500 +1! +#846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#846700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#847000 +0! +#847500 +1! +#847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#847700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#848000 +0! +#848500 +1! +#848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#848700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#849000 +0! +#849500 +1! +#849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#849700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#850000 +0! +#850500 +1! +#850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#850700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#851000 +0! +#851500 +1! +#851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#851700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#852000 +0! +#852500 +1! +#852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#852700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#853000 +0! +#853500 +1! +#853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#853700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#854000 +0! +#854500 +1! +#854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#854700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#855000 +0! +#855500 +1! +#855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#855700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#856000 +0! +#856500 +1! +#856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#856700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#857000 +0! +#857500 +1! +#857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#857700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#858000 +0! +#858500 +1! +#858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#858700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#859000 +0! +#859500 +1! +#859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#859700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#860000 +0! +#860500 +1! +#860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#860700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#861000 +0! +#861500 +1! +#861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#861700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#862000 +0! +#862500 +1! +#862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#862700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#863000 +0! +#863500 +1! +#863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#863700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#864000 +0! +#864500 +1! +#864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#864700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#865000 +0! +#865500 +1! +#865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#865700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#866000 +0! +#866500 +1! +#866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#866700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#867000 +0! +#867500 +1! +#867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#867700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#868000 +0! +#868500 +1! +#868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#868700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#869000 +0! +#869500 +1! +#869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#869700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#870000 +0! +#870500 +1! +#870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#870700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#871000 +0! +#871500 +1! +#871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#871700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#872000 +0! +#872500 +1! +#872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#872700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#873000 +0! +#873500 +1! +#873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#873700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#874000 +0! +#874500 +1! +#874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#874700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#875000 +0! +#875500 +1! +#875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#875700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#876000 +0! +#876500 +1! +#876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#876700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#877000 +0! +#877500 +1! +#877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#877700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#878000 +0! +#878500 +1! +#878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#878700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#879000 +0! +#879500 +1! +#879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#879700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#880000 +0! +#880500 +1! +#880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#880700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#881000 +0! +#881500 +1! +#881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#881700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#882000 +0! +#882500 +1! +#882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#882700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#883000 +0! +#883500 +1! +#883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#883700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#884000 +0! +#884500 +1! +#884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#884700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#885000 +0! +#885500 +1! +#885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#885700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#886000 +0! +#886500 +1! +#886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#886700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#887000 +0! +#887500 +1! +#887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#887700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#888000 +0! +#888500 +1! +#888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#888700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#889000 +0! +#889500 +1! +#889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#889700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#890000 +0! +#890500 +1! +#890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#890700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#891000 +0! +#891500 +1! +#891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#891700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#892000 +0! +#892500 +1! +#892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#892700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#893000 +0! +#893500 +1! +#893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#893700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#894000 +0! +#894500 +1! +#894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#894700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#895000 +0! +#895500 +1! +#895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#895700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#896000 +0! +#896500 +1! +#896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#896700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#897000 +0! +#897500 +1! +#897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#897700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#898000 +0! +#898500 +1! +#898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#898700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#899000 +0! +#899500 +1! +#899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#899700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#900000 +0! +#900500 +1! +#900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#900700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#901000 +0! +#901500 +1! +#901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#901700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#902000 +0! +#902500 +1! +#902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#902700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#903000 +0! +#903500 +1! +#903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#903700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#904000 +0! +#904500 +1! +#904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#904700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#905000 +0! +#905500 +1! +#905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#905700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#906000 +0! +#906500 +1! +#906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#906700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#907000 +0! +#907500 +1! +#907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#907700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#908000 +0! +#908500 +1! +#908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#908700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#909000 +0! +#909500 +1! +#909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#909700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#910000 +0! +#910500 +1! +#910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#910700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#911000 +0! +#911500 +1! +#911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#911700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#912000 +0! +#912500 +1! +#912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#912700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#913000 +0! +#913500 +1! +#913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#913700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#914000 +0! +#914500 +1! +#914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#914700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#915000 +0! +#915500 +1! +#915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#915700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#916000 +0! +#916500 +1! +#916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#916700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#917000 +0! +#917500 +1! +#917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#917700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#918000 +0! +#918500 +1! +#918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#918700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#919000 +0! +#919500 +1! +#919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#919700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#920000 +0! +#920500 +1! +#920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#920700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#921000 +0! +#921500 +1! +#921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#921700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#922000 +0! +#922500 +1! +#922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#922700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#923000 +0! +#923500 +1! +#923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#923700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#924000 +0! +#924500 +1! +#924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#924700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#925000 +0! +#925500 +1! +#925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#925700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#926000 +0! +#926500 +1! +#926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#926700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#927000 +0! +#927500 +1! +#927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#927700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#928000 +0! +#928500 +1! +#928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#928700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#929000 +0! +#929500 +1! +#929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#929700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#930000 +0! +#930500 +1! +#930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#930700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#931000 +0! +#931500 +1! +#931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#931700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#932000 +0! +#932500 +1! +#932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#932700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#933000 +0! +#933500 +1! +#933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#933700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#934000 +0! +#934500 +1! +#934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#934700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#935000 +0! +#935500 +1! +#935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#935700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#936000 +0! +#936500 +1! +#936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#936700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#937000 +0! +#937500 +1! +#937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#937700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#938000 +0! +#938500 +1! +#938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#938700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#939000 +0! +#939500 +1! +#939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#939700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#940000 +0! +#940500 +1! +#940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#940700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#941000 +0! +#941500 +1! +#941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#941700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#942000 +0! +#942500 +1! +#942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#942700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#943000 +0! +#943500 +1! +#943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#943700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#944000 +0! +#944500 +1! +#944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#944700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#945000 +0! +#945500 +1! +#945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#945700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#946000 +0! +#946500 +1! +#946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#946700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b101 g +bx1101 c +#947000 +0! +#947500 +1! +#947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#947700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#948000 +0! +#948500 +1! +#948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#948700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#949000 +0! +#949500 +1! +#949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#949700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#950000 +0! +#950500 +1! +#950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#950700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#951000 +0! +#951500 +1! +#951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#951700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#952000 +0! +#952500 +1! +#952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#952700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#953000 +0! +#953500 +1! +#953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#953700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#954000 +0! +#954500 +1! +#954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#954700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#955000 +0! +#955500 +1! +#955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#955700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#956000 +0! +#956500 +1! +#956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#956700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#957000 +0! +#957500 +1! +#957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#957700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#958000 +0! +#958500 +1! +#958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#958700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#959000 +0! +#959500 +1! +#959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#959700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#960000 +0! +#960500 +1! +#960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#960700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#961000 +0! +#961500 +1! +#961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#961700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#962000 +0! +#962500 +1! +#962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#962700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#963000 +0! +#963500 +1! +#963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#963700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#964000 +0! +#964500 +1! +#964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#964700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#965000 +0! +#965500 +1! +#965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#965700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#966000 +0! +#966500 +1! +#966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#966700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#967000 +0! +#967500 +1! +#967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#967700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#968000 +0! +#968500 +1! +#968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#968700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#969000 +0! +#969500 +1! +#969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#969700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#970000 +0! +#970500 +1! +#970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#970700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#971000 +0! +#971500 +1! +#971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#971700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#972000 +0! +#972500 +1! +#972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#972700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#973000 +0! +#973500 +1! +#973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#973700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#974000 +0! +#974500 +1! +#974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#974700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#975000 +0! +#975500 +1! +#975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#975700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#976000 +0! +#976500 +1! +#976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#976700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#977000 +0! +#977500 +1! +#977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#977700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#978000 +0! +#978500 +1! +#978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#978700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#979000 +0! +#979500 +1! +#979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#979700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#980000 +0! +#980500 +1! +#980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#980700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#981000 +0! +#981500 +1! +#981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#981700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#982000 +0! +#982500 +1! +#982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#982700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#983000 +0! +#983500 +1! +#983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#983700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#984000 +0! +#984500 +1! +#984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#984700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#985000 +0! +#985500 +1! +#985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#985700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#986000 +0! +#986500 +1! +#986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#986700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#987000 +0! +#987500 +1! +#987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#987700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#988000 +0! +#988500 +1! +#988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#988700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#989000 +0! +#989500 +1! +#989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#989700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#990000 +0! +#990500 +1! +#990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#990700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#991000 +0! +#991500 +1! +#991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#991700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#992000 +0! +#992500 +1! +#992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#992700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#993000 +0! +#993500 +1! +#993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#993700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#994000 +0! +#994500 +1! +#994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#994700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#995000 +0! +#995500 +1! +#995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#995700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#996000 +0! +#996500 +1! +#996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#996700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#997000 +0! +#997500 +1! +#997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#997700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#998000 +0! +#998500 +1! +#998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#998700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#999000 +0! +#999500 +1! +#999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#999700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1000000 +0! +#1000500 +1! +#1000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1000700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1001000 +0! +#1001500 +1! +#1001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1001700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1002000 +0! +#1002500 +1! +#1002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1002700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1003000 +0! +#1003500 +1! +#1003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1003700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1004000 +0! +#1004500 +1! +#1004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1004700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1005000 +0! +#1005500 +1! +#1005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1005700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1006000 +0! +#1006500 +1! +#1006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1006700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1007000 +0! +#1007500 +1! +#1007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1007700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1008000 +0! +#1008500 +1! +#1008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1008700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1009000 +0! +#1009500 +1! +#1009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1009700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1010000 +0! +#1010500 +1! +#1010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1010700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1011000 +0! +#1011500 +1! +#1011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1011700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1012000 +0! +#1012500 +1! +#1012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1012700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1013000 +0! +#1013500 +1! +#1013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1013700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1014000 +0! +#1014500 +1! +#1014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1014700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1015000 +0! +#1015500 +1! +#1015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1015700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1016000 +0! +#1016500 +1! +#1016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1016700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1017000 +0! +#1017500 +1! +#1017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1017700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1018000 +0! +#1018500 +1! +#1018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1018700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1019000 +0! +#1019500 +1! +#1019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1019700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1020000 +0! +#1020500 +1! +#1020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1020700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1021000 +0! +#1021500 +1! +#1021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1021700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1022000 +0! +#1022500 +1! +#1022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1022700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1023000 +0! +#1023500 +1! +#1023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1023700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1024000 +0! +#1024500 +1! +#1024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1024700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1025000 +0! +#1025500 +1! +#1025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1025700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1026000 +0! +#1026500 +1! +#1026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1026700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1027000 +0! +#1027500 +1! +#1027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1027700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1028000 +0! +#1028500 +1! +#1028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1028700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1029000 +0! +#1029500 +1! +#1029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1029700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1030000 +0! +#1030500 +1! +#1030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1030700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1031000 +0! +#1031500 +1! +#1031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1031700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1032000 +0! +#1032500 +1! +#1032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1032700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1033000 +0! +#1033500 +1! +#1033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1033700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1034000 +0! +#1034500 +1! +#1034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1034700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1035000 +0! +#1035500 +1! +#1035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1035700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1036000 +0! +#1036500 +1! +#1036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1036700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1037000 +0! +#1037500 +1! +#1037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1037700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1038000 +0! +#1038500 +1! +#1038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1038700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1039000 +0! +#1039500 +1! +#1039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1039700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1040000 +0! +#1040500 +1! +#1040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1040700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1041000 +0! +#1041500 +1! +#1041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1041700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1042000 +0! +#1042500 +1! +#1042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1042700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1043000 +0! +#1043500 +1! +#1043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1043700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1044000 +0! +#1044500 +1! +#1044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1044700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1045000 +0! +#1045500 +1! +#1045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1045700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1046000 +0! +#1046500 +1! +#1046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1046700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1047000 +0! +#1047500 +1! +#1047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1047700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1048000 +0! +#1048500 +1! +#1048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#1048700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1049000 +0! +#1049500 +1! +#1049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1049700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1050000 +0! +#1050500 +1! +#1050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1050700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1051000 +0! +#1051500 +1! +#1051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1051700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1052000 +0! +#1052500 +1! +#1052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1052700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1053000 +0! +#1053500 +1! +#1053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1053700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1054000 +0! +#1054500 +1! +#1054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1054700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1055000 +0! +#1055500 +1! +#1055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1055700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1056000 +0! +#1056500 +1! +#1056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1056700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1057000 +0! +#1057500 +1! +#1057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1057700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1058000 +0! +#1058500 +1! +#1058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1058700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1059000 +0! +#1059500 +1! +#1059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1059700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1060000 +0! +#1060500 +1! +#1060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1060700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1061000 +0! +#1061500 +1! +#1061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1061700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1062000 +0! +#1062500 +1! +#1062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1062700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1063000 +0! +#1063500 +1! +#1063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1063700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1064000 +0! +#1064500 +1! +#1064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1064700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1065000 +0! +#1065500 +1! +#1065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1065700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1066000 +0! +#1066500 +1! +#1066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1066700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1067000 +0! +#1067500 +1! +#1067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1067700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1068000 +0! +#1068500 +1! +#1068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1068700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1069000 +0! +#1069500 +1! +#1069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1069700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1070000 +0! +#1070500 +1! +#1070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1070700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1071000 +0! +#1071500 +1! +#1071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1071700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1072000 +0! +#1072500 +1! +#1072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1072700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1073000 +0! +#1073500 +1! +#1073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1073700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1074000 +0! +#1074500 +1! +#1074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1074700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1075000 +0! +#1075500 +1! +#1075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1075700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1076000 +0! +#1076500 +1! +#1076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1076700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1077000 +0! +#1077500 +1! +#1077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1077700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1078000 +0! +#1078500 +1! +#1078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1078700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1079000 +0! +#1079500 +1! +#1079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1079700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1080000 +0! +#1080500 +1! +#1080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1080700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1081000 +0! +#1081500 +1! +#1081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1081700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1082000 +0! +#1082500 +1! +#1082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1082700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1083000 +0! +#1083500 +1! +#1083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1083700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1084000 +0! +#1084500 +1! +#1084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1084700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1085000 +0! +#1085500 +1! +#1085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1085700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1086000 +0! +#1086500 +1! +#1086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1086700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1087000 +0! +#1087500 +1! +#1087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1087700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1088000 +0! +#1088500 +1! +#1088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1088700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1089000 +0! +#1089500 +1! +#1089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1089700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1090000 +0! +#1090500 +1! +#1090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1090700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1091000 +0! +#1091500 +1! +#1091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1091700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1092000 +0! +#1092500 +1! +#1092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1092700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1093000 +0! +#1093500 +1! +#1093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1093700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1094000 +0! +#1094500 +1! +#1094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1094700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1095000 +0! +#1095500 +1! +#1095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1095700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1096000 +0! +#1096500 +1! +#1096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1096700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1097000 +0! +#1097500 +1! +#1097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1097700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1098000 +0! +#1098500 +1! +#1098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1098700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1099000 +0! +#1099500 +1! +#1099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1099700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1100000 +0! +#1100500 +1! +#1100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1100700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1101000 +0! +#1101500 +1! +#1101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1101700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1102000 +0! +#1102500 +1! +#1102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1102700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1103000 +0! +#1103500 +1! +#1103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1103700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1104000 +0! +#1104500 +1! +#1104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1104700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1105000 +0! +#1105500 +1! +#1105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1105700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1106000 +0! +#1106500 +1! +#1106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1106700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1107000 +0! +#1107500 +1! +#1107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1107700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1108000 +0! +#1108500 +1! +#1108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1108700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1109000 +0! +#1109500 +1! +#1109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1109700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1110000 +0! +#1110500 +1! +#1110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1110700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1111000 +0! +#1111500 +1! +#1111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1111700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1112000 +0! +#1112500 +1! +#1112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1112700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1113000 +0! +#1113500 +1! +#1113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1113700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1114000 +0! +#1114500 +1! +#1114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1114700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1115000 +0! +#1115500 +1! +#1115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1115700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1116000 +0! +#1116500 +1! +#1116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1116700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1117000 +0! +#1117500 +1! +#1117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1117700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1118000 +0! +#1118500 +1! +#1118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1118700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1119000 +0! +#1119500 +1! +#1119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1119700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1120000 +0! +#1120500 +1! +#1120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1120700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1121000 +0! +#1121500 +1! +#1121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1121700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1122000 +0! +#1122500 +1! +#1122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1122700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1123000 +0! +#1123500 +1! +#1123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1123700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1124000 +0! +#1124500 +1! +#1124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1124700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1125000 +0! +#1125500 +1! +#1125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1125700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1126000 +0! +#1126500 +1! +#1126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1126700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1127000 +0! +#1127500 +1! +#1127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1127700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1128000 +0! +#1128500 +1! +#1128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1128700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1129000 +0! +#1129500 +1! +#1129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1129700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1130000 +0! +#1130500 +1! +#1130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1130700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1131000 +0! +#1131500 +1! +#1131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1131700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1132000 +0! +#1132500 +1! +#1132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1132700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1133000 +0! +#1133500 +1! +#1133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1133700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1134000 +0! +#1134500 +1! +#1134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1134700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1135000 +0! +#1135500 +1! +#1135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1135700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1136000 +0! +#1136500 +1! +#1136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1136700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1137000 +0! +#1137500 +1! +#1137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1137700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1138000 +0! +#1138500 +1! +#1138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1138700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1139000 +0! +#1139500 +1! +#1139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1139700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1140000 +0! +#1140500 +1! +#1140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1140700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1141000 +0! +#1141500 +1! +#1141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1141700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1142000 +0! +#1142500 +1! +#1142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1142700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1143000 +0! +#1143500 +1! +#1143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1143700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1144000 +0! +#1144500 +1! +#1144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1144700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1145000 +0! +#1145500 +1! +#1145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1145700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1146000 +0! +#1146500 +1! +#1146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1146700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1147000 +0! +#1147500 +1! +#1147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1147700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1148000 +0! +#1148500 +1! +#1148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1148700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1149000 +0! +#1149500 +1! +#1149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1149700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1150000 +0! +#1150500 +1! +#1150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#1150700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1151000 +0! +#1151500 +1! +#1151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1151700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1152000 +0! +#1152500 +1! +#1152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1152700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1153000 +0! +#1153500 +1! +#1153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1153700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1154000 +0! +#1154500 +1! +#1154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1154700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1155000 +0! +#1155500 +1! +#1155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1155700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1156000 +0! +#1156500 +1! +#1156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1156700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1157000 +0! +#1157500 +1! +#1157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1157700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1158000 +0! +#1158500 +1! +#1158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1158700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1159000 +0! +#1159500 +1! +#1159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1159700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1160000 +0! +#1160500 +1! +#1160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1160700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1161000 +0! +#1161500 +1! +#1161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1161700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1162000 +0! +#1162500 +1! +#1162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1162700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1163000 +0! +#1163500 +1! +#1163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1163700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1164000 +0! +#1164500 +1! +#1164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1164700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1165000 +0! +#1165500 +1! +#1165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1165700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1166000 +0! +#1166500 +1! +#1166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1166700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1167000 +0! +#1167500 +1! +#1167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1167700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1168000 +0! +#1168500 +1! +#1168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1168700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1169000 +0! +#1169500 +1! +#1169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1169700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1170000 +0! +#1170500 +1! +#1170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1170700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1171000 +0! +#1171500 +1! +#1171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1171700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1172000 +0! +#1172500 +1! +#1172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1172700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1173000 +0! +#1173500 +1! +#1173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1173700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1174000 +0! +#1174500 +1! +#1174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1174700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1175000 +0! +#1175500 +1! +#1175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1175700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1176000 +0! +#1176500 +1! +#1176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1176700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1177000 +0! +#1177500 +1! +#1177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1177700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1178000 +0! +#1178500 +1! +#1178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1178700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1179000 +0! +#1179500 +1! +#1179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1179700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1180000 +0! +#1180500 +1! +#1180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1180700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1181000 +0! +#1181500 +1! +#1181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1181700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1182000 +0! +#1182500 +1! +#1182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1182700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1183000 +0! +#1183500 +1! +#1183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1183700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1184000 +0! +#1184500 +1! +#1184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1184700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1185000 +0! +#1185500 +1! +#1185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1185700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1186000 +0! +#1186500 +1! +#1186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1186700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1187000 +0! +#1187500 +1! +#1187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1187700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1188000 +0! +#1188500 +1! +#1188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1188700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1189000 +0! +#1189500 +1! +#1189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1189700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1190000 +0! +#1190500 +1! +#1190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1190700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1191000 +0! +#1191500 +1! +#1191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1191700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1192000 +0! +#1192500 +1! +#1192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1192700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1193000 +0! +#1193500 +1! +#1193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1193700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1194000 +0! +#1194500 +1! +#1194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1194700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1195000 +0! +#1195500 +1! +#1195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1195700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1196000 +0! +#1196500 +1! +#1196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1196700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1197000 +0! +#1197500 +1! +#1197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1197700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1198000 +0! +#1198500 +1! +#1198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1198700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1199000 +0! +#1199500 +1! +#1199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1199700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1200000 +0! +#1200500 +1! +#1200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1200700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1201000 +0! +#1201500 +1! +#1201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#1201700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b100 g +bx11010 c +#1202000 +0! +#1202500 +1! +#1202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1202700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1203000 +0! +#1203500 +1! +#1203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1203700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1204000 +0! +#1204500 +1! +#1204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1204700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1205000 +0! +#1205500 +1! +#1205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1205700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1206000 +0! +#1206500 +1! +#1206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1206700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1207000 +0! +#1207500 +1! +#1207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1207700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1208000 +0! +#1208500 +1! +#1208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1208700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1209000 +0! +#1209500 +1! +#1209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1209700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1210000 +0! +#1210500 +1! +#1210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1210700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1211000 +0! +#1211500 +1! +#1211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1211700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1212000 +0! +#1212500 +1! +#1212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1212700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1213000 +0! +#1213500 +1! +#1213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1213700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1214000 +0! +#1214500 +1! +#1214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1214700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1215000 +0! +#1215500 +1! +#1215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1215700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1216000 +0! +#1216500 +1! +#1216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1216700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1217000 +0! +#1217500 +1! +#1217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1217700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1218000 +0! +#1218500 +1! +#1218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1218700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1219000 +0! +#1219500 +1! +#1219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1219700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1220000 +0! +#1220500 +1! +#1220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1220700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1221000 +0! +#1221500 +1! +#1221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1221700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1222000 +0! +#1222500 +1! +#1222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1222700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1223000 +0! +#1223500 +1! +#1223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1223700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1224000 +0! +#1224500 +1! +#1224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1224700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1225000 +0! +#1225500 +1! +#1225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1225700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1226000 +0! +#1226500 +1! +#1226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1226700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1227000 +0! +#1227500 +1! +#1227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1227700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1228000 +0! +#1228500 +1! +#1228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1228700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1229000 +0! +#1229500 +1! +#1229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1229700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1230000 +0! +#1230500 +1! +#1230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1230700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1231000 +0! +#1231500 +1! +#1231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1231700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1232000 +0! +#1232500 +1! +#1232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1232700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1233000 +0! +#1233500 +1! +#1233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1233700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1234000 +0! +#1234500 +1! +#1234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1234700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1235000 +0! +#1235500 +1! +#1235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1235700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1236000 +0! +#1236500 +1! +#1236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1236700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1237000 +0! +#1237500 +1! +#1237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1237700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1238000 +0! +#1238500 +1! +#1238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1238700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1239000 +0! +#1239500 +1! +#1239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1239700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1240000 +0! +#1240500 +1! +#1240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1240700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1241000 +0! +#1241500 +1! +#1241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1241700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1242000 +0! +#1242500 +1! +#1242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1242700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1243000 +0! +#1243500 +1! +#1243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1243700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1244000 +0! +#1244500 +1! +#1244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1244700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1245000 +0! +#1245500 +1! +#1245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1245700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1246000 +0! +#1246500 +1! +#1246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1246700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1247000 +0! +#1247500 +1! +#1247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1247700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1248000 +0! +#1248500 +1! +#1248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1248700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1249000 +0! +#1249500 +1! +#1249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1249700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1250000 +0! +#1250500 +1! +#1250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1250700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1251000 +0! +#1251500 +1! +#1251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1251700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1252000 +0! +#1252500 +1! +#1252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1252700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1253000 +0! +#1253500 +1! +#1253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1253700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1254000 +0! +#1254500 +1! +#1254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1254700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1255000 +0! +#1255500 +1! +#1255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1255700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1256000 +0! +#1256500 +1! +#1256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1256700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1257000 +0! +#1257500 +1! +#1257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1257700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1258000 +0! +#1258500 +1! +#1258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1258700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1259000 +0! +#1259500 +1! +#1259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1259700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1260000 +0! +#1260500 +1! +#1260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1260700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1261000 +0! +#1261500 +1! +#1261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1261700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1262000 +0! +#1262500 +1! +#1262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1262700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1263000 +0! +#1263500 +1! +#1263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1263700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1264000 +0! +#1264500 +1! +#1264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1264700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1265000 +0! +#1265500 +1! +#1265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1265700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1266000 +0! +#1266500 +1! +#1266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1266700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1267000 +0! +#1267500 +1! +#1267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1267700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1268000 +0! +#1268500 +1! +#1268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1268700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1269000 +0! +#1269500 +1! +#1269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1269700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1270000 +0! +#1270500 +1! +#1270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1270700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1271000 +0! +#1271500 +1! +#1271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1271700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1272000 +0! +#1272500 +1! +#1272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1272700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1273000 +0! +#1273500 +1! +#1273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1273700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1274000 +0! +#1274500 +1! +#1274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1274700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1275000 +0! +#1275500 +1! +#1275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1275700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1276000 +0! +#1276500 +1! +#1276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1276700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1277000 +0! +#1277500 +1! +#1277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1277700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1278000 +0! +#1278500 +1! +#1278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1278700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1279000 +0! +#1279500 +1! +#1279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1279700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1280000 +0! +#1280500 +1! +#1280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1280700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1281000 +0! +#1281500 +1! +#1281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1281700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1282000 +0! +#1282500 +1! +#1282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1282700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1283000 +0! +#1283500 +1! +#1283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1283700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1284000 +0! +#1284500 +1! +#1284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1284700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1285000 +0! +#1285500 +1! +#1285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1285700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1286000 +0! +#1286500 +1! +#1286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1286700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1287000 +0! +#1287500 +1! +#1287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1287700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1288000 +0! +#1288500 +1! +#1288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1288700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1289000 +0! +#1289500 +1! +#1289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1289700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1290000 +0! +#1290500 +1! +#1290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1290700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1291000 +0! +#1291500 +1! +#1291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1291700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1292000 +0! +#1292500 +1! +#1292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1292700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1293000 +0! +#1293500 +1! +#1293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1293700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1294000 +0! +#1294500 +1! +#1294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1294700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1295000 +0! +#1295500 +1! +#1295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1295700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1296000 +0! +#1296500 +1! +#1296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1296700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1297000 +0! +#1297500 +1! +#1297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1297700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1298000 +0! +#1298500 +1! +#1298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1298700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1299000 +0! +#1299500 +1! +#1299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1299700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1300000 +0! +#1300500 +1! +#1300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1300700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1301000 +0! +#1301500 +1! +#1301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1301700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1302000 +0! +#1302500 +1! +#1302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1302700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1303000 +0! +#1303500 +1! +#1303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#1303700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1304000 +0! +#1304500 +1! +#1304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1304700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1305000 +0! +#1305500 +1! +#1305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1305700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1306000 +0! +#1306500 +1! +#1306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1306700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1307000 +0! +#1307500 +1! +#1307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1307700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1308000 +0! +#1308500 +1! +#1308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1308700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1309000 +0! +#1309500 +1! +#1309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1309700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1310000 +0! +#1310500 +1! +#1310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1310700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1311000 +0! +#1311500 +1! +#1311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1311700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1312000 +0! +#1312500 +1! +#1312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1312700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1313000 +0! +#1313500 +1! +#1313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1313700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1314000 +0! +#1314500 +1! +#1314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1314700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1315000 +0! +#1315500 +1! +#1315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1315700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1316000 +0! +#1316500 +1! +#1316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1316700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1317000 +0! +#1317500 +1! +#1317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1317700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1318000 +0! +#1318500 +1! +#1318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1318700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1319000 +0! +#1319500 +1! +#1319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1319700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1320000 +0! +#1320500 +1! +#1320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1320700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1321000 +0! +#1321500 +1! +#1321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1321700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1322000 +0! +#1322500 +1! +#1322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1322700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1323000 +0! +#1323500 +1! +#1323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1323700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1324000 +0! +#1324500 +1! +#1324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1324700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1325000 +0! +#1325500 +1! +#1325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1325700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1326000 +0! +#1326500 +1! +#1326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1326700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1327000 +0! +#1327500 +1! +#1327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1327700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1328000 +0! +#1328500 +1! +#1328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1328700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1329000 +0! +#1329500 +1! +#1329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1329700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1330000 +0! +#1330500 +1! +#1330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1330700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1331000 +0! +#1331500 +1! +#1331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1331700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1332000 +0! +#1332500 +1! +#1332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1332700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1333000 +0! +#1333500 +1! +#1333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1333700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1334000 +0! +#1334500 +1! +#1334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1334700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1335000 +0! +#1335500 +1! +#1335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1335700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1336000 +0! +#1336500 +1! +#1336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1336700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1337000 +0! +#1337500 +1! +#1337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1337700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1338000 +0! +#1338500 +1! +#1338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1338700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1339000 +0! +#1339500 +1! +#1339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1339700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1340000 +0! +#1340500 +1! +#1340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1340700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1341000 +0! +#1341500 +1! +#1341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1341700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1342000 +0! +#1342500 +1! +#1342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1342700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1343000 +0! +#1343500 +1! +#1343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1343700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1344000 +0! +#1344500 +1! +#1344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1344700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1345000 +0! +#1345500 +1! +#1345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1345700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1346000 +0! +#1346500 +1! +#1346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1346700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1347000 +0! +#1347500 +1! +#1347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1347700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1348000 +0! +#1348500 +1! +#1348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1348700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1349000 +0! +#1349500 +1! +#1349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1349700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1350000 +0! +#1350500 +1! +#1350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1350700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1351000 +0! +#1351500 +1! +#1351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1351700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1352000 +0! +#1352500 +1! +#1352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1352700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1353000 +0! +#1353500 +1! +#1353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1353700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1354000 +0! +#1354500 +1! +#1354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1354700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1355000 +0! +#1355500 +1! +#1355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1355700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1356000 +0! +#1356500 +1! +#1356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1356700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1357000 +0! +#1357500 +1! +#1357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1357700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1358000 +0! +#1358500 +1! +#1358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1358700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1359000 +0! +#1359500 +1! +#1359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1359700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1360000 +0! +#1360500 +1! +#1360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1360700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1361000 +0! +#1361500 +1! +#1361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1361700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1362000 +0! +#1362500 +1! +#1362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1362700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1363000 +0! +#1363500 +1! +#1363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1363700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1364000 +0! +#1364500 +1! +#1364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1364700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1365000 +0! +#1365500 +1! +#1365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1365700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1366000 +0! +#1366500 +1! +#1366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1366700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1367000 +0! +#1367500 +1! +#1367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1367700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1368000 +0! +#1368500 +1! +#1368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1368700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1369000 +0! +#1369500 +1! +#1369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1369700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1370000 +0! +#1370500 +1! +#1370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1370700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1371000 +0! +#1371500 +1! +#1371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1371700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1372000 +0! +#1372500 +1! +#1372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1372700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1373000 +0! +#1373500 +1! +#1373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1373700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1374000 +0! +#1374500 +1! +#1374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1374700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1375000 +0! +#1375500 +1! +#1375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1375700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1376000 +0! +#1376500 +1! +#1376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1376700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1377000 +0! +#1377500 +1! +#1377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1377700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1378000 +0! +#1378500 +1! +#1378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1378700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1379000 +0! +#1379500 +1! +#1379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1379700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1380000 +0! +#1380500 +1! +#1380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1380700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1381000 +0! +#1381500 +1! +#1381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1381700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1382000 +0! +#1382500 +1! +#1382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1382700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1383000 +0! +#1383500 +1! +#1383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1383700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1384000 +0! +#1384500 +1! +#1384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1384700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1385000 +0! +#1385500 +1! +#1385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1385700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1386000 +0! +#1386500 +1! +#1386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1386700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1387000 +0! +#1387500 +1! +#1387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1387700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1388000 +0! +#1388500 +1! +#1388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1388700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1389000 +0! +#1389500 +1! +#1389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1389700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1390000 +0! +#1390500 +1! +#1390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1390700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1391000 +0! +#1391500 +1! +#1391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1391700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1392000 +0! +#1392500 +1! +#1392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1392700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1393000 +0! +#1393500 +1! +#1393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1393700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1394000 +0! +#1394500 +1! +#1394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1394700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1395000 +0! +#1395500 +1! +#1395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1395700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1396000 +0! +#1396500 +1! +#1396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1396700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1397000 +0! +#1397500 +1! +#1397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1397700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1398000 +0! +#1398500 +1! +#1398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1398700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1399000 +0! +#1399500 +1! +#1399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1399700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1400000 +0! +#1400500 +1! +#1400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1400700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1401000 +0! +#1401500 +1! +#1401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1401700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1402000 +0! +#1402500 +1! +#1402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1402700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1403000 +0! +#1403500 +1! +#1403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1403700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1404000 +0! +#1404500 +1! +#1404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1404700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1405000 +0! +#1405500 +1! +#1405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1405700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1406000 +0! +#1406500 +1! +#1406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1406700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1407000 +0! +#1407500 +1! +#1407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1407700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1408000 +0! +#1408500 +1! +#1408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1408700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1409000 +0! +#1409500 +1! +#1409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1409700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1410000 +0! +#1410500 +1! +#1410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1410700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1411000 +0! +#1411500 +1! +#1411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1411700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1412000 +0! +#1412500 +1! +#1412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1412700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1413000 +0! +#1413500 +1! +#1413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1413700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1414000 +0! +#1414500 +1! +#1414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1414700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1415000 +0! +#1415500 +1! +#1415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1415700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1416000 +0! +#1416500 +1! +#1416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1416700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1417000 +0! +#1417500 +1! +#1417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1417700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1418000 +0! +#1418500 +1! +#1418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1418700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1419000 +0! +#1419500 +1! +#1419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1419700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1420000 +0! +#1420500 +1! +#1420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1420700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1421000 +0! +#1421500 +1! +#1421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1421700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1422000 +0! +#1422500 +1! +#1422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1422700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1423000 +0! +#1423500 +1! +#1423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1423700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1424000 +0! +#1424500 +1! +#1424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1424700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1425000 +0! +#1425500 +1! +#1425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1425700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1426000 +0! +#1426500 +1! +#1426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1426700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1427000 +0! +#1427500 +1! +#1427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1427700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1428000 +0! +#1428500 +1! +#1428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1428700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1429000 +0! +#1429500 +1! +#1429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1429700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1430000 +0! +#1430500 +1! +#1430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1430700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1431000 +0! +#1431500 +1! +#1431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1431700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1432000 +0! +#1432500 +1! +#1432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1432700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1433000 +0! +#1433500 +1! +#1433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1433700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1434000 +0! +#1434500 +1! +#1434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1434700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1435000 +0! +#1435500 +1! +#1435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1435700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1436000 +0! +#1436500 +1! +#1436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1436700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1437000 +0! +#1437500 +1! +#1437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1437700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1438000 +0! +#1438500 +1! +#1438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1438700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1439000 +0! +#1439500 +1! +#1439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1439700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1440000 +0! +#1440500 +1! +#1440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1440700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1441000 +0! +#1441500 +1! +#1441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1441700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1442000 +0! +#1442500 +1! +#1442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1442700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1443000 +0! +#1443500 +1! +#1443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1443700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1444000 +0! +#1444500 +1! +#1444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1444700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1445000 +0! +#1445500 +1! +#1445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1445700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1446000 +0! +#1446500 +1! +#1446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1446700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1447000 +0! +#1447500 +1! +#1447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1447700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1448000 +0! +#1448500 +1! +#1448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1448700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1449000 +0! +#1449500 +1! +#1449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1449700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1450000 +0! +#1450500 +1! +#1450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1450700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1451000 +0! +#1451500 +1! +#1451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1451700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1452000 +0! +#1452500 +1! +#1452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1452700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1453000 +0! +#1453500 +1! +#1453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1453700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1454000 +0! +#1454500 +1! +#1454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1454700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1455000 +0! +#1455500 +1! +#1455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1455700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1456000 +0! +#1456500 +1! +#1456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#1456700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11 g +bx110100 c +#1457000 +0! +#1457500 +1! +#1457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1457700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1458000 +0! +#1458500 +1! +#1458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1458700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1459000 +0! +#1459500 +1! +#1459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1459700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1460000 +0! +#1460500 +1! +#1460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1460700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1461000 +0! +#1461500 +1! +#1461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1461700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1462000 +0! +#1462500 +1! +#1462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1462700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1463000 +0! +#1463500 +1! +#1463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1463700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1464000 +0! +#1464500 +1! +#1464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1464700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1465000 +0! +#1465500 +1! +#1465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1465700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1466000 +0! +#1466500 +1! +#1466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1466700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1467000 +0! +#1467500 +1! +#1467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1467700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1468000 +0! +#1468500 +1! +#1468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1468700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1469000 +0! +#1469500 +1! +#1469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1469700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1470000 +0! +#1470500 +1! +#1470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1470700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1471000 +0! +#1471500 +1! +#1471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1471700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1472000 +0! +#1472500 +1! +#1472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1472700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1473000 +0! +#1473500 +1! +#1473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1473700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1474000 +0! +#1474500 +1! +#1474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1474700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1475000 +0! +#1475500 +1! +#1475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1475700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1476000 +0! +#1476500 +1! +#1476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1476700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1477000 +0! +#1477500 +1! +#1477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1477700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1478000 +0! +#1478500 +1! +#1478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1478700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1479000 +0! +#1479500 +1! +#1479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1479700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1480000 +0! +#1480500 +1! +#1480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1480700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1481000 +0! +#1481500 +1! +#1481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1481700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1482000 +0! +#1482500 +1! +#1482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1482700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1483000 +0! +#1483500 +1! +#1483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1483700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1484000 +0! +#1484500 +1! +#1484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1484700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1485000 +0! +#1485500 +1! +#1485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1485700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1486000 +0! +#1486500 +1! +#1486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1486700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1487000 +0! +#1487500 +1! +#1487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1487700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1488000 +0! +#1488500 +1! +#1488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1488700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1489000 +0! +#1489500 +1! +#1489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1489700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1490000 +0! +#1490500 +1! +#1490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1490700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1491000 +0! +#1491500 +1! +#1491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1491700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1492000 +0! +#1492500 +1! +#1492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1492700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1493000 +0! +#1493500 +1! +#1493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1493700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1494000 +0! +#1494500 +1! +#1494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1494700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1495000 +0! +#1495500 +1! +#1495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1495700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1496000 +0! +#1496500 +1! +#1496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1496700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1497000 +0! +#1497500 +1! +#1497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1497700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1498000 +0! +#1498500 +1! +#1498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1498700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1499000 +0! +#1499500 +1! +#1499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1499700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1500000 +0! +#1500500 +1! +#1500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1500700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1501000 +0! +#1501500 +1! +#1501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1501700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1502000 +0! +#1502500 +1! +#1502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1502700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1503000 +0! +#1503500 +1! +#1503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1503700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1504000 +0! +#1504500 +1! +#1504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1504700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1505000 +0! +#1505500 +1! +#1505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1505700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1506000 +0! +#1506500 +1! +#1506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1506700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1507000 +0! +#1507500 +1! +#1507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1507700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1508000 +0! +#1508500 +1! +#1508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1508700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1509000 +0! +#1509500 +1! +#1509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1509700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1510000 +0! +#1510500 +1! +#1510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1510700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1511000 +0! +#1511500 +1! +#1511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1511700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1512000 +0! +#1512500 +1! +#1512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1512700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1513000 +0! +#1513500 +1! +#1513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1513700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1514000 +0! +#1514500 +1! +#1514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1514700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1515000 +0! +#1515500 +1! +#1515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1515700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1516000 +0! +#1516500 +1! +#1516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1516700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1517000 +0! +#1517500 +1! +#1517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1517700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1518000 +0! +#1518500 +1! +#1518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1518700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1519000 +0! +#1519500 +1! +#1519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1519700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1520000 +0! +#1520500 +1! +#1520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1520700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1521000 +0! +#1521500 +1! +#1521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1521700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1522000 +0! +#1522500 +1! +#1522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1522700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1523000 +0! +#1523500 +1! +#1523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1523700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1524000 +0! +#1524500 +1! +#1524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1524700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1525000 +0! +#1525500 +1! +#1525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1525700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1526000 +0! +#1526500 +1! +#1526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1526700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1527000 +0! +#1527500 +1! +#1527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1527700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1528000 +0! +#1528500 +1! +#1528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1528700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1529000 +0! +#1529500 +1! +#1529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1529700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1530000 +0! +#1530500 +1! +#1530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1530700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1531000 +0! +#1531500 +1! +#1531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1531700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1532000 +0! +#1532500 +1! +#1532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1532700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1533000 +0! +#1533500 +1! +#1533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1533700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1534000 +0! +#1534500 +1! +#1534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1534700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1535000 +0! +#1535500 +1! +#1535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1535700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1536000 +0! +#1536500 +1! +#1536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1536700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1537000 +0! +#1537500 +1! +#1537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1537700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1538000 +0! +#1538500 +1! +#1538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1538700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1539000 +0! +#1539500 +1! +#1539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1539700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1540000 +0! +#1540500 +1! +#1540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1540700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1541000 +0! +#1541500 +1! +#1541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1541700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1542000 +0! +#1542500 +1! +#1542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1542700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1543000 +0! +#1543500 +1! +#1543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1543700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1544000 +0! +#1544500 +1! +#1544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1544700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1545000 +0! +#1545500 +1! +#1545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1545700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1546000 +0! +#1546500 +1! +#1546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1546700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1547000 +0! +#1547500 +1! +#1547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1547700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1548000 +0! +#1548500 +1! +#1548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1548700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1549000 +0! +#1549500 +1! +#1549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1549700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1550000 +0! +#1550500 +1! +#1550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1550700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1551000 +0! +#1551500 +1! +#1551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1551700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1552000 +0! +#1552500 +1! +#1552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1552700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1553000 +0! +#1553500 +1! +#1553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1553700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1554000 +0! +#1554500 +1! +#1554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1554700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1555000 +0! +#1555500 +1! +#1555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1555700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1556000 +0! +#1556500 +1! +#1556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1556700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1557000 +0! +#1557500 +1! +#1557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1557700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1558000 +0! +#1558500 +1! +#1558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#1558700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1559000 +0! +#1559500 +1! +#1559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1559700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1560000 +0! +#1560500 +1! +#1560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1560700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1561000 +0! +#1561500 +1! +#1561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1561700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1562000 +0! +#1562500 +1! +#1562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1562700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1563000 +0! +#1563500 +1! +#1563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1563700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1564000 +0! +#1564500 +1! +#1564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1564700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1565000 +0! +#1565500 +1! +#1565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1565700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1566000 +0! +#1566500 +1! +#1566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1566700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1567000 +0! +#1567500 +1! +#1567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1567700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1568000 +0! +#1568500 +1! +#1568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1568700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1569000 +0! +#1569500 +1! +#1569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1569700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1570000 +0! +#1570500 +1! +#1570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1570700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1571000 +0! +#1571500 +1! +#1571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1571700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1572000 +0! +#1572500 +1! +#1572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1572700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1573000 +0! +#1573500 +1! +#1573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1573700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1574000 +0! +#1574500 +1! +#1574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1574700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1575000 +0! +#1575500 +1! +#1575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1575700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1576000 +0! +#1576500 +1! +#1576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1576700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1577000 +0! +#1577500 +1! +#1577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1577700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1578000 +0! +#1578500 +1! +#1578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1578700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1579000 +0! +#1579500 +1! +#1579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1579700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1580000 +0! +#1580500 +1! +#1580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1580700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1581000 +0! +#1581500 +1! +#1581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1581700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1582000 +0! +#1582500 +1! +#1582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1582700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1583000 +0! +#1583500 +1! +#1583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1583700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1584000 +0! +#1584500 +1! +#1584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1584700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1585000 +0! +#1585500 +1! +#1585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1585700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1586000 +0! +#1586500 +1! +#1586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1586700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1587000 +0! +#1587500 +1! +#1587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1587700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1588000 +0! +#1588500 +1! +#1588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1588700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1589000 +0! +#1589500 +1! +#1589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1589700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1590000 +0! +#1590500 +1! +#1590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1590700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1591000 +0! +#1591500 +1! +#1591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1591700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1592000 +0! +#1592500 +1! +#1592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1592700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1593000 +0! +#1593500 +1! +#1593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1593700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1594000 +0! +#1594500 +1! +#1594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1594700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1595000 +0! +#1595500 +1! +#1595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1595700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1596000 +0! +#1596500 +1! +#1596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1596700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1597000 +0! +#1597500 +1! +#1597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1597700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1598000 +0! +#1598500 +1! +#1598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1598700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1599000 +0! +#1599500 +1! +#1599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1599700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1600000 +0! +#1600500 +1! +#1600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1600700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1601000 +0! +#1601500 +1! +#1601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1601700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1602000 +0! +#1602500 +1! +#1602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1602700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1603000 +0! +#1603500 +1! +#1603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1603700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1604000 +0! +#1604500 +1! +#1604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1604700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1605000 +0! +#1605500 +1! +#1605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1605700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1606000 +0! +#1606500 +1! +#1606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1606700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1607000 +0! +#1607500 +1! +#1607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1607700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1608000 +0! +#1608500 +1! +#1608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1608700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1609000 +0! +#1609500 +1! +#1609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1609700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1610000 +0! +#1610500 +1! +#1610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1610700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1611000 +0! +#1611500 +1! +#1611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1611700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1612000 +0! +#1612500 +1! +#1612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1612700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1613000 +0! +#1613500 +1! +#1613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1613700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1614000 +0! +#1614500 +1! +#1614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1614700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1615000 +0! +#1615500 +1! +#1615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1615700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1616000 +0! +#1616500 +1! +#1616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1616700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1617000 +0! +#1617500 +1! +#1617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1617700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1618000 +0! +#1618500 +1! +#1618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1618700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1619000 +0! +#1619500 +1! +#1619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1619700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1620000 +0! +#1620500 +1! +#1620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1620700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1621000 +0! +#1621500 +1! +#1621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1621700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1622000 +0! +#1622500 +1! +#1622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1622700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1623000 +0! +#1623500 +1! +#1623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1623700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1624000 +0! +#1624500 +1! +#1624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1624700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1625000 +0! +#1625500 +1! +#1625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1625700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1626000 +0! +#1626500 +1! +#1626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1626700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1627000 +0! +#1627500 +1! +#1627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1627700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1628000 +0! +#1628500 +1! +#1628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1628700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1629000 +0! +#1629500 +1! +#1629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1629700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1630000 +0! +#1630500 +1! +#1630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1630700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1631000 +0! +#1631500 +1! +#1631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1631700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1632000 +0! +#1632500 +1! +#1632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1632700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1633000 +0! +#1633500 +1! +#1633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1633700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1634000 +0! +#1634500 +1! +#1634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1634700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1635000 +0! +#1635500 +1! +#1635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1635700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1636000 +0! +#1636500 +1! +#1636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1636700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1637000 +0! +#1637500 +1! +#1637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1637700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1638000 +0! +#1638500 +1! +#1638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1638700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1639000 +0! +#1639500 +1! +#1639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1639700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1640000 +0! +#1640500 +1! +#1640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1640700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1641000 +0! +#1641500 +1! +#1641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1641700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1642000 +0! +#1642500 +1! +#1642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1642700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1643000 +0! +#1643500 +1! +#1643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1643700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1644000 +0! +#1644500 +1! +#1644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1644700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1645000 +0! +#1645500 +1! +#1645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1645700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1646000 +0! +#1646500 +1! +#1646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1646700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1647000 +0! +#1647500 +1! +#1647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1647700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1648000 +0! +#1648500 +1! +#1648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1648700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1649000 +0! +#1649500 +1! +#1649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1649700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1650000 +0! +#1650500 +1! +#1650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1650700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1651000 +0! +#1651500 +1! +#1651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1651700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1652000 +0! +#1652500 +1! +#1652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1652700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1653000 +0! +#1653500 +1! +#1653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1653700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1654000 +0! +#1654500 +1! +#1654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1654700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1655000 +0! +#1655500 +1! +#1655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1655700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1656000 +0! +#1656500 +1! +#1656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1656700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1657000 +0! +#1657500 +1! +#1657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1657700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1658000 +0! +#1658500 +1! +#1658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1658700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1659000 +0! +#1659500 +1! +#1659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1659700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1660000 +0! +#1660500 +1! +#1660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1660700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1661000 +0! +#1661500 +1! +#1661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1661700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1662000 +0! +#1662500 +1! +#1662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1662700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1663000 +0! +#1663500 +1! +#1663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1663700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1664000 +0! +#1664500 +1! +#1664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1664700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1665000 +0! +#1665500 +1! +#1665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1665700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1666000 +0! +#1666500 +1! +#1666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1666700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1667000 +0! +#1667500 +1! +#1667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1667700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1668000 +0! +#1668500 +1! +#1668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1668700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1669000 +0! +#1669500 +1! +#1669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1669700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1670000 +0! +#1670500 +1! +#1670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1670700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1671000 +0! +#1671500 +1! +#1671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1671700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1672000 +0! +#1672500 +1! +#1672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1672700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1673000 +0! +#1673500 +1! +#1673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1673700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1674000 +0! +#1674500 +1! +#1674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1674700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1675000 +0! +#1675500 +1! +#1675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1675700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1676000 +0! +#1676500 +1! +#1676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1676700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1677000 +0! +#1677500 +1! +#1677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1677700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1678000 +0! +#1678500 +1! +#1678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1678700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1679000 +0! +#1679500 +1! +#1679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1679700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1680000 +0! +#1680500 +1! +#1680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1680700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1681000 +0! +#1681500 +1! +#1681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1681700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1682000 +0! +#1682500 +1! +#1682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1682700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1683000 +0! +#1683500 +1! +#1683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1683700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1684000 +0! +#1684500 +1! +#1684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1684700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1685000 +0! +#1685500 +1! +#1685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1685700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1686000 +0! +#1686500 +1! +#1686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1686700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1687000 +0! +#1687500 +1! +#1687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1687700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1688000 +0! +#1688500 +1! +#1688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1688700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1689000 +0! +#1689500 +1! +#1689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1689700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1690000 +0! +#1690500 +1! +#1690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1690700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1691000 +0! +#1691500 +1! +#1691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1691700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1692000 +0! +#1692500 +1! +#1692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1692700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1693000 +0! +#1693500 +1! +#1693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1693700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1694000 +0! +#1694500 +1! +#1694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1694700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1695000 +0! +#1695500 +1! +#1695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1695700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1696000 +0! +#1696500 +1! +#1696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1696700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1697000 +0! +#1697500 +1! +#1697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1697700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1698000 +0! +#1698500 +1! +#1698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1698700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1699000 +0! +#1699500 +1! +#1699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1699700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1700000 +0! +#1700500 +1! +#1700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1700700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1701000 +0! +#1701500 +1! +#1701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1701700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1702000 +0! +#1702500 +1! +#1702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1702700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1703000 +0! +#1703500 +1! +#1703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1703700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1704000 +0! +#1704500 +1! +#1704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1704700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1705000 +0! +#1705500 +1! +#1705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1705700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1706000 +0! +#1706500 +1! +#1706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1706700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1707000 +0! +#1707500 +1! +#1707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1707700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1708000 +0! +#1708500 +1! +#1708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1708700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1709000 +0! +#1709500 +1! +#1709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1709700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1710000 +0! +#1710500 +1! +#1710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1710700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1711000 +0! +#1711500 +1! +#1711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#1711700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b10 g +bx1101000 c +#1712000 +0! +#1712500 +1! +#1712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1712700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1713000 +0! +#1713500 +1! +#1713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1713700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1714000 +0! +#1714500 +1! +#1714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1714700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1715000 +0! +#1715500 +1! +#1715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1715700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1716000 +0! +#1716500 +1! +#1716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1716700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1717000 +0! +#1717500 +1! +#1717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1717700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1718000 +0! +#1718500 +1! +#1718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1718700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1719000 +0! +#1719500 +1! +#1719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1719700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1720000 +0! +#1720500 +1! +#1720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1720700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1721000 +0! +#1721500 +1! +#1721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1721700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1722000 +0! +#1722500 +1! +#1722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1722700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1723000 +0! +#1723500 +1! +#1723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1723700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1724000 +0! +#1724500 +1! +#1724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1724700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1725000 +0! +#1725500 +1! +#1725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1725700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1726000 +0! +#1726500 +1! +#1726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1726700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1727000 +0! +#1727500 +1! +#1727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1727700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1728000 +0! +#1728500 +1! +#1728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1728700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1729000 +0! +#1729500 +1! +#1729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1729700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1730000 +0! +#1730500 +1! +#1730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1730700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1731000 +0! +#1731500 +1! +#1731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1731700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1732000 +0! +#1732500 +1! +#1732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1732700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1733000 +0! +#1733500 +1! +#1733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1733700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1734000 +0! +#1734500 +1! +#1734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1734700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1735000 +0! +#1735500 +1! +#1735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1735700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1736000 +0! +#1736500 +1! +#1736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1736700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1737000 +0! +#1737500 +1! +#1737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1737700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1738000 +0! +#1738500 +1! +#1738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1738700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1739000 +0! +#1739500 +1! +#1739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1739700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1740000 +0! +#1740500 +1! +#1740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1740700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1741000 +0! +#1741500 +1! +#1741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1741700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1742000 +0! +#1742500 +1! +#1742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1742700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1743000 +0! +#1743500 +1! +#1743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1743700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1744000 +0! +#1744500 +1! +#1744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1744700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1745000 +0! +#1745500 +1! +#1745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1745700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1746000 +0! +#1746500 +1! +#1746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1746700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1747000 +0! +#1747500 +1! +#1747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1747700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1748000 +0! +#1748500 +1! +#1748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1748700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1749000 +0! +#1749500 +1! +#1749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1749700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1750000 +0! +#1750500 +1! +#1750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1750700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1751000 +0! +#1751500 +1! +#1751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1751700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1752000 +0! +#1752500 +1! +#1752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1752700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1753000 +0! +#1753500 +1! +#1753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1753700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1754000 +0! +#1754500 +1! +#1754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1754700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1755000 +0! +#1755500 +1! +#1755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1755700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1756000 +0! +#1756500 +1! +#1756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1756700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1757000 +0! +#1757500 +1! +#1757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1757700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1758000 +0! +#1758500 +1! +#1758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1758700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1759000 +0! +#1759500 +1! +#1759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1759700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1760000 +0! +#1760500 +1! +#1760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1760700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1761000 +0! +#1761500 +1! +#1761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1761700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1762000 +0! +#1762500 +1! +#1762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1762700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1763000 +0! +#1763500 +1! +#1763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1763700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1764000 +0! +#1764500 +1! +#1764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1764700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1765000 +0! +#1765500 +1! +#1765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1765700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1766000 +0! +#1766500 +1! +#1766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1766700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1767000 +0! +#1767500 +1! +#1767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1767700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1768000 +0! +#1768500 +1! +#1768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1768700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1769000 +0! +#1769500 +1! +#1769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1769700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1770000 +0! +#1770500 +1! +#1770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1770700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1771000 +0! +#1771500 +1! +#1771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1771700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1772000 +0! +#1772500 +1! +#1772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1772700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1773000 +0! +#1773500 +1! +#1773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1773700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1774000 +0! +#1774500 +1! +#1774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1774700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1775000 +0! +#1775500 +1! +#1775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1775700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1776000 +0! +#1776500 +1! +#1776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1776700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1777000 +0! +#1777500 +1! +#1777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1777700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1778000 +0! +#1778500 +1! +#1778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1778700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1779000 +0! +#1779500 +1! +#1779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1779700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1780000 +0! +#1780500 +1! +#1780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1780700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1781000 +0! +#1781500 +1! +#1781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1781700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1782000 +0! +#1782500 +1! +#1782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1782700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1783000 +0! +#1783500 +1! +#1783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1783700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1784000 +0! +#1784500 +1! +#1784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1784700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1785000 +0! +#1785500 +1! +#1785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1785700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1786000 +0! +#1786500 +1! +#1786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1786700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1787000 +0! +#1787500 +1! +#1787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1787700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1788000 +0! +#1788500 +1! +#1788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1788700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1789000 +0! +#1789500 +1! +#1789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1789700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1790000 +0! +#1790500 +1! +#1790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1790700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1791000 +0! +#1791500 +1! +#1791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1791700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1792000 +0! +#1792500 +1! +#1792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1792700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1793000 +0! +#1793500 +1! +#1793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1793700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1794000 +0! +#1794500 +1! +#1794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1794700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1795000 +0! +#1795500 +1! +#1795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1795700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1796000 +0! +#1796500 +1! +#1796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1796700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1797000 +0! +#1797500 +1! +#1797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1797700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1798000 +0! +#1798500 +1! +#1798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1798700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1799000 +0! +#1799500 +1! +#1799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1799700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1800000 +0! +#1800500 +1! +#1800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1800700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1801000 +0! +#1801500 +1! +#1801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1801700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1802000 +0! +#1802500 +1! +#1802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1802700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1803000 +0! +#1803500 +1! +#1803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1803700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1804000 +0! +#1804500 +1! +#1804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1804700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1805000 +0! +#1805500 +1! +#1805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1805700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1806000 +0! +#1806500 +1! +#1806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1806700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1807000 +0! +#1807500 +1! +#1807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1807700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1808000 +0! +#1808500 +1! +#1808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1808700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1809000 +0! +#1809500 +1! +#1809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1809700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1810000 +0! +#1810500 +1! +#1810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1810700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1811000 +0! +#1811500 +1! +#1811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1811700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1812000 +0! +#1812500 +1! +#1812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1812700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1813000 +0! +#1813500 +1! +#1813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#1813700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1814000 +0! +#1814500 +1! +#1814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1814700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1815000 +0! +#1815500 +1! +#1815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1815700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1816000 +0! +#1816500 +1! +#1816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1816700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1817000 +0! +#1817500 +1! +#1817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1817700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1818000 +0! +#1818500 +1! +#1818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1818700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1819000 +0! +#1819500 +1! +#1819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1819700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1820000 +0! +#1820500 +1! +#1820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1820700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1821000 +0! +#1821500 +1! +#1821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1821700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1822000 +0! +#1822500 +1! +#1822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1822700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1823000 +0! +#1823500 +1! +#1823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1823700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1824000 +0! +#1824500 +1! +#1824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1824700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1825000 +0! +#1825500 +1! +#1825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1825700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1826000 +0! +#1826500 +1! +#1826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1826700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1827000 +0! +#1827500 +1! +#1827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1827700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1828000 +0! +#1828500 +1! +#1828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1828700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1829000 +0! +#1829500 +1! +#1829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1829700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1830000 +0! +#1830500 +1! +#1830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1830700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1831000 +0! +#1831500 +1! +#1831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1831700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1832000 +0! +#1832500 +1! +#1832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1832700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1833000 +0! +#1833500 +1! +#1833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1833700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1834000 +0! +#1834500 +1! +#1834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1834700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1835000 +0! +#1835500 +1! +#1835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1835700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1836000 +0! +#1836500 +1! +#1836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1836700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1837000 +0! +#1837500 +1! +#1837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1837700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1838000 +0! +#1838500 +1! +#1838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1838700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1839000 +0! +#1839500 +1! +#1839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1839700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1840000 +0! +#1840500 +1! +#1840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1840700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1841000 +0! +#1841500 +1! +#1841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1841700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1842000 +0! +#1842500 +1! +#1842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1842700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1843000 +0! +#1843500 +1! +#1843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1843700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1844000 +0! +#1844500 +1! +#1844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1844700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1845000 +0! +#1845500 +1! +#1845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1845700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1846000 +0! +#1846500 +1! +#1846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1846700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1847000 +0! +#1847500 +1! +#1847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1847700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1848000 +0! +#1848500 +1! +#1848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1848700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1849000 +0! +#1849500 +1! +#1849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1849700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1850000 +0! +#1850500 +1! +#1850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1850700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1851000 +0! +#1851500 +1! +#1851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1851700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1852000 +0! +#1852500 +1! +#1852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1852700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1853000 +0! +#1853500 +1! +#1853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1853700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1854000 +0! +#1854500 +1! +#1854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1854700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1855000 +0! +#1855500 +1! +#1855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1855700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1856000 +0! +#1856500 +1! +#1856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1856700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1857000 +0! +#1857500 +1! +#1857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1857700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1858000 +0! +#1858500 +1! +#1858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1858700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1859000 +0! +#1859500 +1! +#1859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1859700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1860000 +0! +#1860500 +1! +#1860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1860700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1861000 +0! +#1861500 +1! +#1861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1861700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1862000 +0! +#1862500 +1! +#1862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1862700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1863000 +0! +#1863500 +1! +#1863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1863700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1864000 +0! +#1864500 +1! +#1864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1864700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1865000 +0! +#1865500 +1! +#1865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1865700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1866000 +0! +#1866500 +1! +#1866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1866700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1867000 +0! +#1867500 +1! +#1867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1867700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1868000 +0! +#1868500 +1! +#1868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1868700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1869000 +0! +#1869500 +1! +#1869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1869700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1870000 +0! +#1870500 +1! +#1870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1870700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1871000 +0! +#1871500 +1! +#1871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1871700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1872000 +0! +#1872500 +1! +#1872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1872700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1873000 +0! +#1873500 +1! +#1873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1873700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1874000 +0! +#1874500 +1! +#1874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1874700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1875000 +0! +#1875500 +1! +#1875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1875700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1876000 +0! +#1876500 +1! +#1876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1876700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1877000 +0! +#1877500 +1! +#1877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1877700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1878000 +0! +#1878500 +1! +#1878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1878700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1879000 +0! +#1879500 +1! +#1879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1879700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1880000 +0! +#1880500 +1! +#1880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1880700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1881000 +0! +#1881500 +1! +#1881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1881700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1882000 +0! +#1882500 +1! +#1882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1882700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1883000 +0! +#1883500 +1! +#1883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1883700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1884000 +0! +#1884500 +1! +#1884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1884700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1885000 +0! +#1885500 +1! +#1885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1885700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1886000 +0! +#1886500 +1! +#1886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1886700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1887000 +0! +#1887500 +1! +#1887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1887700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1888000 +0! +#1888500 +1! +#1888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1888700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1889000 +0! +#1889500 +1! +#1889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1889700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1890000 +0! +#1890500 +1! +#1890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1890700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1891000 +0! +#1891500 +1! +#1891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1891700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1892000 +0! +#1892500 +1! +#1892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1892700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1893000 +0! +#1893500 +1! +#1893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1893700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1894000 +0! +#1894500 +1! +#1894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1894700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1895000 +0! +#1895500 +1! +#1895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1895700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1896000 +0! +#1896500 +1! +#1896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1896700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1897000 +0! +#1897500 +1! +#1897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1897700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1898000 +0! +#1898500 +1! +#1898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1898700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1899000 +0! +#1899500 +1! +#1899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1899700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1900000 +0! +#1900500 +1! +#1900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1900700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1901000 +0! +#1901500 +1! +#1901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1901700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1902000 +0! +#1902500 +1! +#1902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1902700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1903000 +0! +#1903500 +1! +#1903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1903700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1904000 +0! +#1904500 +1! +#1904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1904700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1905000 +0! +#1905500 +1! +#1905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1905700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1906000 +0! +#1906500 +1! +#1906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1906700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1907000 +0! +#1907500 +1! +#1907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1907700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1908000 +0! +#1908500 +1! +#1908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1908700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1909000 +0! +#1909500 +1! +#1909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1909700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1910000 +0! +#1910500 +1! +#1910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1910700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1911000 +0! +#1911500 +1! +#1911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1911700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1912000 +0! +#1912500 +1! +#1912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1912700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1913000 +0! +#1913500 +1! +#1913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1913700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1914000 +0! +#1914500 +1! +#1914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1914700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1915000 +0! +#1915500 +1! +#1915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1915700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1916000 +0! +#1916500 +1! +#1916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1916700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1917000 +0! +#1917500 +1! +#1917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1917700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1918000 +0! +#1918500 +1! +#1918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1918700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1919000 +0! +#1919500 +1! +#1919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1919700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1920000 +0! +#1920500 +1! +#1920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1920700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1921000 +0! +#1921500 +1! +#1921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1921700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1922000 +0! +#1922500 +1! +#1922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1922700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1923000 +0! +#1923500 +1! +#1923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1923700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1924000 +0! +#1924500 +1! +#1924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1924700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1925000 +0! +#1925500 +1! +#1925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1925700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1926000 +0! +#1926500 +1! +#1926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1926700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1927000 +0! +#1927500 +1! +#1927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1927700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1928000 +0! +#1928500 +1! +#1928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1928700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1929000 +0! +#1929500 +1! +#1929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1929700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1930000 +0! +#1930500 +1! +#1930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1930700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1931000 +0! +#1931500 +1! +#1931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1931700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1932000 +0! +#1932500 +1! +#1932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1932700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1933000 +0! +#1933500 +1! +#1933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1933700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1934000 +0! +#1934500 +1! +#1934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1934700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1935000 +0! +#1935500 +1! +#1935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1935700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1936000 +0! +#1936500 +1! +#1936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1936700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1937000 +0! +#1937500 +1! +#1937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1937700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1938000 +0! +#1938500 +1! +#1938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1938700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1939000 +0! +#1939500 +1! +#1939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1939700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1940000 +0! +#1940500 +1! +#1940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1940700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1941000 +0! +#1941500 +1! +#1941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1941700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1942000 +0! +#1942500 +1! +#1942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1942700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1943000 +0! +#1943500 +1! +#1943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1943700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1944000 +0! +#1944500 +1! +#1944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1944700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1945000 +0! +#1945500 +1! +#1945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1945700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1946000 +0! +#1946500 +1! +#1946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1946700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1947000 +0! +#1947500 +1! +#1947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1947700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1948000 +0! +#1948500 +1! +#1948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1948700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1949000 +0! +#1949500 +1! +#1949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1949700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1950000 +0! +#1950500 +1! +#1950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1950700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1951000 +0! +#1951500 +1! +#1951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1951700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1952000 +0! +#1952500 +1! +#1952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1952700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1953000 +0! +#1953500 +1! +#1953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1953700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1954000 +0! +#1954500 +1! +#1954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1954700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1955000 +0! +#1955500 +1! +#1955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1955700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1956000 +0! +#1956500 +1! +#1956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1956700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1957000 +0! +#1957500 +1! +#1957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1957700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1958000 +0! +#1958500 +1! +#1958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1958700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1959000 +0! +#1959500 +1! +#1959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1959700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1960000 +0! +#1960500 +1! +#1960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1960700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1961000 +0! +#1961500 +1! +#1961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1961700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1962000 +0! +#1962500 +1! +#1962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1962700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1963000 +0! +#1963500 +1! +#1963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1963700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1964000 +0! +#1964500 +1! +#1964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1964700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1965000 +0! +#1965500 +1! +#1965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1965700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1966000 +0! +#1966500 +1! +#1966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#1966700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1 g +b11010000 c +#1967000 +0! +#1967500 +1! +#1967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1967700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1968000 +0! +#1968500 +1! +#1968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1968700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1969000 +0! +#1969500 +1! +#1969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1969700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1970000 +0! +#1970500 +1! +#1970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1970700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1971000 +0! +#1971500 +1! +#1971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1971700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1972000 +0! +#1972500 +1! +#1972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1972700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1973000 +0! +#1973500 +1! +#1973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1973700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1974000 +0! +#1974500 +1! +#1974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1974700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1975000 +0! +#1975500 +1! +#1975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1975700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1976000 +0! +#1976500 +1! +#1976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1976700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1977000 +0! +#1977500 +1! +#1977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1977700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1978000 +0! +#1978500 +1! +#1978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1978700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1979000 +0! +#1979500 +1! +#1979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1979700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1980000 +0! +#1980500 +1! +#1980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1980700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1981000 +0! +#1981500 +1! +#1981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1981700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1982000 +0! +#1982500 +1! +#1982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1982700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1983000 +0! +#1983500 +1! +#1983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1983700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1984000 +0! +#1984500 +1! +#1984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1984700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1985000 +0! +#1985500 +1! +#1985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1985700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1986000 +0! +#1986500 +1! +#1986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1986700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1987000 +0! +#1987500 +1! +#1987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1987700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1988000 +0! +#1988500 +1! +#1988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1988700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1989000 +0! +#1989500 +1! +#1989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1989700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1990000 +0! +#1990500 +1! +#1990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1990700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1991000 +0! +#1991500 +1! +#1991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1991700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1992000 +0! +#1992500 +1! +#1992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1992700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1993000 +0! +#1993500 +1! +#1993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1993700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1994000 +0! +#1994500 +1! +#1994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1994700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1995000 +0! +#1995500 +1! +#1995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1995700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1996000 +0! +#1996500 +1! +#1996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1996700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1997000 +0! +#1997500 +1! +#1997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1997700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1998000 +0! +#1998500 +1! +#1998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1998700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#1999000 +0! +#1999500 +1! +#1999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#1999700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2000000 +0! +#2000500 +1! +#2000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2000700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2001000 +0! +#2001500 +1! +#2001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2001700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2002000 +0! +#2002500 +1! +#2002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2002700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2003000 +0! +#2003500 +1! +#2003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2003700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2004000 +0! +#2004500 +1! +#2004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2004700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2005000 +0! +#2005500 +1! +#2005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2005700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2006000 +0! +#2006500 +1! +#2006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2006700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2007000 +0! +#2007500 +1! +#2007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2007700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2008000 +0! +#2008500 +1! +#2008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2008700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2009000 +0! +#2009500 +1! +#2009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2009700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2010000 +0! +#2010500 +1! +#2010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2010700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2011000 +0! +#2011500 +1! +#2011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2011700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2012000 +0! +#2012500 +1! +#2012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2012700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2013000 +0! +#2013500 +1! +#2013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2013700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2014000 +0! +#2014500 +1! +#2014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2014700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2015000 +0! +#2015500 +1! +#2015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2015700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2016000 +0! +#2016500 +1! +#2016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2016700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2017000 +0! +#2017500 +1! +#2017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2017700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2018000 +0! +#2018500 +1! +#2018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2018700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2019000 +0! +#2019500 +1! +#2019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2019700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2020000 +0! +#2020500 +1! +#2020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2020700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2021000 +0! +#2021500 +1! +#2021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2021700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2022000 +0! +#2022500 +1! +#2022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2022700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2023000 +0! +#2023500 +1! +#2023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2023700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2024000 +0! +#2024500 +1! +#2024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2024700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2025000 +0! +#2025500 +1! +#2025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2025700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2026000 +0! +#2026500 +1! +#2026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2026700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2027000 +0! +#2027500 +1! +#2027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2027700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2028000 +0! +#2028500 +1! +#2028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2028700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2029000 +0! +#2029500 +1! +#2029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2029700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2030000 +0! +#2030500 +1! +#2030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2030700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2031000 +0! +#2031500 +1! +#2031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2031700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2032000 +0! +#2032500 +1! +#2032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2032700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2033000 +0! +#2033500 +1! +#2033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2033700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2034000 +0! +#2034500 +1! +#2034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2034700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2035000 +0! +#2035500 +1! +#2035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2035700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2036000 +0! +#2036500 +1! +#2036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2036700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2037000 +0! +#2037500 +1! +#2037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2037700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2038000 +0! +#2038500 +1! +#2038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2038700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2039000 +0! +#2039500 +1! +#2039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2039700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2040000 +0! +#2040500 +1! +#2040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2040700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2041000 +0! +#2041500 +1! +#2041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2041700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2042000 +0! +#2042500 +1! +#2042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2042700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2043000 +0! +#2043500 +1! +#2043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2043700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2044000 +0! +#2044500 +1! +#2044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2044700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2045000 +0! +#2045500 +1! +#2045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2045700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2046000 +0! +#2046500 +1! +#2046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2046700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2047000 +0! +#2047500 +1! +#2047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2047700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2048000 +0! +#2048500 +1! +#2048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2048700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2049000 +0! +#2049500 +1! +#2049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2049700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2050000 +0! +#2050500 +1! +#2050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2050700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2051000 +0! +#2051500 +1! +#2051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2051700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2052000 +0! +#2052500 +1! +#2052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2052700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2053000 +0! +#2053500 +1! +#2053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2053700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2054000 +0! +#2054500 +1! +#2054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2054700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2055000 +0! +#2055500 +1! +#2055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2055700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2056000 +0! +#2056500 +1! +#2056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2056700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2057000 +0! +#2057500 +1! +#2057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2057700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2058000 +0! +#2058500 +1! +#2058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2058700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2059000 +0! +#2059500 +1! +#2059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2059700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2060000 +0! +#2060500 +1! +#2060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2060700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2061000 +0! +#2061500 +1! +#2061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2061700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2062000 +0! +#2062500 +1! +#2062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2062700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2063000 +0! +#2063500 +1! +#2063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2063700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2064000 +0! +#2064500 +1! +#2064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2064700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2065000 +0! +#2065500 +1! +#2065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2065700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2066000 +0! +#2066500 +1! +#2066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2066700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2067000 +0! +#2067500 +1! +#2067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2067700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2068000 +0! +#2068500 +1! +#2068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#2068700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2069000 +0! +#2069500 +1! +#2069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2069700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2070000 +0! +#2070500 +1! +#2070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2070700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2071000 +0! +#2071500 +1! +#2071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2071700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2072000 +0! +#2072500 +1! +#2072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2072700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2073000 +0! +#2073500 +1! +#2073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2073700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2074000 +0! +#2074500 +1! +#2074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2074700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2075000 +0! +#2075500 +1! +#2075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2075700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2076000 +0! +#2076500 +1! +#2076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2076700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2077000 +0! +#2077500 +1! +#2077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2077700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2078000 +0! +#2078500 +1! +#2078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2078700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2079000 +0! +#2079500 +1! +#2079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2079700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2080000 +0! +#2080500 +1! +#2080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2080700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2081000 +0! +#2081500 +1! +#2081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2081700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2082000 +0! +#2082500 +1! +#2082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2082700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2083000 +0! +#2083500 +1! +#2083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2083700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2084000 +0! +#2084500 +1! +#2084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2084700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2085000 +0! +#2085500 +1! +#2085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2085700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2086000 +0! +#2086500 +1! +#2086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2086700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2087000 +0! +#2087500 +1! +#2087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2087700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2088000 +0! +#2088500 +1! +#2088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2088700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2089000 +0! +#2089500 +1! +#2089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2089700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2090000 +0! +#2090500 +1! +#2090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2090700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2091000 +0! +#2091500 +1! +#2091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2091700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2092000 +0! +#2092500 +1! +#2092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2092700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2093000 +0! +#2093500 +1! +#2093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2093700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2094000 +0! +#2094500 +1! +#2094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2094700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2095000 +0! +#2095500 +1! +#2095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2095700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2096000 +0! +#2096500 +1! +#2096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2096700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2097000 +0! +#2097500 +1! +#2097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2097700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2098000 +0! +#2098500 +1! +#2098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2098700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2099000 +0! +#2099500 +1! +#2099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2099700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2100000 +0! +#2100500 +1! +#2100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2100700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2101000 +0! +#2101500 +1! +#2101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2101700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2102000 +0! +#2102500 +1! +#2102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2102700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2103000 +0! +#2103500 +1! +#2103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2103700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2104000 +0! +#2104500 +1! +#2104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2104700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2105000 +0! +#2105500 +1! +#2105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2105700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2106000 +0! +#2106500 +1! +#2106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2106700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2107000 +0! +#2107500 +1! +#2107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2107700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2108000 +0! +#2108500 +1! +#2108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2108700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2109000 +0! +#2109500 +1! +#2109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2109700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2110000 +0! +#2110500 +1! +#2110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2110700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2111000 +0! +#2111500 +1! +#2111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2111700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2112000 +0! +#2112500 +1! +#2112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2112700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2113000 +0! +#2113500 +1! +#2113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2113700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2114000 +0! +#2114500 +1! +#2114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2114700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2115000 +0! +#2115500 +1! +#2115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2115700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2116000 +0! +#2116500 +1! +#2116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2116700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2117000 +0! +#2117500 +1! +#2117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2117700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2118000 +0! +#2118500 +1! +#2118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2118700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2119000 +0! +#2119500 +1! +#2119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2119700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2120000 +0! +#2120500 +1! +#2120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2120700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2121000 +0! +#2121500 +1! +#2121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2121700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2122000 +0! +#2122500 +1! +#2122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2122700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2123000 +0! +#2123500 +1! +#2123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2123700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2124000 +0! +#2124500 +1! +#2124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2124700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2125000 +0! +#2125500 +1! +#2125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2125700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2126000 +0! +#2126500 +1! +#2126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2126700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2127000 +0! +#2127500 +1! +#2127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2127700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2128000 +0! +#2128500 +1! +#2128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2128700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2129000 +0! +#2129500 +1! +#2129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2129700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2130000 +0! +#2130500 +1! +#2130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2130700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2131000 +0! +#2131500 +1! +#2131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2131700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2132000 +0! +#2132500 +1! +#2132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2132700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2133000 +0! +#2133500 +1! +#2133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2133700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2134000 +0! +#2134500 +1! +#2134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2134700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2135000 +0! +#2135500 +1! +#2135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2135700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2136000 +0! +#2136500 +1! +#2136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2136700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2137000 +0! +#2137500 +1! +#2137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2137700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2138000 +0! +#2138500 +1! +#2138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2138700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2139000 +0! +#2139500 +1! +#2139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2139700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2140000 +0! +#2140500 +1! +#2140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2140700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2141000 +0! +#2141500 +1! +#2141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2141700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2142000 +0! +#2142500 +1! +#2142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2142700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2143000 +0! +#2143500 +1! +#2143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2143700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2144000 +0! +#2144500 +1! +#2144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2144700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2145000 +0! +#2145500 +1! +#2145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2145700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2146000 +0! +#2146500 +1! +#2146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2146700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2147000 +0! +#2147500 +1! +#2147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2147700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2148000 +0! +#2148500 +1! +#2148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2148700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2149000 +0! +#2149500 +1! +#2149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2149700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2150000 +0! +#2150500 +1! +#2150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2150700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2151000 +0! +#2151500 +1! +#2151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2151700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2152000 +0! +#2152500 +1! +#2152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2152700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2153000 +0! +#2153500 +1! +#2153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2153700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2154000 +0! +#2154500 +1! +#2154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2154700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2155000 +0! +#2155500 +1! +#2155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2155700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2156000 +0! +#2156500 +1! +#2156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2156700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2157000 +0! +#2157500 +1! +#2157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2157700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2158000 +0! +#2158500 +1! +#2158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2158700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2159000 +0! +#2159500 +1! +#2159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2159700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2160000 +0! +#2160500 +1! +#2160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2160700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2161000 +0! +#2161500 +1! +#2161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2161700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2162000 +0! +#2162500 +1! +#2162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2162700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2163000 +0! +#2163500 +1! +#2163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2163700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2164000 +0! +#2164500 +1! +#2164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2164700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2165000 +0! +#2165500 +1! +#2165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2165700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2166000 +0! +#2166500 +1! +#2166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2166700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2167000 +0! +#2167500 +1! +#2167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2167700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2168000 +0! +#2168500 +1! +#2168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2168700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2169000 +0! +#2169500 +1! +#2169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2169700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2170000 +0! +#2170500 +1! +#2170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2170700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2171000 +0! +#2171500 +1! +#2171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2171700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2172000 +0! +#2172500 +1! +#2172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2172700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2173000 +0! +#2173500 +1! +#2173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2173700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2174000 +0! +#2174500 +1! +#2174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2174700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2175000 +0! +#2175500 +1! +#2175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2175700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2176000 +0! +#2176500 +1! +#2176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2176700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2177000 +0! +#2177500 +1! +#2177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2177700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2178000 +0! +#2178500 +1! +#2178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2178700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2179000 +0! +#2179500 +1! +#2179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2179700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2180000 +0! +#2180500 +1! +#2180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2180700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2181000 +0! +#2181500 +1! +#2181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2181700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2182000 +0! +#2182500 +1! +#2182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2182700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2183000 +0! +#2183500 +1! +#2183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2183700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2184000 +0! +#2184500 +1! +#2184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2184700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2185000 +0! +#2185500 +1! +#2185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2185700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2186000 +0! +#2186500 +1! +#2186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2186700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2187000 +0! +#2187500 +1! +#2187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2187700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2188000 +0! +#2188500 +1! +#2188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2188700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2189000 +0! +#2189500 +1! +#2189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2189700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2190000 +0! +#2190500 +1! +#2190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2190700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2191000 +0! +#2191500 +1! +#2191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2191700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2192000 +0! +#2192500 +1! +#2192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2192700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2193000 +0! +#2193500 +1! +#2193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2193700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2194000 +0! +#2194500 +1! +#2194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2194700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2195000 +0! +#2195500 +1! +#2195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2195700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2196000 +0! +#2196500 +1! +#2196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2196700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2197000 +0! +#2197500 +1! +#2197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2197700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2198000 +0! +#2198500 +1! +#2198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2198700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2199000 +0! +#2199500 +1! +#2199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2199700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2200000 +0! +#2200500 +1! +#2200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2200700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2201000 +0! +#2201500 +1! +#2201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2201700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2202000 +0! +#2202500 +1! +#2202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2202700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2203000 +0! +#2203500 +1! +#2203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2203700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2204000 +0! +#2204500 +1! +#2204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2204700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2205000 +0! +#2205500 +1! +#2205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2205700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2206000 +0! +#2206500 +1! +#2206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2206700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2207000 +0! +#2207500 +1! +#2207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2207700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2208000 +0! +#2208500 +1! +#2208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2208700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2209000 +0! +#2209500 +1! +#2209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2209700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2210000 +0! +#2210500 +1! +#2210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2210700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2211000 +0! +#2211500 +1! +#2211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2211700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2212000 +0! +#2212500 +1! +#2212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2212700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2213000 +0! +#2213500 +1! +#2213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2213700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2214000 +0! +#2214500 +1! +#2214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2214700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2215000 +0! +#2215500 +1! +#2215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2215700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2216000 +0! +#2216500 +1! +#2216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2216700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2217000 +0! +#2217500 +1! +#2217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2217700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2218000 +0! +#2218500 +1! +#2218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2218700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2219000 +0! +#2219500 +1! +#2219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2219700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2220000 +0! +#2220500 +1! +#2220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2220700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2221000 +0! +#2221500 +1! +#2221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#2221700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b0 g +b10100000 c +1e +1h +#2222000 +0! +#2222500 +1! +#2222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2222700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2223000 +0! +#2223500 +1! +#2223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2223700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2224000 +0! +#2224500 +1! +#2224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2224700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2225000 +0! +#2225500 +1! +#2225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2225700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2226000 +0! +#2226500 +1! +#2226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2226700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2227000 +0! +#2227500 +1! +#2227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2227700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2228000 +0! +#2228500 +1! +#2228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2228700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2229000 +0! +#2229500 +1! +#2229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2229700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2230000 +0! +#2230500 +1! +#2230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2230700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2231000 +0! +#2231500 +1! +#2231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2231700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2232000 +0! +#2232500 +1! +#2232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2232700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2233000 +0! +#2233500 +1! +#2233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2233700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2234000 +0! +#2234500 +1! +#2234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2234700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2235000 +0! +#2235500 +1! +#2235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2235700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2236000 +0! +#2236500 +1! +#2236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2236700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2237000 +0! +#2237500 +1! +#2237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2237700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2238000 +0! +#2238500 +1! +#2238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2238700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2239000 +0! +#2239500 +1! +#2239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2239700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2240000 +0! +#2240500 +1! +#2240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2240700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2241000 +0! +#2241500 +1! +#2241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2241700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2242000 +0! +#2242500 +1! +#2242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2242700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2243000 +0! +#2243500 +1! +#2243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2243700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2244000 +0! +#2244500 +1! +#2244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2244700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2245000 +0! +#2245500 +1! +#2245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2245700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2246000 +0! +#2246500 +1! +#2246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2246700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2247000 +0! +#2247500 +1! +#2247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2247700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2248000 +0! +#2248500 +1! +#2248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2248700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2249000 +0! +#2249500 +1! +#2249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2249700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2250000 +0! +#2250500 +1! +#2250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2250700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2251000 +0! +#2251500 +1! +#2251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2251700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2252000 +0! +#2252500 +1! +#2252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2252700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2253000 +0! +#2253500 +1! +#2253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2253700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2254000 +0! +#2254500 +1! +#2254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2254700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2255000 +0! +#2255500 +1! +#2255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2255700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2256000 +0! +#2256500 +1! +#2256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2256700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2257000 +0! +#2257500 +1! +#2257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2257700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2258000 +0! +#2258500 +1! +#2258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2258700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2259000 +0! +#2259500 +1! +#2259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2259700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2260000 +0! +#2260500 +1! +#2260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2260700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2261000 +0! +#2261500 +1! +#2261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2261700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2262000 +0! +#2262500 +1! +#2262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2262700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2263000 +0! +#2263500 +1! +#2263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2263700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2264000 +0! +#2264500 +1! +#2264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2264700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2265000 +0! +#2265500 +1! +#2265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2265700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2266000 +0! +#2266500 +1! +#2266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2266700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2267000 +0! +#2267500 +1! +#2267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2267700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2268000 +0! +#2268500 +1! +#2268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2268700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2269000 +0! +#2269500 +1! +#2269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2269700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2270000 +0! +#2270500 +1! +#2270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2270700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2271000 +0! +#2271500 +1! +#2271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2271700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2272000 +0! +#2272500 +1! +#2272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2272700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2273000 +0! +#2273500 +1! +#2273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2273700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2274000 +0! +#2274500 +1! +#2274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2274700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2275000 +0! +#2275500 +1! +#2275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2275700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2276000 +0! +#2276500 +1! +#2276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2276700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2277000 +0! +#2277500 +1! +#2277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2277700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2278000 +0! +#2278500 +1! +#2278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2278700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2279000 +0! +#2279500 +1! +#2279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2279700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2280000 +0! +#2280500 +1! +#2280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2280700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2281000 +0! +#2281500 +1! +#2281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2281700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2282000 +0! +#2282500 +1! +#2282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2282700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2283000 +0! +#2283500 +1! +#2283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2283700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2284000 +0! +#2284500 +1! +#2284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2284700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2285000 +0! +#2285500 +1! +#2285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2285700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2286000 +0! +#2286500 +1! +#2286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2286700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2287000 +0! +#2287500 +1! +#2287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2287700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2288000 +0! +#2288500 +1! +#2288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2288700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2289000 +0! +#2289500 +1! +#2289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2289700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2290000 +0! +#2290500 +1! +#2290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2290700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2291000 +0! +#2291500 +1! +#2291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2291700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2292000 +0! +#2292500 +1! +#2292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2292700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2293000 +0! +#2293500 +1! +#2293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2293700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2294000 +0! +#2294500 +1! +#2294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2294700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2295000 +0! +#2295500 +1! +#2295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2295700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2296000 +0! +#2296500 +1! +#2296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2296700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2297000 +0! +#2297500 +1! +#2297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2297700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2298000 +0! +#2298500 +1! +#2298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2298700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2299000 +0! +#2299500 +1! +#2299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2299700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2300000 +0! +#2300500 +1! +#2300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2300700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2301000 +0! +#2301500 +1! +#2301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2301700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2302000 +0! +#2302500 +1! +#2302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2302700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2303000 +0! +#2303500 +1! +#2303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2303700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2304000 +0! +#2304500 +1! +#2304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2304700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2305000 +0! +#2305500 +1! +#2305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2305700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2306000 +0! +#2306500 +1! +#2306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2306700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2307000 +0! +#2307500 +1! +#2307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2307700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2308000 +0! +#2308500 +1! +#2308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2308700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2309000 +0! +#2309500 +1! +#2309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2309700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2310000 +0! +#2310500 +1! +#2310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2310700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2311000 +0! +#2311500 +1! +#2311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2311700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2312000 +0! +#2312500 +1! +#2312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2312700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2313000 +0! +#2313500 +1! +#2313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2313700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2314000 +0! +#2314500 +1! +#2314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2314700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2315000 +0! +#2315500 +1! +#2315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2315700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2316000 +0! +#2316500 +1! +#2316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2316700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2317000 +0! +#2317500 +1! +#2317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2317700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2318000 +0! +#2318500 +1! +#2318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2318700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2319000 +0! +#2319500 +1! +#2319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2319700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2320000 +0! +#2320500 +1! +#2320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2320700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2321000 +0! +#2321500 +1! +#2321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2321700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2322000 +0! +#2322500 +1! +#2322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2322700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2323000 +0! +#2323500 +1! +#2323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#2323700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1 q +0d +0j +#2324000 +0! +#2324500 +1! +#2324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2324700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2325000 +0! +#2325500 +1! +#2325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2325700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2326000 +0! +#2326500 +1! +#2326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2326700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2327000 +0! +#2327500 +1! +#2327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2327700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2328000 +0! +#2328500 +1! +#2328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2328700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2329000 +0! +#2329500 +1! +#2329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2329700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2330000 +0! +#2330500 +1! +#2330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2330700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2331000 +0! +#2331500 +1! +#2331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2331700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2332000 +0! +#2332500 +1! +#2332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2332700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2333000 +0! +#2333500 +1! +#2333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2333700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2334000 +0! +#2334500 +1! +#2334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2334700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2335000 +0! +#2335500 +1! +#2335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2335700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2336000 +0! +#2336500 +1! +#2336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2336700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2337000 +0! +#2337500 +1! +#2337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2337700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2338000 +0! +#2338500 +1! +#2338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2338700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2339000 +0! +#2339500 +1! +#2339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2339700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2340000 +0! +#2340500 +1! +#2340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2340700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2341000 +0! +#2341500 +1! +#2341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2341700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2342000 +0! +#2342500 +1! +#2342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2342700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2343000 +0! +#2343500 +1! +#2343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2343700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2344000 +0! +#2344500 +1! +#2344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2344700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2345000 +0! +#2345500 +1! +#2345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2345700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2346000 +0! +#2346500 +1! +#2346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2346700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2347000 +0! +#2347500 +1! +#2347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2347700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2348000 +0! +#2348500 +1! +#2348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2348700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2349000 +0! +#2349500 +1! +#2349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2349700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2350000 +0! +#2350500 +1! +#2350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2350700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2351000 +0! +#2351500 +1! +#2351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2351700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2352000 +0! +#2352500 +1! +#2352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2352700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2353000 +0! +#2353500 +1! +#2353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2353700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2354000 +0! +#2354500 +1! +#2354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2354700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2355000 +0! +#2355500 +1! +#2355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2355700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2356000 +0! +#2356500 +1! +#2356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2356700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2357000 +0! +#2357500 +1! +#2357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2357700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2358000 +0! +#2358500 +1! +#2358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2358700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2359000 +0! +#2359500 +1! +#2359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2359700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2360000 +0! +#2360500 +1! +#2360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2360700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2361000 +0! +#2361500 +1! +#2361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2361700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2362000 +0! +#2362500 +1! +#2362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2362700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2363000 +0! +#2363500 +1! +#2363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2363700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2364000 +0! +#2364500 +1! +#2364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2364700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2365000 +0! +#2365500 +1! +#2365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2365700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2366000 +0! +#2366500 +1! +#2366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2366700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2367000 +0! +#2367500 +1! +#2367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2367700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2368000 +0! +#2368500 +1! +#2368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2368700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2369000 +0! +#2369500 +1! +#2369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2369700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2370000 +0! +#2370500 +1! +#2370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2370700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2371000 +0! +#2371500 +1! +#2371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2371700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2372000 +0! +#2372500 +1! +#2372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2372700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2373000 +0! +#2373500 +1! +#2373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2373700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2374000 +0! +#2374500 +1! +#2374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2374700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2375000 +0! +#2375500 +1! +#2375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2375700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2376000 +0! +#2376500 +1! +#2376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2376700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2377000 +0! +#2377500 +1! +#2377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2377700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2378000 +0! +#2378500 +1! +#2378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2378700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2379000 +0! +#2379500 +1! +#2379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2379700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2380000 +0! +#2380500 +1! +#2380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2380700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2381000 +0! +#2381500 +1! +#2381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2381700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2382000 +0! +#2382500 +1! +#2382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2382700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2383000 +0! +#2383500 +1! +#2383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2383700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2384000 +0! +#2384500 +1! +#2384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2384700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2385000 +0! +#2385500 +1! +#2385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2385700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2386000 +0! +#2386500 +1! +#2386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2386700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2387000 +0! +#2387500 +1! +#2387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2387700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2388000 +0! +#2388500 +1! +#2388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2388700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2389000 +0! +#2389500 +1! +#2389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2389700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2390000 +0! +#2390500 +1! +#2390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2390700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2391000 +0! +#2391500 +1! +#2391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2391700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2392000 +0! +#2392500 +1! +#2392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2392700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2393000 +0! +#2393500 +1! +#2393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2393700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2394000 +0! +#2394500 +1! +#2394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2394700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2395000 +0! +#2395500 +1! +#2395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2395700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2396000 +0! +#2396500 +1! +#2396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2396700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2397000 +0! +#2397500 +1! +#2397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2397700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2398000 +0! +#2398500 +1! +#2398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2398700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2399000 +0! +#2399500 +1! +#2399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2399700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2400000 +0! +#2400500 +1! +#2400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2400700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2401000 +0! +#2401500 +1! +#2401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2401700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2402000 +0! +#2402500 +1! +#2402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2402700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2403000 +0! +#2403500 +1! +#2403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2403700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2404000 +0! +#2404500 +1! +#2404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2404700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2405000 +0! +#2405500 +1! +#2405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2405700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2406000 +0! +#2406500 +1! +#2406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2406700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2407000 +0! +#2407500 +1! +#2407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2407700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2408000 +0! +#2408500 +1! +#2408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2408700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2409000 +0! +#2409500 +1! +#2409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2409700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2410000 +0! +#2410500 +1! +#2410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2410700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2411000 +0! +#2411500 +1! +#2411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2411700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2412000 +0! +#2412500 +1! +#2412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2412700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2413000 +0! +#2413500 +1! +#2413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2413700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2414000 +0! +#2414500 +1! +#2414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2414700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2415000 +0! +#2415500 +1! +#2415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2415700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2416000 +0! +#2416500 +1! +#2416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2416700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2417000 +0! +#2417500 +1! +#2417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2417700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2418000 +0! +#2418500 +1! +#2418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2418700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2419000 +0! +#2419500 +1! +#2419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2419700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2420000 +0! +#2420500 +1! +#2420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2420700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2421000 +0! +#2421500 +1! +#2421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2421700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2422000 +0! +#2422500 +1! +#2422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2422700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2423000 +0! +#2423500 +1! +#2423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2423700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2424000 +0! +#2424500 +1! +#2424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2424700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2425000 +0! +#2425500 +1! +#2425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +#2425700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2426000 +0! +#2426500 +1! +#2426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2426700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2427000 +0! +#2427500 +1! +#2427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2427700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2428000 +0! +#2428500 +1! +#2428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2428700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2429000 +0! +#2429500 +1! +#2429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2429700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2430000 +0! +#2430500 +1! +#2430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2430700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2431000 +0! +#2431500 +1! +#2431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2431700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2432000 +0! +#2432500 +1! +#2432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2432700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2433000 +0! +#2433500 +1! +#2433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2433700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2434000 +0! +#2434500 +1! +#2434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2434700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2435000 +0! +#2435500 +1! +#2435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2435700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2436000 +0! +#2436500 +1! +#2436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2436700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2437000 +0! +#2437500 +1! +#2437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2437700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2438000 +0! +#2438500 +1! +#2438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2438700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2439000 +0! +#2439500 +1! +#2439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2439700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2440000 +0! +#2440500 +1! +#2440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2440700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2441000 +0! +#2441500 +1! +#2441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2441700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2442000 +0! +#2442500 +1! +#2442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2442700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2443000 +0! +#2443500 +1! +#2443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2443700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2444000 +0! +#2444500 +1! +#2444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2444700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2445000 +0! +#2445500 +1! +#2445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2445700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2446000 +0! +#2446500 +1! +#2446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2446700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2447000 +0! +#2447500 +1! +#2447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2447700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2448000 +0! +#2448500 +1! +#2448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2448700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2449000 +0! +#2449500 +1! +#2449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2449700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2450000 +0! +#2450500 +1! +#2450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2450700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2451000 +0! +#2451500 +1! +#2451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2451700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2452000 +0! +#2452500 +1! +#2452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2452700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2453000 +0! +#2453500 +1! +#2453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2453700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2454000 +0! +#2454500 +1! +#2454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2454700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2455000 +0! +#2455500 +1! +#2455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2455700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2456000 +0! +#2456500 +1! +#2456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2456700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2457000 +0! +#2457500 +1! +#2457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2457700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2458000 +0! +#2458500 +1! +#2458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2458700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2459000 +0! +#2459500 +1! +#2459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2459700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2460000 +0! +#2460500 +1! +#2460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2460700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2461000 +0! +#2461500 +1! +#2461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2461700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2462000 +0! +#2462500 +1! +#2462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2462700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2463000 +0! +#2463500 +1! +#2463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2463700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2464000 +0! +#2464500 +1! +#2464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2464700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2465000 +0! +#2465500 +1! +#2465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2465700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2466000 +0! +#2466500 +1! +#2466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2466700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2467000 +0! +#2467500 +1! +#2467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2467700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2468000 +0! +#2468500 +1! +#2468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2468700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2469000 +0! +#2469500 +1! +#2469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2469700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2470000 +0! +#2470500 +1! +#2470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2470700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2471000 +0! +#2471500 +1! +#2471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2471700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2472000 +0! +#2472500 +1! +#2472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2472700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2473000 +0! +#2473500 +1! +#2473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2473700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2474000 +0! +#2474500 +1! +#2474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2474700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2475000 +0! +#2475500 +1! +#2475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2475700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2476000 +0! +#2476500 +1! +#2476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#2476700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b111 g +b1000000 c +0e +0h +#2477000 +0! +#2477500 +1! +#2477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2477700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2478000 +0! +#2478500 +1! +#2478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2478700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2479000 +0! +#2479500 +1! +#2479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2479700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2480000 +0! +#2480500 +1! +#2480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2480700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2481000 +0! +#2481500 +1! +#2481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2481700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2482000 +0! +#2482500 +1! +#2482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2482700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2483000 +0! +#2483500 +1! +#2483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2483700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2484000 +0! +#2484500 +1! +#2484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2484700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2485000 +0! +#2485500 +1! +#2485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2485700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2486000 +0! +#2486500 +1! +#2486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2486700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2487000 +0! +#2487500 +1! +#2487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2487700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2488000 +0! +#2488500 +1! +#2488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2488700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2489000 +0! +#2489500 +1! +#2489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2489700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2490000 +0! +#2490500 +1! +#2490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2490700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2491000 +0! +#2491500 +1! +#2491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2491700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2492000 +0! +#2492500 +1! +#2492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2492700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2493000 +0! +#2493500 +1! +#2493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2493700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2494000 +0! +#2494500 +1! +#2494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2494700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2495000 +0! +#2495500 +1! +#2495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2495700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2496000 +0! +#2496500 +1! +#2496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2496700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2497000 +0! +#2497500 +1! +#2497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2497700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2498000 +0! +#2498500 +1! +#2498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2498700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2499000 +0! +#2499500 +1! +#2499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2499700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2500000 +0! +#2500500 +1! +#2500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2500700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2501000 +0! +#2501500 +1! +#2501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2501700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2502000 +0! +#2502500 +1! +#2502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2502700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2503000 +0! +#2503500 +1! +#2503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2503700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2504000 +0! +#2504500 +1! +#2504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2504700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2505000 +0! +#2505500 +1! +#2505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2505700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2506000 +0! +#2506500 +1! +#2506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2506700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2507000 +0! +#2507500 +1! +#2507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2507700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2508000 +0! +#2508500 +1! +#2508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2508700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2509000 +0! +#2509500 +1! +#2509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2509700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2510000 +0! +#2510500 +1! +#2510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2510700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2511000 +0! +#2511500 +1! +#2511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2511700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2512000 +0! +#2512500 +1! +#2512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2512700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2513000 +0! +#2513500 +1! +#2513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2513700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2514000 +0! +#2514500 +1! +#2514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2514700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2515000 +0! +#2515500 +1! +#2515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2515700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2516000 +0! +#2516500 +1! +#2516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2516700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2517000 +0! +#2517500 +1! +#2517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2517700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2518000 +0! +#2518500 +1! +#2518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2518700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2519000 +0! +#2519500 +1! +#2519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2519700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2520000 +0! +#2520500 +1! +#2520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2520700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2521000 +0! +#2521500 +1! +#2521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2521700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2522000 +0! +#2522500 +1! +#2522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2522700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2523000 +0! +#2523500 +1! +#2523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2523700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2524000 +0! +#2524500 +1! +#2524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2524700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2525000 +0! +#2525500 +1! +#2525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2525700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2526000 +0! +#2526500 +1! +#2526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2526700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2527000 +0! +#2527500 +1! +#2527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2527700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2528000 +0! +#2528500 +1! +#2528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2528700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2529000 +0! +#2529500 +1! +#2529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2529700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2530000 +0! +#2530500 +1! +#2530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2530700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2531000 +0! +#2531500 +1! +#2531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2531700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2532000 +0! +#2532500 +1! +#2532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2532700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2533000 +0! +#2533500 +1! +#2533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2533700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2534000 +0! +#2534500 +1! +#2534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2534700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2535000 +0! +#2535500 +1! +#2535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2535700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2536000 +0! +#2536500 +1! +#2536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2536700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2537000 +0! +#2537500 +1! +#2537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2537700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2538000 +0! +#2538500 +1! +#2538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2538700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2539000 +0! +#2539500 +1! +#2539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2539700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2540000 +0! +#2540500 +1! +#2540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2540700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2541000 +0! +#2541500 +1! +#2541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2541700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2542000 +0! +#2542500 +1! +#2542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2542700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2543000 +0! +#2543500 +1! +#2543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2543700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2544000 +0! +#2544500 +1! +#2544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2544700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2545000 +0! +#2545500 +1! +#2545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2545700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2546000 +0! +#2546500 +1! +#2546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2546700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2547000 +0! +#2547500 +1! +#2547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2547700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2548000 +0! +#2548500 +1! +#2548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2548700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2549000 +0! +#2549500 +1! +#2549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2549700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2550000 +0! +#2550500 +1! +#2550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2550700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2551000 +0! +#2551500 +1! +#2551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2551700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2552000 +0! +#2552500 +1! +#2552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2552700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2553000 +0! +#2553500 +1! +#2553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2553700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2554000 +0! +#2554500 +1! +#2554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2554700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2555000 +0! +#2555500 +1! +#2555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2555700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2556000 +0! +#2556500 +1! +#2556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2556700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2557000 +0! +#2557500 +1! +#2557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2557700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2558000 +0! +#2558500 +1! +#2558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2558700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2559000 +0! +#2559500 +1! +#2559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2559700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2560000 +0! +#2560500 +1! +#2560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2560700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2561000 +0! +#2561500 +1! +#2561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2561700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2562000 +0! +#2562500 +1! +#2562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2562700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2563000 +0! +#2563500 +1! +#2563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2563700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2564000 +0! +#2564500 +1! +#2564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2564700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2565000 +0! +#2565500 +1! +#2565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2565700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2566000 +0! +#2566500 +1! +#2566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2566700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2567000 +0! +#2567500 +1! +#2567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2567700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2568000 +0! +#2568500 +1! +#2568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2568700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2569000 +0! +#2569500 +1! +#2569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2569700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2570000 +0! +#2570500 +1! +#2570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2570700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2571000 +0! +#2571500 +1! +#2571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2571700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2572000 +0! +#2572500 +1! +#2572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2572700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2573000 +0! +#2573500 +1! +#2573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2573700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2574000 +0! +#2574500 +1! +#2574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2574700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2575000 +0! +#2575500 +1! +#2575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2575700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2576000 +0! +#2576500 +1! +#2576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2576700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2577000 +0! +#2577500 +1! +#2577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2577700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2578000 +0! +#2578500 +1! +#2578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#2578700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1j +b10 q +1i +1O +#2579000 +0! +#2579500 +1! +#2579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2579700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2580000 +0! +#2580500 +1! +#2580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2580700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000011 C +1H +#2581000 +0! +#2581500 +1! +#2581600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2581700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#2582000 +0! +#2582500 +1! +#2582600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2583000 +0! +#2583500 +1! +#2583600 +1E +1F +1G +b1 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b0 C +1H +#2584000 +0! +#2584500 +1! +#2584600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2584700 +1E +1F +1G +b10000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#2585000 +0! +#2585500 +1! +#2585600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2586000 +0! +#2586500 +1! +#2586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#2587000 +0! +#2587500 +1! +#2587600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2587700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2588000 +0! +#2588500 +1! +#2588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2588700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2589000 +0! +#2589500 +1! +#2589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2589700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2590000 +0! +#2590500 +1! +#2590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2590700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2591000 +0! +#2591500 +1! +#2591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2591700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2592000 +0! +#2592500 +1! +#2592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2592700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2593000 +0! +#2593500 +1! +#2593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2593700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2594000 +0! +#2594500 +1! +#2594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2594700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2595000 +0! +#2595500 +1! +#2595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2595700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2596000 +0! +#2596500 +1! +#2596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2596700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2597000 +0! +#2597500 +1! +#2597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2597700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2598000 +0! +#2598500 +1! +#2598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2598700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2599000 +0! +#2599500 +1! +#2599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2599700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2600000 +0! +#2600500 +1! +#2600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2600700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2601000 +0! +#2601500 +1! +#2601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2601700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2602000 +0! +#2602500 +1! +#2602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2602700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2603000 +0! +#2603500 +1! +#2603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2603700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2604000 +0! +#2604500 +1! +#2604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2604700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2605000 +0! +#2605500 +1! +#2605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2605700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2606000 +0! +#2606500 +1! +#2606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2606700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2607000 +0! +#2607500 +1! +#2607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2607700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2608000 +0! +#2608500 +1! +#2608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2608700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2609000 +0! +#2609500 +1! +#2609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2609700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2610000 +0! +#2610500 +1! +#2610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2610700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2611000 +0! +#2611500 +1! +#2611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2611700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2612000 +0! +#2612500 +1! +#2612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2612700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2613000 +0! +#2613500 +1! +#2613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2613700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2614000 +0! +#2614500 +1! +#2614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2614700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2615000 +0! +#2615500 +1! +#2615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2615700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2616000 +0! +#2616500 +1! +#2616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2616700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2617000 +0! +#2617500 +1! +#2617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2617700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2618000 +0! +#2618500 +1! +#2618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2618700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2619000 +0! +#2619500 +1! +#2619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2619700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2620000 +0! +#2620500 +1! +#2620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2620700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2621000 +0! +#2621500 +1! +#2621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2621700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2622000 +0! +#2622500 +1! +#2622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2622700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2623000 +0! +#2623500 +1! +#2623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2623700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2624000 +0! +#2624500 +1! +#2624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2624700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2625000 +0! +#2625500 +1! +#2625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2625700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2626000 +0! +#2626500 +1! +#2626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2626700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2627000 +0! +#2627500 +1! +#2627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2627700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2628000 +0! +#2628500 +1! +#2628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2628700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2629000 +0! +#2629500 +1! +#2629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2629700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2630000 +0! +#2630500 +1! +#2630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2630700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2631000 +0! +#2631500 +1! +#2631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2631700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2632000 +0! +#2632500 +1! +#2632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2632700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2633000 +0! +#2633500 +1! +#2633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2633700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2634000 +0! +#2634500 +1! +#2634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2634700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2635000 +0! +#2635500 +1! +#2635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2635700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2636000 +0! +#2636500 +1! +#2636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2636700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2637000 +0! +#2637500 +1! +#2637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2637700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2638000 +0! +#2638500 +1! +#2638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2638700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2639000 +0! +#2639500 +1! +#2639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2639700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2640000 +0! +#2640500 +1! +#2640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2640700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2641000 +0! +#2641500 +1! +#2641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2641700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2642000 +0! +#2642500 +1! +#2642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2642700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2643000 +0! +#2643500 +1! +#2643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2643700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2644000 +0! +#2644500 +1! +#2644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2644700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2645000 +0! +#2645500 +1! +#2645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2645700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2646000 +0! +#2646500 +1! +#2646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2646700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2647000 +0! +#2647500 +1! +#2647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2647700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2648000 +0! +#2648500 +1! +#2648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2648700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2649000 +0! +#2649500 +1! +#2649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2649700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2650000 +0! +#2650500 +1! +#2650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2650700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2651000 +0! +#2651500 +1! +#2651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2651700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2652000 +0! +#2652500 +1! +#2652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2652700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2653000 +0! +#2653500 +1! +#2653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2653700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2654000 +0! +#2654500 +1! +#2654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2654700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2655000 +0! +#2655500 +1! +#2655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2655700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2656000 +0! +#2656500 +1! +#2656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2656700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2657000 +0! +#2657500 +1! +#2657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2657700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2658000 +0! +#2658500 +1! +#2658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2658700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2659000 +0! +#2659500 +1! +#2659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2659700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2660000 +0! +#2660500 +1! +#2660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2660700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2661000 +0! +#2661500 +1! +#2661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2661700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2662000 +0! +#2662500 +1! +#2662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2662700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2663000 +0! +#2663500 +1! +#2663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2663700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2664000 +0! +#2664500 +1! +#2664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2664700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2665000 +0! +#2665500 +1! +#2665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2665700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2666000 +0! +#2666500 +1! +#2666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2666700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2667000 +0! +#2667500 +1! +#2667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2667700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2668000 +0! +#2668500 +1! +#2668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2668700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2669000 +0! +#2669500 +1! +#2669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2669700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2670000 +0! +#2670500 +1! +#2670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2670700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2671000 +0! +#2671500 +1! +#2671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2671700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2672000 +0! +#2672500 +1! +#2672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2672700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2673000 +0! +#2673500 +1! +#2673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2673700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2674000 +0! +#2674500 +1! +#2674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2674700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2675000 +0! +#2675500 +1! +#2675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2675700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2676000 +0! +#2676500 +1! +#2676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2676700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2677000 +0! +#2677500 +1! +#2677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2677700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2678000 +0! +#2678500 +1! +#2678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2678700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2679000 +0! +#2679500 +1! +#2679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2679700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2680000 +0! +#2680500 +1! +#2680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#2680700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2681000 +0! +#2681500 +1! +#2681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2681700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2682000 +0! +#2682500 +1! +#2682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2682700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2683000 +0! +#2683500 +1! +#2683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2683700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2684000 +0! +#2684500 +1! +#2684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2684700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2685000 +0! +#2685500 +1! +#2685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2685700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2686000 +0! +#2686500 +1! +#2686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2686700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2687000 +0! +#2687500 +1! +#2687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2687700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2688000 +0! +#2688500 +1! +#2688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2688700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2689000 +0! +#2689500 +1! +#2689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2689700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2690000 +0! +#2690500 +1! +#2690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2690700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2691000 +0! +#2691500 +1! +#2691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2691700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2692000 +0! +#2692500 +1! +#2692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2692700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2693000 +0! +#2693500 +1! +#2693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2693700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2694000 +0! +#2694500 +1! +#2694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2694700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2695000 +0! +#2695500 +1! +#2695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2695700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2696000 +0! +#2696500 +1! +#2696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2696700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2697000 +0! +#2697500 +1! +#2697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2697700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2698000 +0! +#2698500 +1! +#2698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2698700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2699000 +0! +#2699500 +1! +#2699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2699700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2700000 +0! +#2700500 +1! +#2700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2700700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2701000 +0! +#2701500 +1! +#2701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2701700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2702000 +0! +#2702500 +1! +#2702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2702700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2703000 +0! +#2703500 +1! +#2703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2703700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2704000 +0! +#2704500 +1! +#2704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2704700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2705000 +0! +#2705500 +1! +#2705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2705700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2706000 +0! +#2706500 +1! +#2706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2706700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2707000 +0! +#2707500 +1! +#2707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2707700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2708000 +0! +#2708500 +1! +#2708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2708700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2709000 +0! +#2709500 +1! +#2709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2709700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2710000 +0! +#2710500 +1! +#2710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2710700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2711000 +0! +#2711500 +1! +#2711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2711700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2712000 +0! +#2712500 +1! +#2712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2712700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2713000 +0! +#2713500 +1! +#2713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2713700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2714000 +0! +#2714500 +1! +#2714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2714700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2715000 +0! +#2715500 +1! +#2715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2715700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2716000 +0! +#2716500 +1! +#2716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2716700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2717000 +0! +#2717500 +1! +#2717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2717700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2718000 +0! +#2718500 +1! +#2718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2718700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2719000 +0! +#2719500 +1! +#2719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2719700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2720000 +0! +#2720500 +1! +#2720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2720700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2721000 +0! +#2721500 +1! +#2721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2721700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2722000 +0! +#2722500 +1! +#2722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2722700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2723000 +0! +#2723500 +1! +#2723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2723700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2724000 +0! +#2724500 +1! +#2724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2724700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2725000 +0! +#2725500 +1! +#2725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2725700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2726000 +0! +#2726500 +1! +#2726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2726700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2727000 +0! +#2727500 +1! +#2727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2727700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2728000 +0! +#2728500 +1! +#2728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2728700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2729000 +0! +#2729500 +1! +#2729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2729700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2730000 +0! +#2730500 +1! +#2730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2730700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2731000 +0! +#2731500 +1! +#2731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#2731700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b10000000 c +#2732000 +0! +#2732500 +1! +#2732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2732700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2733000 +0! +#2733500 +1! +#2733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2733700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2734000 +0! +#2734500 +1! +#2734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2734700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2735000 +0! +#2735500 +1! +#2735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2735700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2736000 +0! +#2736500 +1! +#2736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2736700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2737000 +0! +#2737500 +1! +#2737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2737700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2738000 +0! +#2738500 +1! +#2738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2738700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2739000 +0! +#2739500 +1! +#2739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2739700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2740000 +0! +#2740500 +1! +#2740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2740700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2741000 +0! +#2741500 +1! +#2741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2741700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2742000 +0! +#2742500 +1! +#2742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2742700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2743000 +0! +#2743500 +1! +#2743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2743700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2744000 +0! +#2744500 +1! +#2744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2744700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2745000 +0! +#2745500 +1! +#2745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2745700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2746000 +0! +#2746500 +1! +#2746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2746700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2747000 +0! +#2747500 +1! +#2747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2747700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2748000 +0! +#2748500 +1! +#2748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2748700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2749000 +0! +#2749500 +1! +#2749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2749700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2750000 +0! +#2750500 +1! +#2750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2750700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2751000 +0! +#2751500 +1! +#2751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2751700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2752000 +0! +#2752500 +1! +#2752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2752700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2753000 +0! +#2753500 +1! +#2753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2753700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2754000 +0! +#2754500 +1! +#2754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2754700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2755000 +0! +#2755500 +1! +#2755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2755700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2756000 +0! +#2756500 +1! +#2756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2756700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2757000 +0! +#2757500 +1! +#2757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2757700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2758000 +0! +#2758500 +1! +#2758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2758700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2759000 +0! +#2759500 +1! +#2759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2759700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2760000 +0! +#2760500 +1! +#2760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2760700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2761000 +0! +#2761500 +1! +#2761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2761700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2762000 +0! +#2762500 +1! +#2762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2762700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2763000 +0! +#2763500 +1! +#2763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2763700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2764000 +0! +#2764500 +1! +#2764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2764700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2765000 +0! +#2765500 +1! +#2765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2765700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2766000 +0! +#2766500 +1! +#2766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2766700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2767000 +0! +#2767500 +1! +#2767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2767700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2768000 +0! +#2768500 +1! +#2768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2768700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2769000 +0! +#2769500 +1! +#2769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2769700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2770000 +0! +#2770500 +1! +#2770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2770700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2771000 +0! +#2771500 +1! +#2771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2771700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2772000 +0! +#2772500 +1! +#2772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2772700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2773000 +0! +#2773500 +1! +#2773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2773700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2774000 +0! +#2774500 +1! +#2774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2774700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2775000 +0! +#2775500 +1! +#2775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2775700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2776000 +0! +#2776500 +1! +#2776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2776700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2777000 +0! +#2777500 +1! +#2777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2777700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2778000 +0! +#2778500 +1! +#2778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2778700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2779000 +0! +#2779500 +1! +#2779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2779700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2780000 +0! +#2780500 +1! +#2780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2780700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2781000 +0! +#2781500 +1! +#2781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2781700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2782000 +0! +#2782500 +1! +#2782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2782700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2783000 +0! +#2783500 +1! +#2783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2783700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2784000 +0! +#2784500 +1! +#2784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2784700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2785000 +0! +#2785500 +1! +#2785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2785700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2786000 +0! +#2786500 +1! +#2786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2786700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2787000 +0! +#2787500 +1! +#2787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2787700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2788000 +0! +#2788500 +1! +#2788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2788700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2789000 +0! +#2789500 +1! +#2789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2789700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2790000 +0! +#2790500 +1! +#2790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2790700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2791000 +0! +#2791500 +1! +#2791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2791700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2792000 +0! +#2792500 +1! +#2792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2792700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2793000 +0! +#2793500 +1! +#2793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2793700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2794000 +0! +#2794500 +1! +#2794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2794700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2795000 +0! +#2795500 +1! +#2795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2795700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2796000 +0! +#2796500 +1! +#2796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2796700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2797000 +0! +#2797500 +1! +#2797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2797700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2798000 +0! +#2798500 +1! +#2798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2798700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2799000 +0! +#2799500 +1! +#2799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2799700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2800000 +0! +#2800500 +1! +#2800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2800700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2801000 +0! +#2801500 +1! +#2801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2801700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2802000 +0! +#2802500 +1! +#2802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2802700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2803000 +0! +#2803500 +1! +#2803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2803700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2804000 +0! +#2804500 +1! +#2804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2804700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2805000 +0! +#2805500 +1! +#2805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2805700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2806000 +0! +#2806500 +1! +#2806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2806700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2807000 +0! +#2807500 +1! +#2807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2807700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2808000 +0! +#2808500 +1! +#2808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2808700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2809000 +0! +#2809500 +1! +#2809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2809700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2810000 +0! +#2810500 +1! +#2810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2810700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2811000 +0! +#2811500 +1! +#2811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2811700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2812000 +0! +#2812500 +1! +#2812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2812700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2813000 +0! +#2813500 +1! +#2813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2813700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2814000 +0! +#2814500 +1! +#2814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2814700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2815000 +0! +#2815500 +1! +#2815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2815700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2816000 +0! +#2816500 +1! +#2816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2816700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2817000 +0! +#2817500 +1! +#2817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2817700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2818000 +0! +#2818500 +1! +#2818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2818700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2819000 +0! +#2819500 +1! +#2819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2819700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2820000 +0! +#2820500 +1! +#2820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2820700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2821000 +0! +#2821500 +1! +#2821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2821700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2822000 +0! +#2822500 +1! +#2822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2822700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2823000 +0! +#2823500 +1! +#2823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2823700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2824000 +0! +#2824500 +1! +#2824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2824700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2825000 +0! +#2825500 +1! +#2825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2825700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2826000 +0! +#2826500 +1! +#2826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2826700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2827000 +0! +#2827500 +1! +#2827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2827700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2828000 +0! +#2828500 +1! +#2828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2828700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2829000 +0! +#2829500 +1! +#2829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2829700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2830000 +0! +#2830500 +1! +#2830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2830700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2831000 +0! +#2831500 +1! +#2831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2831700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2832000 +0! +#2832500 +1! +#2832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2832700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2833000 +0! +#2833500 +1! +#2833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#2833700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0i +#2834000 +0! +#2834500 +1! +#2834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2834700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2835000 +0! +#2835500 +1! +#2835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2835700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2836000 +0! +#2836500 +1! +#2836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2836700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2837000 +0! +#2837500 +1! +#2837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2837700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2838000 +0! +#2838500 +1! +#2838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2838700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2839000 +0! +#2839500 +1! +#2839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2839700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2840000 +0! +#2840500 +1! +#2840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2840700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2841000 +0! +#2841500 +1! +#2841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2841700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2842000 +0! +#2842500 +1! +#2842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2842700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2843000 +0! +#2843500 +1! +#2843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2843700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2844000 +0! +#2844500 +1! +#2844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2844700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2845000 +0! +#2845500 +1! +#2845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2845700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2846000 +0! +#2846500 +1! +#2846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2846700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2847000 +0! +#2847500 +1! +#2847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2847700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2848000 +0! +#2848500 +1! +#2848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2848700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2849000 +0! +#2849500 +1! +#2849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2849700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2850000 +0! +#2850500 +1! +#2850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2850700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2851000 +0! +#2851500 +1! +#2851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2851700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2852000 +0! +#2852500 +1! +#2852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2852700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2853000 +0! +#2853500 +1! +#2853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2853700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2854000 +0! +#2854500 +1! +#2854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2854700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2855000 +0! +#2855500 +1! +#2855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2855700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2856000 +0! +#2856500 +1! +#2856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2856700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2857000 +0! +#2857500 +1! +#2857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2857700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2858000 +0! +#2858500 +1! +#2858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2858700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2859000 +0! +#2859500 +1! +#2859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2859700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2860000 +0! +#2860500 +1! +#2860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2860700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2861000 +0! +#2861500 +1! +#2861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2861700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2862000 +0! +#2862500 +1! +#2862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2862700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2863000 +0! +#2863500 +1! +#2863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2863700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2864000 +0! +#2864500 +1! +#2864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2864700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2865000 +0! +#2865500 +1! +#2865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2865700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2866000 +0! +#2866500 +1! +#2866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2866700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2867000 +0! +#2867500 +1! +#2867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2867700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2868000 +0! +#2868500 +1! +#2868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2868700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2869000 +0! +#2869500 +1! +#2869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2869700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2870000 +0! +#2870500 +1! +#2870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2870700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2871000 +0! +#2871500 +1! +#2871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2871700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2872000 +0! +#2872500 +1! +#2872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2872700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2873000 +0! +#2873500 +1! +#2873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2873700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2874000 +0! +#2874500 +1! +#2874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2874700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2875000 +0! +#2875500 +1! +#2875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2875700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2876000 +0! +#2876500 +1! +#2876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2876700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2877000 +0! +#2877500 +1! +#2877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2877700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2878000 +0! +#2878500 +1! +#2878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2878700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2879000 +0! +#2879500 +1! +#2879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2879700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2880000 +0! +#2880500 +1! +#2880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2880700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2881000 +0! +#2881500 +1! +#2881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2881700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2882000 +0! +#2882500 +1! +#2882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2882700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2883000 +0! +#2883500 +1! +#2883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2883700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2884000 +0! +#2884500 +1! +#2884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2884700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2885000 +0! +#2885500 +1! +#2885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2885700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2886000 +0! +#2886500 +1! +#2886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2886700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2887000 +0! +#2887500 +1! +#2887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2887700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2888000 +0! +#2888500 +1! +#2888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2888700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2889000 +0! +#2889500 +1! +#2889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2889700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2890000 +0! +#2890500 +1! +#2890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2890700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2891000 +0! +#2891500 +1! +#2891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2891700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2892000 +0! +#2892500 +1! +#2892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2892700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2893000 +0! +#2893500 +1! +#2893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2893700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2894000 +0! +#2894500 +1! +#2894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2894700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2895000 +0! +#2895500 +1! +#2895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2895700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2896000 +0! +#2896500 +1! +#2896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2896700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2897000 +0! +#2897500 +1! +#2897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2897700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2898000 +0! +#2898500 +1! +#2898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2898700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2899000 +0! +#2899500 +1! +#2899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2899700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2900000 +0! +#2900500 +1! +#2900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2900700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2901000 +0! +#2901500 +1! +#2901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2901700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2902000 +0! +#2902500 +1! +#2902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2902700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2903000 +0! +#2903500 +1! +#2903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2903700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2904000 +0! +#2904500 +1! +#2904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2904700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2905000 +0! +#2905500 +1! +#2905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2905700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2906000 +0! +#2906500 +1! +#2906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2906700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2907000 +0! +#2907500 +1! +#2907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2907700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2908000 +0! +#2908500 +1! +#2908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2908700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2909000 +0! +#2909500 +1! +#2909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2909700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2910000 +0! +#2910500 +1! +#2910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2910700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2911000 +0! +#2911500 +1! +#2911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2911700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2912000 +0! +#2912500 +1! +#2912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2912700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2913000 +0! +#2913500 +1! +#2913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2913700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2914000 +0! +#2914500 +1! +#2914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2914700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2915000 +0! +#2915500 +1! +#2915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2915700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2916000 +0! +#2916500 +1! +#2916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2916700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2917000 +0! +#2917500 +1! +#2917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2917700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2918000 +0! +#2918500 +1! +#2918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2918700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2919000 +0! +#2919500 +1! +#2919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2919700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2920000 +0! +#2920500 +1! +#2920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2920700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2921000 +0! +#2921500 +1! +#2921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2921700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2922000 +0! +#2922500 +1! +#2922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2922700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2923000 +0! +#2923500 +1! +#2923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2923700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2924000 +0! +#2924500 +1! +#2924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2924700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2925000 +0! +#2925500 +1! +#2925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2925700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2926000 +0! +#2926500 +1! +#2926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2926700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2927000 +0! +#2927500 +1! +#2927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2927700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2928000 +0! +#2928500 +1! +#2928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2928700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2929000 +0! +#2929500 +1! +#2929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2929700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2930000 +0! +#2930500 +1! +#2930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2930700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2931000 +0! +#2931500 +1! +#2931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2931700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2932000 +0! +#2932500 +1! +#2932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2932700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2933000 +0! +#2933500 +1! +#2933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2933700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2934000 +0! +#2934500 +1! +#2934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2934700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2935000 +0! +#2935500 +1! +#2935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2935700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2936000 +0! +#2936500 +1! +#2936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2936700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2937000 +0! +#2937500 +1! +#2937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2937700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2938000 +0! +#2938500 +1! +#2938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2938700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2939000 +0! +#2939500 +1! +#2939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2939700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2940000 +0! +#2940500 +1! +#2940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2940700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2941000 +0! +#2941500 +1! +#2941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2941700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2942000 +0! +#2942500 +1! +#2942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2942700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2943000 +0! +#2943500 +1! +#2943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2943700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2944000 +0! +#2944500 +1! +#2944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2944700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2945000 +0! +#2945500 +1! +#2945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2945700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2946000 +0! +#2946500 +1! +#2946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2946700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2947000 +0! +#2947500 +1! +#2947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2947700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2948000 +0! +#2948500 +1! +#2948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2948700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2949000 +0! +#2949500 +1! +#2949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2949700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2950000 +0! +#2950500 +1! +#2950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2950700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2951000 +0! +#2951500 +1! +#2951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2951700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2952000 +0! +#2952500 +1! +#2952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2952700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2953000 +0! +#2953500 +1! +#2953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2953700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2954000 +0! +#2954500 +1! +#2954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2954700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2955000 +0! +#2955500 +1! +#2955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2955700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2956000 +0! +#2956500 +1! +#2956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2956700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2957000 +0! +#2957500 +1! +#2957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2957700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2958000 +0! +#2958500 +1! +#2958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2958700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2959000 +0! +#2959500 +1! +#2959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2959700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2960000 +0! +#2960500 +1! +#2960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2960700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2961000 +0! +#2961500 +1! +#2961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2961700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2962000 +0! +#2962500 +1! +#2962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2962700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2963000 +0! +#2963500 +1! +#2963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2963700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2964000 +0! +#2964500 +1! +#2964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2964700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2965000 +0! +#2965500 +1! +#2965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2965700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2966000 +0! +#2966500 +1! +#2966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2966700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2967000 +0! +#2967500 +1! +#2967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2967700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2968000 +0! +#2968500 +1! +#2968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2968700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2969000 +0! +#2969500 +1! +#2969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2969700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2970000 +0! +#2970500 +1! +#2970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2970700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2971000 +0! +#2971500 +1! +#2971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2971700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2972000 +0! +#2972500 +1! +#2972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2972700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2973000 +0! +#2973500 +1! +#2973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2973700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2974000 +0! +#2974500 +1! +#2974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2974700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2975000 +0! +#2975500 +1! +#2975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2975700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2976000 +0! +#2976500 +1! +#2976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2976700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2977000 +0! +#2977500 +1! +#2977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2977700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2978000 +0! +#2978500 +1! +#2978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2978700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2979000 +0! +#2979500 +1! +#2979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2979700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2980000 +0! +#2980500 +1! +#2980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2980700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2981000 +0! +#2981500 +1! +#2981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2981700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2982000 +0! +#2982500 +1! +#2982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2982700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2983000 +0! +#2983500 +1! +#2983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2983700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2984000 +0! +#2984500 +1! +#2984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2984700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2985000 +0! +#2985500 +1! +#2985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2985700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2986000 +0! +#2986500 +1! +#2986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#2986700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b110 g +b0 c +#2987000 +0! +#2987500 +1! +#2987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2987700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2988000 +0! +#2988500 +1! +#2988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2988700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2989000 +0! +#2989500 +1! +#2989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2989700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2990000 +0! +#2990500 +1! +#2990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2990700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2991000 +0! +#2991500 +1! +#2991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2991700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2992000 +0! +#2992500 +1! +#2992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2992700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2993000 +0! +#2993500 +1! +#2993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2993700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2994000 +0! +#2994500 +1! +#2994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2994700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2995000 +0! +#2995500 +1! +#2995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2995700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2996000 +0! +#2996500 +1! +#2996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2996700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2997000 +0! +#2997500 +1! +#2997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2997700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2998000 +0! +#2998500 +1! +#2998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2998700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#2999000 +0! +#2999500 +1! +#2999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#2999700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3000000 +0! +#3000500 +1! +#3000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3000700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3001000 +0! +#3001500 +1! +#3001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3001700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3002000 +0! +#3002500 +1! +#3002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3002700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3003000 +0! +#3003500 +1! +#3003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3003700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3004000 +0! +#3004500 +1! +#3004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3004700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3005000 +0! +#3005500 +1! +#3005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3005700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3006000 +0! +#3006500 +1! +#3006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3006700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3007000 +0! +#3007500 +1! +#3007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3007700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3008000 +0! +#3008500 +1! +#3008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3008700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3009000 +0! +#3009500 +1! +#3009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3009700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3010000 +0! +#3010500 +1! +#3010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3010700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3011000 +0! +#3011500 +1! +#3011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3011700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3012000 +0! +#3012500 +1! +#3012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3012700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3013000 +0! +#3013500 +1! +#3013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3013700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3014000 +0! +#3014500 +1! +#3014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3014700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3015000 +0! +#3015500 +1! +#3015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3015700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3016000 +0! +#3016500 +1! +#3016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3016700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3017000 +0! +#3017500 +1! +#3017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3017700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3018000 +0! +#3018500 +1! +#3018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3018700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3019000 +0! +#3019500 +1! +#3019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3019700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3020000 +0! +#3020500 +1! +#3020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3020700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3021000 +0! +#3021500 +1! +#3021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3021700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3022000 +0! +#3022500 +1! +#3022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3022700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3023000 +0! +#3023500 +1! +#3023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3023700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3024000 +0! +#3024500 +1! +#3024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3024700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3025000 +0! +#3025500 +1! +#3025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3025700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3026000 +0! +#3026500 +1! +#3026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3026700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3027000 +0! +#3027500 +1! +#3027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3027700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3028000 +0! +#3028500 +1! +#3028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3028700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3029000 +0! +#3029500 +1! +#3029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3029700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3030000 +0! +#3030500 +1! +#3030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3030700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3031000 +0! +#3031500 +1! +#3031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3031700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3032000 +0! +#3032500 +1! +#3032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3032700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3033000 +0! +#3033500 +1! +#3033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3033700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3034000 +0! +#3034500 +1! +#3034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3034700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3035000 +0! +#3035500 +1! +#3035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3035700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3036000 +0! +#3036500 +1! +#3036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3036700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3037000 +0! +#3037500 +1! +#3037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3037700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3038000 +0! +#3038500 +1! +#3038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3038700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3039000 +0! +#3039500 +1! +#3039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3039700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3040000 +0! +#3040500 +1! +#3040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3040700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3041000 +0! +#3041500 +1! +#3041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3041700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3042000 +0! +#3042500 +1! +#3042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3042700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3043000 +0! +#3043500 +1! +#3043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3043700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3044000 +0! +#3044500 +1! +#3044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3044700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3045000 +0! +#3045500 +1! +#3045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3045700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3046000 +0! +#3046500 +1! +#3046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3046700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3047000 +0! +#3047500 +1! +#3047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3047700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3048000 +0! +#3048500 +1! +#3048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3048700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3049000 +0! +#3049500 +1! +#3049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3049700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3050000 +0! +#3050500 +1! +#3050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3050700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3051000 +0! +#3051500 +1! +#3051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3051700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3052000 +0! +#3052500 +1! +#3052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3052700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3053000 +0! +#3053500 +1! +#3053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3053700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3054000 +0! +#3054500 +1! +#3054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3054700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3055000 +0! +#3055500 +1! +#3055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3055700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3056000 +0! +#3056500 +1! +#3056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3056700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3057000 +0! +#3057500 +1! +#3057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3057700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3058000 +0! +#3058500 +1! +#3058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3058700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3059000 +0! +#3059500 +1! +#3059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3059700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3060000 +0! +#3060500 +1! +#3060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3060700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3061000 +0! +#3061500 +1! +#3061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3061700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3062000 +0! +#3062500 +1! +#3062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3062700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3063000 +0! +#3063500 +1! +#3063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3063700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3064000 +0! +#3064500 +1! +#3064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3064700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3065000 +0! +#3065500 +1! +#3065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3065700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3066000 +0! +#3066500 +1! +#3066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3066700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3067000 +0! +#3067500 +1! +#3067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3067700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3068000 +0! +#3068500 +1! +#3068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3068700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3069000 +0! +#3069500 +1! +#3069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3069700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3070000 +0! +#3070500 +1! +#3070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3070700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3071000 +0! +#3071500 +1! +#3071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3071700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3072000 +0! +#3072500 +1! +#3072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3072700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3073000 +0! +#3073500 +1! +#3073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3073700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3074000 +0! +#3074500 +1! +#3074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3074700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3075000 +0! +#3075500 +1! +#3075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3075700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3076000 +0! +#3076500 +1! +#3076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3076700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3077000 +0! +#3077500 +1! +#3077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3077700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3078000 +0! +#3078500 +1! +#3078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3078700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3079000 +0! +#3079500 +1! +#3079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3079700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3080000 +0! +#3080500 +1! +#3080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3080700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3081000 +0! +#3081500 +1! +#3081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3081700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3082000 +0! +#3082500 +1! +#3082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3082700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3083000 +0! +#3083500 +1! +#3083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3083700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3084000 +0! +#3084500 +1! +#3084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3084700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3085000 +0! +#3085500 +1! +#3085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3085700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3086000 +0! +#3086500 +1! +#3086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3086700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3087000 +0! +#3087500 +1! +#3087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3087700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3088000 +0! +#3088500 +1! +#3088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#3088700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3089000 +0! +#3089500 +1! +#3089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3089700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3090000 +0! +#3090500 +1! +#3090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3090700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3091000 +0! +#3091500 +1! +#3091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3091700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3092000 +0! +#3092500 +1! +#3092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3092700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3093000 +0! +#3093500 +1! +#3093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3093700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3094000 +0! +#3094500 +1! +#3094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3094700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3095000 +0! +#3095500 +1! +#3095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3095700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3096000 +0! +#3096500 +1! +#3096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3096700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3097000 +0! +#3097500 +1! +#3097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3097700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3098000 +0! +#3098500 +1! +#3098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3098700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3099000 +0! +#3099500 +1! +#3099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3099700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3100000 +0! +#3100500 +1! +#3100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3100700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3101000 +0! +#3101500 +1! +#3101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3101700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3102000 +0! +#3102500 +1! +#3102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3102700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3103000 +0! +#3103500 +1! +#3103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3103700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3104000 +0! +#3104500 +1! +#3104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3104700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3105000 +0! +#3105500 +1! +#3105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3105700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3106000 +0! +#3106500 +1! +#3106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3106700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3107000 +0! +#3107500 +1! +#3107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3107700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3108000 +0! +#3108500 +1! +#3108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3108700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3109000 +0! +#3109500 +1! +#3109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3109700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3110000 +0! +#3110500 +1! +#3110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3110700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3111000 +0! +#3111500 +1! +#3111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3111700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3112000 +0! +#3112500 +1! +#3112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3112700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3113000 +0! +#3113500 +1! +#3113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3113700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3114000 +0! +#3114500 +1! +#3114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3114700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3115000 +0! +#3115500 +1! +#3115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3115700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3116000 +0! +#3116500 +1! +#3116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3116700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3117000 +0! +#3117500 +1! +#3117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3117700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3118000 +0! +#3118500 +1! +#3118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3118700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3119000 +0! +#3119500 +1! +#3119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3119700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3120000 +0! +#3120500 +1! +#3120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3120700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3121000 +0! +#3121500 +1! +#3121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3121700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3122000 +0! +#3122500 +1! +#3122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3122700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3123000 +0! +#3123500 +1! +#3123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3123700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3124000 +0! +#3124500 +1! +#3124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3124700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3125000 +0! +#3125500 +1! +#3125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3125700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3126000 +0! +#3126500 +1! +#3126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3126700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3127000 +0! +#3127500 +1! +#3127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3127700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3128000 +0! +#3128500 +1! +#3128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3128700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3129000 +0! +#3129500 +1! +#3129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3129700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3130000 +0! +#3130500 +1! +#3130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3130700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3131000 +0! +#3131500 +1! +#3131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3131700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3132000 +0! +#3132500 +1! +#3132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3132700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3133000 +0! +#3133500 +1! +#3133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3133700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3134000 +0! +#3134500 +1! +#3134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3134700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3135000 +0! +#3135500 +1! +#3135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3135700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3136000 +0! +#3136500 +1! +#3136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3136700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3137000 +0! +#3137500 +1! +#3137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3137700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3138000 +0! +#3138500 +1! +#3138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3138700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3139000 +0! +#3139500 +1! +#3139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3139700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3140000 +0! +#3140500 +1! +#3140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3140700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3141000 +0! +#3141500 +1! +#3141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3141700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3142000 +0! +#3142500 +1! +#3142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3142700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3143000 +0! +#3143500 +1! +#3143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3143700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3144000 +0! +#3144500 +1! +#3144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3144700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3145000 +0! +#3145500 +1! +#3145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3145700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3146000 +0! +#3146500 +1! +#3146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3146700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3147000 +0! +#3147500 +1! +#3147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3147700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3148000 +0! +#3148500 +1! +#3148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3148700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3149000 +0! +#3149500 +1! +#3149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3149700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3150000 +0! +#3150500 +1! +#3150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3150700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3151000 +0! +#3151500 +1! +#3151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3151700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3152000 +0! +#3152500 +1! +#3152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3152700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3153000 +0! +#3153500 +1! +#3153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3153700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3154000 +0! +#3154500 +1! +#3154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3154700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3155000 +0! +#3155500 +1! +#3155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3155700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3156000 +0! +#3156500 +1! +#3156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3156700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3157000 +0! +#3157500 +1! +#3157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3157700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3158000 +0! +#3158500 +1! +#3158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3158700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3159000 +0! +#3159500 +1! +#3159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3159700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3160000 +0! +#3160500 +1! +#3160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3160700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3161000 +0! +#3161500 +1! +#3161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3161700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3162000 +0! +#3162500 +1! +#3162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3162700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3163000 +0! +#3163500 +1! +#3163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3163700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3164000 +0! +#3164500 +1! +#3164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3164700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3165000 +0! +#3165500 +1! +#3165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3165700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3166000 +0! +#3166500 +1! +#3166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3166700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3167000 +0! +#3167500 +1! +#3167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3167700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3168000 +0! +#3168500 +1! +#3168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3168700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3169000 +0! +#3169500 +1! +#3169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3169700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3170000 +0! +#3170500 +1! +#3170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3170700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3171000 +0! +#3171500 +1! +#3171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3171700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3172000 +0! +#3172500 +1! +#3172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3172700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3173000 +0! +#3173500 +1! +#3173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3173700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3174000 +0! +#3174500 +1! +#3174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3174700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3175000 +0! +#3175500 +1! +#3175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3175700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3176000 +0! +#3176500 +1! +#3176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3176700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3177000 +0! +#3177500 +1! +#3177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3177700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3178000 +0! +#3178500 +1! +#3178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3178700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3179000 +0! +#3179500 +1! +#3179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3179700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3180000 +0! +#3180500 +1! +#3180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3180700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3181000 +0! +#3181500 +1! +#3181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3181700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3182000 +0! +#3182500 +1! +#3182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3182700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3183000 +0! +#3183500 +1! +#3183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3183700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3184000 +0! +#3184500 +1! +#3184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3184700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3185000 +0! +#3185500 +1! +#3185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3185700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3186000 +0! +#3186500 +1! +#3186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3186700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3187000 +0! +#3187500 +1! +#3187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3187700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3188000 +0! +#3188500 +1! +#3188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3188700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3189000 +0! +#3189500 +1! +#3189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3189700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3190000 +0! +#3190500 +1! +#3190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3190700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3191000 +0! +#3191500 +1! +#3191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3191700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3192000 +0! +#3192500 +1! +#3192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3192700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3193000 +0! +#3193500 +1! +#3193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3193700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3194000 +0! +#3194500 +1! +#3194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3194700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3195000 +0! +#3195500 +1! +#3195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3195700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3196000 +0! +#3196500 +1! +#3196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3196700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3197000 +0! +#3197500 +1! +#3197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3197700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3198000 +0! +#3198500 +1! +#3198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3198700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3199000 +0! +#3199500 +1! +#3199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3199700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3200000 +0! +#3200500 +1! +#3200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3200700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3201000 +0! +#3201500 +1! +#3201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3201700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3202000 +0! +#3202500 +1! +#3202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3202700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3203000 +0! +#3203500 +1! +#3203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3203700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3204000 +0! +#3204500 +1! +#3204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3204700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3205000 +0! +#3205500 +1! +#3205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3205700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3206000 +0! +#3206500 +1! +#3206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3206700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3207000 +0! +#3207500 +1! +#3207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3207700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3208000 +0! +#3208500 +1! +#3208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3208700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3209000 +0! +#3209500 +1! +#3209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3209700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3210000 +0! +#3210500 +1! +#3210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3210700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3211000 +0! +#3211500 +1! +#3211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3211700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3212000 +0! +#3212500 +1! +#3212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3212700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3213000 +0! +#3213500 +1! +#3213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3213700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3214000 +0! +#3214500 +1! +#3214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3214700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3215000 +0! +#3215500 +1! +#3215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3215700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3216000 +0! +#3216500 +1! +#3216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3216700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3217000 +0! +#3217500 +1! +#3217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3217700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3218000 +0! +#3218500 +1! +#3218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3218700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3219000 +0! +#3219500 +1! +#3219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3219700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3220000 +0! +#3220500 +1! +#3220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3220700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3221000 +0! +#3221500 +1! +#3221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3221700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3222000 +0! +#3222500 +1! +#3222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3222700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3223000 +0! +#3223500 +1! +#3223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3223700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3224000 +0! +#3224500 +1! +#3224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3224700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3225000 +0! +#3225500 +1! +#3225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3225700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3226000 +0! +#3226500 +1! +#3226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3226700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3227000 +0! +#3227500 +1! +#3227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3227700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3228000 +0! +#3228500 +1! +#3228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3228700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3229000 +0! +#3229500 +1! +#3229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3229700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3230000 +0! +#3230500 +1! +#3230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3230700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3231000 +0! +#3231500 +1! +#3231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3231700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3232000 +0! +#3232500 +1! +#3232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3232700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3233000 +0! +#3233500 +1! +#3233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3233700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3234000 +0! +#3234500 +1! +#3234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3234700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3235000 +0! +#3235500 +1! +#3235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3235700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3236000 +0! +#3236500 +1! +#3236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3236700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3237000 +0! +#3237500 +1! +#3237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3237700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3238000 +0! +#3238500 +1! +#3238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3238700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3239000 +0! +#3239500 +1! +#3239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3239700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3240000 +0! +#3240500 +1! +#3240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3240700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3241000 +0! +#3241500 +1! +#3241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#3241700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b101 g +#3242000 +0! +#3242500 +1! +#3242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3242700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3243000 +0! +#3243500 +1! +#3243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3243700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3244000 +0! +#3244500 +1! +#3244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3244700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3245000 +0! +#3245500 +1! +#3245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3245700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3246000 +0! +#3246500 +1! +#3246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3246700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3247000 +0! +#3247500 +1! +#3247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3247700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3248000 +0! +#3248500 +1! +#3248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3248700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3249000 +0! +#3249500 +1! +#3249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3249700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3250000 +0! +#3250500 +1! +#3250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3250700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3251000 +0! +#3251500 +1! +#3251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3251700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3252000 +0! +#3252500 +1! +#3252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3252700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3253000 +0! +#3253500 +1! +#3253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3253700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3254000 +0! +#3254500 +1! +#3254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3254700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3255000 +0! +#3255500 +1! +#3255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3255700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3256000 +0! +#3256500 +1! +#3256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3256700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3257000 +0! +#3257500 +1! +#3257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3257700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3258000 +0! +#3258500 +1! +#3258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3258700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3259000 +0! +#3259500 +1! +#3259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3259700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3260000 +0! +#3260500 +1! +#3260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3260700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3261000 +0! +#3261500 +1! +#3261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3261700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3262000 +0! +#3262500 +1! +#3262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3262700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3263000 +0! +#3263500 +1! +#3263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3263700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3264000 +0! +#3264500 +1! +#3264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3264700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3265000 +0! +#3265500 +1! +#3265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3265700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3266000 +0! +#3266500 +1! +#3266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3266700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3267000 +0! +#3267500 +1! +#3267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3267700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3268000 +0! +#3268500 +1! +#3268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3268700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3269000 +0! +#3269500 +1! +#3269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3269700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3270000 +0! +#3270500 +1! +#3270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3270700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3271000 +0! +#3271500 +1! +#3271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3271700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3272000 +0! +#3272500 +1! +#3272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3272700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3273000 +0! +#3273500 +1! +#3273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3273700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3274000 +0! +#3274500 +1! +#3274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3274700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3275000 +0! +#3275500 +1! +#3275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3275700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3276000 +0! +#3276500 +1! +#3276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3276700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3277000 +0! +#3277500 +1! +#3277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3277700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3278000 +0! +#3278500 +1! +#3278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3278700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3279000 +0! +#3279500 +1! +#3279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3279700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3280000 +0! +#3280500 +1! +#3280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3280700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3281000 +0! +#3281500 +1! +#3281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3281700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3282000 +0! +#3282500 +1! +#3282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3282700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3283000 +0! +#3283500 +1! +#3283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3283700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3284000 +0! +#3284500 +1! +#3284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3284700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3285000 +0! +#3285500 +1! +#3285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3285700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3286000 +0! +#3286500 +1! +#3286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3286700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3287000 +0! +#3287500 +1! +#3287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3287700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3288000 +0! +#3288500 +1! +#3288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3288700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3289000 +0! +#3289500 +1! +#3289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3289700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3290000 +0! +#3290500 +1! +#3290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3290700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3291000 +0! +#3291500 +1! +#3291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3291700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3292000 +0! +#3292500 +1! +#3292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3292700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3293000 +0! +#3293500 +1! +#3293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3293700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3294000 +0! +#3294500 +1! +#3294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3294700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3295000 +0! +#3295500 +1! +#3295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3295700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3296000 +0! +#3296500 +1! +#3296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3296700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3297000 +0! +#3297500 +1! +#3297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3297700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3298000 +0! +#3298500 +1! +#3298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3298700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3299000 +0! +#3299500 +1! +#3299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3299700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3300000 +0! +#3300500 +1! +#3300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3300700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3301000 +0! +#3301500 +1! +#3301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3301700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3302000 +0! +#3302500 +1! +#3302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3302700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3303000 +0! +#3303500 +1! +#3303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3303700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3304000 +0! +#3304500 +1! +#3304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3304700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3305000 +0! +#3305500 +1! +#3305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3305700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3306000 +0! +#3306500 +1! +#3306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3306700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3307000 +0! +#3307500 +1! +#3307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3307700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3308000 +0! +#3308500 +1! +#3308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3308700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3309000 +0! +#3309500 +1! +#3309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3309700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3310000 +0! +#3310500 +1! +#3310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3310700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3311000 +0! +#3311500 +1! +#3311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3311700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3312000 +0! +#3312500 +1! +#3312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3312700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3313000 +0! +#3313500 +1! +#3313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3313700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3314000 +0! +#3314500 +1! +#3314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3314700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3315000 +0! +#3315500 +1! +#3315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3315700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3316000 +0! +#3316500 +1! +#3316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3316700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3317000 +0! +#3317500 +1! +#3317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3317700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3318000 +0! +#3318500 +1! +#3318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3318700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3319000 +0! +#3319500 +1! +#3319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3319700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3320000 +0! +#3320500 +1! +#3320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3320700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3321000 +0! +#3321500 +1! +#3321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3321700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3322000 +0! +#3322500 +1! +#3322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3322700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3323000 +0! +#3323500 +1! +#3323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3323700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3324000 +0! +#3324500 +1! +#3324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3324700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3325000 +0! +#3325500 +1! +#3325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3325700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3326000 +0! +#3326500 +1! +#3326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3326700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3327000 +0! +#3327500 +1! +#3327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3327700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3328000 +0! +#3328500 +1! +#3328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3328700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3329000 +0! +#3329500 +1! +#3329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3329700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3330000 +0! +#3330500 +1! +#3330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3330700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3331000 +0! +#3331500 +1! +#3331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3331700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3332000 +0! +#3332500 +1! +#3332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3332700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3333000 +0! +#3333500 +1! +#3333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3333700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3334000 +0! +#3334500 +1! +#3334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3334700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3335000 +0! +#3335500 +1! +#3335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3335700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3336000 +0! +#3336500 +1! +#3336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3336700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3337000 +0! +#3337500 +1! +#3337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3337700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3338000 +0! +#3338500 +1! +#3338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3338700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3339000 +0! +#3339500 +1! +#3339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3339700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3340000 +0! +#3340500 +1! +#3340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3340700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3341000 +0! +#3341500 +1! +#3341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3341700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3342000 +0! +#3342500 +1! +#3342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3342700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3343000 +0! +#3343500 +1! +#3343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#3343700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3344000 +0! +#3344500 +1! +#3344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3344700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3345000 +0! +#3345500 +1! +#3345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3345700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3346000 +0! +#3346500 +1! +#3346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3346700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3347000 +0! +#3347500 +1! +#3347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3347700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3348000 +0! +#3348500 +1! +#3348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3348700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3349000 +0! +#3349500 +1! +#3349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3349700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3350000 +0! +#3350500 +1! +#3350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3350700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3351000 +0! +#3351500 +1! +#3351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3351700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3352000 +0! +#3352500 +1! +#3352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3352700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3353000 +0! +#3353500 +1! +#3353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3353700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3354000 +0! +#3354500 +1! +#3354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3354700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3355000 +0! +#3355500 +1! +#3355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3355700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3356000 +0! +#3356500 +1! +#3356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3356700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3357000 +0! +#3357500 +1! +#3357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3357700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3358000 +0! +#3358500 +1! +#3358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3358700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3359000 +0! +#3359500 +1! +#3359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3359700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3360000 +0! +#3360500 +1! +#3360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3360700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3361000 +0! +#3361500 +1! +#3361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3361700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3362000 +0! +#3362500 +1! +#3362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3362700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3363000 +0! +#3363500 +1! +#3363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3363700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3364000 +0! +#3364500 +1! +#3364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3364700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3365000 +0! +#3365500 +1! +#3365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3365700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3366000 +0! +#3366500 +1! +#3366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3366700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3367000 +0! +#3367500 +1! +#3367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3367700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3368000 +0! +#3368500 +1! +#3368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3368700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3369000 +0! +#3369500 +1! +#3369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3369700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3370000 +0! +#3370500 +1! +#3370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3370700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3371000 +0! +#3371500 +1! +#3371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3371700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3372000 +0! +#3372500 +1! +#3372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3372700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3373000 +0! +#3373500 +1! +#3373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3373700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3374000 +0! +#3374500 +1! +#3374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3374700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3375000 +0! +#3375500 +1! +#3375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3375700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3376000 +0! +#3376500 +1! +#3376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3376700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3377000 +0! +#3377500 +1! +#3377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3377700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3378000 +0! +#3378500 +1! +#3378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3378700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3379000 +0! +#3379500 +1! +#3379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3379700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3380000 +0! +#3380500 +1! +#3380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3380700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3381000 +0! +#3381500 +1! +#3381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3381700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3382000 +0! +#3382500 +1! +#3382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3382700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3383000 +0! +#3383500 +1! +#3383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3383700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3384000 +0! +#3384500 +1! +#3384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3384700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3385000 +0! +#3385500 +1! +#3385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3385700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3386000 +0! +#3386500 +1! +#3386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3386700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3387000 +0! +#3387500 +1! +#3387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3387700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3388000 +0! +#3388500 +1! +#3388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3388700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3389000 +0! +#3389500 +1! +#3389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3389700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3390000 +0! +#3390500 +1! +#3390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3390700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3391000 +0! +#3391500 +1! +#3391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3391700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3392000 +0! +#3392500 +1! +#3392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3392700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3393000 +0! +#3393500 +1! +#3393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3393700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3394000 +0! +#3394500 +1! +#3394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3394700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3395000 +0! +#3395500 +1! +#3395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3395700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3396000 +0! +#3396500 +1! +#3396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3396700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3397000 +0! +#3397500 +1! +#3397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3397700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3398000 +0! +#3398500 +1! +#3398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3398700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3399000 +0! +#3399500 +1! +#3399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3399700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3400000 +0! +#3400500 +1! +#3400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3400700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3401000 +0! +#3401500 +1! +#3401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3401700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3402000 +0! +#3402500 +1! +#3402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3402700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3403000 +0! +#3403500 +1! +#3403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3403700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3404000 +0! +#3404500 +1! +#3404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3404700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3405000 +0! +#3405500 +1! +#3405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3405700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3406000 +0! +#3406500 +1! +#3406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3406700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3407000 +0! +#3407500 +1! +#3407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3407700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3408000 +0! +#3408500 +1! +#3408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3408700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3409000 +0! +#3409500 +1! +#3409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3409700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3410000 +0! +#3410500 +1! +#3410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3410700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3411000 +0! +#3411500 +1! +#3411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3411700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3412000 +0! +#3412500 +1! +#3412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3412700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3413000 +0! +#3413500 +1! +#3413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3413700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3414000 +0! +#3414500 +1! +#3414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3414700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3415000 +0! +#3415500 +1! +#3415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3415700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3416000 +0! +#3416500 +1! +#3416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3416700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3417000 +0! +#3417500 +1! +#3417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3417700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3418000 +0! +#3418500 +1! +#3418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3418700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3419000 +0! +#3419500 +1! +#3419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3419700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3420000 +0! +#3420500 +1! +#3420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3420700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3421000 +0! +#3421500 +1! +#3421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3421700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3422000 +0! +#3422500 +1! +#3422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3422700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3423000 +0! +#3423500 +1! +#3423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3423700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3424000 +0! +#3424500 +1! +#3424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3424700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3425000 +0! +#3425500 +1! +#3425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3425700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3426000 +0! +#3426500 +1! +#3426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3426700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3427000 +0! +#3427500 +1! +#3427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3427700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3428000 +0! +#3428500 +1! +#3428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3428700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3429000 +0! +#3429500 +1! +#3429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3429700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3430000 +0! +#3430500 +1! +#3430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3430700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3431000 +0! +#3431500 +1! +#3431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3431700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3432000 +0! +#3432500 +1! +#3432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3432700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3433000 +0! +#3433500 +1! +#3433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3433700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3434000 +0! +#3434500 +1! +#3434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3434700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3435000 +0! +#3435500 +1! +#3435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3435700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3436000 +0! +#3436500 +1! +#3436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3436700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3437000 +0! +#3437500 +1! +#3437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3437700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3438000 +0! +#3438500 +1! +#3438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3438700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3439000 +0! +#3439500 +1! +#3439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3439700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3440000 +0! +#3440500 +1! +#3440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3440700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3441000 +0! +#3441500 +1! +#3441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3441700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3442000 +0! +#3442500 +1! +#3442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3442700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3443000 +0! +#3443500 +1! +#3443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3443700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3444000 +0! +#3444500 +1! +#3444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3444700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3445000 +0! +#3445500 +1! +#3445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3445700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3446000 +0! +#3446500 +1! +#3446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3446700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3447000 +0! +#3447500 +1! +#3447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3447700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3448000 +0! +#3448500 +1! +#3448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3448700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3449000 +0! +#3449500 +1! +#3449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3449700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3450000 +0! +#3450500 +1! +#3450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3450700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3451000 +0! +#3451500 +1! +#3451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3451700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3452000 +0! +#3452500 +1! +#3452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3452700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3453000 +0! +#3453500 +1! +#3453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3453700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3454000 +0! +#3454500 +1! +#3454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3454700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3455000 +0! +#3455500 +1! +#3455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3455700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3456000 +0! +#3456500 +1! +#3456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3456700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3457000 +0! +#3457500 +1! +#3457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3457700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3458000 +0! +#3458500 +1! +#3458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3458700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3459000 +0! +#3459500 +1! +#3459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3459700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3460000 +0! +#3460500 +1! +#3460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3460700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3461000 +0! +#3461500 +1! +#3461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3461700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3462000 +0! +#3462500 +1! +#3462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3462700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3463000 +0! +#3463500 +1! +#3463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3463700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3464000 +0! +#3464500 +1! +#3464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3464700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3465000 +0! +#3465500 +1! +#3465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3465700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3466000 +0! +#3466500 +1! +#3466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3466700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3467000 +0! +#3467500 +1! +#3467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3467700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3468000 +0! +#3468500 +1! +#3468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3468700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3469000 +0! +#3469500 +1! +#3469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3469700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3470000 +0! +#3470500 +1! +#3470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3470700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3471000 +0! +#3471500 +1! +#3471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3471700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3472000 +0! +#3472500 +1! +#3472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3472700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3473000 +0! +#3473500 +1! +#3473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3473700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3474000 +0! +#3474500 +1! +#3474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3474700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3475000 +0! +#3475500 +1! +#3475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3475700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3476000 +0! +#3476500 +1! +#3476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3476700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3477000 +0! +#3477500 +1! +#3477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3477700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3478000 +0! +#3478500 +1! +#3478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3478700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3479000 +0! +#3479500 +1! +#3479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3479700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3480000 +0! +#3480500 +1! +#3480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3480700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3481000 +0! +#3481500 +1! +#3481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3481700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3482000 +0! +#3482500 +1! +#3482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3482700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3483000 +0! +#3483500 +1! +#3483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3483700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3484000 +0! +#3484500 +1! +#3484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3484700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3485000 +0! +#3485500 +1! +#3485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3485700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3486000 +0! +#3486500 +1! +#3486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3486700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3487000 +0! +#3487500 +1! +#3487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3487700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3488000 +0! +#3488500 +1! +#3488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3488700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3489000 +0! +#3489500 +1! +#3489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3489700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3490000 +0! +#3490500 +1! +#3490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3490700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3491000 +0! +#3491500 +1! +#3491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3491700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3492000 +0! +#3492500 +1! +#3492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3492700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3493000 +0! +#3493500 +1! +#3493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3493700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3494000 +0! +#3494500 +1! +#3494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3494700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3495000 +0! +#3495500 +1! +#3495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3495700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3496000 +0! +#3496500 +1! +#3496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#3496700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b100 g +#3497000 +0! +#3497500 +1! +#3497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3497700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3498000 +0! +#3498500 +1! +#3498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3498700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3499000 +0! +#3499500 +1! +#3499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3499700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3500000 +0! +#3500500 +1! +#3500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3500700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3501000 +0! +#3501500 +1! +#3501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3501700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3502000 +0! +#3502500 +1! +#3502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3502700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3503000 +0! +#3503500 +1! +#3503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3503700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3504000 +0! +#3504500 +1! +#3504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3504700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3505000 +0! +#3505500 +1! +#3505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3505700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3506000 +0! +#3506500 +1! +#3506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3506700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3507000 +0! +#3507500 +1! +#3507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3507700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3508000 +0! +#3508500 +1! +#3508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3508700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3509000 +0! +#3509500 +1! +#3509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3509700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3510000 +0! +#3510500 +1! +#3510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3510700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3511000 +0! +#3511500 +1! +#3511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3511700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3512000 +0! +#3512500 +1! +#3512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3512700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3513000 +0! +#3513500 +1! +#3513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3513700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3514000 +0! +#3514500 +1! +#3514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3514700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3515000 +0! +#3515500 +1! +#3515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3515700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3516000 +0! +#3516500 +1! +#3516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3516700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3517000 +0! +#3517500 +1! +#3517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3517700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3518000 +0! +#3518500 +1! +#3518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3518700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3519000 +0! +#3519500 +1! +#3519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3519700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3520000 +0! +#3520500 +1! +#3520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3520700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3521000 +0! +#3521500 +1! +#3521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3521700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3522000 +0! +#3522500 +1! +#3522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3522700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3523000 +0! +#3523500 +1! +#3523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3523700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3524000 +0! +#3524500 +1! +#3524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3524700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3525000 +0! +#3525500 +1! +#3525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3525700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3526000 +0! +#3526500 +1! +#3526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3526700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3527000 +0! +#3527500 +1! +#3527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3527700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3528000 +0! +#3528500 +1! +#3528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3528700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3529000 +0! +#3529500 +1! +#3529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3529700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3530000 +0! +#3530500 +1! +#3530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3530700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3531000 +0! +#3531500 +1! +#3531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3531700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3532000 +0! +#3532500 +1! +#3532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3532700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3533000 +0! +#3533500 +1! +#3533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3533700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3534000 +0! +#3534500 +1! +#3534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3534700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3535000 +0! +#3535500 +1! +#3535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3535700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3536000 +0! +#3536500 +1! +#3536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3536700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3537000 +0! +#3537500 +1! +#3537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3537700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3538000 +0! +#3538500 +1! +#3538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3538700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3539000 +0! +#3539500 +1! +#3539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3539700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3540000 +0! +#3540500 +1! +#3540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3540700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3541000 +0! +#3541500 +1! +#3541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3541700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3542000 +0! +#3542500 +1! +#3542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3542700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3543000 +0! +#3543500 +1! +#3543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3543700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3544000 +0! +#3544500 +1! +#3544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3544700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3545000 +0! +#3545500 +1! +#3545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3545700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3546000 +0! +#3546500 +1! +#3546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3546700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3547000 +0! +#3547500 +1! +#3547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3547700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3548000 +0! +#3548500 +1! +#3548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3548700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3549000 +0! +#3549500 +1! +#3549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3549700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3550000 +0! +#3550500 +1! +#3550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3550700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3551000 +0! +#3551500 +1! +#3551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3551700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3552000 +0! +#3552500 +1! +#3552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3552700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3553000 +0! +#3553500 +1! +#3553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3553700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3554000 +0! +#3554500 +1! +#3554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3554700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3555000 +0! +#3555500 +1! +#3555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3555700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3556000 +0! +#3556500 +1! +#3556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3556700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3557000 +0! +#3557500 +1! +#3557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3557700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3558000 +0! +#3558500 +1! +#3558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3558700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3559000 +0! +#3559500 +1! +#3559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3559700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3560000 +0! +#3560500 +1! +#3560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3560700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3561000 +0! +#3561500 +1! +#3561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3561700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3562000 +0! +#3562500 +1! +#3562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3562700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3563000 +0! +#3563500 +1! +#3563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3563700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3564000 +0! +#3564500 +1! +#3564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3564700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3565000 +0! +#3565500 +1! +#3565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3565700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3566000 +0! +#3566500 +1! +#3566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3566700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3567000 +0! +#3567500 +1! +#3567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3567700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3568000 +0! +#3568500 +1! +#3568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3568700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3569000 +0! +#3569500 +1! +#3569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3569700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3570000 +0! +#3570500 +1! +#3570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3570700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3571000 +0! +#3571500 +1! +#3571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3571700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3572000 +0! +#3572500 +1! +#3572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3572700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3573000 +0! +#3573500 +1! +#3573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3573700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3574000 +0! +#3574500 +1! +#3574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3574700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3575000 +0! +#3575500 +1! +#3575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3575700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3576000 +0! +#3576500 +1! +#3576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3576700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3577000 +0! +#3577500 +1! +#3577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3577700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3578000 +0! +#3578500 +1! +#3578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3578700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3579000 +0! +#3579500 +1! +#3579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3579700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3580000 +0! +#3580500 +1! +#3580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3580700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3581000 +0! +#3581500 +1! +#3581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3581700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3582000 +0! +#3582500 +1! +#3582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3582700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3583000 +0! +#3583500 +1! +#3583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3583700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3584000 +0! +#3584500 +1! +#3584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3584700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3585000 +0! +#3585500 +1! +#3585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3585700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3586000 +0! +#3586500 +1! +#3586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3586700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3587000 +0! +#3587500 +1! +#3587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3587700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3588000 +0! +#3588500 +1! +#3588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3588700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3589000 +0! +#3589500 +1! +#3589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3589700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3590000 +0! +#3590500 +1! +#3590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3590700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3591000 +0! +#3591500 +1! +#3591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3591700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3592000 +0! +#3592500 +1! +#3592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3592700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3593000 +0! +#3593500 +1! +#3593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3593700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3594000 +0! +#3594500 +1! +#3594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3594700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3595000 +0! +#3595500 +1! +#3595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3595700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3596000 +0! +#3596500 +1! +#3596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3596700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3597000 +0! +#3597500 +1! +#3597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3597700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3598000 +0! +#3598500 +1! +#3598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#3598700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3599000 +0! +#3599500 +1! +#3599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3599700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3600000 +0! +#3600500 +1! +#3600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3600700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3601000 +0! +#3601500 +1! +#3601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3601700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3602000 +0! +#3602500 +1! +#3602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3602700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3603000 +0! +#3603500 +1! +#3603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3603700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3604000 +0! +#3604500 +1! +#3604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3604700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3605000 +0! +#3605500 +1! +#3605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3605700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3606000 +0! +#3606500 +1! +#3606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3606700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3607000 +0! +#3607500 +1! +#3607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3607700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3608000 +0! +#3608500 +1! +#3608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3608700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3609000 +0! +#3609500 +1! +#3609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3609700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3610000 +0! +#3610500 +1! +#3610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3610700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3611000 +0! +#3611500 +1! +#3611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3611700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3612000 +0! +#3612500 +1! +#3612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3612700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3613000 +0! +#3613500 +1! +#3613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3613700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3614000 +0! +#3614500 +1! +#3614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3614700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3615000 +0! +#3615500 +1! +#3615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3615700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3616000 +0! +#3616500 +1! +#3616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3616700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3617000 +0! +#3617500 +1! +#3617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3617700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3618000 +0! +#3618500 +1! +#3618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3618700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3619000 +0! +#3619500 +1! +#3619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3619700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3620000 +0! +#3620500 +1! +#3620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3620700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3621000 +0! +#3621500 +1! +#3621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3621700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3622000 +0! +#3622500 +1! +#3622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3622700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3623000 +0! +#3623500 +1! +#3623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3623700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3624000 +0! +#3624500 +1! +#3624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3624700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3625000 +0! +#3625500 +1! +#3625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3625700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3626000 +0! +#3626500 +1! +#3626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3626700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3627000 +0! +#3627500 +1! +#3627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3627700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3628000 +0! +#3628500 +1! +#3628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3628700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3629000 +0! +#3629500 +1! +#3629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3629700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3630000 +0! +#3630500 +1! +#3630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3630700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3631000 +0! +#3631500 +1! +#3631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3631700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3632000 +0! +#3632500 +1! +#3632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3632700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3633000 +0! +#3633500 +1! +#3633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3633700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3634000 +0! +#3634500 +1! +#3634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3634700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3635000 +0! +#3635500 +1! +#3635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3635700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3636000 +0! +#3636500 +1! +#3636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3636700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3637000 +0! +#3637500 +1! +#3637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3637700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3638000 +0! +#3638500 +1! +#3638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3638700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3639000 +0! +#3639500 +1! +#3639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3639700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3640000 +0! +#3640500 +1! +#3640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3640700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3641000 +0! +#3641500 +1! +#3641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3641700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3642000 +0! +#3642500 +1! +#3642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3642700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3643000 +0! +#3643500 +1! +#3643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3643700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3644000 +0! +#3644500 +1! +#3644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3644700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3645000 +0! +#3645500 +1! +#3645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3645700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3646000 +0! +#3646500 +1! +#3646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3646700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3647000 +0! +#3647500 +1! +#3647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3647700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3648000 +0! +#3648500 +1! +#3648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3648700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3649000 +0! +#3649500 +1! +#3649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3649700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3650000 +0! +#3650500 +1! +#3650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3650700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3651000 +0! +#3651500 +1! +#3651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3651700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3652000 +0! +#3652500 +1! +#3652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3652700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3653000 +0! +#3653500 +1! +#3653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3653700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3654000 +0! +#3654500 +1! +#3654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3654700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3655000 +0! +#3655500 +1! +#3655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3655700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3656000 +0! +#3656500 +1! +#3656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3656700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3657000 +0! +#3657500 +1! +#3657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3657700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3658000 +0! +#3658500 +1! +#3658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3658700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3659000 +0! +#3659500 +1! +#3659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3659700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3660000 +0! +#3660500 +1! +#3660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3660700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3661000 +0! +#3661500 +1! +#3661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3661700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3662000 +0! +#3662500 +1! +#3662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3662700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3663000 +0! +#3663500 +1! +#3663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3663700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3664000 +0! +#3664500 +1! +#3664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3664700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3665000 +0! +#3665500 +1! +#3665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3665700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3666000 +0! +#3666500 +1! +#3666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3666700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3667000 +0! +#3667500 +1! +#3667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3667700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3668000 +0! +#3668500 +1! +#3668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3668700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3669000 +0! +#3669500 +1! +#3669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3669700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3670000 +0! +#3670500 +1! +#3670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3670700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3671000 +0! +#3671500 +1! +#3671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3671700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3672000 +0! +#3672500 +1! +#3672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3672700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3673000 +0! +#3673500 +1! +#3673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3673700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3674000 +0! +#3674500 +1! +#3674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3674700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3675000 +0! +#3675500 +1! +#3675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3675700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3676000 +0! +#3676500 +1! +#3676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3676700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3677000 +0! +#3677500 +1! +#3677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3677700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3678000 +0! +#3678500 +1! +#3678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3678700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3679000 +0! +#3679500 +1! +#3679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3679700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3680000 +0! +#3680500 +1! +#3680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3680700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3681000 +0! +#3681500 +1! +#3681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3681700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3682000 +0! +#3682500 +1! +#3682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3682700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3683000 +0! +#3683500 +1! +#3683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3683700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3684000 +0! +#3684500 +1! +#3684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3684700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3685000 +0! +#3685500 +1! +#3685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3685700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3686000 +0! +#3686500 +1! +#3686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3686700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3687000 +0! +#3687500 +1! +#3687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3687700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3688000 +0! +#3688500 +1! +#3688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3688700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3689000 +0! +#3689500 +1! +#3689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3689700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3690000 +0! +#3690500 +1! +#3690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3690700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3691000 +0! +#3691500 +1! +#3691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3691700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3692000 +0! +#3692500 +1! +#3692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3692700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3693000 +0! +#3693500 +1! +#3693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3693700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3694000 +0! +#3694500 +1! +#3694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3694700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3695000 +0! +#3695500 +1! +#3695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3695700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3696000 +0! +#3696500 +1! +#3696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3696700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3697000 +0! +#3697500 +1! +#3697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3697700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3698000 +0! +#3698500 +1! +#3698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3698700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3699000 +0! +#3699500 +1! +#3699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3699700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3700000 +0! +#3700500 +1! +#3700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3700700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3701000 +0! +#3701500 +1! +#3701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3701700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3702000 +0! +#3702500 +1! +#3702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3702700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3703000 +0! +#3703500 +1! +#3703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3703700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3704000 +0! +#3704500 +1! +#3704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3704700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3705000 +0! +#3705500 +1! +#3705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3705700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3706000 +0! +#3706500 +1! +#3706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3706700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3707000 +0! +#3707500 +1! +#3707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3707700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3708000 +0! +#3708500 +1! +#3708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3708700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3709000 +0! +#3709500 +1! +#3709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3709700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3710000 +0! +#3710500 +1! +#3710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3710700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3711000 +0! +#3711500 +1! +#3711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3711700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3712000 +0! +#3712500 +1! +#3712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3712700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3713000 +0! +#3713500 +1! +#3713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3713700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3714000 +0! +#3714500 +1! +#3714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3714700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3715000 +0! +#3715500 +1! +#3715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3715700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3716000 +0! +#3716500 +1! +#3716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3716700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3717000 +0! +#3717500 +1! +#3717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3717700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3718000 +0! +#3718500 +1! +#3718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3718700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3719000 +0! +#3719500 +1! +#3719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3719700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3720000 +0! +#3720500 +1! +#3720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3720700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3721000 +0! +#3721500 +1! +#3721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3721700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3722000 +0! +#3722500 +1! +#3722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3722700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3723000 +0! +#3723500 +1! +#3723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3723700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3724000 +0! +#3724500 +1! +#3724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3724700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3725000 +0! +#3725500 +1! +#3725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3725700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3726000 +0! +#3726500 +1! +#3726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3726700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3727000 +0! +#3727500 +1! +#3727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3727700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3728000 +0! +#3728500 +1! +#3728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3728700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3729000 +0! +#3729500 +1! +#3729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3729700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3730000 +0! +#3730500 +1! +#3730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3730700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3731000 +0! +#3731500 +1! +#3731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3731700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3732000 +0! +#3732500 +1! +#3732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3732700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3733000 +0! +#3733500 +1! +#3733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3733700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3734000 +0! +#3734500 +1! +#3734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3734700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3735000 +0! +#3735500 +1! +#3735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3735700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3736000 +0! +#3736500 +1! +#3736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3736700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3737000 +0! +#3737500 +1! +#3737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3737700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3738000 +0! +#3738500 +1! +#3738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3738700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3739000 +0! +#3739500 +1! +#3739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3739700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3740000 +0! +#3740500 +1! +#3740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3740700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3741000 +0! +#3741500 +1! +#3741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3741700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3742000 +0! +#3742500 +1! +#3742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3742700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3743000 +0! +#3743500 +1! +#3743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3743700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3744000 +0! +#3744500 +1! +#3744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3744700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3745000 +0! +#3745500 +1! +#3745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3745700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3746000 +0! +#3746500 +1! +#3746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3746700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3747000 +0! +#3747500 +1! +#3747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3747700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3748000 +0! +#3748500 +1! +#3748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3748700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3749000 +0! +#3749500 +1! +#3749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3749700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3750000 +0! +#3750500 +1! +#3750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3750700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3751000 +0! +#3751500 +1! +#3751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#3751700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11 g +#3752000 +0! +#3752500 +1! +#3752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3752700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3753000 +0! +#3753500 +1! +#3753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3753700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3754000 +0! +#3754500 +1! +#3754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3754700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3755000 +0! +#3755500 +1! +#3755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3755700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3756000 +0! +#3756500 +1! +#3756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3756700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3757000 +0! +#3757500 +1! +#3757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3757700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3758000 +0! +#3758500 +1! +#3758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3758700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3759000 +0! +#3759500 +1! +#3759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3759700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3760000 +0! +#3760500 +1! +#3760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3760700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3761000 +0! +#3761500 +1! +#3761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3761700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3762000 +0! +#3762500 +1! +#3762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3762700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3763000 +0! +#3763500 +1! +#3763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3763700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3764000 +0! +#3764500 +1! +#3764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3764700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3765000 +0! +#3765500 +1! +#3765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3765700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3766000 +0! +#3766500 +1! +#3766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3766700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3767000 +0! +#3767500 +1! +#3767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3767700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3768000 +0! +#3768500 +1! +#3768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3768700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3769000 +0! +#3769500 +1! +#3769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3769700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3770000 +0! +#3770500 +1! +#3770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3770700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3771000 +0! +#3771500 +1! +#3771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3771700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3772000 +0! +#3772500 +1! +#3772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3772700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3773000 +0! +#3773500 +1! +#3773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3773700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3774000 +0! +#3774500 +1! +#3774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3774700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3775000 +0! +#3775500 +1! +#3775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3775700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3776000 +0! +#3776500 +1! +#3776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3776700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3777000 +0! +#3777500 +1! +#3777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3777700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3778000 +0! +#3778500 +1! +#3778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3778700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3779000 +0! +#3779500 +1! +#3779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3779700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3780000 +0! +#3780500 +1! +#3780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3780700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3781000 +0! +#3781500 +1! +#3781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3781700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3782000 +0! +#3782500 +1! +#3782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3782700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3783000 +0! +#3783500 +1! +#3783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3783700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3784000 +0! +#3784500 +1! +#3784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3784700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3785000 +0! +#3785500 +1! +#3785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3785700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3786000 +0! +#3786500 +1! +#3786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3786700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3787000 +0! +#3787500 +1! +#3787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3787700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3788000 +0! +#3788500 +1! +#3788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3788700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3789000 +0! +#3789500 +1! +#3789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3789700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3790000 +0! +#3790500 +1! +#3790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3790700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3791000 +0! +#3791500 +1! +#3791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3791700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3792000 +0! +#3792500 +1! +#3792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3792700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3793000 +0! +#3793500 +1! +#3793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3793700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3794000 +0! +#3794500 +1! +#3794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3794700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3795000 +0! +#3795500 +1! +#3795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3795700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3796000 +0! +#3796500 +1! +#3796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3796700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3797000 +0! +#3797500 +1! +#3797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3797700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3798000 +0! +#3798500 +1! +#3798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3798700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3799000 +0! +#3799500 +1! +#3799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3799700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3800000 +0! +#3800500 +1! +#3800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3800700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3801000 +0! +#3801500 +1! +#3801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3801700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3802000 +0! +#3802500 +1! +#3802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3802700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3803000 +0! +#3803500 +1! +#3803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3803700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3804000 +0! +#3804500 +1! +#3804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3804700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3805000 +0! +#3805500 +1! +#3805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3805700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3806000 +0! +#3806500 +1! +#3806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3806700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3807000 +0! +#3807500 +1! +#3807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3807700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3808000 +0! +#3808500 +1! +#3808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3808700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3809000 +0! +#3809500 +1! +#3809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3809700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3810000 +0! +#3810500 +1! +#3810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3810700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3811000 +0! +#3811500 +1! +#3811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3811700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3812000 +0! +#3812500 +1! +#3812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3812700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3813000 +0! +#3813500 +1! +#3813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3813700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3814000 +0! +#3814500 +1! +#3814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3814700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3815000 +0! +#3815500 +1! +#3815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3815700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3816000 +0! +#3816500 +1! +#3816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3816700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3817000 +0! +#3817500 +1! +#3817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3817700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3818000 +0! +#3818500 +1! +#3818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3818700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3819000 +0! +#3819500 +1! +#3819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3819700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3820000 +0! +#3820500 +1! +#3820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3820700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3821000 +0! +#3821500 +1! +#3821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3821700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3822000 +0! +#3822500 +1! +#3822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3822700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3823000 +0! +#3823500 +1! +#3823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3823700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3824000 +0! +#3824500 +1! +#3824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3824700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3825000 +0! +#3825500 +1! +#3825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3825700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3826000 +0! +#3826500 +1! +#3826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3826700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3827000 +0! +#3827500 +1! +#3827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3827700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3828000 +0! +#3828500 +1! +#3828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3828700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3829000 +0! +#3829500 +1! +#3829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3829700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3830000 +0! +#3830500 +1! +#3830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3830700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3831000 +0! +#3831500 +1! +#3831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3831700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3832000 +0! +#3832500 +1! +#3832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3832700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3833000 +0! +#3833500 +1! +#3833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3833700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3834000 +0! +#3834500 +1! +#3834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3834700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3835000 +0! +#3835500 +1! +#3835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3835700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3836000 +0! +#3836500 +1! +#3836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3836700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3837000 +0! +#3837500 +1! +#3837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3837700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3838000 +0! +#3838500 +1! +#3838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3838700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3839000 +0! +#3839500 +1! +#3839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3839700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3840000 +0! +#3840500 +1! +#3840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3840700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3841000 +0! +#3841500 +1! +#3841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3841700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3842000 +0! +#3842500 +1! +#3842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3842700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3843000 +0! +#3843500 +1! +#3843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3843700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3844000 +0! +#3844500 +1! +#3844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3844700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3845000 +0! +#3845500 +1! +#3845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3845700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3846000 +0! +#3846500 +1! +#3846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3846700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3847000 +0! +#3847500 +1! +#3847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3847700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3848000 +0! +#3848500 +1! +#3848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3848700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3849000 +0! +#3849500 +1! +#3849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3849700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3850000 +0! +#3850500 +1! +#3850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3850700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3851000 +0! +#3851500 +1! +#3851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3851700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3852000 +0! +#3852500 +1! +#3852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3852700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3853000 +0! +#3853500 +1! +#3853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#3853700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3854000 +0! +#3854500 +1! +#3854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3854700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3855000 +0! +#3855500 +1! +#3855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3855700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3856000 +0! +#3856500 +1! +#3856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3856700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3857000 +0! +#3857500 +1! +#3857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3857700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3858000 +0! +#3858500 +1! +#3858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3858700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3859000 +0! +#3859500 +1! +#3859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3859700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3860000 +0! +#3860500 +1! +#3860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3860700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3861000 +0! +#3861500 +1! +#3861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3861700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3862000 +0! +#3862500 +1! +#3862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3862700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3863000 +0! +#3863500 +1! +#3863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3863700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3864000 +0! +#3864500 +1! +#3864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3864700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3865000 +0! +#3865500 +1! +#3865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3865700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3866000 +0! +#3866500 +1! +#3866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3866700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3867000 +0! +#3867500 +1! +#3867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3867700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3868000 +0! +#3868500 +1! +#3868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3868700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3869000 +0! +#3869500 +1! +#3869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3869700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3870000 +0! +#3870500 +1! +#3870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3870700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3871000 +0! +#3871500 +1! +#3871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3871700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3872000 +0! +#3872500 +1! +#3872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3872700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3873000 +0! +#3873500 +1! +#3873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3873700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3874000 +0! +#3874500 +1! +#3874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3874700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3875000 +0! +#3875500 +1! +#3875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3875700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3876000 +0! +#3876500 +1! +#3876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3876700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3877000 +0! +#3877500 +1! +#3877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3877700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3878000 +0! +#3878500 +1! +#3878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3878700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3879000 +0! +#3879500 +1! +#3879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3879700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3880000 +0! +#3880500 +1! +#3880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3880700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3881000 +0! +#3881500 +1! +#3881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3881700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3882000 +0! +#3882500 +1! +#3882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3882700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3883000 +0! +#3883500 +1! +#3883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3883700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3884000 +0! +#3884500 +1! +#3884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3884700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3885000 +0! +#3885500 +1! +#3885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3885700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3886000 +0! +#3886500 +1! +#3886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3886700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3887000 +0! +#3887500 +1! +#3887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3887700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3888000 +0! +#3888500 +1! +#3888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3888700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3889000 +0! +#3889500 +1! +#3889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3889700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3890000 +0! +#3890500 +1! +#3890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3890700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3891000 +0! +#3891500 +1! +#3891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3891700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3892000 +0! +#3892500 +1! +#3892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3892700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3893000 +0! +#3893500 +1! +#3893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3893700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3894000 +0! +#3894500 +1! +#3894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3894700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3895000 +0! +#3895500 +1! +#3895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3895700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3896000 +0! +#3896500 +1! +#3896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3896700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3897000 +0! +#3897500 +1! +#3897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3897700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3898000 +0! +#3898500 +1! +#3898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3898700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3899000 +0! +#3899500 +1! +#3899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3899700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3900000 +0! +#3900500 +1! +#3900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3900700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3901000 +0! +#3901500 +1! +#3901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3901700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3902000 +0! +#3902500 +1! +#3902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3902700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3903000 +0! +#3903500 +1! +#3903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3903700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3904000 +0! +#3904500 +1! +#3904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3904700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3905000 +0! +#3905500 +1! +#3905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3905700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3906000 +0! +#3906500 +1! +#3906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3906700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3907000 +0! +#3907500 +1! +#3907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3907700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3908000 +0! +#3908500 +1! +#3908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3908700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3909000 +0! +#3909500 +1! +#3909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3909700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3910000 +0! +#3910500 +1! +#3910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3910700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3911000 +0! +#3911500 +1! +#3911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3911700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3912000 +0! +#3912500 +1! +#3912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3912700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3913000 +0! +#3913500 +1! +#3913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3913700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3914000 +0! +#3914500 +1! +#3914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3914700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3915000 +0! +#3915500 +1! +#3915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3915700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3916000 +0! +#3916500 +1! +#3916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3916700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3917000 +0! +#3917500 +1! +#3917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3917700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3918000 +0! +#3918500 +1! +#3918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3918700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3919000 +0! +#3919500 +1! +#3919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3919700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3920000 +0! +#3920500 +1! +#3920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3920700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3921000 +0! +#3921500 +1! +#3921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3921700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3922000 +0! +#3922500 +1! +#3922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3922700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3923000 +0! +#3923500 +1! +#3923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3923700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3924000 +0! +#3924500 +1! +#3924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3924700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3925000 +0! +#3925500 +1! +#3925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3925700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3926000 +0! +#3926500 +1! +#3926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3926700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3927000 +0! +#3927500 +1! +#3927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3927700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3928000 +0! +#3928500 +1! +#3928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3928700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3929000 +0! +#3929500 +1! +#3929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3929700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3930000 +0! +#3930500 +1! +#3930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3930700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3931000 +0! +#3931500 +1! +#3931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3931700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3932000 +0! +#3932500 +1! +#3932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3932700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3933000 +0! +#3933500 +1! +#3933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3933700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3934000 +0! +#3934500 +1! +#3934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3934700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3935000 +0! +#3935500 +1! +#3935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3935700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3936000 +0! +#3936500 +1! +#3936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3936700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3937000 +0! +#3937500 +1! +#3937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3937700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3938000 +0! +#3938500 +1! +#3938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3938700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3939000 +0! +#3939500 +1! +#3939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3939700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3940000 +0! +#3940500 +1! +#3940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3940700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3941000 +0! +#3941500 +1! +#3941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3941700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3942000 +0! +#3942500 +1! +#3942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3942700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3943000 +0! +#3943500 +1! +#3943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3943700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3944000 +0! +#3944500 +1! +#3944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3944700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3945000 +0! +#3945500 +1! +#3945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3945700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3946000 +0! +#3946500 +1! +#3946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3946700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3947000 +0! +#3947500 +1! +#3947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3947700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3948000 +0! +#3948500 +1! +#3948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3948700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3949000 +0! +#3949500 +1! +#3949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3949700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3950000 +0! +#3950500 +1! +#3950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3950700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3951000 +0! +#3951500 +1! +#3951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3951700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3952000 +0! +#3952500 +1! +#3952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3952700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3953000 +0! +#3953500 +1! +#3953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3953700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3954000 +0! +#3954500 +1! +#3954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3954700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3955000 +0! +#3955500 +1! +#3955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3955700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3956000 +0! +#3956500 +1! +#3956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3956700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3957000 +0! +#3957500 +1! +#3957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3957700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3958000 +0! +#3958500 +1! +#3958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3958700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3959000 +0! +#3959500 +1! +#3959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3959700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3960000 +0! +#3960500 +1! +#3960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3960700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3961000 +0! +#3961500 +1! +#3961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3961700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3962000 +0! +#3962500 +1! +#3962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3962700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3963000 +0! +#3963500 +1! +#3963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3963700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3964000 +0! +#3964500 +1! +#3964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3964700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3965000 +0! +#3965500 +1! +#3965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3965700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3966000 +0! +#3966500 +1! +#3966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3966700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3967000 +0! +#3967500 +1! +#3967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3967700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3968000 +0! +#3968500 +1! +#3968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3968700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3969000 +0! +#3969500 +1! +#3969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3969700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3970000 +0! +#3970500 +1! +#3970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3970700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3971000 +0! +#3971500 +1! +#3971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3971700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3972000 +0! +#3972500 +1! +#3972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3972700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3973000 +0! +#3973500 +1! +#3973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3973700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3974000 +0! +#3974500 +1! +#3974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3974700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3975000 +0! +#3975500 +1! +#3975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3975700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3976000 +0! +#3976500 +1! +#3976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3976700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3977000 +0! +#3977500 +1! +#3977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3977700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3978000 +0! +#3978500 +1! +#3978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3978700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3979000 +0! +#3979500 +1! +#3979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3979700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3980000 +0! +#3980500 +1! +#3980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3980700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3981000 +0! +#3981500 +1! +#3981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3981700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3982000 +0! +#3982500 +1! +#3982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3982700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3983000 +0! +#3983500 +1! +#3983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3983700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3984000 +0! +#3984500 +1! +#3984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3984700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3985000 +0! +#3985500 +1! +#3985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3985700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3986000 +0! +#3986500 +1! +#3986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3986700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3987000 +0! +#3987500 +1! +#3987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3987700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3988000 +0! +#3988500 +1! +#3988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3988700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3989000 +0! +#3989500 +1! +#3989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3989700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3990000 +0! +#3990500 +1! +#3990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3990700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3991000 +0! +#3991500 +1! +#3991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3991700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3992000 +0! +#3992500 +1! +#3992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3992700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3993000 +0! +#3993500 +1! +#3993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3993700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3994000 +0! +#3994500 +1! +#3994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3994700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3995000 +0! +#3995500 +1! +#3995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3995700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3996000 +0! +#3996500 +1! +#3996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3996700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3997000 +0! +#3997500 +1! +#3997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3997700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3998000 +0! +#3998500 +1! +#3998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3998700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#3999000 +0! +#3999500 +1! +#3999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#3999700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4000000 +0! +#4000500 +1! +#4000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4000700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4001000 +0! +#4001500 +1! +#4001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4001700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4002000 +0! +#4002500 +1! +#4002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4002700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4003000 +0! +#4003500 +1! +#4003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4003700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4004000 +0! +#4004500 +1! +#4004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4004700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4005000 +0! +#4005500 +1! +#4005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4005700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4006000 +0! +#4006500 +1! +#4006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#4006700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b10 g +#4007000 +0! +#4007500 +1! +#4007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4007700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4008000 +0! +#4008500 +1! +#4008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4008700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4009000 +0! +#4009500 +1! +#4009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4009700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4010000 +0! +#4010500 +1! +#4010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4010700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4011000 +0! +#4011500 +1! +#4011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4011700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4012000 +0! +#4012500 +1! +#4012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4012700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4013000 +0! +#4013500 +1! +#4013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4013700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4014000 +0! +#4014500 +1! +#4014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4014700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4015000 +0! +#4015500 +1! +#4015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4015700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4016000 +0! +#4016500 +1! +#4016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4016700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4017000 +0! +#4017500 +1! +#4017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4017700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4018000 +0! +#4018500 +1! +#4018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4018700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4019000 +0! +#4019500 +1! +#4019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4019700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4020000 +0! +#4020500 +1! +#4020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4020700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4021000 +0! +#4021500 +1! +#4021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4021700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4022000 +0! +#4022500 +1! +#4022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4022700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4023000 +0! +#4023500 +1! +#4023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4023700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4024000 +0! +#4024500 +1! +#4024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4024700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4025000 +0! +#4025500 +1! +#4025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4025700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4026000 +0! +#4026500 +1! +#4026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4026700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4027000 +0! +#4027500 +1! +#4027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4027700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4028000 +0! +#4028500 +1! +#4028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4028700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4029000 +0! +#4029500 +1! +#4029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4029700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4030000 +0! +#4030500 +1! +#4030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4030700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4031000 +0! +#4031500 +1! +#4031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4031700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4032000 +0! +#4032500 +1! +#4032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4032700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4033000 +0! +#4033500 +1! +#4033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4033700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4034000 +0! +#4034500 +1! +#4034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4034700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4035000 +0! +#4035500 +1! +#4035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4035700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4036000 +0! +#4036500 +1! +#4036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4036700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4037000 +0! +#4037500 +1! +#4037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4037700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4038000 +0! +#4038500 +1! +#4038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4038700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4039000 +0! +#4039500 +1! +#4039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4039700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4040000 +0! +#4040500 +1! +#4040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4040700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4041000 +0! +#4041500 +1! +#4041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4041700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4042000 +0! +#4042500 +1! +#4042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4042700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4043000 +0! +#4043500 +1! +#4043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4043700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4044000 +0! +#4044500 +1! +#4044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4044700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4045000 +0! +#4045500 +1! +#4045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4045700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4046000 +0! +#4046500 +1! +#4046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4046700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4047000 +0! +#4047500 +1! +#4047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4047700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4048000 +0! +#4048500 +1! +#4048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4048700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4049000 +0! +#4049500 +1! +#4049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4049700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4050000 +0! +#4050500 +1! +#4050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4050700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4051000 +0! +#4051500 +1! +#4051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4051700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4052000 +0! +#4052500 +1! +#4052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4052700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4053000 +0! +#4053500 +1! +#4053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4053700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4054000 +0! +#4054500 +1! +#4054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4054700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4055000 +0! +#4055500 +1! +#4055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4055700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4056000 +0! +#4056500 +1! +#4056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4056700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4057000 +0! +#4057500 +1! +#4057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4057700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4058000 +0! +#4058500 +1! +#4058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4058700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4059000 +0! +#4059500 +1! +#4059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4059700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4060000 +0! +#4060500 +1! +#4060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4060700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4061000 +0! +#4061500 +1! +#4061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4061700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4062000 +0! +#4062500 +1! +#4062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4062700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4063000 +0! +#4063500 +1! +#4063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4063700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4064000 +0! +#4064500 +1! +#4064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4064700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4065000 +0! +#4065500 +1! +#4065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4065700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4066000 +0! +#4066500 +1! +#4066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4066700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4067000 +0! +#4067500 +1! +#4067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4067700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4068000 +0! +#4068500 +1! +#4068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4068700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4069000 +0! +#4069500 +1! +#4069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4069700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4070000 +0! +#4070500 +1! +#4070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4070700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4071000 +0! +#4071500 +1! +#4071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4071700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4072000 +0! +#4072500 +1! +#4072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4072700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4073000 +0! +#4073500 +1! +#4073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4073700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4074000 +0! +#4074500 +1! +#4074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4074700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4075000 +0! +#4075500 +1! +#4075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4075700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4076000 +0! +#4076500 +1! +#4076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4076700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4077000 +0! +#4077500 +1! +#4077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4077700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4078000 +0! +#4078500 +1! +#4078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4078700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4079000 +0! +#4079500 +1! +#4079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4079700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4080000 +0! +#4080500 +1! +#4080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4080700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4081000 +0! +#4081500 +1! +#4081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4081700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4082000 +0! +#4082500 +1! +#4082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4082700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4083000 +0! +#4083500 +1! +#4083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4083700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4084000 +0! +#4084500 +1! +#4084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4084700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4085000 +0! +#4085500 +1! +#4085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4085700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4086000 +0! +#4086500 +1! +#4086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4086700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4087000 +0! +#4087500 +1! +#4087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4087700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4088000 +0! +#4088500 +1! +#4088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4088700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4089000 +0! +#4089500 +1! +#4089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4089700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4090000 +0! +#4090500 +1! +#4090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4090700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4091000 +0! +#4091500 +1! +#4091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4091700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4092000 +0! +#4092500 +1! +#4092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4092700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4093000 +0! +#4093500 +1! +#4093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4093700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4094000 +0! +#4094500 +1! +#4094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4094700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4095000 +0! +#4095500 +1! +#4095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4095700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4096000 +0! +#4096500 +1! +#4096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4096700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4097000 +0! +#4097500 +1! +#4097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4097700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4098000 +0! +#4098500 +1! +#4098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4098700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4099000 +0! +#4099500 +1! +#4099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4099700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4100000 +0! +#4100500 +1! +#4100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4100700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4101000 +0! +#4101500 +1! +#4101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4101700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4102000 +0! +#4102500 +1! +#4102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4102700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4103000 +0! +#4103500 +1! +#4103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4103700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4104000 +0! +#4104500 +1! +#4104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4104700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4105000 +0! +#4105500 +1! +#4105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4105700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4106000 +0! +#4106500 +1! +#4106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4106700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4107000 +0! +#4107500 +1! +#4107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4107700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4108000 +0! +#4108500 +1! +#4108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#4108700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4109000 +0! +#4109500 +1! +#4109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4109700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4110000 +0! +#4110500 +1! +#4110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4110700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4111000 +0! +#4111500 +1! +#4111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4111700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4112000 +0! +#4112500 +1! +#4112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4112700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4113000 +0! +#4113500 +1! +#4113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4113700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4114000 +0! +#4114500 +1! +#4114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4114700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4115000 +0! +#4115500 +1! +#4115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4115700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4116000 +0! +#4116500 +1! +#4116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4116700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4117000 +0! +#4117500 +1! +#4117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4117700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4118000 +0! +#4118500 +1! +#4118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4118700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4119000 +0! +#4119500 +1! +#4119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4119700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4120000 +0! +#4120500 +1! +#4120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4120700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4121000 +0! +#4121500 +1! +#4121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4121700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4122000 +0! +#4122500 +1! +#4122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4122700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4123000 +0! +#4123500 +1! +#4123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4123700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4124000 +0! +#4124500 +1! +#4124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4124700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4125000 +0! +#4125500 +1! +#4125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4125700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4126000 +0! +#4126500 +1! +#4126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4126700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4127000 +0! +#4127500 +1! +#4127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4127700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4128000 +0! +#4128500 +1! +#4128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4128700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4129000 +0! +#4129500 +1! +#4129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4129700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4130000 +0! +#4130500 +1! +#4130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4130700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4131000 +0! +#4131500 +1! +#4131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4131700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4132000 +0! +#4132500 +1! +#4132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4132700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4133000 +0! +#4133500 +1! +#4133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4133700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4134000 +0! +#4134500 +1! +#4134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4134700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4135000 +0! +#4135500 +1! +#4135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4135700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4136000 +0! +#4136500 +1! +#4136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4136700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4137000 +0! +#4137500 +1! +#4137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4137700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4138000 +0! +#4138500 +1! +#4138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4138700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4139000 +0! +#4139500 +1! +#4139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4139700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4140000 +0! +#4140500 +1! +#4140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4140700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4141000 +0! +#4141500 +1! +#4141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4141700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4142000 +0! +#4142500 +1! +#4142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4142700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4143000 +0! +#4143500 +1! +#4143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4143700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4144000 +0! +#4144500 +1! +#4144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4144700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4145000 +0! +#4145500 +1! +#4145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4145700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4146000 +0! +#4146500 +1! +#4146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4146700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4147000 +0! +#4147500 +1! +#4147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4147700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4148000 +0! +#4148500 +1! +#4148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4148700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4149000 +0! +#4149500 +1! +#4149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4149700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4150000 +0! +#4150500 +1! +#4150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4150700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4151000 +0! +#4151500 +1! +#4151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4151700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4152000 +0! +#4152500 +1! +#4152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4152700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4153000 +0! +#4153500 +1! +#4153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4153700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4154000 +0! +#4154500 +1! +#4154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4154700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4155000 +0! +#4155500 +1! +#4155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4155700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4156000 +0! +#4156500 +1! +#4156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4156700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4157000 +0! +#4157500 +1! +#4157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4157700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4158000 +0! +#4158500 +1! +#4158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4158700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4159000 +0! +#4159500 +1! +#4159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4159700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4160000 +0! +#4160500 +1! +#4160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4160700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4161000 +0! +#4161500 +1! +#4161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4161700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4162000 +0! +#4162500 +1! +#4162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4162700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4163000 +0! +#4163500 +1! +#4163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4163700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4164000 +0! +#4164500 +1! +#4164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4164700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4165000 +0! +#4165500 +1! +#4165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4165700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4166000 +0! +#4166500 +1! +#4166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4166700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4167000 +0! +#4167500 +1! +#4167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4167700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4168000 +0! +#4168500 +1! +#4168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4168700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4169000 +0! +#4169500 +1! +#4169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4169700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4170000 +0! +#4170500 +1! +#4170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4170700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4171000 +0! +#4171500 +1! +#4171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4171700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4172000 +0! +#4172500 +1! +#4172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4172700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4173000 +0! +#4173500 +1! +#4173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4173700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4174000 +0! +#4174500 +1! +#4174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4174700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4175000 +0! +#4175500 +1! +#4175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4175700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4176000 +0! +#4176500 +1! +#4176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4176700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4177000 +0! +#4177500 +1! +#4177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4177700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4178000 +0! +#4178500 +1! +#4178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4178700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4179000 +0! +#4179500 +1! +#4179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4179700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4180000 +0! +#4180500 +1! +#4180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4180700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4181000 +0! +#4181500 +1! +#4181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4181700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4182000 +0! +#4182500 +1! +#4182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4182700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4183000 +0! +#4183500 +1! +#4183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4183700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4184000 +0! +#4184500 +1! +#4184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4184700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4185000 +0! +#4185500 +1! +#4185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4185700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4186000 +0! +#4186500 +1! +#4186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4186700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4187000 +0! +#4187500 +1! +#4187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4187700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4188000 +0! +#4188500 +1! +#4188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4188700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4189000 +0! +#4189500 +1! +#4189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4189700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4190000 +0! +#4190500 +1! +#4190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4190700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4191000 +0! +#4191500 +1! +#4191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4191700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4192000 +0! +#4192500 +1! +#4192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4192700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4193000 +0! +#4193500 +1! +#4193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4193700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4194000 +0! +#4194500 +1! +#4194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4194700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4195000 +0! +#4195500 +1! +#4195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4195700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4196000 +0! +#4196500 +1! +#4196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4196700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4197000 +0! +#4197500 +1! +#4197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4197700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4198000 +0! +#4198500 +1! +#4198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4198700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4199000 +0! +#4199500 +1! +#4199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4199700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4200000 +0! +#4200500 +1! +#4200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4200700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4201000 +0! +#4201500 +1! +#4201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4201700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4202000 +0! +#4202500 +1! +#4202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4202700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4203000 +0! +#4203500 +1! +#4203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4203700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4204000 +0! +#4204500 +1! +#4204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4204700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4205000 +0! +#4205500 +1! +#4205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4205700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4206000 +0! +#4206500 +1! +#4206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4206700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4207000 +0! +#4207500 +1! +#4207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4207700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4208000 +0! +#4208500 +1! +#4208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4208700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4209000 +0! +#4209500 +1! +#4209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4209700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4210000 +0! +#4210500 +1! +#4210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4210700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4211000 +0! +#4211500 +1! +#4211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4211700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4212000 +0! +#4212500 +1! +#4212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4212700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4213000 +0! +#4213500 +1! +#4213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4213700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4214000 +0! +#4214500 +1! +#4214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4214700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4215000 +0! +#4215500 +1! +#4215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4215700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4216000 +0! +#4216500 +1! +#4216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4216700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4217000 +0! +#4217500 +1! +#4217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4217700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4218000 +0! +#4218500 +1! +#4218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4218700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4219000 +0! +#4219500 +1! +#4219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4219700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4220000 +0! +#4220500 +1! +#4220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4220700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4221000 +0! +#4221500 +1! +#4221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4221700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4222000 +0! +#4222500 +1! +#4222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4222700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4223000 +0! +#4223500 +1! +#4223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4223700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4224000 +0! +#4224500 +1! +#4224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4224700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4225000 +0! +#4225500 +1! +#4225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4225700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4226000 +0! +#4226500 +1! +#4226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4226700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4227000 +0! +#4227500 +1! +#4227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4227700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4228000 +0! +#4228500 +1! +#4228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4228700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4229000 +0! +#4229500 +1! +#4229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4229700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4230000 +0! +#4230500 +1! +#4230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4230700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4231000 +0! +#4231500 +1! +#4231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4231700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4232000 +0! +#4232500 +1! +#4232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4232700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4233000 +0! +#4233500 +1! +#4233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4233700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4234000 +0! +#4234500 +1! +#4234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4234700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4235000 +0! +#4235500 +1! +#4235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4235700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4236000 +0! +#4236500 +1! +#4236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4236700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4237000 +0! +#4237500 +1! +#4237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4237700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4238000 +0! +#4238500 +1! +#4238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4238700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4239000 +0! +#4239500 +1! +#4239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4239700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4240000 +0! +#4240500 +1! +#4240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4240700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4241000 +0! +#4241500 +1! +#4241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4241700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4242000 +0! +#4242500 +1! +#4242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4242700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4243000 +0! +#4243500 +1! +#4243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4243700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4244000 +0! +#4244500 +1! +#4244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4244700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4245000 +0! +#4245500 +1! +#4245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4245700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4246000 +0! +#4246500 +1! +#4246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4246700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4247000 +0! +#4247500 +1! +#4247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4247700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4248000 +0! +#4248500 +1! +#4248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4248700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4249000 +0! +#4249500 +1! +#4249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4249700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4250000 +0! +#4250500 +1! +#4250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4250700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4251000 +0! +#4251500 +1! +#4251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4251700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4252000 +0! +#4252500 +1! +#4252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4252700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4253000 +0! +#4253500 +1! +#4253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4253700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4254000 +0! +#4254500 +1! +#4254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4254700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4255000 +0! +#4255500 +1! +#4255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4255700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4256000 +0! +#4256500 +1! +#4256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4256700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4257000 +0! +#4257500 +1! +#4257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4257700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4258000 +0! +#4258500 +1! +#4258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4258700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4259000 +0! +#4259500 +1! +#4259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4259700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4260000 +0! +#4260500 +1! +#4260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4260700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4261000 +0! +#4261500 +1! +#4261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#4261700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1 g +#4262000 +0! +#4262500 +1! +#4262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4262700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4263000 +0! +#4263500 +1! +#4263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4263700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4264000 +0! +#4264500 +1! +#4264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4264700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4265000 +0! +#4265500 +1! +#4265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4265700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4266000 +0! +#4266500 +1! +#4266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4266700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4267000 +0! +#4267500 +1! +#4267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4267700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4268000 +0! +#4268500 +1! +#4268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4268700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4269000 +0! +#4269500 +1! +#4269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4269700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4270000 +0! +#4270500 +1! +#4270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4270700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4271000 +0! +#4271500 +1! +#4271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4271700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4272000 +0! +#4272500 +1! +#4272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4272700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4273000 +0! +#4273500 +1! +#4273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4273700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4274000 +0! +#4274500 +1! +#4274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4274700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4275000 +0! +#4275500 +1! +#4275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4275700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4276000 +0! +#4276500 +1! +#4276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4276700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4277000 +0! +#4277500 +1! +#4277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4277700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4278000 +0! +#4278500 +1! +#4278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4278700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4279000 +0! +#4279500 +1! +#4279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4279700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4280000 +0! +#4280500 +1! +#4280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4280700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4281000 +0! +#4281500 +1! +#4281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4281700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4282000 +0! +#4282500 +1! +#4282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4282700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4283000 +0! +#4283500 +1! +#4283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4283700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4284000 +0! +#4284500 +1! +#4284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4284700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4285000 +0! +#4285500 +1! +#4285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4285700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4286000 +0! +#4286500 +1! +#4286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4286700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4287000 +0! +#4287500 +1! +#4287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4287700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4288000 +0! +#4288500 +1! +#4288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4288700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4289000 +0! +#4289500 +1! +#4289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4289700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4290000 +0! +#4290500 +1! +#4290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4290700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4291000 +0! +#4291500 +1! +#4291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4291700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4292000 +0! +#4292500 +1! +#4292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4292700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4293000 +0! +#4293500 +1! +#4293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4293700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4294000 +0! +#4294500 +1! +#4294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4294700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4295000 +0! +#4295500 +1! +#4295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4295700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4296000 +0! +#4296500 +1! +#4296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4296700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4297000 +0! +#4297500 +1! +#4297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4297700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4298000 +0! +#4298500 +1! +#4298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4298700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4299000 +0! +#4299500 +1! +#4299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4299700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4300000 +0! +#4300500 +1! +#4300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4300700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4301000 +0! +#4301500 +1! +#4301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4301700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4302000 +0! +#4302500 +1! +#4302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4302700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4303000 +0! +#4303500 +1! +#4303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4303700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4304000 +0! +#4304500 +1! +#4304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4304700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4305000 +0! +#4305500 +1! +#4305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4305700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4306000 +0! +#4306500 +1! +#4306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4306700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4307000 +0! +#4307500 +1! +#4307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4307700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4308000 +0! +#4308500 +1! +#4308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4308700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4309000 +0! +#4309500 +1! +#4309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4309700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4310000 +0! +#4310500 +1! +#4310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4310700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4311000 +0! +#4311500 +1! +#4311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4311700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4312000 +0! +#4312500 +1! +#4312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4312700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4313000 +0! +#4313500 +1! +#4313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4313700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4314000 +0! +#4314500 +1! +#4314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4314700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4315000 +0! +#4315500 +1! +#4315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4315700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4316000 +0! +#4316500 +1! +#4316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4316700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4317000 +0! +#4317500 +1! +#4317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4317700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4318000 +0! +#4318500 +1! +#4318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4318700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4319000 +0! +#4319500 +1! +#4319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4319700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4320000 +0! +#4320500 +1! +#4320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4320700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4321000 +0! +#4321500 +1! +#4321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4321700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4322000 +0! +#4322500 +1! +#4322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4322700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4323000 +0! +#4323500 +1! +#4323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4323700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4324000 +0! +#4324500 +1! +#4324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4324700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4325000 +0! +#4325500 +1! +#4325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4325700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4326000 +0! +#4326500 +1! +#4326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4326700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4327000 +0! +#4327500 +1! +#4327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4327700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4328000 +0! +#4328500 +1! +#4328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4328700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4329000 +0! +#4329500 +1! +#4329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4329700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4330000 +0! +#4330500 +1! +#4330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4330700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4331000 +0! +#4331500 +1! +#4331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4331700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4332000 +0! +#4332500 +1! +#4332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4332700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4333000 +0! +#4333500 +1! +#4333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4333700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4334000 +0! +#4334500 +1! +#4334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4334700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4335000 +0! +#4335500 +1! +#4335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4335700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4336000 +0! +#4336500 +1! +#4336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4336700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4337000 +0! +#4337500 +1! +#4337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4337700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4338000 +0! +#4338500 +1! +#4338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4338700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4339000 +0! +#4339500 +1! +#4339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4339700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4340000 +0! +#4340500 +1! +#4340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4340700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4341000 +0! +#4341500 +1! +#4341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4341700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4342000 +0! +#4342500 +1! +#4342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4342700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4343000 +0! +#4343500 +1! +#4343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4343700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4344000 +0! +#4344500 +1! +#4344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4344700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4345000 +0! +#4345500 +1! +#4345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4345700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4346000 +0! +#4346500 +1! +#4346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4346700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4347000 +0! +#4347500 +1! +#4347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4347700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4348000 +0! +#4348500 +1! +#4348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4348700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4349000 +0! +#4349500 +1! +#4349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4349700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4350000 +0! +#4350500 +1! +#4350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4350700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4351000 +0! +#4351500 +1! +#4351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4351700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4352000 +0! +#4352500 +1! +#4352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4352700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4353000 +0! +#4353500 +1! +#4353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4353700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4354000 +0! +#4354500 +1! +#4354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4354700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4355000 +0! +#4355500 +1! +#4355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4355700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4356000 +0! +#4356500 +1! +#4356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4356700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4357000 +0! +#4357500 +1! +#4357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4357700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4358000 +0! +#4358500 +1! +#4358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4358700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4359000 +0! +#4359500 +1! +#4359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4359700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4360000 +0! +#4360500 +1! +#4360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4360700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4361000 +0! +#4361500 +1! +#4361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4361700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4362000 +0! +#4362500 +1! +#4362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4362700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4363000 +0! +#4363500 +1! +#4363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#4363700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4364000 +0! +#4364500 +1! +#4364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4364700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4365000 +0! +#4365500 +1! +#4365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4365700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4366000 +0! +#4366500 +1! +#4366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4366700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4367000 +0! +#4367500 +1! +#4367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4367700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4368000 +0! +#4368500 +1! +#4368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4368700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4369000 +0! +#4369500 +1! +#4369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4369700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4370000 +0! +#4370500 +1! +#4370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4370700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4371000 +0! +#4371500 +1! +#4371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4371700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4372000 +0! +#4372500 +1! +#4372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4372700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4373000 +0! +#4373500 +1! +#4373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4373700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4374000 +0! +#4374500 +1! +#4374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4374700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4375000 +0! +#4375500 +1! +#4375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4375700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4376000 +0! +#4376500 +1! +#4376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4376700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4377000 +0! +#4377500 +1! +#4377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4377700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4378000 +0! +#4378500 +1! +#4378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4378700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4379000 +0! +#4379500 +1! +#4379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4379700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4380000 +0! +#4380500 +1! +#4380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4380700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4381000 +0! +#4381500 +1! +#4381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4381700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4382000 +0! +#4382500 +1! +#4382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4382700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4383000 +0! +#4383500 +1! +#4383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4383700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4384000 +0! +#4384500 +1! +#4384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4384700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4385000 +0! +#4385500 +1! +#4385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4385700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4386000 +0! +#4386500 +1! +#4386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4386700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4387000 +0! +#4387500 +1! +#4387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4387700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4388000 +0! +#4388500 +1! +#4388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4388700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4389000 +0! +#4389500 +1! +#4389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4389700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4390000 +0! +#4390500 +1! +#4390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4390700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4391000 +0! +#4391500 +1! +#4391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4391700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4392000 +0! +#4392500 +1! +#4392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4392700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4393000 +0! +#4393500 +1! +#4393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4393700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4394000 +0! +#4394500 +1! +#4394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4394700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4395000 +0! +#4395500 +1! +#4395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4395700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4396000 +0! +#4396500 +1! +#4396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4396700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4397000 +0! +#4397500 +1! +#4397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4397700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4398000 +0! +#4398500 +1! +#4398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4398700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4399000 +0! +#4399500 +1! +#4399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4399700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4400000 +0! +#4400500 +1! +#4400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4400700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4401000 +0! +#4401500 +1! +#4401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4401700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4402000 +0! +#4402500 +1! +#4402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4402700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4403000 +0! +#4403500 +1! +#4403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4403700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4404000 +0! +#4404500 +1! +#4404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4404700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4405000 +0! +#4405500 +1! +#4405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4405700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4406000 +0! +#4406500 +1! +#4406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4406700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4407000 +0! +#4407500 +1! +#4407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4407700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4408000 +0! +#4408500 +1! +#4408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4408700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4409000 +0! +#4409500 +1! +#4409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4409700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4410000 +0! +#4410500 +1! +#4410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4410700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4411000 +0! +#4411500 +1! +#4411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4411700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4412000 +0! +#4412500 +1! +#4412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4412700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4413000 +0! +#4413500 +1! +#4413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4413700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4414000 +0! +#4414500 +1! +#4414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4414700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4415000 +0! +#4415500 +1! +#4415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4415700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4416000 +0! +#4416500 +1! +#4416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4416700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4417000 +0! +#4417500 +1! +#4417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4417700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4418000 +0! +#4418500 +1! +#4418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4418700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4419000 +0! +#4419500 +1! +#4419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4419700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4420000 +0! +#4420500 +1! +#4420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4420700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4421000 +0! +#4421500 +1! +#4421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4421700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4422000 +0! +#4422500 +1! +#4422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4422700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4423000 +0! +#4423500 +1! +#4423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4423700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4424000 +0! +#4424500 +1! +#4424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4424700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4425000 +0! +#4425500 +1! +#4425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4425700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4426000 +0! +#4426500 +1! +#4426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4426700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4427000 +0! +#4427500 +1! +#4427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4427700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4428000 +0! +#4428500 +1! +#4428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4428700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4429000 +0! +#4429500 +1! +#4429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4429700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4430000 +0! +#4430500 +1! +#4430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4430700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4431000 +0! +#4431500 +1! +#4431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4431700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4432000 +0! +#4432500 +1! +#4432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4432700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4433000 +0! +#4433500 +1! +#4433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4433700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4434000 +0! +#4434500 +1! +#4434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4434700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4435000 +0! +#4435500 +1! +#4435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4435700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4436000 +0! +#4436500 +1! +#4436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4436700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4437000 +0! +#4437500 +1! +#4437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4437700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4438000 +0! +#4438500 +1! +#4438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4438700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4439000 +0! +#4439500 +1! +#4439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4439700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4440000 +0! +#4440500 +1! +#4440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4440700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4441000 +0! +#4441500 +1! +#4441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4441700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4442000 +0! +#4442500 +1! +#4442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4442700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4443000 +0! +#4443500 +1! +#4443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4443700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4444000 +0! +#4444500 +1! +#4444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4444700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4445000 +0! +#4445500 +1! +#4445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4445700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4446000 +0! +#4446500 +1! +#4446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4446700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4447000 +0! +#4447500 +1! +#4447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4447700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4448000 +0! +#4448500 +1! +#4448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4448700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4449000 +0! +#4449500 +1! +#4449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4449700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4450000 +0! +#4450500 +1! +#4450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4450700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4451000 +0! +#4451500 +1! +#4451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4451700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4452000 +0! +#4452500 +1! +#4452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4452700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4453000 +0! +#4453500 +1! +#4453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4453700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4454000 +0! +#4454500 +1! +#4454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4454700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4455000 +0! +#4455500 +1! +#4455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4455700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4456000 +0! +#4456500 +1! +#4456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4456700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4457000 +0! +#4457500 +1! +#4457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4457700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4458000 +0! +#4458500 +1! +#4458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4458700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4459000 +0! +#4459500 +1! +#4459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4459700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4460000 +0! +#4460500 +1! +#4460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4460700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4461000 +0! +#4461500 +1! +#4461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4461700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4462000 +0! +#4462500 +1! +#4462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4462700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4463000 +0! +#4463500 +1! +#4463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4463700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4464000 +0! +#4464500 +1! +#4464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4464700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4465000 +0! +#4465500 +1! +#4465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#4465700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4466000 +0! +#4466500 +1! +#4466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4466700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4467000 +0! +#4467500 +1! +#4467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4467700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4468000 +0! +#4468500 +1! +#4468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4468700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4469000 +0! +#4469500 +1! +#4469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4469700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4470000 +0! +#4470500 +1! +#4470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4470700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4471000 +0! +#4471500 +1! +#4471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4471700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4472000 +0! +#4472500 +1! +#4472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4472700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4473000 +0! +#4473500 +1! +#4473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4473700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4474000 +0! +#4474500 +1! +#4474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4474700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4475000 +0! +#4475500 +1! +#4475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4475700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4476000 +0! +#4476500 +1! +#4476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4476700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4477000 +0! +#4477500 +1! +#4477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4477700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4478000 +0! +#4478500 +1! +#4478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4478700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4479000 +0! +#4479500 +1! +#4479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4479700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4480000 +0! +#4480500 +1! +#4480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4480700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4481000 +0! +#4481500 +1! +#4481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4481700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4482000 +0! +#4482500 +1! +#4482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4482700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4483000 +0! +#4483500 +1! +#4483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4483700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4484000 +0! +#4484500 +1! +#4484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4484700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4485000 +0! +#4485500 +1! +#4485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4485700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4486000 +0! +#4486500 +1! +#4486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4486700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4487000 +0! +#4487500 +1! +#4487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4487700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4488000 +0! +#4488500 +1! +#4488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4488700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4489000 +0! +#4489500 +1! +#4489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4489700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4490000 +0! +#4490500 +1! +#4490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4490700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4491000 +0! +#4491500 +1! +#4491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4491700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4492000 +0! +#4492500 +1! +#4492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4492700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4493000 +0! +#4493500 +1! +#4493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4493700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4494000 +0! +#4494500 +1! +#4494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4494700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4495000 +0! +#4495500 +1! +#4495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4495700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4496000 +0! +#4496500 +1! +#4496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4496700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4497000 +0! +#4497500 +1! +#4497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4497700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4498000 +0! +#4498500 +1! +#4498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4498700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4499000 +0! +#4499500 +1! +#4499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4499700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4500000 +0! +#4500500 +1! +#4500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4500700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4501000 +0! +#4501500 +1! +#4501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4501700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4502000 +0! +#4502500 +1! +#4502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4502700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4503000 +0! +#4503500 +1! +#4503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4503700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4504000 +0! +#4504500 +1! +#4504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4504700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4505000 +0! +#4505500 +1! +#4505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4505700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4506000 +0! +#4506500 +1! +#4506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4506700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4507000 +0! +#4507500 +1! +#4507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4507700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4508000 +0! +#4508500 +1! +#4508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4508700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4509000 +0! +#4509500 +1! +#4509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4509700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4510000 +0! +#4510500 +1! +#4510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4510700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4511000 +0! +#4511500 +1! +#4511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4511700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4512000 +0! +#4512500 +1! +#4512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4512700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4513000 +0! +#4513500 +1! +#4513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4513700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4514000 +0! +#4514500 +1! +#4514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4514700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4515000 +0! +#4515500 +1! +#4515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4515700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4516000 +0! +#4516500 +1! +#4516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#4516700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b0 g +b1 c +1h +#4517000 +0! +#4517500 +1! +#4517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4517700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4518000 +0! +#4518500 +1! +#4518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4518700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4519000 +0! +#4519500 +1! +#4519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4519700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4520000 +0! +#4520500 +1! +#4520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4520700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4521000 +0! +#4521500 +1! +#4521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4521700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4522000 +0! +#4522500 +1! +#4522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4522700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4523000 +0! +#4523500 +1! +#4523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4523700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4524000 +0! +#4524500 +1! +#4524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4524700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4525000 +0! +#4525500 +1! +#4525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4525700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4526000 +0! +#4526500 +1! +#4526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4526700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4527000 +0! +#4527500 +1! +#4527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4527700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4528000 +0! +#4528500 +1! +#4528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4528700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4529000 +0! +#4529500 +1! +#4529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4529700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4530000 +0! +#4530500 +1! +#4530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4530700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4531000 +0! +#4531500 +1! +#4531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4531700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4532000 +0! +#4532500 +1! +#4532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4532700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4533000 +0! +#4533500 +1! +#4533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4533700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4534000 +0! +#4534500 +1! +#4534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4534700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4535000 +0! +#4535500 +1! +#4535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4535700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4536000 +0! +#4536500 +1! +#4536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4536700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4537000 +0! +#4537500 +1! +#4537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4537700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4538000 +0! +#4538500 +1! +#4538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4538700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4539000 +0! +#4539500 +1! +#4539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4539700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4540000 +0! +#4540500 +1! +#4540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4540700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4541000 +0! +#4541500 +1! +#4541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4541700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4542000 +0! +#4542500 +1! +#4542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4542700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4543000 +0! +#4543500 +1! +#4543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4543700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4544000 +0! +#4544500 +1! +#4544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4544700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4545000 +0! +#4545500 +1! +#4545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4545700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4546000 +0! +#4546500 +1! +#4546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4546700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4547000 +0! +#4547500 +1! +#4547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4547700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4548000 +0! +#4548500 +1! +#4548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4548700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4549000 +0! +#4549500 +1! +#4549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4549700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4550000 +0! +#4550500 +1! +#4550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4550700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4551000 +0! +#4551500 +1! +#4551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4551700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4552000 +0! +#4552500 +1! +#4552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4552700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4553000 +0! +#4553500 +1! +#4553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4553700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4554000 +0! +#4554500 +1! +#4554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4554700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4555000 +0! +#4555500 +1! +#4555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4555700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4556000 +0! +#4556500 +1! +#4556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4556700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4557000 +0! +#4557500 +1! +#4557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4557700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4558000 +0! +#4558500 +1! +#4558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4558700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4559000 +0! +#4559500 +1! +#4559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4559700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4560000 +0! +#4560500 +1! +#4560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4560700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4561000 +0! +#4561500 +1! +#4561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4561700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4562000 +0! +#4562500 +1! +#4562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4562700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4563000 +0! +#4563500 +1! +#4563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4563700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4564000 +0! +#4564500 +1! +#4564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4564700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4565000 +0! +#4565500 +1! +#4565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4565700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4566000 +0! +#4566500 +1! +#4566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4566700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4567000 +0! +#4567500 +1! +#4567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4567700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4568000 +0! +#4568500 +1! +#4568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4568700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4569000 +0! +#4569500 +1! +#4569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4569700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4570000 +0! +#4570500 +1! +#4570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4570700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4571000 +0! +#4571500 +1! +#4571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4571700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4572000 +0! +#4572500 +1! +#4572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4572700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4573000 +0! +#4573500 +1! +#4573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4573700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4574000 +0! +#4574500 +1! +#4574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4574700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4575000 +0! +#4575500 +1! +#4575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4575700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4576000 +0! +#4576500 +1! +#4576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4576700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4577000 +0! +#4577500 +1! +#4577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4577700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4578000 +0! +#4578500 +1! +#4578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4578700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4579000 +0! +#4579500 +1! +#4579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4579700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4580000 +0! +#4580500 +1! +#4580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4580700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4581000 +0! +#4581500 +1! +#4581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4581700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4582000 +0! +#4582500 +1! +#4582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4582700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4583000 +0! +#4583500 +1! +#4583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4583700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4584000 +0! +#4584500 +1! +#4584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4584700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4585000 +0! +#4585500 +1! +#4585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4585700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4586000 +0! +#4586500 +1! +#4586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4586700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4587000 +0! +#4587500 +1! +#4587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4587700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4588000 +0! +#4588500 +1! +#4588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4588700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4589000 +0! +#4589500 +1! +#4589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4589700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4590000 +0! +#4590500 +1! +#4590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4590700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4591000 +0! +#4591500 +1! +#4591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4591700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4592000 +0! +#4592500 +1! +#4592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4592700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4593000 +0! +#4593500 +1! +#4593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4593700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4594000 +0! +#4594500 +1! +#4594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4594700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4595000 +0! +#4595500 +1! +#4595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4595700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4596000 +0! +#4596500 +1! +#4596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4596700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4597000 +0! +#4597500 +1! +#4597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4597700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4598000 +0! +#4598500 +1! +#4598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4598700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4599000 +0! +#4599500 +1! +#4599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4599700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4600000 +0! +#4600500 +1! +#4600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4600700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4601000 +0! +#4601500 +1! +#4601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4601700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4602000 +0! +#4602500 +1! +#4602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4602700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4603000 +0! +#4603500 +1! +#4603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4603700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4604000 +0! +#4604500 +1! +#4604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4604700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4605000 +0! +#4605500 +1! +#4605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4605700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4606000 +0! +#4606500 +1! +#4606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4606700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4607000 +0! +#4607500 +1! +#4607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4607700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4608000 +0! +#4608500 +1! +#4608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4608700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4609000 +0! +#4609500 +1! +#4609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4609700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4610000 +0! +#4610500 +1! +#4610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4610700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4611000 +0! +#4611500 +1! +#4611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4611700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4612000 +0! +#4612500 +1! +#4612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4612700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4613000 +0! +#4613500 +1! +#4613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4613700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4614000 +0! +#4614500 +1! +#4614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4614700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4615000 +0! +#4615500 +1! +#4615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4615700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4616000 +0! +#4616500 +1! +#4616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4616700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4617000 +0! +#4617500 +1! +#4617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4617700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4618000 +0! +#4618500 +1! +#4618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#4618700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11 q +b1 ] +0j +0O +#4619000 +0! +#4619500 +1! +#4619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4619700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4620000 +0! +#4620500 +1! +#4620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4620700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4621000 +0! +#4621500 +1! +#4621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4621700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4622000 +0! +#4622500 +1! +#4622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4622700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4623000 +0! +#4623500 +1! +#4623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4623700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4624000 +0! +#4624500 +1! +#4624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4624700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4625000 +0! +#4625500 +1! +#4625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4625700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4626000 +0! +#4626500 +1! +#4626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4626700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4627000 +0! +#4627500 +1! +#4627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4627700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4628000 +0! +#4628500 +1! +#4628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4628700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4629000 +0! +#4629500 +1! +#4629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4629700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4630000 +0! +#4630500 +1! +#4630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4630700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4631000 +0! +#4631500 +1! +#4631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4631700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4632000 +0! +#4632500 +1! +#4632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4632700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4633000 +0! +#4633500 +1! +#4633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4633700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4634000 +0! +#4634500 +1! +#4634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4634700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4635000 +0! +#4635500 +1! +#4635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4635700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4636000 +0! +#4636500 +1! +#4636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4636700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4637000 +0! +#4637500 +1! +#4637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4637700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4638000 +0! +#4638500 +1! +#4638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4638700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4639000 +0! +#4639500 +1! +#4639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4639700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4640000 +0! +#4640500 +1! +#4640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4640700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4641000 +0! +#4641500 +1! +#4641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4641700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4642000 +0! +#4642500 +1! +#4642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4642700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4643000 +0! +#4643500 +1! +#4643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4643700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4644000 +0! +#4644500 +1! +#4644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4644700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4645000 +0! +#4645500 +1! +#4645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4645700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4646000 +0! +#4646500 +1! +#4646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4646700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4647000 +0! +#4647500 +1! +#4647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4647700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4648000 +0! +#4648500 +1! +#4648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4648700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4649000 +0! +#4649500 +1! +#4649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4649700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4650000 +0! +#4650500 +1! +#4650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4650700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4651000 +0! +#4651500 +1! +#4651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4651700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4652000 +0! +#4652500 +1! +#4652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4652700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4653000 +0! +#4653500 +1! +#4653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4653700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4654000 +0! +#4654500 +1! +#4654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4654700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4655000 +0! +#4655500 +1! +#4655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4655700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4656000 +0! +#4656500 +1! +#4656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4656700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4657000 +0! +#4657500 +1! +#4657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4657700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4658000 +0! +#4658500 +1! +#4658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4658700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4659000 +0! +#4659500 +1! +#4659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4659700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4660000 +0! +#4660500 +1! +#4660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4660700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4661000 +0! +#4661500 +1! +#4661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4661700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4662000 +0! +#4662500 +1! +#4662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4662700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4663000 +0! +#4663500 +1! +#4663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4663700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4664000 +0! +#4664500 +1! +#4664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4664700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4665000 +0! +#4665500 +1! +#4665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4665700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4666000 +0! +#4666500 +1! +#4666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4666700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4667000 +0! +#4667500 +1! +#4667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4667700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4668000 +0! +#4668500 +1! +#4668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4668700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4669000 +0! +#4669500 +1! +#4669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4669700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4670000 +0! +#4670500 +1! +#4670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4670700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4671000 +0! +#4671500 +1! +#4671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4671700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4672000 +0! +#4672500 +1! +#4672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4672700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4673000 +0! +#4673500 +1! +#4673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4673700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4674000 +0! +#4674500 +1! +#4674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4674700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4675000 +0! +#4675500 +1! +#4675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4675700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4676000 +0! +#4676500 +1! +#4676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4676700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4677000 +0! +#4677500 +1! +#4677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4677700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4678000 +0! +#4678500 +1! +#4678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4678700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4679000 +0! +#4679500 +1! +#4679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4679700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4680000 +0! +#4680500 +1! +#4680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4680700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4681000 +0! +#4681500 +1! +#4681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4681700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4682000 +0! +#4682500 +1! +#4682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4682700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4683000 +0! +#4683500 +1! +#4683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4683700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4684000 +0! +#4684500 +1! +#4684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4684700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4685000 +0! +#4685500 +1! +#4685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4685700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4686000 +0! +#4686500 +1! +#4686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4686700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4687000 +0! +#4687500 +1! +#4687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4687700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4688000 +0! +#4688500 +1! +#4688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4688700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4689000 +0! +#4689500 +1! +#4689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4689700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4690000 +0! +#4690500 +1! +#4690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4690700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4691000 +0! +#4691500 +1! +#4691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4691700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4692000 +0! +#4692500 +1! +#4692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4692700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4693000 +0! +#4693500 +1! +#4693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4693700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4694000 +0! +#4694500 +1! +#4694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4694700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4695000 +0! +#4695500 +1! +#4695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4695700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4696000 +0! +#4696500 +1! +#4696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4696700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4697000 +0! +#4697500 +1! +#4697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4697700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4698000 +0! +#4698500 +1! +#4698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4698700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4699000 +0! +#4699500 +1! +#4699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4699700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4700000 +0! +#4700500 +1! +#4700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4700700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4701000 +0! +#4701500 +1! +#4701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4701700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4702000 +0! +#4702500 +1! +#4702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4702700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4703000 +0! +#4703500 +1! +#4703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4703700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4704000 +0! +#4704500 +1! +#4704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4704700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4705000 +0! +#4705500 +1! +#4705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4705700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4706000 +0! +#4706500 +1! +#4706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4706700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4707000 +0! +#4707500 +1! +#4707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4707700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4708000 +0! +#4708500 +1! +#4708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4708700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4709000 +0! +#4709500 +1! +#4709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4709700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4710000 +0! +#4710500 +1! +#4710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4710700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4711000 +0! +#4711500 +1! +#4711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4711700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4712000 +0! +#4712500 +1! +#4712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4712700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4713000 +0! +#4713500 +1! +#4713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4713700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4714000 +0! +#4714500 +1! +#4714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4714700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4715000 +0! +#4715500 +1! +#4715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4715700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4716000 +0! +#4716500 +1! +#4716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4716700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4717000 +0! +#4717500 +1! +#4717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4717700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4718000 +0! +#4718500 +1! +#4718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4718700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4719000 +0! +#4719500 +1! +#4719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4719700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4720000 +0! +#4720500 +1! +#4720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4720700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4721000 +0! +#4721500 +1! +#4721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4721700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4722000 +0! +#4722500 +1! +#4722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4722700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4723000 +0! +#4723500 +1! +#4723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4723700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4724000 +0! +#4724500 +1! +#4724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4724700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4725000 +0! +#4725500 +1! +#4725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4725700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4726000 +0! +#4726500 +1! +#4726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4726700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4727000 +0! +#4727500 +1! +#4727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4727700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4728000 +0! +#4728500 +1! +#4728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4728700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4729000 +0! +#4729500 +1! +#4729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4729700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4730000 +0! +#4730500 +1! +#4730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4730700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4731000 +0! +#4731500 +1! +#4731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4731700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4732000 +0! +#4732500 +1! +#4732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4732700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4733000 +0! +#4733500 +1! +#4733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4733700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4734000 +0! +#4734500 +1! +#4734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4734700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4735000 +0! +#4735500 +1! +#4735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4735700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4736000 +0! +#4736500 +1! +#4736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4736700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4737000 +0! +#4737500 +1! +#4737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4737700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4738000 +0! +#4738500 +1! +#4738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4738700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4739000 +0! +#4739500 +1! +#4739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4739700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4740000 +0! +#4740500 +1! +#4740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4740700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4741000 +0! +#4741500 +1! +#4741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4741700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4742000 +0! +#4742500 +1! +#4742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4742700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4743000 +0! +#4743500 +1! +#4743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4743700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4744000 +0! +#4744500 +1! +#4744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4744700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4745000 +0! +#4745500 +1! +#4745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4745700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4746000 +0! +#4746500 +1! +#4746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4746700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4747000 +0! +#4747500 +1! +#4747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4747700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4748000 +0! +#4748500 +1! +#4748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4748700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4749000 +0! +#4749500 +1! +#4749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4749700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4750000 +0! +#4750500 +1! +#4750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4750700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4751000 +0! +#4751500 +1! +#4751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4751700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4752000 +0! +#4752500 +1! +#4752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4752700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4753000 +0! +#4753500 +1! +#4753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4753700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4754000 +0! +#4754500 +1! +#4754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4754700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4755000 +0! +#4755500 +1! +#4755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4755700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4756000 +0! +#4756500 +1! +#4756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4756700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4757000 +0! +#4757500 +1! +#4757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4757700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4758000 +0! +#4758500 +1! +#4758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4758700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4759000 +0! +#4759500 +1! +#4759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4759700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4760000 +0! +#4760500 +1! +#4760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4760700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4761000 +0! +#4761500 +1! +#4761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4761700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4762000 +0! +#4762500 +1! +#4762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4762700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4763000 +0! +#4763500 +1! +#4763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4763700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4764000 +0! +#4764500 +1! +#4764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4764700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4765000 +0! +#4765500 +1! +#4765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4765700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4766000 +0! +#4766500 +1! +#4766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4766700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4767000 +0! +#4767500 +1! +#4767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4767700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4768000 +0! +#4768500 +1! +#4768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4768700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4769000 +0! +#4769500 +1! +#4769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4769700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4770000 +0! +#4770500 +1! +#4770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4770700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4771000 +0! +#4771500 +1! +#4771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#4771700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b111 g +b10 c +0h +#4772000 +0! +#4772500 +1! +#4772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4772700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4773000 +0! +#4773500 +1! +#4773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4773700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4774000 +0! +#4774500 +1! +#4774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4774700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4775000 +0! +#4775500 +1! +#4775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4775700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4776000 +0! +#4776500 +1! +#4776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4776700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4777000 +0! +#4777500 +1! +#4777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4777700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4778000 +0! +#4778500 +1! +#4778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4778700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4779000 +0! +#4779500 +1! +#4779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4779700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4780000 +0! +#4780500 +1! +#4780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4780700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4781000 +0! +#4781500 +1! +#4781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4781700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4782000 +0! +#4782500 +1! +#4782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4782700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4783000 +0! +#4783500 +1! +#4783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4783700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4784000 +0! +#4784500 +1! +#4784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4784700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4785000 +0! +#4785500 +1! +#4785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4785700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4786000 +0! +#4786500 +1! +#4786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4786700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4787000 +0! +#4787500 +1! +#4787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4787700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4788000 +0! +#4788500 +1! +#4788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4788700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4789000 +0! +#4789500 +1! +#4789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4789700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4790000 +0! +#4790500 +1! +#4790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4790700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4791000 +0! +#4791500 +1! +#4791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4791700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4792000 +0! +#4792500 +1! +#4792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4792700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4793000 +0! +#4793500 +1! +#4793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4793700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4794000 +0! +#4794500 +1! +#4794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4794700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4795000 +0! +#4795500 +1! +#4795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4795700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4796000 +0! +#4796500 +1! +#4796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4796700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4797000 +0! +#4797500 +1! +#4797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4797700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4798000 +0! +#4798500 +1! +#4798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4798700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4799000 +0! +#4799500 +1! +#4799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4799700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4800000 +0! +#4800500 +1! +#4800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4800700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4801000 +0! +#4801500 +1! +#4801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4801700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4802000 +0! +#4802500 +1! +#4802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4802700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4803000 +0! +#4803500 +1! +#4803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4803700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4804000 +0! +#4804500 +1! +#4804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4804700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4805000 +0! +#4805500 +1! +#4805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4805700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4806000 +0! +#4806500 +1! +#4806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4806700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4807000 +0! +#4807500 +1! +#4807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4807700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4808000 +0! +#4808500 +1! +#4808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4808700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4809000 +0! +#4809500 +1! +#4809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4809700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4810000 +0! +#4810500 +1! +#4810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4810700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4811000 +0! +#4811500 +1! +#4811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4811700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4812000 +0! +#4812500 +1! +#4812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4812700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4813000 +0! +#4813500 +1! +#4813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4813700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4814000 +0! +#4814500 +1! +#4814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4814700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4815000 +0! +#4815500 +1! +#4815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4815700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4816000 +0! +#4816500 +1! +#4816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4816700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4817000 +0! +#4817500 +1! +#4817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4817700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4818000 +0! +#4818500 +1! +#4818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4818700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4819000 +0! +#4819500 +1! +#4819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4819700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4820000 +0! +#4820500 +1! +#4820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4820700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4821000 +0! +#4821500 +1! +#4821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4821700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4822000 +0! +#4822500 +1! +#4822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4822700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4823000 +0! +#4823500 +1! +#4823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4823700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4824000 +0! +#4824500 +1! +#4824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4824700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4825000 +0! +#4825500 +1! +#4825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4825700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4826000 +0! +#4826500 +1! +#4826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4826700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4827000 +0! +#4827500 +1! +#4827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4827700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4828000 +0! +#4828500 +1! +#4828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4828700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4829000 +0! +#4829500 +1! +#4829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4829700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4830000 +0! +#4830500 +1! +#4830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4830700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4831000 +0! +#4831500 +1! +#4831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4831700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4832000 +0! +#4832500 +1! +#4832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4832700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4833000 +0! +#4833500 +1! +#4833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4833700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4834000 +0! +#4834500 +1! +#4834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4834700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4835000 +0! +#4835500 +1! +#4835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4835700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4836000 +0! +#4836500 +1! +#4836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4836700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4837000 +0! +#4837500 +1! +#4837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4837700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4838000 +0! +#4838500 +1! +#4838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4838700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4839000 +0! +#4839500 +1! +#4839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4839700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4840000 +0! +#4840500 +1! +#4840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4840700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4841000 +0! +#4841500 +1! +#4841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4841700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4842000 +0! +#4842500 +1! +#4842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4842700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4843000 +0! +#4843500 +1! +#4843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4843700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4844000 +0! +#4844500 +1! +#4844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4844700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4845000 +0! +#4845500 +1! +#4845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4845700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4846000 +0! +#4846500 +1! +#4846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4846700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4847000 +0! +#4847500 +1! +#4847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4847700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4848000 +0! +#4848500 +1! +#4848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4848700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4849000 +0! +#4849500 +1! +#4849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4849700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4850000 +0! +#4850500 +1! +#4850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4850700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4851000 +0! +#4851500 +1! +#4851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4851700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4852000 +0! +#4852500 +1! +#4852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4852700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4853000 +0! +#4853500 +1! +#4853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4853700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4854000 +0! +#4854500 +1! +#4854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4854700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4855000 +0! +#4855500 +1! +#4855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4855700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4856000 +0! +#4856500 +1! +#4856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4856700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4857000 +0! +#4857500 +1! +#4857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4857700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4858000 +0! +#4858500 +1! +#4858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4858700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4859000 +0! +#4859500 +1! +#4859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4859700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4860000 +0! +#4860500 +1! +#4860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4860700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4861000 +0! +#4861500 +1! +#4861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4861700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4862000 +0! +#4862500 +1! +#4862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4862700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4863000 +0! +#4863500 +1! +#4863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4863700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4864000 +0! +#4864500 +1! +#4864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4864700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4865000 +0! +#4865500 +1! +#4865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4865700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4866000 +0! +#4866500 +1! +#4866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4866700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4867000 +0! +#4867500 +1! +#4867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4867700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4868000 +0! +#4868500 +1! +#4868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4868700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4869000 +0! +#4869500 +1! +#4869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4869700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4870000 +0! +#4870500 +1! +#4870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4870700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4871000 +0! +#4871500 +1! +#4871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4871700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4872000 +0! +#4872500 +1! +#4872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4872700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4873000 +0! +#4873500 +1! +#4873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#4873700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1j +b100 q +1i +1O +#4874000 +0! +#4874500 +1! +#4874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4874700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4875000 +0! +#4875500 +1! +#4875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4875700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4876000 +0! +#4876500 +1! +#4876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4876700 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#4877000 +0! +#4877500 +1! +#4877600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4878000 +0! +#4878500 +1! +#4878600 +1E +1F +1G +b10100101 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b10000000 C +1H +#4879000 +0! +#4879500 +1! +#4879600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4879700 +1E +1F +1G +b10000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#4880000 +0! +#4880500 +1! +#4880600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4881000 +0! +#4881500 +1! +#4881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#4882000 +0! +#4882500 +1! +#4882600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4883000 +0! +#4883500 +1! +#4883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4884000 +0! +#4884500 +1! +#4884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4885000 +0! +#4885500 +1! +#4885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4886000 +0! +#4886500 +1! +#4886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4887000 +0! +#4887500 +1! +#4887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4888000 +0! +#4888500 +1! +#4888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4889000 +0! +#4889500 +1! +#4889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4890000 +0! +#4890500 +1! +#4890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4891000 +0! +#4891500 +1! +#4891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4892000 +0! +#4892500 +1! +#4892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4893000 +0! +#4893500 +1! +#4893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4894000 +0! +#4894500 +1! +#4894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4895000 +0! +#4895500 +1! +#4895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4896000 +0! +#4896500 +1! +#4896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4897000 +0! +#4897500 +1! +#4897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4898000 +0! +#4898500 +1! +#4898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4899000 +0! +#4899500 +1! +#4899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4900000 +0! +#4900500 +1! +#4900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4901000 +0! +#4901500 +1! +#4901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4902000 +0! +#4902500 +1! +#4902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4903000 +0! +#4903500 +1! +#4903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4904000 +0! +#4904500 +1! +#4904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4905000 +0! +#4905500 +1! +#4905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4906000 +0! +#4906500 +1! +#4906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4907000 +0! +#4907500 +1! +#4907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4908000 +0! +#4908500 +1! +#4908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4909000 +0! +#4909500 +1! +#4909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4910000 +0! +#4910500 +1! +#4910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4911000 +0! +#4911500 +1! +#4911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4912000 +0! +#4912500 +1! +#4912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4913000 +0! +#4913500 +1! +#4913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4914000 +0! +#4914500 +1! +#4914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4915000 +0! +#4915500 +1! +#4915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4916000 +0! +#4916500 +1! +#4916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4917000 +0! +#4917500 +1! +#4917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4918000 +0! +#4918500 +1! +#4918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4919000 +0! +#4919500 +1! +#4919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4920000 +0! +#4920500 +1! +#4920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4921000 +0! +#4921500 +1! +#4921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4922000 +0! +#4922500 +1! +#4922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4923000 +0! +#4923500 +1! +#4923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4924000 +0! +#4924500 +1! +#4924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4925000 +0! +#4925500 +1! +#4925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4926000 +0! +#4926500 +1! +#4926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4927000 +0! +#4927500 +1! +#4927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4928000 +0! +#4928500 +1! +#4928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4929000 +0! +#4929500 +1! +#4929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4930000 +0! +#4930500 +1! +#4930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4931000 +0! +#4931500 +1! +#4931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4932000 +0! +#4932500 +1! +#4932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4933000 +0! +#4933500 +1! +#4933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4934000 +0! +#4934500 +1! +#4934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4935000 +0! +#4935500 +1! +#4935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4936000 +0! +#4936500 +1! +#4936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4937000 +0! +#4937500 +1! +#4937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4938000 +0! +#4938500 +1! +#4938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4939000 +0! +#4939500 +1! +#4939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4940000 +0! +#4940500 +1! +#4940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4941000 +0! +#4941500 +1! +#4941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4942000 +0! +#4942500 +1! +#4942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4943000 +0! +#4943500 +1! +#4943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4944000 +0! +#4944500 +1! +#4944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4945000 +0! +#4945500 +1! +#4945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4946000 +0! +#4946500 +1! +#4946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4947000 +0! +#4947500 +1! +#4947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4948000 +0! +#4948500 +1! +#4948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4949000 +0! +#4949500 +1! +#4949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4950000 +0! +#4950500 +1! +#4950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4951000 +0! +#4951500 +1! +#4951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4952000 +0! +#4952500 +1! +#4952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4953000 +0! +#4953500 +1! +#4953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4954000 +0! +#4954500 +1! +#4954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4955000 +0! +#4955500 +1! +#4955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4956000 +0! +#4956500 +1! +#4956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4957000 +0! +#4957500 +1! +#4957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4958000 +0! +#4958500 +1! +#4958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4959000 +0! +#4959500 +1! +#4959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4960000 +0! +#4960500 +1! +#4960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4961000 +0! +#4961500 +1! +#4961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4962000 +0! +#4962500 +1! +#4962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4963000 +0! +#4963500 +1! +#4963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4964000 +0! +#4964500 +1! +#4964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4965000 +0! +#4965500 +1! +#4965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4966000 +0! +#4966500 +1! +#4966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4967000 +0! +#4967500 +1! +#4967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4968000 +0! +#4968500 +1! +#4968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4969000 +0! +#4969500 +1! +#4969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4970000 +0! +#4970500 +1! +#4970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4971000 +0! +#4971500 +1! +#4971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4972000 +0! +#4972500 +1! +#4972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4973000 +0! +#4973500 +1! +#4973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4974000 +0! +#4974500 +1! +#4974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4975000 +0! +#4975500 +1! +#4975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4976000 +0! +#4976500 +1! +#4976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4977000 +0! +#4977500 +1! +#4977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4978000 +0! +#4978500 +1! +#4978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4979000 +0! +#4979500 +1! +#4979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4980000 +0! +#4980500 +1! +#4980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4981000 +0! +#4981500 +1! +#4981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4982000 +0! +#4982500 +1! +#4982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4983000 +0! +#4983500 +1! +#4983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4984000 +0! +#4984500 +1! +#4984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4985000 +0! +#4985500 +1! +#4985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4986000 +0! +#4986500 +1! +#4986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4987000 +0! +#4987500 +1! +#4987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4988000 +0! +#4988500 +1! +#4988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4989000 +0! +#4989500 +1! +#4989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4990000 +0! +#4990500 +1! +#4990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4991000 +0! +#4991500 +1! +#4991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4992000 +0! +#4992500 +1! +#4992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4993000 +0! +#4993500 +1! +#4993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4994000 +0! +#4994500 +1! +#4994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4995000 +0! +#4995500 +1! +#4995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4996000 +0! +#4996500 +1! +#4996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4997000 +0! +#4997500 +1! +#4997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#4998000 +0! +#4998500 +1! +#4998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#4999000 +0! +#4999500 +1! +#4999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5000000 +0! +#5000500 +1! +#5000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5001000 +0! +#5001500 +1! +#5001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5002000 +0! +#5002500 +1! +#5002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5003000 +0! +#5003500 +1! +#5003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5004000 +0! +#5004500 +1! +#5004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5005000 +0! +#5005500 +1! +#5005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5006000 +0! +#5006500 +1! +#5006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5007000 +0! +#5007500 +1! +#5007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5008000 +0! +#5008500 +1! +#5008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5009000 +0! +#5009500 +1! +#5009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5010000 +0! +#5010500 +1! +#5010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5011000 +0! +#5011500 +1! +#5011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5012000 +0! +#5012500 +1! +#5012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5013000 +0! +#5013500 +1! +#5013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5014000 +0! +#5014500 +1! +#5014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5015000 +0! +#5015500 +1! +#5015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5016000 +0! +#5016500 +1! +#5016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5017000 +0! +#5017500 +1! +#5017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5018000 +0! +#5018500 +1! +#5018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5019000 +0! +#5019500 +1! +#5019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5020000 +0! +#5020500 +1! +#5020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5021000 +0! +#5021500 +1! +#5021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5022000 +0! +#5022500 +1! +#5022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5023000 +0! +#5023500 +1! +#5023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5024000 +0! +#5024500 +1! +#5024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5025000 +0! +#5025500 +1! +#5025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5026000 +0! +#5026500 +1! +#5026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#5026700 +b101 c +#5027000 +0! +#5027500 +1! +#5027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5028000 +0! +#5028500 +1! +#5028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5029000 +0! +#5029500 +1! +#5029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5030000 +0! +#5030500 +1! +#5030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5031000 +0! +#5031500 +1! +#5031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5032000 +0! +#5032500 +1! +#5032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5033000 +0! +#5033500 +1! +#5033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5034000 +0! +#5034500 +1! +#5034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5035000 +0! +#5035500 +1! +#5035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5036000 +0! +#5036500 +1! +#5036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5037000 +0! +#5037500 +1! +#5037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5038000 +0! +#5038500 +1! +#5038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5039000 +0! +#5039500 +1! +#5039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5040000 +0! +#5040500 +1! +#5040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5041000 +0! +#5041500 +1! +#5041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5042000 +0! +#5042500 +1! +#5042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5043000 +0! +#5043500 +1! +#5043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5044000 +0! +#5044500 +1! +#5044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5045000 +0! +#5045500 +1! +#5045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5046000 +0! +#5046500 +1! +#5046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5047000 +0! +#5047500 +1! +#5047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5048000 +0! +#5048500 +1! +#5048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5049000 +0! +#5049500 +1! +#5049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5050000 +0! +#5050500 +1! +#5050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5051000 +0! +#5051500 +1! +#5051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5052000 +0! +#5052500 +1! +#5052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5053000 +0! +#5053500 +1! +#5053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5054000 +0! +#5054500 +1! +#5054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5055000 +0! +#5055500 +1! +#5055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5056000 +0! +#5056500 +1! +#5056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5057000 +0! +#5057500 +1! +#5057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5058000 +0! +#5058500 +1! +#5058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5059000 +0! +#5059500 +1! +#5059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5060000 +0! +#5060500 +1! +#5060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5061000 +0! +#5061500 +1! +#5061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5062000 +0! +#5062500 +1! +#5062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5063000 +0! +#5063500 +1! +#5063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5064000 +0! +#5064500 +1! +#5064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5065000 +0! +#5065500 +1! +#5065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5066000 +0! +#5066500 +1! +#5066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5067000 +0! +#5067500 +1! +#5067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5068000 +0! +#5068500 +1! +#5068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5069000 +0! +#5069500 +1! +#5069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5070000 +0! +#5070500 +1! +#5070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5071000 +0! +#5071500 +1! +#5071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5072000 +0! +#5072500 +1! +#5072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5073000 +0! +#5073500 +1! +#5073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5074000 +0! +#5074500 +1! +#5074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5075000 +0! +#5075500 +1! +#5075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5076000 +0! +#5076500 +1! +#5076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5077000 +0! +#5077500 +1! +#5077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5078000 +0! +#5078500 +1! +#5078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5079000 +0! +#5079500 +1! +#5079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5080000 +0! +#5080500 +1! +#5080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5081000 +0! +#5081500 +1! +#5081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5082000 +0! +#5082500 +1! +#5082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5083000 +0! +#5083500 +1! +#5083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5084000 +0! +#5084500 +1! +#5084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5085000 +0! +#5085500 +1! +#5085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5086000 +0! +#5086500 +1! +#5086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5087000 +0! +#5087500 +1! +#5087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5088000 +0! +#5088500 +1! +#5088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5089000 +0! +#5089500 +1! +#5089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5090000 +0! +#5090500 +1! +#5090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5091000 +0! +#5091500 +1! +#5091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5092000 +0! +#5092500 +1! +#5092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5093000 +0! +#5093500 +1! +#5093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5094000 +0! +#5094500 +1! +#5094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5095000 +0! +#5095500 +1! +#5095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5096000 +0! +#5096500 +1! +#5096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5097000 +0! +#5097500 +1! +#5097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5098000 +0! +#5098500 +1! +#5098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5099000 +0! +#5099500 +1! +#5099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5100000 +0! +#5100500 +1! +#5100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5101000 +0! +#5101500 +1! +#5101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5102000 +0! +#5102500 +1! +#5102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5103000 +0! +#5103500 +1! +#5103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5104000 +0! +#5104500 +1! +#5104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5105000 +0! +#5105500 +1! +#5105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5106000 +0! +#5106500 +1! +#5106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5107000 +0! +#5107500 +1! +#5107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5108000 +0! +#5108500 +1! +#5108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5109000 +0! +#5109500 +1! +#5109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5110000 +0! +#5110500 +1! +#5110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5111000 +0! +#5111500 +1! +#5111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5112000 +0! +#5112500 +1! +#5112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5113000 +0! +#5113500 +1! +#5113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5114000 +0! +#5114500 +1! +#5114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5115000 +0! +#5115500 +1! +#5115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5116000 +0! +#5116500 +1! +#5116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5117000 +0! +#5117500 +1! +#5117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5118000 +0! +#5118500 +1! +#5118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5119000 +0! +#5119500 +1! +#5119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5120000 +0! +#5120500 +1! +#5120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5121000 +0! +#5121500 +1! +#5121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5122000 +0! +#5122500 +1! +#5122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5123000 +0! +#5123500 +1! +#5123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5124000 +0! +#5124500 +1! +#5124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5125000 +0! +#5125500 +1! +#5125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5126000 +0! +#5126500 +1! +#5126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5127000 +0! +#5127500 +1! +#5127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5128000 +0! +#5128500 +1! +#5128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#5128700 +0i +#5129000 +0! +#5129500 +1! +#5129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5130000 +0! +#5130500 +1! +#5130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5131000 +0! +#5131500 +1! +#5131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5132000 +0! +#5132500 +1! +#5132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5133000 +0! +#5133500 +1! +#5133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5134000 +0! +#5134500 +1! +#5134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5135000 +0! +#5135500 +1! +#5135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5136000 +0! +#5136500 +1! +#5136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5137000 +0! +#5137500 +1! +#5137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5138000 +0! +#5138500 +1! +#5138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5139000 +0! +#5139500 +1! +#5139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5140000 +0! +#5140500 +1! +#5140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5141000 +0! +#5141500 +1! +#5141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5142000 +0! +#5142500 +1! +#5142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5143000 +0! +#5143500 +1! +#5143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5144000 +0! +#5144500 +1! +#5144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5145000 +0! +#5145500 +1! +#5145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5146000 +0! +#5146500 +1! +#5146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5147000 +0! +#5147500 +1! +#5147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5148000 +0! +#5148500 +1! +#5148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5149000 +0! +#5149500 +1! +#5149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5150000 +0! +#5150500 +1! +#5150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5151000 +0! +#5151500 +1! +#5151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5152000 +0! +#5152500 +1! +#5152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5153000 +0! +#5153500 +1! +#5153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5154000 +0! +#5154500 +1! +#5154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5155000 +0! +#5155500 +1! +#5155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5156000 +0! +#5156500 +1! +#5156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5157000 +0! +#5157500 +1! +#5157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5158000 +0! +#5158500 +1! +#5158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5159000 +0! +#5159500 +1! +#5159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5160000 +0! +#5160500 +1! +#5160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5161000 +0! +#5161500 +1! +#5161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5162000 +0! +#5162500 +1! +#5162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5163000 +0! +#5163500 +1! +#5163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5164000 +0! +#5164500 +1! +#5164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5165000 +0! +#5165500 +1! +#5165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5166000 +0! +#5166500 +1! +#5166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5167000 +0! +#5167500 +1! +#5167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5168000 +0! +#5168500 +1! +#5168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5169000 +0! +#5169500 +1! +#5169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5170000 +0! +#5170500 +1! +#5170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5171000 +0! +#5171500 +1! +#5171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5172000 +0! +#5172500 +1! +#5172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5173000 +0! +#5173500 +1! +#5173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5174000 +0! +#5174500 +1! +#5174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5175000 +0! +#5175500 +1! +#5175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5176000 +0! +#5176500 +1! +#5176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5177000 +0! +#5177500 +1! +#5177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5178000 +0! +#5178500 +1! +#5178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5179000 +0! +#5179500 +1! +#5179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5180000 +0! +#5180500 +1! +#5180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5181000 +0! +#5181500 +1! +#5181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5182000 +0! +#5182500 +1! +#5182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5183000 +0! +#5183500 +1! +#5183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5184000 +0! +#5184500 +1! +#5184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5185000 +0! +#5185500 +1! +#5185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5186000 +0! +#5186500 +1! +#5186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5187000 +0! +#5187500 +1! +#5187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5188000 +0! +#5188500 +1! +#5188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5189000 +0! +#5189500 +1! +#5189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5190000 +0! +#5190500 +1! +#5190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5191000 +0! +#5191500 +1! +#5191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5192000 +0! +#5192500 +1! +#5192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5193000 +0! +#5193500 +1! +#5193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5194000 +0! +#5194500 +1! +#5194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5195000 +0! +#5195500 +1! +#5195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5196000 +0! +#5196500 +1! +#5196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5197000 +0! +#5197500 +1! +#5197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5198000 +0! +#5198500 +1! +#5198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5199000 +0! +#5199500 +1! +#5199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5200000 +0! +#5200500 +1! +#5200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5201000 +0! +#5201500 +1! +#5201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5202000 +0! +#5202500 +1! +#5202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5203000 +0! +#5203500 +1! +#5203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5204000 +0! +#5204500 +1! +#5204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5205000 +0! +#5205500 +1! +#5205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5206000 +0! +#5206500 +1! +#5206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5207000 +0! +#5207500 +1! +#5207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5208000 +0! +#5208500 +1! +#5208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5209000 +0! +#5209500 +1! +#5209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5210000 +0! +#5210500 +1! +#5210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5211000 +0! +#5211500 +1! +#5211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5212000 +0! +#5212500 +1! +#5212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5213000 +0! +#5213500 +1! +#5213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5214000 +0! +#5214500 +1! +#5214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5215000 +0! +#5215500 +1! +#5215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5216000 +0! +#5216500 +1! +#5216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5217000 +0! +#5217500 +1! +#5217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5218000 +0! +#5218500 +1! +#5218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5219000 +0! +#5219500 +1! +#5219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5220000 +0! +#5220500 +1! +#5220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5221000 +0! +#5221500 +1! +#5221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5222000 +0! +#5222500 +1! +#5222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5223000 +0! +#5223500 +1! +#5223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5224000 +0! +#5224500 +1! +#5224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5225000 +0! +#5225500 +1! +#5225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5226000 +0! +#5226500 +1! +#5226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5227000 +0! +#5227500 +1! +#5227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5228000 +0! +#5228500 +1! +#5228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5229000 +0! +#5229500 +1! +#5229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5230000 +0! +#5230500 +1! +#5230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#5231000 +0! +#5231500 +1! +#5231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5232000 +0! +#5232500 +1! +#5232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5233000 +0! +#5233500 +1! +#5233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5234000 +0! +#5234500 +1! +#5234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5235000 +0! +#5235500 +1! +#5235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5236000 +0! +#5236500 +1! +#5236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5237000 +0! +#5237500 +1! +#5237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5238000 +0! +#5238500 +1! +#5238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5239000 +0! +#5239500 +1! +#5239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5240000 +0! +#5240500 +1! +#5240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5241000 +0! +#5241500 +1! +#5241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5242000 +0! +#5242500 +1! +#5242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5243000 +0! +#5243500 +1! +#5243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5244000 +0! +#5244500 +1! +#5244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5245000 +0! +#5245500 +1! +#5245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5246000 +0! +#5246500 +1! +#5246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5247000 +0! +#5247500 +1! +#5247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5248000 +0! +#5248500 +1! +#5248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5249000 +0! +#5249500 +1! +#5249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5250000 +0! +#5250500 +1! +#5250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5251000 +0! +#5251500 +1! +#5251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5252000 +0! +#5252500 +1! +#5252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5253000 +0! +#5253500 +1! +#5253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5254000 +0! +#5254500 +1! +#5254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5255000 +0! +#5255500 +1! +#5255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5256000 +0! +#5256500 +1! +#5256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5257000 +0! +#5257500 +1! +#5257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5258000 +0! +#5258500 +1! +#5258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5259000 +0! +#5259500 +1! +#5259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5260000 +0! +#5260500 +1! +#5260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5261000 +0! +#5261500 +1! +#5261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5262000 +0! +#5262500 +1! +#5262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5263000 +0! +#5263500 +1! +#5263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5264000 +0! +#5264500 +1! +#5264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5265000 +0! +#5265500 +1! +#5265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5266000 +0! +#5266500 +1! +#5266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5267000 +0! +#5267500 +1! +#5267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5268000 +0! +#5268500 +1! +#5268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5269000 +0! +#5269500 +1! +#5269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5270000 +0! +#5270500 +1! +#5270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5271000 +0! +#5271500 +1! +#5271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5272000 +0! +#5272500 +1! +#5272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5273000 +0! +#5273500 +1! +#5273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5274000 +0! +#5274500 +1! +#5274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5275000 +0! +#5275500 +1! +#5275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5276000 +0! +#5276500 +1! +#5276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5277000 +0! +#5277500 +1! +#5277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5278000 +0! +#5278500 +1! +#5278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5279000 +0! +#5279500 +1! +#5279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5280000 +0! +#5280500 +1! +#5280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5281000 +0! +#5281500 +1! +#5281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#5281700 +b110 g +b1010 c +#5282000 +0! +#5282500 +1! +#5282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5283000 +0! +#5283500 +1! +#5283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5284000 +0! +#5284500 +1! +#5284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5285000 +0! +#5285500 +1! +#5285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5286000 +0! +#5286500 +1! +#5286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5287000 +0! +#5287500 +1! +#5287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5288000 +0! +#5288500 +1! +#5288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5289000 +0! +#5289500 +1! +#5289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5290000 +0! +#5290500 +1! +#5290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5291000 +0! +#5291500 +1! +#5291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5292000 +0! +#5292500 +1! +#5292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5293000 +0! +#5293500 +1! +#5293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5294000 +0! +#5294500 +1! +#5294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5295000 +0! +#5295500 +1! +#5295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5296000 +0! +#5296500 +1! +#5296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5297000 +0! +#5297500 +1! +#5297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5298000 +0! +#5298500 +1! +#5298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5299000 +0! +#5299500 +1! +#5299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5300000 +0! +#5300500 +1! +#5300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5301000 +0! +#5301500 +1! +#5301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5302000 +0! +#5302500 +1! +#5302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5303000 +0! +#5303500 +1! +#5303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5304000 +0! +#5304500 +1! +#5304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5305000 +0! +#5305500 +1! +#5305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5306000 +0! +#5306500 +1! +#5306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5307000 +0! +#5307500 +1! +#5307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5308000 +0! +#5308500 +1! +#5308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5309000 +0! +#5309500 +1! +#5309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5310000 +0! +#5310500 +1! +#5310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5311000 +0! +#5311500 +1! +#5311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5312000 +0! +#5312500 +1! +#5312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5313000 +0! +#5313500 +1! +#5313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5314000 +0! +#5314500 +1! +#5314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5315000 +0! +#5315500 +1! +#5315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5316000 +0! +#5316500 +1! +#5316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5317000 +0! +#5317500 +1! +#5317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5318000 +0! +#5318500 +1! +#5318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5319000 +0! +#5319500 +1! +#5319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5320000 +0! +#5320500 +1! +#5320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5321000 +0! +#5321500 +1! +#5321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5322000 +0! +#5322500 +1! +#5322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5323000 +0! +#5323500 +1! +#5323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5324000 +0! +#5324500 +1! +#5324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5325000 +0! +#5325500 +1! +#5325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5326000 +0! +#5326500 +1! +#5326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5327000 +0! +#5327500 +1! +#5327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5328000 +0! +#5328500 +1! +#5328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5329000 +0! +#5329500 +1! +#5329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5330000 +0! +#5330500 +1! +#5330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5331000 +0! +#5331500 +1! +#5331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5332000 +0! +#5332500 +1! +#5332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5333000 +0! +#5333500 +1! +#5333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5334000 +0! +#5334500 +1! +#5334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5335000 +0! +#5335500 +1! +#5335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5336000 +0! +#5336500 +1! +#5336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5337000 +0! +#5337500 +1! +#5337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5338000 +0! +#5338500 +1! +#5338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5339000 +0! +#5339500 +1! +#5339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5340000 +0! +#5340500 +1! +#5340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5341000 +0! +#5341500 +1! +#5341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5342000 +0! +#5342500 +1! +#5342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5343000 +0! +#5343500 +1! +#5343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5344000 +0! +#5344500 +1! +#5344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5345000 +0! +#5345500 +1! +#5345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5346000 +0! +#5346500 +1! +#5346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5347000 +0! +#5347500 +1! +#5347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5348000 +0! +#5348500 +1! +#5348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5349000 +0! +#5349500 +1! +#5349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5350000 +0! +#5350500 +1! +#5350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5351000 +0! +#5351500 +1! +#5351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5352000 +0! +#5352500 +1! +#5352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5353000 +0! +#5353500 +1! +#5353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5354000 +0! +#5354500 +1! +#5354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5355000 +0! +#5355500 +1! +#5355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5356000 +0! +#5356500 +1! +#5356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5357000 +0! +#5357500 +1! +#5357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5358000 +0! +#5358500 +1! +#5358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5359000 +0! +#5359500 +1! +#5359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5360000 +0! +#5360500 +1! +#5360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5361000 +0! +#5361500 +1! +#5361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5362000 +0! +#5362500 +1! +#5362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5363000 +0! +#5363500 +1! +#5363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5364000 +0! +#5364500 +1! +#5364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5365000 +0! +#5365500 +1! +#5365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5366000 +0! +#5366500 +1! +#5366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5367000 +0! +#5367500 +1! +#5367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5368000 +0! +#5368500 +1! +#5368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5369000 +0! +#5369500 +1! +#5369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5370000 +0! +#5370500 +1! +#5370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5371000 +0! +#5371500 +1! +#5371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5372000 +0! +#5372500 +1! +#5372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5373000 +0! +#5373500 +1! +#5373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5374000 +0! +#5374500 +1! +#5374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5375000 +0! +#5375500 +1! +#5375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5376000 +0! +#5376500 +1! +#5376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5377000 +0! +#5377500 +1! +#5377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5378000 +0! +#5378500 +1! +#5378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5379000 +0! +#5379500 +1! +#5379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5380000 +0! +#5380500 +1! +#5380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5381000 +0! +#5381500 +1! +#5381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5382000 +0! +#5382500 +1! +#5382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5383000 +0! +#5383500 +1! +#5383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#5384000 +0! +#5384500 +1! +#5384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5385000 +0! +#5385500 +1! +#5385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5386000 +0! +#5386500 +1! +#5386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5387000 +0! +#5387500 +1! +#5387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5388000 +0! +#5388500 +1! +#5388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5389000 +0! +#5389500 +1! +#5389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5390000 +0! +#5390500 +1! +#5390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5391000 +0! +#5391500 +1! +#5391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5392000 +0! +#5392500 +1! +#5392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5393000 +0! +#5393500 +1! +#5393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5394000 +0! +#5394500 +1! +#5394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5395000 +0! +#5395500 +1! +#5395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5396000 +0! +#5396500 +1! +#5396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5397000 +0! +#5397500 +1! +#5397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5398000 +0! +#5398500 +1! +#5398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5399000 +0! +#5399500 +1! +#5399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5400000 +0! +#5400500 +1! +#5400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5401000 +0! +#5401500 +1! +#5401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5402000 +0! +#5402500 +1! +#5402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5403000 +0! +#5403500 +1! +#5403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5404000 +0! +#5404500 +1! +#5404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5405000 +0! +#5405500 +1! +#5405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5406000 +0! +#5406500 +1! +#5406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5407000 +0! +#5407500 +1! +#5407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5408000 +0! +#5408500 +1! +#5408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5409000 +0! +#5409500 +1! +#5409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5410000 +0! +#5410500 +1! +#5410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5411000 +0! +#5411500 +1! +#5411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5412000 +0! +#5412500 +1! +#5412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5413000 +0! +#5413500 +1! +#5413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5414000 +0! +#5414500 +1! +#5414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5415000 +0! +#5415500 +1! +#5415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5416000 +0! +#5416500 +1! +#5416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5417000 +0! +#5417500 +1! +#5417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5418000 +0! +#5418500 +1! +#5418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5419000 +0! +#5419500 +1! +#5419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5420000 +0! +#5420500 +1! +#5420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5421000 +0! +#5421500 +1! +#5421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5422000 +0! +#5422500 +1! +#5422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5423000 +0! +#5423500 +1! +#5423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5424000 +0! +#5424500 +1! +#5424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5425000 +0! +#5425500 +1! +#5425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5426000 +0! +#5426500 +1! +#5426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5427000 +0! +#5427500 +1! +#5427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5428000 +0! +#5428500 +1! +#5428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5429000 +0! +#5429500 +1! +#5429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5430000 +0! +#5430500 +1! +#5430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5431000 +0! +#5431500 +1! +#5431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5432000 +0! +#5432500 +1! +#5432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5433000 +0! +#5433500 +1! +#5433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5434000 +0! +#5434500 +1! +#5434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5435000 +0! +#5435500 +1! +#5435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5436000 +0! +#5436500 +1! +#5436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5437000 +0! +#5437500 +1! +#5437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5438000 +0! +#5438500 +1! +#5438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5439000 +0! +#5439500 +1! +#5439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5440000 +0! +#5440500 +1! +#5440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5441000 +0! +#5441500 +1! +#5441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5442000 +0! +#5442500 +1! +#5442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5443000 +0! +#5443500 +1! +#5443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5444000 +0! +#5444500 +1! +#5444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5445000 +0! +#5445500 +1! +#5445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5446000 +0! +#5446500 +1! +#5446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5447000 +0! +#5447500 +1! +#5447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5448000 +0! +#5448500 +1! +#5448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5449000 +0! +#5449500 +1! +#5449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5450000 +0! +#5450500 +1! +#5450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5451000 +0! +#5451500 +1! +#5451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5452000 +0! +#5452500 +1! +#5452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5453000 +0! +#5453500 +1! +#5453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5454000 +0! +#5454500 +1! +#5454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5455000 +0! +#5455500 +1! +#5455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5456000 +0! +#5456500 +1! +#5456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5457000 +0! +#5457500 +1! +#5457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5458000 +0! +#5458500 +1! +#5458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5459000 +0! +#5459500 +1! +#5459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5460000 +0! +#5460500 +1! +#5460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5461000 +0! +#5461500 +1! +#5461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5462000 +0! +#5462500 +1! +#5462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5463000 +0! +#5463500 +1! +#5463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5464000 +0! +#5464500 +1! +#5464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5465000 +0! +#5465500 +1! +#5465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5466000 +0! +#5466500 +1! +#5466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5467000 +0! +#5467500 +1! +#5467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5468000 +0! +#5468500 +1! +#5468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5469000 +0! +#5469500 +1! +#5469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5470000 +0! +#5470500 +1! +#5470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5471000 +0! +#5471500 +1! +#5471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5472000 +0! +#5472500 +1! +#5472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5473000 +0! +#5473500 +1! +#5473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5474000 +0! +#5474500 +1! +#5474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5475000 +0! +#5475500 +1! +#5475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5476000 +0! +#5476500 +1! +#5476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5477000 +0! +#5477500 +1! +#5477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5478000 +0! +#5478500 +1! +#5478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5479000 +0! +#5479500 +1! +#5479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5480000 +0! +#5480500 +1! +#5480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5481000 +0! +#5481500 +1! +#5481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5482000 +0! +#5482500 +1! +#5482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5483000 +0! +#5483500 +1! +#5483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5484000 +0! +#5484500 +1! +#5484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5485000 +0! +#5485500 +1! +#5485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#5486000 +0! +#5486500 +1! +#5486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5487000 +0! +#5487500 +1! +#5487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5488000 +0! +#5488500 +1! +#5488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5489000 +0! +#5489500 +1! +#5489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5490000 +0! +#5490500 +1! +#5490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5491000 +0! +#5491500 +1! +#5491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5492000 +0! +#5492500 +1! +#5492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5493000 +0! +#5493500 +1! +#5493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5494000 +0! +#5494500 +1! +#5494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5495000 +0! +#5495500 +1! +#5495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5496000 +0! +#5496500 +1! +#5496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5497000 +0! +#5497500 +1! +#5497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5498000 +0! +#5498500 +1! +#5498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5499000 +0! +#5499500 +1! +#5499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5500000 +0! +#5500500 +1! +#5500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5501000 +0! +#5501500 +1! +#5501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5502000 +0! +#5502500 +1! +#5502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5503000 +0! +#5503500 +1! +#5503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5504000 +0! +#5504500 +1! +#5504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5505000 +0! +#5505500 +1! +#5505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5506000 +0! +#5506500 +1! +#5506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5507000 +0! +#5507500 +1! +#5507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5508000 +0! +#5508500 +1! +#5508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5509000 +0! +#5509500 +1! +#5509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5510000 +0! +#5510500 +1! +#5510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5511000 +0! +#5511500 +1! +#5511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5512000 +0! +#5512500 +1! +#5512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5513000 +0! +#5513500 +1! +#5513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5514000 +0! +#5514500 +1! +#5514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5515000 +0! +#5515500 +1! +#5515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5516000 +0! +#5516500 +1! +#5516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5517000 +0! +#5517500 +1! +#5517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5518000 +0! +#5518500 +1! +#5518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5519000 +0! +#5519500 +1! +#5519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5520000 +0! +#5520500 +1! +#5520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5521000 +0! +#5521500 +1! +#5521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5522000 +0! +#5522500 +1! +#5522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5523000 +0! +#5523500 +1! +#5523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5524000 +0! +#5524500 +1! +#5524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5525000 +0! +#5525500 +1! +#5525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5526000 +0! +#5526500 +1! +#5526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5527000 +0! +#5527500 +1! +#5527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5528000 +0! +#5528500 +1! +#5528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5529000 +0! +#5529500 +1! +#5529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5530000 +0! +#5530500 +1! +#5530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5531000 +0! +#5531500 +1! +#5531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5532000 +0! +#5532500 +1! +#5532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5533000 +0! +#5533500 +1! +#5533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5534000 +0! +#5534500 +1! +#5534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5535000 +0! +#5535500 +1! +#5535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5536000 +0! +#5536500 +1! +#5536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#5536700 +b101 g +b10101 c +#5537000 +0! +#5537500 +1! +#5537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5538000 +0! +#5538500 +1! +#5538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5539000 +0! +#5539500 +1! +#5539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5540000 +0! +#5540500 +1! +#5540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5541000 +0! +#5541500 +1! +#5541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5542000 +0! +#5542500 +1! +#5542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5543000 +0! +#5543500 +1! +#5543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5544000 +0! +#5544500 +1! +#5544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5545000 +0! +#5545500 +1! +#5545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5546000 +0! +#5546500 +1! +#5546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5547000 +0! +#5547500 +1! +#5547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5548000 +0! +#5548500 +1! +#5548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5549000 +0! +#5549500 +1! +#5549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5550000 +0! +#5550500 +1! +#5550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5551000 +0! +#5551500 +1! +#5551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5552000 +0! +#5552500 +1! +#5552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5553000 +0! +#5553500 +1! +#5553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5554000 +0! +#5554500 +1! +#5554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5555000 +0! +#5555500 +1! +#5555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5556000 +0! +#5556500 +1! +#5556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5557000 +0! +#5557500 +1! +#5557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5558000 +0! +#5558500 +1! +#5558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5559000 +0! +#5559500 +1! +#5559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5560000 +0! +#5560500 +1! +#5560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5561000 +0! +#5561500 +1! +#5561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5562000 +0! +#5562500 +1! +#5562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5563000 +0! +#5563500 +1! +#5563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5564000 +0! +#5564500 +1! +#5564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5565000 +0! +#5565500 +1! +#5565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5566000 +0! +#5566500 +1! +#5566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5567000 +0! +#5567500 +1! +#5567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5568000 +0! +#5568500 +1! +#5568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5569000 +0! +#5569500 +1! +#5569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5570000 +0! +#5570500 +1! +#5570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5571000 +0! +#5571500 +1! +#5571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5572000 +0! +#5572500 +1! +#5572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5573000 +0! +#5573500 +1! +#5573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5574000 +0! +#5574500 +1! +#5574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5575000 +0! +#5575500 +1! +#5575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5576000 +0! +#5576500 +1! +#5576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5577000 +0! +#5577500 +1! +#5577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5578000 +0! +#5578500 +1! +#5578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5579000 +0! +#5579500 +1! +#5579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5580000 +0! +#5580500 +1! +#5580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5581000 +0! +#5581500 +1! +#5581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5582000 +0! +#5582500 +1! +#5582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5583000 +0! +#5583500 +1! +#5583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5584000 +0! +#5584500 +1! +#5584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5585000 +0! +#5585500 +1! +#5585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5586000 +0! +#5586500 +1! +#5586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5587000 +0! +#5587500 +1! +#5587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5588000 +0! +#5588500 +1! +#5588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5589000 +0! +#5589500 +1! +#5589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5590000 +0! +#5590500 +1! +#5590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5591000 +0! +#5591500 +1! +#5591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5592000 +0! +#5592500 +1! +#5592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5593000 +0! +#5593500 +1! +#5593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5594000 +0! +#5594500 +1! +#5594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5595000 +0! +#5595500 +1! +#5595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5596000 +0! +#5596500 +1! +#5596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5597000 +0! +#5597500 +1! +#5597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5598000 +0! +#5598500 +1! +#5598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5599000 +0! +#5599500 +1! +#5599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5600000 +0! +#5600500 +1! +#5600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5601000 +0! +#5601500 +1! +#5601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5602000 +0! +#5602500 +1! +#5602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5603000 +0! +#5603500 +1! +#5603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5604000 +0! +#5604500 +1! +#5604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5605000 +0! +#5605500 +1! +#5605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5606000 +0! +#5606500 +1! +#5606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5607000 +0! +#5607500 +1! +#5607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5608000 +0! +#5608500 +1! +#5608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5609000 +0! +#5609500 +1! +#5609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5610000 +0! +#5610500 +1! +#5610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5611000 +0! +#5611500 +1! +#5611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5612000 +0! +#5612500 +1! +#5612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5613000 +0! +#5613500 +1! +#5613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5614000 +0! +#5614500 +1! +#5614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5615000 +0! +#5615500 +1! +#5615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5616000 +0! +#5616500 +1! +#5616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5617000 +0! +#5617500 +1! +#5617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5618000 +0! +#5618500 +1! +#5618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5619000 +0! +#5619500 +1! +#5619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5620000 +0! +#5620500 +1! +#5620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5621000 +0! +#5621500 +1! +#5621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5622000 +0! +#5622500 +1! +#5622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5623000 +0! +#5623500 +1! +#5623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5624000 +0! +#5624500 +1! +#5624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5625000 +0! +#5625500 +1! +#5625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5626000 +0! +#5626500 +1! +#5626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5627000 +0! +#5627500 +1! +#5627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5628000 +0! +#5628500 +1! +#5628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5629000 +0! +#5629500 +1! +#5629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5630000 +0! +#5630500 +1! +#5630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5631000 +0! +#5631500 +1! +#5631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5632000 +0! +#5632500 +1! +#5632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5633000 +0! +#5633500 +1! +#5633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5634000 +0! +#5634500 +1! +#5634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5635000 +0! +#5635500 +1! +#5635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5636000 +0! +#5636500 +1! +#5636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5637000 +0! +#5637500 +1! +#5637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5638000 +0! +#5638500 +1! +#5638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#5639000 +0! +#5639500 +1! +#5639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5640000 +0! +#5640500 +1! +#5640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5641000 +0! +#5641500 +1! +#5641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5642000 +0! +#5642500 +1! +#5642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5643000 +0! +#5643500 +1! +#5643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5644000 +0! +#5644500 +1! +#5644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5645000 +0! +#5645500 +1! +#5645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5646000 +0! +#5646500 +1! +#5646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5647000 +0! +#5647500 +1! +#5647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5648000 +0! +#5648500 +1! +#5648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5649000 +0! +#5649500 +1! +#5649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5650000 +0! +#5650500 +1! +#5650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5651000 +0! +#5651500 +1! +#5651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5652000 +0! +#5652500 +1! +#5652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5653000 +0! +#5653500 +1! +#5653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5654000 +0! +#5654500 +1! +#5654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5655000 +0! +#5655500 +1! +#5655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5656000 +0! +#5656500 +1! +#5656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5657000 +0! +#5657500 +1! +#5657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5658000 +0! +#5658500 +1! +#5658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5659000 +0! +#5659500 +1! +#5659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5660000 +0! +#5660500 +1! +#5660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5661000 +0! +#5661500 +1! +#5661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5662000 +0! +#5662500 +1! +#5662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5663000 +0! +#5663500 +1! +#5663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5664000 +0! +#5664500 +1! +#5664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5665000 +0! +#5665500 +1! +#5665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5666000 +0! +#5666500 +1! +#5666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5667000 +0! +#5667500 +1! +#5667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5668000 +0! +#5668500 +1! +#5668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5669000 +0! +#5669500 +1! +#5669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5670000 +0! +#5670500 +1! +#5670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5671000 +0! +#5671500 +1! +#5671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5672000 +0! +#5672500 +1! +#5672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5673000 +0! +#5673500 +1! +#5673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5674000 +0! +#5674500 +1! +#5674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5675000 +0! +#5675500 +1! +#5675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5676000 +0! +#5676500 +1! +#5676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5677000 +0! +#5677500 +1! +#5677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5678000 +0! +#5678500 +1! +#5678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5679000 +0! +#5679500 +1! +#5679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5680000 +0! +#5680500 +1! +#5680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5681000 +0! +#5681500 +1! +#5681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5682000 +0! +#5682500 +1! +#5682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5683000 +0! +#5683500 +1! +#5683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5684000 +0! +#5684500 +1! +#5684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5685000 +0! +#5685500 +1! +#5685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5686000 +0! +#5686500 +1! +#5686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5687000 +0! +#5687500 +1! +#5687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5688000 +0! +#5688500 +1! +#5688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5689000 +0! +#5689500 +1! +#5689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5690000 +0! +#5690500 +1! +#5690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5691000 +0! +#5691500 +1! +#5691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5692000 +0! +#5692500 +1! +#5692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5693000 +0! +#5693500 +1! +#5693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5694000 +0! +#5694500 +1! +#5694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5695000 +0! +#5695500 +1! +#5695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5696000 +0! +#5696500 +1! +#5696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5697000 +0! +#5697500 +1! +#5697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5698000 +0! +#5698500 +1! +#5698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5699000 +0! +#5699500 +1! +#5699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5700000 +0! +#5700500 +1! +#5700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5701000 +0! +#5701500 +1! +#5701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5702000 +0! +#5702500 +1! +#5702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5703000 +0! +#5703500 +1! +#5703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5704000 +0! +#5704500 +1! +#5704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5705000 +0! +#5705500 +1! +#5705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5706000 +0! +#5706500 +1! +#5706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5707000 +0! +#5707500 +1! +#5707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5708000 +0! +#5708500 +1! +#5708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5709000 +0! +#5709500 +1! +#5709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5710000 +0! +#5710500 +1! +#5710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5711000 +0! +#5711500 +1! +#5711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5712000 +0! +#5712500 +1! +#5712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5713000 +0! +#5713500 +1! +#5713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5714000 +0! +#5714500 +1! +#5714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5715000 +0! +#5715500 +1! +#5715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5716000 +0! +#5716500 +1! +#5716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5717000 +0! +#5717500 +1! +#5717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5718000 +0! +#5718500 +1! +#5718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5719000 +0! +#5719500 +1! +#5719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5720000 +0! +#5720500 +1! +#5720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5721000 +0! +#5721500 +1! +#5721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5722000 +0! +#5722500 +1! +#5722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5723000 +0! +#5723500 +1! +#5723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5724000 +0! +#5724500 +1! +#5724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5725000 +0! +#5725500 +1! +#5725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5726000 +0! +#5726500 +1! +#5726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5727000 +0! +#5727500 +1! +#5727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5728000 +0! +#5728500 +1! +#5728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5729000 +0! +#5729500 +1! +#5729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5730000 +0! +#5730500 +1! +#5730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5731000 +0! +#5731500 +1! +#5731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5732000 +0! +#5732500 +1! +#5732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5733000 +0! +#5733500 +1! +#5733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5734000 +0! +#5734500 +1! +#5734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5735000 +0! +#5735500 +1! +#5735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5736000 +0! +#5736500 +1! +#5736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5737000 +0! +#5737500 +1! +#5737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5738000 +0! +#5738500 +1! +#5738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5739000 +0! +#5739500 +1! +#5739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5740000 +0! +#5740500 +1! +#5740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#5741000 +0! +#5741500 +1! +#5741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5742000 +0! +#5742500 +1! +#5742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5743000 +0! +#5743500 +1! +#5743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5744000 +0! +#5744500 +1! +#5744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5745000 +0! +#5745500 +1! +#5745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5746000 +0! +#5746500 +1! +#5746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5747000 +0! +#5747500 +1! +#5747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5748000 +0! +#5748500 +1! +#5748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5749000 +0! +#5749500 +1! +#5749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5750000 +0! +#5750500 +1! +#5750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5751000 +0! +#5751500 +1! +#5751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5752000 +0! +#5752500 +1! +#5752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5753000 +0! +#5753500 +1! +#5753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5754000 +0! +#5754500 +1! +#5754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5755000 +0! +#5755500 +1! +#5755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5756000 +0! +#5756500 +1! +#5756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5757000 +0! +#5757500 +1! +#5757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5758000 +0! +#5758500 +1! +#5758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5759000 +0! +#5759500 +1! +#5759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5760000 +0! +#5760500 +1! +#5760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5761000 +0! +#5761500 +1! +#5761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5762000 +0! +#5762500 +1! +#5762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5763000 +0! +#5763500 +1! +#5763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5764000 +0! +#5764500 +1! +#5764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5765000 +0! +#5765500 +1! +#5765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5766000 +0! +#5766500 +1! +#5766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5767000 +0! +#5767500 +1! +#5767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5768000 +0! +#5768500 +1! +#5768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5769000 +0! +#5769500 +1! +#5769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5770000 +0! +#5770500 +1! +#5770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5771000 +0! +#5771500 +1! +#5771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5772000 +0! +#5772500 +1! +#5772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5773000 +0! +#5773500 +1! +#5773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5774000 +0! +#5774500 +1! +#5774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5775000 +0! +#5775500 +1! +#5775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5776000 +0! +#5776500 +1! +#5776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5777000 +0! +#5777500 +1! +#5777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5778000 +0! +#5778500 +1! +#5778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5779000 +0! +#5779500 +1! +#5779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5780000 +0! +#5780500 +1! +#5780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5781000 +0! +#5781500 +1! +#5781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5782000 +0! +#5782500 +1! +#5782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5783000 +0! +#5783500 +1! +#5783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5784000 +0! +#5784500 +1! +#5784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5785000 +0! +#5785500 +1! +#5785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5786000 +0! +#5786500 +1! +#5786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5787000 +0! +#5787500 +1! +#5787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5788000 +0! +#5788500 +1! +#5788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5789000 +0! +#5789500 +1! +#5789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5790000 +0! +#5790500 +1! +#5790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5791000 +0! +#5791500 +1! +#5791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#5791700 +b100 g +b101010 c +#5792000 +0! +#5792500 +1! +#5792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5793000 +0! +#5793500 +1! +#5793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5794000 +0! +#5794500 +1! +#5794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5795000 +0! +#5795500 +1! +#5795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5796000 +0! +#5796500 +1! +#5796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5797000 +0! +#5797500 +1! +#5797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5798000 +0! +#5798500 +1! +#5798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5799000 +0! +#5799500 +1! +#5799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5800000 +0! +#5800500 +1! +#5800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5801000 +0! +#5801500 +1! +#5801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5802000 +0! +#5802500 +1! +#5802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5803000 +0! +#5803500 +1! +#5803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5804000 +0! +#5804500 +1! +#5804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5805000 +0! +#5805500 +1! +#5805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5806000 +0! +#5806500 +1! +#5806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5807000 +0! +#5807500 +1! +#5807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5808000 +0! +#5808500 +1! +#5808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5809000 +0! +#5809500 +1! +#5809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5810000 +0! +#5810500 +1! +#5810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5811000 +0! +#5811500 +1! +#5811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5812000 +0! +#5812500 +1! +#5812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5813000 +0! +#5813500 +1! +#5813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5814000 +0! +#5814500 +1! +#5814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5815000 +0! +#5815500 +1! +#5815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5816000 +0! +#5816500 +1! +#5816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5817000 +0! +#5817500 +1! +#5817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5818000 +0! +#5818500 +1! +#5818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5819000 +0! +#5819500 +1! +#5819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5820000 +0! +#5820500 +1! +#5820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5821000 +0! +#5821500 +1! +#5821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5822000 +0! +#5822500 +1! +#5822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5823000 +0! +#5823500 +1! +#5823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5824000 +0! +#5824500 +1! +#5824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5825000 +0! +#5825500 +1! +#5825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5826000 +0! +#5826500 +1! +#5826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5827000 +0! +#5827500 +1! +#5827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5828000 +0! +#5828500 +1! +#5828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5829000 +0! +#5829500 +1! +#5829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5830000 +0! +#5830500 +1! +#5830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5831000 +0! +#5831500 +1! +#5831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5832000 +0! +#5832500 +1! +#5832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5833000 +0! +#5833500 +1! +#5833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5834000 +0! +#5834500 +1! +#5834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5835000 +0! +#5835500 +1! +#5835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5836000 +0! +#5836500 +1! +#5836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5837000 +0! +#5837500 +1! +#5837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5838000 +0! +#5838500 +1! +#5838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5839000 +0! +#5839500 +1! +#5839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5840000 +0! +#5840500 +1! +#5840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5841000 +0! +#5841500 +1! +#5841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5842000 +0! +#5842500 +1! +#5842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5843000 +0! +#5843500 +1! +#5843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5844000 +0! +#5844500 +1! +#5844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5845000 +0! +#5845500 +1! +#5845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5846000 +0! +#5846500 +1! +#5846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5847000 +0! +#5847500 +1! +#5847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5848000 +0! +#5848500 +1! +#5848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5849000 +0! +#5849500 +1! +#5849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5850000 +0! +#5850500 +1! +#5850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5851000 +0! +#5851500 +1! +#5851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5852000 +0! +#5852500 +1! +#5852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5853000 +0! +#5853500 +1! +#5853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5854000 +0! +#5854500 +1! +#5854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5855000 +0! +#5855500 +1! +#5855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5856000 +0! +#5856500 +1! +#5856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5857000 +0! +#5857500 +1! +#5857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5858000 +0! +#5858500 +1! +#5858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5859000 +0! +#5859500 +1! +#5859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5860000 +0! +#5860500 +1! +#5860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5861000 +0! +#5861500 +1! +#5861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5862000 +0! +#5862500 +1! +#5862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5863000 +0! +#5863500 +1! +#5863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5864000 +0! +#5864500 +1! +#5864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5865000 +0! +#5865500 +1! +#5865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5866000 +0! +#5866500 +1! +#5866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5867000 +0! +#5867500 +1! +#5867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5868000 +0! +#5868500 +1! +#5868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5869000 +0! +#5869500 +1! +#5869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5870000 +0! +#5870500 +1! +#5870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5871000 +0! +#5871500 +1! +#5871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5872000 +0! +#5872500 +1! +#5872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5873000 +0! +#5873500 +1! +#5873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5874000 +0! +#5874500 +1! +#5874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5875000 +0! +#5875500 +1! +#5875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5876000 +0! +#5876500 +1! +#5876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5877000 +0! +#5877500 +1! +#5877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5878000 +0! +#5878500 +1! +#5878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5879000 +0! +#5879500 +1! +#5879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5880000 +0! +#5880500 +1! +#5880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5881000 +0! +#5881500 +1! +#5881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5882000 +0! +#5882500 +1! +#5882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5883000 +0! +#5883500 +1! +#5883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5884000 +0! +#5884500 +1! +#5884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5885000 +0! +#5885500 +1! +#5885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5886000 +0! +#5886500 +1! +#5886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5887000 +0! +#5887500 +1! +#5887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5888000 +0! +#5888500 +1! +#5888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5889000 +0! +#5889500 +1! +#5889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5890000 +0! +#5890500 +1! +#5890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5891000 +0! +#5891500 +1! +#5891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5892000 +0! +#5892500 +1! +#5892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5893000 +0! +#5893500 +1! +#5893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#5894000 +0! +#5894500 +1! +#5894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5895000 +0! +#5895500 +1! +#5895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5896000 +0! +#5896500 +1! +#5896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5897000 +0! +#5897500 +1! +#5897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5898000 +0! +#5898500 +1! +#5898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5899000 +0! +#5899500 +1! +#5899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5900000 +0! +#5900500 +1! +#5900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5901000 +0! +#5901500 +1! +#5901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5902000 +0! +#5902500 +1! +#5902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5903000 +0! +#5903500 +1! +#5903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5904000 +0! +#5904500 +1! +#5904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5905000 +0! +#5905500 +1! +#5905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5906000 +0! +#5906500 +1! +#5906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5907000 +0! +#5907500 +1! +#5907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5908000 +0! +#5908500 +1! +#5908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5909000 +0! +#5909500 +1! +#5909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5910000 +0! +#5910500 +1! +#5910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5911000 +0! +#5911500 +1! +#5911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5912000 +0! +#5912500 +1! +#5912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5913000 +0! +#5913500 +1! +#5913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5914000 +0! +#5914500 +1! +#5914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5915000 +0! +#5915500 +1! +#5915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5916000 +0! +#5916500 +1! +#5916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5917000 +0! +#5917500 +1! +#5917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5918000 +0! +#5918500 +1! +#5918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5919000 +0! +#5919500 +1! +#5919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5920000 +0! +#5920500 +1! +#5920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5921000 +0! +#5921500 +1! +#5921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5922000 +0! +#5922500 +1! +#5922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5923000 +0! +#5923500 +1! +#5923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5924000 +0! +#5924500 +1! +#5924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5925000 +0! +#5925500 +1! +#5925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5926000 +0! +#5926500 +1! +#5926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5927000 +0! +#5927500 +1! +#5927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5928000 +0! +#5928500 +1! +#5928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5929000 +0! +#5929500 +1! +#5929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5930000 +0! +#5930500 +1! +#5930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5931000 +0! +#5931500 +1! +#5931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5932000 +0! +#5932500 +1! +#5932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5933000 +0! +#5933500 +1! +#5933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5934000 +0! +#5934500 +1! +#5934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5935000 +0! +#5935500 +1! +#5935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5936000 +0! +#5936500 +1! +#5936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5937000 +0! +#5937500 +1! +#5937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5938000 +0! +#5938500 +1! +#5938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5939000 +0! +#5939500 +1! +#5939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5940000 +0! +#5940500 +1! +#5940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5941000 +0! +#5941500 +1! +#5941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5942000 +0! +#5942500 +1! +#5942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5943000 +0! +#5943500 +1! +#5943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5944000 +0! +#5944500 +1! +#5944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5945000 +0! +#5945500 +1! +#5945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5946000 +0! +#5946500 +1! +#5946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5947000 +0! +#5947500 +1! +#5947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5948000 +0! +#5948500 +1! +#5948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5949000 +0! +#5949500 +1! +#5949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5950000 +0! +#5950500 +1! +#5950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5951000 +0! +#5951500 +1! +#5951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5952000 +0! +#5952500 +1! +#5952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5953000 +0! +#5953500 +1! +#5953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5954000 +0! +#5954500 +1! +#5954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5955000 +0! +#5955500 +1! +#5955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5956000 +0! +#5956500 +1! +#5956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5957000 +0! +#5957500 +1! +#5957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5958000 +0! +#5958500 +1! +#5958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5959000 +0! +#5959500 +1! +#5959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5960000 +0! +#5960500 +1! +#5960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5961000 +0! +#5961500 +1! +#5961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5962000 +0! +#5962500 +1! +#5962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5963000 +0! +#5963500 +1! +#5963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5964000 +0! +#5964500 +1! +#5964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5965000 +0! +#5965500 +1! +#5965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5966000 +0! +#5966500 +1! +#5966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5967000 +0! +#5967500 +1! +#5967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5968000 +0! +#5968500 +1! +#5968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5969000 +0! +#5969500 +1! +#5969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5970000 +0! +#5970500 +1! +#5970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5971000 +0! +#5971500 +1! +#5971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5972000 +0! +#5972500 +1! +#5972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5973000 +0! +#5973500 +1! +#5973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5974000 +0! +#5974500 +1! +#5974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5975000 +0! +#5975500 +1! +#5975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5976000 +0! +#5976500 +1! +#5976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5977000 +0! +#5977500 +1! +#5977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5978000 +0! +#5978500 +1! +#5978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5979000 +0! +#5979500 +1! +#5979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5980000 +0! +#5980500 +1! +#5980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5981000 +0! +#5981500 +1! +#5981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5982000 +0! +#5982500 +1! +#5982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5983000 +0! +#5983500 +1! +#5983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5984000 +0! +#5984500 +1! +#5984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5985000 +0! +#5985500 +1! +#5985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5986000 +0! +#5986500 +1! +#5986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5987000 +0! +#5987500 +1! +#5987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5988000 +0! +#5988500 +1! +#5988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5989000 +0! +#5989500 +1! +#5989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5990000 +0! +#5990500 +1! +#5990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5991000 +0! +#5991500 +1! +#5991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5992000 +0! +#5992500 +1! +#5992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5993000 +0! +#5993500 +1! +#5993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5994000 +0! +#5994500 +1! +#5994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5995000 +0! +#5995500 +1! +#5995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5996000 +0! +#5996500 +1! +#5996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5997000 +0! +#5997500 +1! +#5997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#5998000 +0! +#5998500 +1! +#5998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#5999000 +0! +#5999500 +1! +#5999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6000000 +0! +#6000500 +1! +#6000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6001000 +0! +#6001500 +1! +#6001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6002000 +0! +#6002500 +1! +#6002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6003000 +0! +#6003500 +1! +#6003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6004000 +0! +#6004500 +1! +#6004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6005000 +0! +#6005500 +1! +#6005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6006000 +0! +#6006500 +1! +#6006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6007000 +0! +#6007500 +1! +#6007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6008000 +0! +#6008500 +1! +#6008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6009000 +0! +#6009500 +1! +#6009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6010000 +0! +#6010500 +1! +#6010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6011000 +0! +#6011500 +1! +#6011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6012000 +0! +#6012500 +1! +#6012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6013000 +0! +#6013500 +1! +#6013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6014000 +0! +#6014500 +1! +#6014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6015000 +0! +#6015500 +1! +#6015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6016000 +0! +#6016500 +1! +#6016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6017000 +0! +#6017500 +1! +#6017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6018000 +0! +#6018500 +1! +#6018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6019000 +0! +#6019500 +1! +#6019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6020000 +0! +#6020500 +1! +#6020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6021000 +0! +#6021500 +1! +#6021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6022000 +0! +#6022500 +1! +#6022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6023000 +0! +#6023500 +1! +#6023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6024000 +0! +#6024500 +1! +#6024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6025000 +0! +#6025500 +1! +#6025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6026000 +0! +#6026500 +1! +#6026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6027000 +0! +#6027500 +1! +#6027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6028000 +0! +#6028500 +1! +#6028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6029000 +0! +#6029500 +1! +#6029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6030000 +0! +#6030500 +1! +#6030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6031000 +0! +#6031500 +1! +#6031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6032000 +0! +#6032500 +1! +#6032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6033000 +0! +#6033500 +1! +#6033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6034000 +0! +#6034500 +1! +#6034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6035000 +0! +#6035500 +1! +#6035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6036000 +0! +#6036500 +1! +#6036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6037000 +0! +#6037500 +1! +#6037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6038000 +0! +#6038500 +1! +#6038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6039000 +0! +#6039500 +1! +#6039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6040000 +0! +#6040500 +1! +#6040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6041000 +0! +#6041500 +1! +#6041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6042000 +0! +#6042500 +1! +#6042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6043000 +0! +#6043500 +1! +#6043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6044000 +0! +#6044500 +1! +#6044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6045000 +0! +#6045500 +1! +#6045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6046000 +0! +#6046500 +1! +#6046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#6046700 +b11 g +b1010100 c +#6047000 +0! +#6047500 +1! +#6047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6048000 +0! +#6048500 +1! +#6048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6049000 +0! +#6049500 +1! +#6049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6050000 +0! +#6050500 +1! +#6050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6051000 +0! +#6051500 +1! +#6051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6052000 +0! +#6052500 +1! +#6052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6053000 +0! +#6053500 +1! +#6053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6054000 +0! +#6054500 +1! +#6054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6055000 +0! +#6055500 +1! +#6055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6056000 +0! +#6056500 +1! +#6056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6057000 +0! +#6057500 +1! +#6057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6058000 +0! +#6058500 +1! +#6058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6059000 +0! +#6059500 +1! +#6059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6060000 +0! +#6060500 +1! +#6060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6061000 +0! +#6061500 +1! +#6061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6062000 +0! +#6062500 +1! +#6062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6063000 +0! +#6063500 +1! +#6063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6064000 +0! +#6064500 +1! +#6064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6065000 +0! +#6065500 +1! +#6065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6066000 +0! +#6066500 +1! +#6066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6067000 +0! +#6067500 +1! +#6067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6068000 +0! +#6068500 +1! +#6068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6069000 +0! +#6069500 +1! +#6069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6070000 +0! +#6070500 +1! +#6070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6071000 +0! +#6071500 +1! +#6071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6072000 +0! +#6072500 +1! +#6072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6073000 +0! +#6073500 +1! +#6073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6074000 +0! +#6074500 +1! +#6074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6075000 +0! +#6075500 +1! +#6075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6076000 +0! +#6076500 +1! +#6076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6077000 +0! +#6077500 +1! +#6077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6078000 +0! +#6078500 +1! +#6078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6079000 +0! +#6079500 +1! +#6079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6080000 +0! +#6080500 +1! +#6080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6081000 +0! +#6081500 +1! +#6081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6082000 +0! +#6082500 +1! +#6082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6083000 +0! +#6083500 +1! +#6083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6084000 +0! +#6084500 +1! +#6084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6085000 +0! +#6085500 +1! +#6085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6086000 +0! +#6086500 +1! +#6086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6087000 +0! +#6087500 +1! +#6087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6088000 +0! +#6088500 +1! +#6088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6089000 +0! +#6089500 +1! +#6089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6090000 +0! +#6090500 +1! +#6090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6091000 +0! +#6091500 +1! +#6091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6092000 +0! +#6092500 +1! +#6092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6093000 +0! +#6093500 +1! +#6093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6094000 +0! +#6094500 +1! +#6094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6095000 +0! +#6095500 +1! +#6095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6096000 +0! +#6096500 +1! +#6096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6097000 +0! +#6097500 +1! +#6097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6098000 +0! +#6098500 +1! +#6098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6099000 +0! +#6099500 +1! +#6099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6100000 +0! +#6100500 +1! +#6100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6101000 +0! +#6101500 +1! +#6101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6102000 +0! +#6102500 +1! +#6102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6103000 +0! +#6103500 +1! +#6103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6104000 +0! +#6104500 +1! +#6104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6105000 +0! +#6105500 +1! +#6105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6106000 +0! +#6106500 +1! +#6106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6107000 +0! +#6107500 +1! +#6107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6108000 +0! +#6108500 +1! +#6108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6109000 +0! +#6109500 +1! +#6109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6110000 +0! +#6110500 +1! +#6110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6111000 +0! +#6111500 +1! +#6111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6112000 +0! +#6112500 +1! +#6112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6113000 +0! +#6113500 +1! +#6113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6114000 +0! +#6114500 +1! +#6114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6115000 +0! +#6115500 +1! +#6115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6116000 +0! +#6116500 +1! +#6116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6117000 +0! +#6117500 +1! +#6117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6118000 +0! +#6118500 +1! +#6118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6119000 +0! +#6119500 +1! +#6119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6120000 +0! +#6120500 +1! +#6120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6121000 +0! +#6121500 +1! +#6121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6122000 +0! +#6122500 +1! +#6122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6123000 +0! +#6123500 +1! +#6123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6124000 +0! +#6124500 +1! +#6124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6125000 +0! +#6125500 +1! +#6125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6126000 +0! +#6126500 +1! +#6126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6127000 +0! +#6127500 +1! +#6127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6128000 +0! +#6128500 +1! +#6128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6129000 +0! +#6129500 +1! +#6129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6130000 +0! +#6130500 +1! +#6130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6131000 +0! +#6131500 +1! +#6131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6132000 +0! +#6132500 +1! +#6132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6133000 +0! +#6133500 +1! +#6133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6134000 +0! +#6134500 +1! +#6134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6135000 +0! +#6135500 +1! +#6135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6136000 +0! +#6136500 +1! +#6136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6137000 +0! +#6137500 +1! +#6137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6138000 +0! +#6138500 +1! +#6138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6139000 +0! +#6139500 +1! +#6139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6140000 +0! +#6140500 +1! +#6140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6141000 +0! +#6141500 +1! +#6141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6142000 +0! +#6142500 +1! +#6142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6143000 +0! +#6143500 +1! +#6143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6144000 +0! +#6144500 +1! +#6144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6145000 +0! +#6145500 +1! +#6145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6146000 +0! +#6146500 +1! +#6146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6147000 +0! +#6147500 +1! +#6147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6148000 +0! +#6148500 +1! +#6148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#6149000 +0! +#6149500 +1! +#6149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6150000 +0! +#6150500 +1! +#6150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6151000 +0! +#6151500 +1! +#6151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6152000 +0! +#6152500 +1! +#6152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6153000 +0! +#6153500 +1! +#6153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6154000 +0! +#6154500 +1! +#6154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6155000 +0! +#6155500 +1! +#6155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6156000 +0! +#6156500 +1! +#6156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6157000 +0! +#6157500 +1! +#6157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6158000 +0! +#6158500 +1! +#6158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6159000 +0! +#6159500 +1! +#6159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6160000 +0! +#6160500 +1! +#6160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6161000 +0! +#6161500 +1! +#6161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6162000 +0! +#6162500 +1! +#6162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6163000 +0! +#6163500 +1! +#6163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6164000 +0! +#6164500 +1! +#6164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6165000 +0! +#6165500 +1! +#6165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6166000 +0! +#6166500 +1! +#6166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6167000 +0! +#6167500 +1! +#6167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6168000 +0! +#6168500 +1! +#6168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6169000 +0! +#6169500 +1! +#6169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6170000 +0! +#6170500 +1! +#6170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6171000 +0! +#6171500 +1! +#6171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6172000 +0! +#6172500 +1! +#6172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6173000 +0! +#6173500 +1! +#6173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6174000 +0! +#6174500 +1! +#6174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6175000 +0! +#6175500 +1! +#6175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6176000 +0! +#6176500 +1! +#6176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6177000 +0! +#6177500 +1! +#6177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6178000 +0! +#6178500 +1! +#6178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6179000 +0! +#6179500 +1! +#6179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6180000 +0! +#6180500 +1! +#6180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6181000 +0! +#6181500 +1! +#6181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6182000 +0! +#6182500 +1! +#6182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6183000 +0! +#6183500 +1! +#6183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6184000 +0! +#6184500 +1! +#6184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6185000 +0! +#6185500 +1! +#6185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6186000 +0! +#6186500 +1! +#6186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6187000 +0! +#6187500 +1! +#6187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6188000 +0! +#6188500 +1! +#6188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6189000 +0! +#6189500 +1! +#6189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6190000 +0! +#6190500 +1! +#6190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6191000 +0! +#6191500 +1! +#6191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6192000 +0! +#6192500 +1! +#6192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6193000 +0! +#6193500 +1! +#6193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6194000 +0! +#6194500 +1! +#6194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6195000 +0! +#6195500 +1! +#6195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6196000 +0! +#6196500 +1! +#6196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6197000 +0! +#6197500 +1! +#6197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6198000 +0! +#6198500 +1! +#6198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6199000 +0! +#6199500 +1! +#6199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6200000 +0! +#6200500 +1! +#6200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6201000 +0! +#6201500 +1! +#6201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6202000 +0! +#6202500 +1! +#6202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6203000 +0! +#6203500 +1! +#6203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6204000 +0! +#6204500 +1! +#6204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6205000 +0! +#6205500 +1! +#6205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6206000 +0! +#6206500 +1! +#6206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6207000 +0! +#6207500 +1! +#6207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6208000 +0! +#6208500 +1! +#6208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6209000 +0! +#6209500 +1! +#6209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6210000 +0! +#6210500 +1! +#6210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6211000 +0! +#6211500 +1! +#6211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6212000 +0! +#6212500 +1! +#6212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6213000 +0! +#6213500 +1! +#6213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6214000 +0! +#6214500 +1! +#6214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6215000 +0! +#6215500 +1! +#6215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6216000 +0! +#6216500 +1! +#6216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6217000 +0! +#6217500 +1! +#6217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6218000 +0! +#6218500 +1! +#6218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6219000 +0! +#6219500 +1! +#6219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6220000 +0! +#6220500 +1! +#6220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6221000 +0! +#6221500 +1! +#6221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6222000 +0! +#6222500 +1! +#6222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6223000 +0! +#6223500 +1! +#6223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6224000 +0! +#6224500 +1! +#6224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6225000 +0! +#6225500 +1! +#6225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6226000 +0! +#6226500 +1! +#6226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6227000 +0! +#6227500 +1! +#6227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6228000 +0! +#6228500 +1! +#6228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6229000 +0! +#6229500 +1! +#6229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6230000 +0! +#6230500 +1! +#6230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6231000 +0! +#6231500 +1! +#6231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6232000 +0! +#6232500 +1! +#6232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6233000 +0! +#6233500 +1! +#6233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6234000 +0! +#6234500 +1! +#6234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6235000 +0! +#6235500 +1! +#6235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6236000 +0! +#6236500 +1! +#6236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6237000 +0! +#6237500 +1! +#6237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6238000 +0! +#6238500 +1! +#6238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6239000 +0! +#6239500 +1! +#6239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6240000 +0! +#6240500 +1! +#6240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6241000 +0! +#6241500 +1! +#6241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6242000 +0! +#6242500 +1! +#6242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6243000 +0! +#6243500 +1! +#6243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6244000 +0! +#6244500 +1! +#6244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6245000 +0! +#6245500 +1! +#6245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6246000 +0! +#6246500 +1! +#6246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6247000 +0! +#6247500 +1! +#6247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6248000 +0! +#6248500 +1! +#6248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6249000 +0! +#6249500 +1! +#6249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6250000 +0! +#6250500 +1! +#6250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#6251000 +0! +#6251500 +1! +#6251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6252000 +0! +#6252500 +1! +#6252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6253000 +0! +#6253500 +1! +#6253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6254000 +0! +#6254500 +1! +#6254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6255000 +0! +#6255500 +1! +#6255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6256000 +0! +#6256500 +1! +#6256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6257000 +0! +#6257500 +1! +#6257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6258000 +0! +#6258500 +1! +#6258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6259000 +0! +#6259500 +1! +#6259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6260000 +0! +#6260500 +1! +#6260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6261000 +0! +#6261500 +1! +#6261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6262000 +0! +#6262500 +1! +#6262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6263000 +0! +#6263500 +1! +#6263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6264000 +0! +#6264500 +1! +#6264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6265000 +0! +#6265500 +1! +#6265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6266000 +0! +#6266500 +1! +#6266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6267000 +0! +#6267500 +1! +#6267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6268000 +0! +#6268500 +1! +#6268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6269000 +0! +#6269500 +1! +#6269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6270000 +0! +#6270500 +1! +#6270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6271000 +0! +#6271500 +1! +#6271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6272000 +0! +#6272500 +1! +#6272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6273000 +0! +#6273500 +1! +#6273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6274000 +0! +#6274500 +1! +#6274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6275000 +0! +#6275500 +1! +#6275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6276000 +0! +#6276500 +1! +#6276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6277000 +0! +#6277500 +1! +#6277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6278000 +0! +#6278500 +1! +#6278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6279000 +0! +#6279500 +1! +#6279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6280000 +0! +#6280500 +1! +#6280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6281000 +0! +#6281500 +1! +#6281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6282000 +0! +#6282500 +1! +#6282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6283000 +0! +#6283500 +1! +#6283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6284000 +0! +#6284500 +1! +#6284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6285000 +0! +#6285500 +1! +#6285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6286000 +0! +#6286500 +1! +#6286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6287000 +0! +#6287500 +1! +#6287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6288000 +0! +#6288500 +1! +#6288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6289000 +0! +#6289500 +1! +#6289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6290000 +0! +#6290500 +1! +#6290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6291000 +0! +#6291500 +1! +#6291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6292000 +0! +#6292500 +1! +#6292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6293000 +0! +#6293500 +1! +#6293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6294000 +0! +#6294500 +1! +#6294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6295000 +0! +#6295500 +1! +#6295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6296000 +0! +#6296500 +1! +#6296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6297000 +0! +#6297500 +1! +#6297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6298000 +0! +#6298500 +1! +#6298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6299000 +0! +#6299500 +1! +#6299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6300000 +0! +#6300500 +1! +#6300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6301000 +0! +#6301500 +1! +#6301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#6301700 +b10 g +b10101001 c +#6302000 +0! +#6302500 +1! +#6302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6303000 +0! +#6303500 +1! +#6303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6304000 +0! +#6304500 +1! +#6304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6305000 +0! +#6305500 +1! +#6305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6306000 +0! +#6306500 +1! +#6306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6307000 +0! +#6307500 +1! +#6307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6308000 +0! +#6308500 +1! +#6308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6309000 +0! +#6309500 +1! +#6309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6310000 +0! +#6310500 +1! +#6310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6311000 +0! +#6311500 +1! +#6311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6312000 +0! +#6312500 +1! +#6312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6313000 +0! +#6313500 +1! +#6313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6314000 +0! +#6314500 +1! +#6314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6315000 +0! +#6315500 +1! +#6315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6316000 +0! +#6316500 +1! +#6316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6317000 +0! +#6317500 +1! +#6317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6318000 +0! +#6318500 +1! +#6318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6319000 +0! +#6319500 +1! +#6319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6320000 +0! +#6320500 +1! +#6320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6321000 +0! +#6321500 +1! +#6321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6322000 +0! +#6322500 +1! +#6322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6323000 +0! +#6323500 +1! +#6323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6324000 +0! +#6324500 +1! +#6324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6325000 +0! +#6325500 +1! +#6325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6326000 +0! +#6326500 +1! +#6326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6327000 +0! +#6327500 +1! +#6327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6328000 +0! +#6328500 +1! +#6328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6329000 +0! +#6329500 +1! +#6329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6330000 +0! +#6330500 +1! +#6330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6331000 +0! +#6331500 +1! +#6331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6332000 +0! +#6332500 +1! +#6332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6333000 +0! +#6333500 +1! +#6333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6334000 +0! +#6334500 +1! +#6334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6335000 +0! +#6335500 +1! +#6335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6336000 +0! +#6336500 +1! +#6336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6337000 +0! +#6337500 +1! +#6337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6338000 +0! +#6338500 +1! +#6338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6339000 +0! +#6339500 +1! +#6339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6340000 +0! +#6340500 +1! +#6340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6341000 +0! +#6341500 +1! +#6341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6342000 +0! +#6342500 +1! +#6342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6343000 +0! +#6343500 +1! +#6343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6344000 +0! +#6344500 +1! +#6344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6345000 +0! +#6345500 +1! +#6345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6346000 +0! +#6346500 +1! +#6346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6347000 +0! +#6347500 +1! +#6347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6348000 +0! +#6348500 +1! +#6348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6349000 +0! +#6349500 +1! +#6349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6350000 +0! +#6350500 +1! +#6350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6351000 +0! +#6351500 +1! +#6351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6352000 +0! +#6352500 +1! +#6352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6353000 +0! +#6353500 +1! +#6353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6354000 +0! +#6354500 +1! +#6354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6355000 +0! +#6355500 +1! +#6355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6356000 +0! +#6356500 +1! +#6356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6357000 +0! +#6357500 +1! +#6357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6358000 +0! +#6358500 +1! +#6358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6359000 +0! +#6359500 +1! +#6359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6360000 +0! +#6360500 +1! +#6360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6361000 +0! +#6361500 +1! +#6361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6362000 +0! +#6362500 +1! +#6362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6363000 +0! +#6363500 +1! +#6363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6364000 +0! +#6364500 +1! +#6364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6365000 +0! +#6365500 +1! +#6365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6366000 +0! +#6366500 +1! +#6366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6367000 +0! +#6367500 +1! +#6367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6368000 +0! +#6368500 +1! +#6368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6369000 +0! +#6369500 +1! +#6369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6370000 +0! +#6370500 +1! +#6370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6371000 +0! +#6371500 +1! +#6371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6372000 +0! +#6372500 +1! +#6372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6373000 +0! +#6373500 +1! +#6373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6374000 +0! +#6374500 +1! +#6374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6375000 +0! +#6375500 +1! +#6375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6376000 +0! +#6376500 +1! +#6376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6377000 +0! +#6377500 +1! +#6377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6378000 +0! +#6378500 +1! +#6378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6379000 +0! +#6379500 +1! +#6379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6380000 +0! +#6380500 +1! +#6380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6381000 +0! +#6381500 +1! +#6381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6382000 +0! +#6382500 +1! +#6382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6383000 +0! +#6383500 +1! +#6383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6384000 +0! +#6384500 +1! +#6384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6385000 +0! +#6385500 +1! +#6385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6386000 +0! +#6386500 +1! +#6386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6387000 +0! +#6387500 +1! +#6387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6388000 +0! +#6388500 +1! +#6388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6389000 +0! +#6389500 +1! +#6389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6390000 +0! +#6390500 +1! +#6390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6391000 +0! +#6391500 +1! +#6391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6392000 +0! +#6392500 +1! +#6392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6393000 +0! +#6393500 +1! +#6393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6394000 +0! +#6394500 +1! +#6394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6395000 +0! +#6395500 +1! +#6395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6396000 +0! +#6396500 +1! +#6396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6397000 +0! +#6397500 +1! +#6397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6398000 +0! +#6398500 +1! +#6398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6399000 +0! +#6399500 +1! +#6399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6400000 +0! +#6400500 +1! +#6400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6401000 +0! +#6401500 +1! +#6401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6402000 +0! +#6402500 +1! +#6402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6403000 +0! +#6403500 +1! +#6403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#6404000 +0! +#6404500 +1! +#6404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6405000 +0! +#6405500 +1! +#6405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6406000 +0! +#6406500 +1! +#6406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6407000 +0! +#6407500 +1! +#6407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6408000 +0! +#6408500 +1! +#6408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6409000 +0! +#6409500 +1! +#6409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6410000 +0! +#6410500 +1! +#6410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6411000 +0! +#6411500 +1! +#6411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6412000 +0! +#6412500 +1! +#6412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6413000 +0! +#6413500 +1! +#6413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6414000 +0! +#6414500 +1! +#6414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6415000 +0! +#6415500 +1! +#6415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6416000 +0! +#6416500 +1! +#6416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6417000 +0! +#6417500 +1! +#6417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6418000 +0! +#6418500 +1! +#6418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6419000 +0! +#6419500 +1! +#6419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6420000 +0! +#6420500 +1! +#6420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6421000 +0! +#6421500 +1! +#6421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6422000 +0! +#6422500 +1! +#6422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6423000 +0! +#6423500 +1! +#6423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6424000 +0! +#6424500 +1! +#6424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6425000 +0! +#6425500 +1! +#6425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6426000 +0! +#6426500 +1! +#6426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6427000 +0! +#6427500 +1! +#6427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6428000 +0! +#6428500 +1! +#6428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6429000 +0! +#6429500 +1! +#6429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6430000 +0! +#6430500 +1! +#6430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6431000 +0! +#6431500 +1! +#6431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6432000 +0! +#6432500 +1! +#6432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6433000 +0! +#6433500 +1! +#6433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6434000 +0! +#6434500 +1! +#6434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6435000 +0! +#6435500 +1! +#6435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6436000 +0! +#6436500 +1! +#6436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6437000 +0! +#6437500 +1! +#6437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6438000 +0! +#6438500 +1! +#6438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6439000 +0! +#6439500 +1! +#6439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6440000 +0! +#6440500 +1! +#6440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6441000 +0! +#6441500 +1! +#6441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6442000 +0! +#6442500 +1! +#6442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6443000 +0! +#6443500 +1! +#6443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6444000 +0! +#6444500 +1! +#6444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6445000 +0! +#6445500 +1! +#6445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6446000 +0! +#6446500 +1! +#6446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6447000 +0! +#6447500 +1! +#6447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6448000 +0! +#6448500 +1! +#6448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6449000 +0! +#6449500 +1! +#6449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6450000 +0! +#6450500 +1! +#6450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6451000 +0! +#6451500 +1! +#6451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6452000 +0! +#6452500 +1! +#6452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6453000 +0! +#6453500 +1! +#6453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6454000 +0! +#6454500 +1! +#6454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6455000 +0! +#6455500 +1! +#6455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6456000 +0! +#6456500 +1! +#6456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6457000 +0! +#6457500 +1! +#6457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6458000 +0! +#6458500 +1! +#6458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6459000 +0! +#6459500 +1! +#6459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6460000 +0! +#6460500 +1! +#6460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6461000 +0! +#6461500 +1! +#6461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6462000 +0! +#6462500 +1! +#6462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6463000 +0! +#6463500 +1! +#6463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6464000 +0! +#6464500 +1! +#6464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6465000 +0! +#6465500 +1! +#6465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6466000 +0! +#6466500 +1! +#6466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6467000 +0! +#6467500 +1! +#6467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6468000 +0! +#6468500 +1! +#6468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6469000 +0! +#6469500 +1! +#6469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6470000 +0! +#6470500 +1! +#6470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6471000 +0! +#6471500 +1! +#6471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6472000 +0! +#6472500 +1! +#6472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6473000 +0! +#6473500 +1! +#6473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6474000 +0! +#6474500 +1! +#6474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6475000 +0! +#6475500 +1! +#6475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6476000 +0! +#6476500 +1! +#6476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6477000 +0! +#6477500 +1! +#6477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6478000 +0! +#6478500 +1! +#6478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6479000 +0! +#6479500 +1! +#6479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6480000 +0! +#6480500 +1! +#6480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6481000 +0! +#6481500 +1! +#6481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6482000 +0! +#6482500 +1! +#6482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6483000 +0! +#6483500 +1! +#6483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6484000 +0! +#6484500 +1! +#6484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6485000 +0! +#6485500 +1! +#6485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6486000 +0! +#6486500 +1! +#6486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6487000 +0! +#6487500 +1! +#6487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6488000 +0! +#6488500 +1! +#6488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6489000 +0! +#6489500 +1! +#6489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6490000 +0! +#6490500 +1! +#6490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6491000 +0! +#6491500 +1! +#6491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6492000 +0! +#6492500 +1! +#6492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6493000 +0! +#6493500 +1! +#6493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6494000 +0! +#6494500 +1! +#6494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6495000 +0! +#6495500 +1! +#6495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6496000 +0! +#6496500 +1! +#6496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6497000 +0! +#6497500 +1! +#6497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6498000 +0! +#6498500 +1! +#6498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6499000 +0! +#6499500 +1! +#6499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6500000 +0! +#6500500 +1! +#6500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6501000 +0! +#6501500 +1! +#6501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6502000 +0! +#6502500 +1! +#6502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6503000 +0! +#6503500 +1! +#6503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6504000 +0! +#6504500 +1! +#6504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6505000 +0! +#6505500 +1! +#6505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#6506000 +0! +#6506500 +1! +#6506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6507000 +0! +#6507500 +1! +#6507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6508000 +0! +#6508500 +1! +#6508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6509000 +0! +#6509500 +1! +#6509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6510000 +0! +#6510500 +1! +#6510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6511000 +0! +#6511500 +1! +#6511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6512000 +0! +#6512500 +1! +#6512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6513000 +0! +#6513500 +1! +#6513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6514000 +0! +#6514500 +1! +#6514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6515000 +0! +#6515500 +1! +#6515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6516000 +0! +#6516500 +1! +#6516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6517000 +0! +#6517500 +1! +#6517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6518000 +0! +#6518500 +1! +#6518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6519000 +0! +#6519500 +1! +#6519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6520000 +0! +#6520500 +1! +#6520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6521000 +0! +#6521500 +1! +#6521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6522000 +0! +#6522500 +1! +#6522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6523000 +0! +#6523500 +1! +#6523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6524000 +0! +#6524500 +1! +#6524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6525000 +0! +#6525500 +1! +#6525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6526000 +0! +#6526500 +1! +#6526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6527000 +0! +#6527500 +1! +#6527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6528000 +0! +#6528500 +1! +#6528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6529000 +0! +#6529500 +1! +#6529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6530000 +0! +#6530500 +1! +#6530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6531000 +0! +#6531500 +1! +#6531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6532000 +0! +#6532500 +1! +#6532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6533000 +0! +#6533500 +1! +#6533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6534000 +0! +#6534500 +1! +#6534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6535000 +0! +#6535500 +1! +#6535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6536000 +0! +#6536500 +1! +#6536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6537000 +0! +#6537500 +1! +#6537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6538000 +0! +#6538500 +1! +#6538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6539000 +0! +#6539500 +1! +#6539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6540000 +0! +#6540500 +1! +#6540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6541000 +0! +#6541500 +1! +#6541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6542000 +0! +#6542500 +1! +#6542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6543000 +0! +#6543500 +1! +#6543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6544000 +0! +#6544500 +1! +#6544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6545000 +0! +#6545500 +1! +#6545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6546000 +0! +#6546500 +1! +#6546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6547000 +0! +#6547500 +1! +#6547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6548000 +0! +#6548500 +1! +#6548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6549000 +0! +#6549500 +1! +#6549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6550000 +0! +#6550500 +1! +#6550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6551000 +0! +#6551500 +1! +#6551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6552000 +0! +#6552500 +1! +#6552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6553000 +0! +#6553500 +1! +#6553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6554000 +0! +#6554500 +1! +#6554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6555000 +0! +#6555500 +1! +#6555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6556000 +0! +#6556500 +1! +#6556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#6556700 +b1 g +b1010010 c +#6557000 +0! +#6557500 +1! +#6557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6558000 +0! +#6558500 +1! +#6558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6559000 +0! +#6559500 +1! +#6559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6560000 +0! +#6560500 +1! +#6560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6561000 +0! +#6561500 +1! +#6561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6562000 +0! +#6562500 +1! +#6562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6563000 +0! +#6563500 +1! +#6563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6564000 +0! +#6564500 +1! +#6564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6565000 +0! +#6565500 +1! +#6565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6566000 +0! +#6566500 +1! +#6566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6567000 +0! +#6567500 +1! +#6567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6568000 +0! +#6568500 +1! +#6568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6569000 +0! +#6569500 +1! +#6569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6570000 +0! +#6570500 +1! +#6570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6571000 +0! +#6571500 +1! +#6571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6572000 +0! +#6572500 +1! +#6572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6573000 +0! +#6573500 +1! +#6573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6574000 +0! +#6574500 +1! +#6574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6575000 +0! +#6575500 +1! +#6575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6576000 +0! +#6576500 +1! +#6576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6577000 +0! +#6577500 +1! +#6577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6578000 +0! +#6578500 +1! +#6578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6579000 +0! +#6579500 +1! +#6579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6580000 +0! +#6580500 +1! +#6580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6581000 +0! +#6581500 +1! +#6581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6582000 +0! +#6582500 +1! +#6582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6583000 +0! +#6583500 +1! +#6583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6584000 +0! +#6584500 +1! +#6584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6585000 +0! +#6585500 +1! +#6585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6586000 +0! +#6586500 +1! +#6586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6587000 +0! +#6587500 +1! +#6587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6588000 +0! +#6588500 +1! +#6588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6589000 +0! +#6589500 +1! +#6589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6590000 +0! +#6590500 +1! +#6590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6591000 +0! +#6591500 +1! +#6591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6592000 +0! +#6592500 +1! +#6592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6593000 +0! +#6593500 +1! +#6593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6594000 +0! +#6594500 +1! +#6594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6595000 +0! +#6595500 +1! +#6595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6596000 +0! +#6596500 +1! +#6596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6597000 +0! +#6597500 +1! +#6597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6598000 +0! +#6598500 +1! +#6598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6599000 +0! +#6599500 +1! +#6599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6600000 +0! +#6600500 +1! +#6600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6601000 +0! +#6601500 +1! +#6601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6602000 +0! +#6602500 +1! +#6602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6603000 +0! +#6603500 +1! +#6603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6604000 +0! +#6604500 +1! +#6604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6605000 +0! +#6605500 +1! +#6605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6606000 +0! +#6606500 +1! +#6606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6607000 +0! +#6607500 +1! +#6607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6608000 +0! +#6608500 +1! +#6608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6609000 +0! +#6609500 +1! +#6609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6610000 +0! +#6610500 +1! +#6610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6611000 +0! +#6611500 +1! +#6611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6612000 +0! +#6612500 +1! +#6612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6613000 +0! +#6613500 +1! +#6613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6614000 +0! +#6614500 +1! +#6614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6615000 +0! +#6615500 +1! +#6615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6616000 +0! +#6616500 +1! +#6616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6617000 +0! +#6617500 +1! +#6617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6618000 +0! +#6618500 +1! +#6618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6619000 +0! +#6619500 +1! +#6619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6620000 +0! +#6620500 +1! +#6620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6621000 +0! +#6621500 +1! +#6621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6622000 +0! +#6622500 +1! +#6622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6623000 +0! +#6623500 +1! +#6623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6624000 +0! +#6624500 +1! +#6624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6625000 +0! +#6625500 +1! +#6625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6626000 +0! +#6626500 +1! +#6626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6627000 +0! +#6627500 +1! +#6627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6628000 +0! +#6628500 +1! +#6628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6629000 +0! +#6629500 +1! +#6629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6630000 +0! +#6630500 +1! +#6630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6631000 +0! +#6631500 +1! +#6631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6632000 +0! +#6632500 +1! +#6632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6633000 +0! +#6633500 +1! +#6633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6634000 +0! +#6634500 +1! +#6634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6635000 +0! +#6635500 +1! +#6635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6636000 +0! +#6636500 +1! +#6636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6637000 +0! +#6637500 +1! +#6637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6638000 +0! +#6638500 +1! +#6638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6639000 +0! +#6639500 +1! +#6639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6640000 +0! +#6640500 +1! +#6640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6641000 +0! +#6641500 +1! +#6641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6642000 +0! +#6642500 +1! +#6642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6643000 +0! +#6643500 +1! +#6643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6644000 +0! +#6644500 +1! +#6644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6645000 +0! +#6645500 +1! +#6645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6646000 +0! +#6646500 +1! +#6646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6647000 +0! +#6647500 +1! +#6647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6648000 +0! +#6648500 +1! +#6648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6649000 +0! +#6649500 +1! +#6649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6650000 +0! +#6650500 +1! +#6650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6651000 +0! +#6651500 +1! +#6651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6652000 +0! +#6652500 +1! +#6652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6653000 +0! +#6653500 +1! +#6653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6654000 +0! +#6654500 +1! +#6654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6655000 +0! +#6655500 +1! +#6655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6656000 +0! +#6656500 +1! +#6656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6657000 +0! +#6657500 +1! +#6657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6658000 +0! +#6658500 +1! +#6658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#6659000 +0! +#6659500 +1! +#6659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6660000 +0! +#6660500 +1! +#6660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6661000 +0! +#6661500 +1! +#6661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6662000 +0! +#6662500 +1! +#6662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6663000 +0! +#6663500 +1! +#6663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6664000 +0! +#6664500 +1! +#6664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6665000 +0! +#6665500 +1! +#6665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6666000 +0! +#6666500 +1! +#6666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6667000 +0! +#6667500 +1! +#6667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6668000 +0! +#6668500 +1! +#6668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6669000 +0! +#6669500 +1! +#6669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6670000 +0! +#6670500 +1! +#6670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6671000 +0! +#6671500 +1! +#6671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6672000 +0! +#6672500 +1! +#6672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6673000 +0! +#6673500 +1! +#6673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6674000 +0! +#6674500 +1! +#6674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6675000 +0! +#6675500 +1! +#6675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6676000 +0! +#6676500 +1! +#6676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6677000 +0! +#6677500 +1! +#6677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6678000 +0! +#6678500 +1! +#6678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6679000 +0! +#6679500 +1! +#6679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6680000 +0! +#6680500 +1! +#6680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6681000 +0! +#6681500 +1! +#6681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6682000 +0! +#6682500 +1! +#6682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6683000 +0! +#6683500 +1! +#6683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6684000 +0! +#6684500 +1! +#6684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6685000 +0! +#6685500 +1! +#6685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6686000 +0! +#6686500 +1! +#6686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6687000 +0! +#6687500 +1! +#6687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6688000 +0! +#6688500 +1! +#6688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6689000 +0! +#6689500 +1! +#6689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6690000 +0! +#6690500 +1! +#6690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6691000 +0! +#6691500 +1! +#6691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6692000 +0! +#6692500 +1! +#6692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6693000 +0! +#6693500 +1! +#6693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6694000 +0! +#6694500 +1! +#6694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6695000 +0! +#6695500 +1! +#6695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6696000 +0! +#6696500 +1! +#6696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6697000 +0! +#6697500 +1! +#6697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6698000 +0! +#6698500 +1! +#6698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6699000 +0! +#6699500 +1! +#6699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6700000 +0! +#6700500 +1! +#6700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6701000 +0! +#6701500 +1! +#6701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6702000 +0! +#6702500 +1! +#6702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6703000 +0! +#6703500 +1! +#6703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6704000 +0! +#6704500 +1! +#6704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6705000 +0! +#6705500 +1! +#6705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6706000 +0! +#6706500 +1! +#6706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6707000 +0! +#6707500 +1! +#6707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6708000 +0! +#6708500 +1! +#6708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6709000 +0! +#6709500 +1! +#6709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6710000 +0! +#6710500 +1! +#6710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6711000 +0! +#6711500 +1! +#6711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6712000 +0! +#6712500 +1! +#6712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6713000 +0! +#6713500 +1! +#6713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6714000 +0! +#6714500 +1! +#6714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6715000 +0! +#6715500 +1! +#6715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6716000 +0! +#6716500 +1! +#6716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6717000 +0! +#6717500 +1! +#6717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6718000 +0! +#6718500 +1! +#6718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6719000 +0! +#6719500 +1! +#6719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6720000 +0! +#6720500 +1! +#6720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6721000 +0! +#6721500 +1! +#6721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6722000 +0! +#6722500 +1! +#6722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6723000 +0! +#6723500 +1! +#6723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6724000 +0! +#6724500 +1! +#6724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6725000 +0! +#6725500 +1! +#6725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6726000 +0! +#6726500 +1! +#6726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6727000 +0! +#6727500 +1! +#6727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6728000 +0! +#6728500 +1! +#6728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6729000 +0! +#6729500 +1! +#6729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6730000 +0! +#6730500 +1! +#6730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6731000 +0! +#6731500 +1! +#6731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6732000 +0! +#6732500 +1! +#6732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6733000 +0! +#6733500 +1! +#6733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6734000 +0! +#6734500 +1! +#6734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6735000 +0! +#6735500 +1! +#6735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6736000 +0! +#6736500 +1! +#6736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6737000 +0! +#6737500 +1! +#6737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6738000 +0! +#6738500 +1! +#6738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6739000 +0! +#6739500 +1! +#6739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6740000 +0! +#6740500 +1! +#6740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6741000 +0! +#6741500 +1! +#6741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6742000 +0! +#6742500 +1! +#6742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6743000 +0! +#6743500 +1! +#6743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6744000 +0! +#6744500 +1! +#6744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6745000 +0! +#6745500 +1! +#6745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6746000 +0! +#6746500 +1! +#6746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6747000 +0! +#6747500 +1! +#6747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6748000 +0! +#6748500 +1! +#6748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6749000 +0! +#6749500 +1! +#6749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6750000 +0! +#6750500 +1! +#6750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6751000 +0! +#6751500 +1! +#6751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6752000 +0! +#6752500 +1! +#6752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6753000 +0! +#6753500 +1! +#6753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6754000 +0! +#6754500 +1! +#6754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6755000 +0! +#6755500 +1! +#6755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6756000 +0! +#6756500 +1! +#6756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6757000 +0! +#6757500 +1! +#6757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6758000 +0! +#6758500 +1! +#6758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6759000 +0! +#6759500 +1! +#6759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6760000 +0! +#6760500 +1! +#6760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#6761000 +0! +#6761500 +1! +#6761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6762000 +0! +#6762500 +1! +#6762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6763000 +0! +#6763500 +1! +#6763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6764000 +0! +#6764500 +1! +#6764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6765000 +0! +#6765500 +1! +#6765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6766000 +0! +#6766500 +1! +#6766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6767000 +0! +#6767500 +1! +#6767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6768000 +0! +#6768500 +1! +#6768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6769000 +0! +#6769500 +1! +#6769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6770000 +0! +#6770500 +1! +#6770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6771000 +0! +#6771500 +1! +#6771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6772000 +0! +#6772500 +1! +#6772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6773000 +0! +#6773500 +1! +#6773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6774000 +0! +#6774500 +1! +#6774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6775000 +0! +#6775500 +1! +#6775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6776000 +0! +#6776500 +1! +#6776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6777000 +0! +#6777500 +1! +#6777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6778000 +0! +#6778500 +1! +#6778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6779000 +0! +#6779500 +1! +#6779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6780000 +0! +#6780500 +1! +#6780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6781000 +0! +#6781500 +1! +#6781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6782000 +0! +#6782500 +1! +#6782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6783000 +0! +#6783500 +1! +#6783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6784000 +0! +#6784500 +1! +#6784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6785000 +0! +#6785500 +1! +#6785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6786000 +0! +#6786500 +1! +#6786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6787000 +0! +#6787500 +1! +#6787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6788000 +0! +#6788500 +1! +#6788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6789000 +0! +#6789500 +1! +#6789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6790000 +0! +#6790500 +1! +#6790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6791000 +0! +#6791500 +1! +#6791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6792000 +0! +#6792500 +1! +#6792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6793000 +0! +#6793500 +1! +#6793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6794000 +0! +#6794500 +1! +#6794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6795000 +0! +#6795500 +1! +#6795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6796000 +0! +#6796500 +1! +#6796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6797000 +0! +#6797500 +1! +#6797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6798000 +0! +#6798500 +1! +#6798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6799000 +0! +#6799500 +1! +#6799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6800000 +0! +#6800500 +1! +#6800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6801000 +0! +#6801500 +1! +#6801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6802000 +0! +#6802500 +1! +#6802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6803000 +0! +#6803500 +1! +#6803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6804000 +0! +#6804500 +1! +#6804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6805000 +0! +#6805500 +1! +#6805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6806000 +0! +#6806500 +1! +#6806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6807000 +0! +#6807500 +1! +#6807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6808000 +0! +#6808500 +1! +#6808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6809000 +0! +#6809500 +1! +#6809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6810000 +0! +#6810500 +1! +#6810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6811000 +0! +#6811500 +1! +#6811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#6811700 +b0 g +b10100101 c +1h +#6812000 +0! +#6812500 +1! +#6812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6813000 +0! +#6813500 +1! +#6813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6814000 +0! +#6814500 +1! +#6814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6815000 +0! +#6815500 +1! +#6815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6816000 +0! +#6816500 +1! +#6816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6817000 +0! +#6817500 +1! +#6817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6818000 +0! +#6818500 +1! +#6818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6819000 +0! +#6819500 +1! +#6819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6820000 +0! +#6820500 +1! +#6820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6821000 +0! +#6821500 +1! +#6821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6822000 +0! +#6822500 +1! +#6822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6823000 +0! +#6823500 +1! +#6823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6824000 +0! +#6824500 +1! +#6824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6825000 +0! +#6825500 +1! +#6825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6826000 +0! +#6826500 +1! +#6826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6827000 +0! +#6827500 +1! +#6827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6828000 +0! +#6828500 +1! +#6828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6829000 +0! +#6829500 +1! +#6829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6830000 +0! +#6830500 +1! +#6830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6831000 +0! +#6831500 +1! +#6831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6832000 +0! +#6832500 +1! +#6832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6833000 +0! +#6833500 +1! +#6833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6834000 +0! +#6834500 +1! +#6834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6835000 +0! +#6835500 +1! +#6835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6836000 +0! +#6836500 +1! +#6836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6837000 +0! +#6837500 +1! +#6837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6838000 +0! +#6838500 +1! +#6838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6839000 +0! +#6839500 +1! +#6839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6840000 +0! +#6840500 +1! +#6840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6841000 +0! +#6841500 +1! +#6841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6842000 +0! +#6842500 +1! +#6842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6843000 +0! +#6843500 +1! +#6843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6844000 +0! +#6844500 +1! +#6844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6845000 +0! +#6845500 +1! +#6845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6846000 +0! +#6846500 +1! +#6846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6847000 +0! +#6847500 +1! +#6847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6848000 +0! +#6848500 +1! +#6848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6849000 +0! +#6849500 +1! +#6849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6850000 +0! +#6850500 +1! +#6850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6851000 +0! +#6851500 +1! +#6851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6852000 +0! +#6852500 +1! +#6852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6853000 +0! +#6853500 +1! +#6853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6854000 +0! +#6854500 +1! +#6854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6855000 +0! +#6855500 +1! +#6855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6856000 +0! +#6856500 +1! +#6856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6857000 +0! +#6857500 +1! +#6857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6858000 +0! +#6858500 +1! +#6858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6859000 +0! +#6859500 +1! +#6859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6860000 +0! +#6860500 +1! +#6860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6861000 +0! +#6861500 +1! +#6861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6862000 +0! +#6862500 +1! +#6862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6863000 +0! +#6863500 +1! +#6863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6864000 +0! +#6864500 +1! +#6864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6865000 +0! +#6865500 +1! +#6865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6866000 +0! +#6866500 +1! +#6866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6867000 +0! +#6867500 +1! +#6867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6868000 +0! +#6868500 +1! +#6868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6869000 +0! +#6869500 +1! +#6869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6870000 +0! +#6870500 +1! +#6870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6871000 +0! +#6871500 +1! +#6871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6872000 +0! +#6872500 +1! +#6872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6873000 +0! +#6873500 +1! +#6873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6874000 +0! +#6874500 +1! +#6874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6875000 +0! +#6875500 +1! +#6875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6876000 +0! +#6876500 +1! +#6876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6877000 +0! +#6877500 +1! +#6877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6878000 +0! +#6878500 +1! +#6878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6879000 +0! +#6879500 +1! +#6879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6880000 +0! +#6880500 +1! +#6880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6881000 +0! +#6881500 +1! +#6881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6882000 +0! +#6882500 +1! +#6882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6883000 +0! +#6883500 +1! +#6883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6884000 +0! +#6884500 +1! +#6884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6885000 +0! +#6885500 +1! +#6885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6886000 +0! +#6886500 +1! +#6886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6887000 +0! +#6887500 +1! +#6887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6888000 +0! +#6888500 +1! +#6888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6889000 +0! +#6889500 +1! +#6889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6890000 +0! +#6890500 +1! +#6890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6891000 +0! +#6891500 +1! +#6891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6892000 +0! +#6892500 +1! +#6892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6893000 +0! +#6893500 +1! +#6893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6894000 +0! +#6894500 +1! +#6894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6895000 +0! +#6895500 +1! +#6895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6896000 +0! +#6896500 +1! +#6896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6897000 +0! +#6897500 +1! +#6897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6898000 +0! +#6898500 +1! +#6898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6899000 +0! +#6899500 +1! +#6899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6900000 +0! +#6900500 +1! +#6900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6901000 +0! +#6901500 +1! +#6901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6902000 +0! +#6902500 +1! +#6902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6903000 +0! +#6903500 +1! +#6903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6904000 +0! +#6904500 +1! +#6904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6905000 +0! +#6905500 +1! +#6905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6906000 +0! +#6906500 +1! +#6906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6907000 +0! +#6907500 +1! +#6907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6908000 +0! +#6908500 +1! +#6908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6909000 +0! +#6909500 +1! +#6909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6910000 +0! +#6910500 +1! +#6910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6911000 +0! +#6911500 +1! +#6911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6912000 +0! +#6912500 +1! +#6912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6913000 +0! +#6913500 +1! +#6913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#6913700 +b101 q +0j +0O +#6913800 +b10 ] +#6914000 +0! +#6914500 +1! +#6914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6915000 +0! +#6915500 +1! +#6915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6916000 +0! +#6916500 +1! +#6916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6917000 +0! +#6917500 +1! +#6917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6918000 +0! +#6918500 +1! +#6918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6919000 +0! +#6919500 +1! +#6919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6920000 +0! +#6920500 +1! +#6920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6921000 +0! +#6921500 +1! +#6921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6922000 +0! +#6922500 +1! +#6922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6923000 +0! +#6923500 +1! +#6923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6924000 +0! +#6924500 +1! +#6924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6925000 +0! +#6925500 +1! +#6925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6926000 +0! +#6926500 +1! +#6926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6927000 +0! +#6927500 +1! +#6927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6928000 +0! +#6928500 +1! +#6928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6929000 +0! +#6929500 +1! +#6929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6930000 +0! +#6930500 +1! +#6930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6931000 +0! +#6931500 +1! +#6931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6932000 +0! +#6932500 +1! +#6932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6933000 +0! +#6933500 +1! +#6933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6934000 +0! +#6934500 +1! +#6934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6935000 +0! +#6935500 +1! +#6935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6936000 +0! +#6936500 +1! +#6936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6937000 +0! +#6937500 +1! +#6937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6938000 +0! +#6938500 +1! +#6938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6939000 +0! +#6939500 +1! +#6939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6940000 +0! +#6940500 +1! +#6940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6941000 +0! +#6941500 +1! +#6941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6942000 +0! +#6942500 +1! +#6942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6943000 +0! +#6943500 +1! +#6943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6944000 +0! +#6944500 +1! +#6944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6945000 +0! +#6945500 +1! +#6945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6946000 +0! +#6946500 +1! +#6946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6947000 +0! +#6947500 +1! +#6947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6948000 +0! +#6948500 +1! +#6948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6949000 +0! +#6949500 +1! +#6949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6950000 +0! +#6950500 +1! +#6950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6951000 +0! +#6951500 +1! +#6951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6952000 +0! +#6952500 +1! +#6952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6953000 +0! +#6953500 +1! +#6953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6954000 +0! +#6954500 +1! +#6954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6955000 +0! +#6955500 +1! +#6955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6956000 +0! +#6956500 +1! +#6956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6957000 +0! +#6957500 +1! +#6957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6958000 +0! +#6958500 +1! +#6958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6959000 +0! +#6959500 +1! +#6959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6960000 +0! +#6960500 +1! +#6960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6961000 +0! +#6961500 +1! +#6961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6962000 +0! +#6962500 +1! +#6962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6963000 +0! +#6963500 +1! +#6963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6964000 +0! +#6964500 +1! +#6964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6965000 +0! +#6965500 +1! +#6965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6966000 +0! +#6966500 +1! +#6966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6967000 +0! +#6967500 +1! +#6967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6968000 +0! +#6968500 +1! +#6968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6969000 +0! +#6969500 +1! +#6969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6970000 +0! +#6970500 +1! +#6970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6971000 +0! +#6971500 +1! +#6971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6972000 +0! +#6972500 +1! +#6972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6973000 +0! +#6973500 +1! +#6973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6974000 +0! +#6974500 +1! +#6974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6975000 +0! +#6975500 +1! +#6975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6976000 +0! +#6976500 +1! +#6976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6977000 +0! +#6977500 +1! +#6977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6978000 +0! +#6978500 +1! +#6978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6979000 +0! +#6979500 +1! +#6979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6980000 +0! +#6980500 +1! +#6980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6981000 +0! +#6981500 +1! +#6981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6982000 +0! +#6982500 +1! +#6982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6983000 +0! +#6983500 +1! +#6983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6984000 +0! +#6984500 +1! +#6984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6985000 +0! +#6985500 +1! +#6985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6986000 +0! +#6986500 +1! +#6986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6987000 +0! +#6987500 +1! +#6987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6988000 +0! +#6988500 +1! +#6988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6989000 +0! +#6989500 +1! +#6989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6990000 +0! +#6990500 +1! +#6990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6991000 +0! +#6991500 +1! +#6991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6992000 +0! +#6992500 +1! +#6992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6993000 +0! +#6993500 +1! +#6993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6994000 +0! +#6994500 +1! +#6994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6995000 +0! +#6995500 +1! +#6995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6996000 +0! +#6996500 +1! +#6996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6997000 +0! +#6997500 +1! +#6997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#6998000 +0! +#6998500 +1! +#6998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#6999000 +0! +#6999500 +1! +#6999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7000000 +0! +#7000500 +1! +#7000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7001000 +0! +#7001500 +1! +#7001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7002000 +0! +#7002500 +1! +#7002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7003000 +0! +#7003500 +1! +#7003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7004000 +0! +#7004500 +1! +#7004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7005000 +0! +#7005500 +1! +#7005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7006000 +0! +#7006500 +1! +#7006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7007000 +0! +#7007500 +1! +#7007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7008000 +0! +#7008500 +1! +#7008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7009000 +0! +#7009500 +1! +#7009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7010000 +0! +#7010500 +1! +#7010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7011000 +0! +#7011500 +1! +#7011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7012000 +0! +#7012500 +1! +#7012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7013000 +0! +#7013500 +1! +#7013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7014000 +0! +#7014500 +1! +#7014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7015000 +0! +#7015500 +1! +#7015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7016000 +0! +#7016500 +1! +#7016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7017000 +0! +#7017500 +1! +#7017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7018000 +0! +#7018500 +1! +#7018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7019000 +0! +#7019500 +1! +#7019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7020000 +0! +#7020500 +1! +#7020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7021000 +0! +#7021500 +1! +#7021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7022000 +0! +#7022500 +1! +#7022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7023000 +0! +#7023500 +1! +#7023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7024000 +0! +#7024500 +1! +#7024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7025000 +0! +#7025500 +1! +#7025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7026000 +0! +#7026500 +1! +#7026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7027000 +0! +#7027500 +1! +#7027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7028000 +0! +#7028500 +1! +#7028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7029000 +0! +#7029500 +1! +#7029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7030000 +0! +#7030500 +1! +#7030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7031000 +0! +#7031500 +1! +#7031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7032000 +0! +#7032500 +1! +#7032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7033000 +0! +#7033500 +1! +#7033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7034000 +0! +#7034500 +1! +#7034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7035000 +0! +#7035500 +1! +#7035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7036000 +0! +#7036500 +1! +#7036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7037000 +0! +#7037500 +1! +#7037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7038000 +0! +#7038500 +1! +#7038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7039000 +0! +#7039500 +1! +#7039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7040000 +0! +#7040500 +1! +#7040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7041000 +0! +#7041500 +1! +#7041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7042000 +0! +#7042500 +1! +#7042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7043000 +0! +#7043500 +1! +#7043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7044000 +0! +#7044500 +1! +#7044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7045000 +0! +#7045500 +1! +#7045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7046000 +0! +#7046500 +1! +#7046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7047000 +0! +#7047500 +1! +#7047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7048000 +0! +#7048500 +1! +#7048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7049000 +0! +#7049500 +1! +#7049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7050000 +0! +#7050500 +1! +#7050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7051000 +0! +#7051500 +1! +#7051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7052000 +0! +#7052500 +1! +#7052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7053000 +0! +#7053500 +1! +#7053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7054000 +0! +#7054500 +1! +#7054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7055000 +0! +#7055500 +1! +#7055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7056000 +0! +#7056500 +1! +#7056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7057000 +0! +#7057500 +1! +#7057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7058000 +0! +#7058500 +1! +#7058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7059000 +0! +#7059500 +1! +#7059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7060000 +0! +#7060500 +1! +#7060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7061000 +0! +#7061500 +1! +#7061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7062000 +0! +#7062500 +1! +#7062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7063000 +0! +#7063500 +1! +#7063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7064000 +0! +#7064500 +1! +#7064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7065000 +0! +#7065500 +1! +#7065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7066000 +0! +#7066500 +1! +#7066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#7066700 +b111 g +b1001010 c +0h +#7067000 +0! +#7067500 +1! +#7067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7068000 +0! +#7068500 +1! +#7068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7069000 +0! +#7069500 +1! +#7069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7070000 +0! +#7070500 +1! +#7070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7071000 +0! +#7071500 +1! +#7071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7072000 +0! +#7072500 +1! +#7072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7073000 +0! +#7073500 +1! +#7073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7074000 +0! +#7074500 +1! +#7074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7075000 +0! +#7075500 +1! +#7075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7076000 +0! +#7076500 +1! +#7076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7077000 +0! +#7077500 +1! +#7077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7078000 +0! +#7078500 +1! +#7078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7079000 +0! +#7079500 +1! +#7079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7080000 +0! +#7080500 +1! +#7080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7081000 +0! +#7081500 +1! +#7081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7082000 +0! +#7082500 +1! +#7082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7083000 +0! +#7083500 +1! +#7083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7084000 +0! +#7084500 +1! +#7084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7085000 +0! +#7085500 +1! +#7085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7086000 +0! +#7086500 +1! +#7086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7087000 +0! +#7087500 +1! +#7087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7088000 +0! +#7088500 +1! +#7088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7089000 +0! +#7089500 +1! +#7089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7090000 +0! +#7090500 +1! +#7090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7091000 +0! +#7091500 +1! +#7091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7092000 +0! +#7092500 +1! +#7092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7093000 +0! +#7093500 +1! +#7093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7094000 +0! +#7094500 +1! +#7094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7095000 +0! +#7095500 +1! +#7095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7096000 +0! +#7096500 +1! +#7096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7097000 +0! +#7097500 +1! +#7097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7098000 +0! +#7098500 +1! +#7098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7099000 +0! +#7099500 +1! +#7099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7100000 +0! +#7100500 +1! +#7100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7101000 +0! +#7101500 +1! +#7101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7102000 +0! +#7102500 +1! +#7102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7103000 +0! +#7103500 +1! +#7103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7104000 +0! +#7104500 +1! +#7104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7105000 +0! +#7105500 +1! +#7105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7106000 +0! +#7106500 +1! +#7106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7107000 +0! +#7107500 +1! +#7107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7108000 +0! +#7108500 +1! +#7108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7109000 +0! +#7109500 +1! +#7109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7110000 +0! +#7110500 +1! +#7110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7111000 +0! +#7111500 +1! +#7111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7112000 +0! +#7112500 +1! +#7112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7113000 +0! +#7113500 +1! +#7113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7114000 +0! +#7114500 +1! +#7114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7115000 +0! +#7115500 +1! +#7115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7116000 +0! +#7116500 +1! +#7116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7117000 +0! +#7117500 +1! +#7117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7118000 +0! +#7118500 +1! +#7118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7119000 +0! +#7119500 +1! +#7119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7120000 +0! +#7120500 +1! +#7120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7121000 +0! +#7121500 +1! +#7121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7122000 +0! +#7122500 +1! +#7122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7123000 +0! +#7123500 +1! +#7123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7124000 +0! +#7124500 +1! +#7124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7125000 +0! +#7125500 +1! +#7125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7126000 +0! +#7126500 +1! +#7126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7127000 +0! +#7127500 +1! +#7127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7128000 +0! +#7128500 +1! +#7128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7129000 +0! +#7129500 +1! +#7129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7130000 +0! +#7130500 +1! +#7130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7131000 +0! +#7131500 +1! +#7131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7132000 +0! +#7132500 +1! +#7132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7133000 +0! +#7133500 +1! +#7133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7134000 +0! +#7134500 +1! +#7134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7135000 +0! +#7135500 +1! +#7135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7136000 +0! +#7136500 +1! +#7136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7137000 +0! +#7137500 +1! +#7137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7138000 +0! +#7138500 +1! +#7138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7139000 +0! +#7139500 +1! +#7139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7140000 +0! +#7140500 +1! +#7140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7141000 +0! +#7141500 +1! +#7141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7142000 +0! +#7142500 +1! +#7142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7143000 +0! +#7143500 +1! +#7143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7144000 +0! +#7144500 +1! +#7144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7145000 +0! +#7145500 +1! +#7145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7146000 +0! +#7146500 +1! +#7146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7147000 +0! +#7147500 +1! +#7147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7148000 +0! +#7148500 +1! +#7148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7149000 +0! +#7149500 +1! +#7149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7150000 +0! +#7150500 +1! +#7150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7151000 +0! +#7151500 +1! +#7151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7152000 +0! +#7152500 +1! +#7152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7153000 +0! +#7153500 +1! +#7153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7154000 +0! +#7154500 +1! +#7154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7155000 +0! +#7155500 +1! +#7155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7156000 +0! +#7156500 +1! +#7156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7157000 +0! +#7157500 +1! +#7157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7158000 +0! +#7158500 +1! +#7158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7159000 +0! +#7159500 +1! +#7159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7160000 +0! +#7160500 +1! +#7160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7161000 +0! +#7161500 +1! +#7161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7162000 +0! +#7162500 +1! +#7162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7163000 +0! +#7163500 +1! +#7163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7164000 +0! +#7164500 +1! +#7164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7165000 +0! +#7165500 +1! +#7165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7166000 +0! +#7166500 +1! +#7166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7167000 +0! +#7167500 +1! +#7167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7168000 +0! +#7168500 +1! +#7168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#7168700 +1j +1i +b100 q +1O +#7169000 +0! +#7169500 +1! +#7169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7170000 +0! +#7170500 +1! +#7170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7171000 +0! +#7171500 +1! +#7171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000001 C +#7172000 +0! +#7172500 +1! +#7172600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7173000 +0! +#7173500 +1! +#7173600 +1E +1F +1G +b1011010 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b10000000 C +1H +#7174000 +0! +#7174500 +1! +#7174600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7174700 +1E +1F +1G +b1010000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#7175000 +0! +#7175500 +1! +#7175600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7176000 +0! +#7176500 +1! +#7176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#7177000 +0! +#7177500 +1! +#7177600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7178000 +0! +#7178500 +1! +#7178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7179000 +0! +#7179500 +1! +#7179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7180000 +0! +#7180500 +1! +#7180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7181000 +0! +#7181500 +1! +#7181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7182000 +0! +#7182500 +1! +#7182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7183000 +0! +#7183500 +1! +#7183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7184000 +0! +#7184500 +1! +#7184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7185000 +0! +#7185500 +1! +#7185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7186000 +0! +#7186500 +1! +#7186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7187000 +0! +#7187500 +1! +#7187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7188000 +0! +#7188500 +1! +#7188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7189000 +0! +#7189500 +1! +#7189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7190000 +0! +#7190500 +1! +#7190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7191000 +0! +#7191500 +1! +#7191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7192000 +0! +#7192500 +1! +#7192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7193000 +0! +#7193500 +1! +#7193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7194000 +0! +#7194500 +1! +#7194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7195000 +0! +#7195500 +1! +#7195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7196000 +0! +#7196500 +1! +#7196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7197000 +0! +#7197500 +1! +#7197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7198000 +0! +#7198500 +1! +#7198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7199000 +0! +#7199500 +1! +#7199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7200000 +0! +#7200500 +1! +#7200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7201000 +0! +#7201500 +1! +#7201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7202000 +0! +#7202500 +1! +#7202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7203000 +0! +#7203500 +1! +#7203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7204000 +0! +#7204500 +1! +#7204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7205000 +0! +#7205500 +1! +#7205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7206000 +0! +#7206500 +1! +#7206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7207000 +0! +#7207500 +1! +#7207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7208000 +0! +#7208500 +1! +#7208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7209000 +0! +#7209500 +1! +#7209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7210000 +0! +#7210500 +1! +#7210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7211000 +0! +#7211500 +1! +#7211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7212000 +0! +#7212500 +1! +#7212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7213000 +0! +#7213500 +1! +#7213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7214000 +0! +#7214500 +1! +#7214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7215000 +0! +#7215500 +1! +#7215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7216000 +0! +#7216500 +1! +#7216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7217000 +0! +#7217500 +1! +#7217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7218000 +0! +#7218500 +1! +#7218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7219000 +0! +#7219500 +1! +#7219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7220000 +0! +#7220500 +1! +#7220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7221000 +0! +#7221500 +1! +#7221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7222000 +0! +#7222500 +1! +#7222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7223000 +0! +#7223500 +1! +#7223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7224000 +0! +#7224500 +1! +#7224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7225000 +0! +#7225500 +1! +#7225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7226000 +0! +#7226500 +1! +#7226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7227000 +0! +#7227500 +1! +#7227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7228000 +0! +#7228500 +1! +#7228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7229000 +0! +#7229500 +1! +#7229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7230000 +0! +#7230500 +1! +#7230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7231000 +0! +#7231500 +1! +#7231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7232000 +0! +#7232500 +1! +#7232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7233000 +0! +#7233500 +1! +#7233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7234000 +0! +#7234500 +1! +#7234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7235000 +0! +#7235500 +1! +#7235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7236000 +0! +#7236500 +1! +#7236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7237000 +0! +#7237500 +1! +#7237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7238000 +0! +#7238500 +1! +#7238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7239000 +0! +#7239500 +1! +#7239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7240000 +0! +#7240500 +1! +#7240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7241000 +0! +#7241500 +1! +#7241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7242000 +0! +#7242500 +1! +#7242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7243000 +0! +#7243500 +1! +#7243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7244000 +0! +#7244500 +1! +#7244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7245000 +0! +#7245500 +1! +#7245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7246000 +0! +#7246500 +1! +#7246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7247000 +0! +#7247500 +1! +#7247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7248000 +0! +#7248500 +1! +#7248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7249000 +0! +#7249500 +1! +#7249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7250000 +0! +#7250500 +1! +#7250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7251000 +0! +#7251500 +1! +#7251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7252000 +0! +#7252500 +1! +#7252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7253000 +0! +#7253500 +1! +#7253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7254000 +0! +#7254500 +1! +#7254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7255000 +0! +#7255500 +1! +#7255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7256000 +0! +#7256500 +1! +#7256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7257000 +0! +#7257500 +1! +#7257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7258000 +0! +#7258500 +1! +#7258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7259000 +0! +#7259500 +1! +#7259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7260000 +0! +#7260500 +1! +#7260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7261000 +0! +#7261500 +1! +#7261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7262000 +0! +#7262500 +1! +#7262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7263000 +0! +#7263500 +1! +#7263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7264000 +0! +#7264500 +1! +#7264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7265000 +0! +#7265500 +1! +#7265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7266000 +0! +#7266500 +1! +#7266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7267000 +0! +#7267500 +1! +#7267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7268000 +0! +#7268500 +1! +#7268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7269000 +0! +#7269500 +1! +#7269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7270000 +0! +#7270500 +1! +#7270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#7271000 +0! +#7271500 +1! +#7271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7272000 +0! +#7272500 +1! +#7272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7273000 +0! +#7273500 +1! +#7273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7274000 +0! +#7274500 +1! +#7274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7275000 +0! +#7275500 +1! +#7275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7276000 +0! +#7276500 +1! +#7276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7277000 +0! +#7277500 +1! +#7277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7278000 +0! +#7278500 +1! +#7278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7279000 +0! +#7279500 +1! +#7279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7280000 +0! +#7280500 +1! +#7280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7281000 +0! +#7281500 +1! +#7281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7282000 +0! +#7282500 +1! +#7282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7283000 +0! +#7283500 +1! +#7283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7284000 +0! +#7284500 +1! +#7284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7285000 +0! +#7285500 +1! +#7285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7286000 +0! +#7286500 +1! +#7286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7287000 +0! +#7287500 +1! +#7287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7288000 +0! +#7288500 +1! +#7288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7289000 +0! +#7289500 +1! +#7289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7290000 +0! +#7290500 +1! +#7290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7291000 +0! +#7291500 +1! +#7291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7292000 +0! +#7292500 +1! +#7292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7293000 +0! +#7293500 +1! +#7293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7294000 +0! +#7294500 +1! +#7294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7295000 +0! +#7295500 +1! +#7295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7296000 +0! +#7296500 +1! +#7296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7297000 +0! +#7297500 +1! +#7297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7298000 +0! +#7298500 +1! +#7298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7299000 +0! +#7299500 +1! +#7299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7300000 +0! +#7300500 +1! +#7300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7301000 +0! +#7301500 +1! +#7301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7302000 +0! +#7302500 +1! +#7302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7303000 +0! +#7303500 +1! +#7303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7304000 +0! +#7304500 +1! +#7304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7305000 +0! +#7305500 +1! +#7305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7306000 +0! +#7306500 +1! +#7306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7307000 +0! +#7307500 +1! +#7307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7308000 +0! +#7308500 +1! +#7308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7309000 +0! +#7309500 +1! +#7309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7310000 +0! +#7310500 +1! +#7310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7311000 +0! +#7311500 +1! +#7311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7312000 +0! +#7312500 +1! +#7312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7313000 +0! +#7313500 +1! +#7313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7314000 +0! +#7314500 +1! +#7314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7315000 +0! +#7315500 +1! +#7315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7316000 +0! +#7316500 +1! +#7316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7317000 +0! +#7317500 +1! +#7317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7318000 +0! +#7318500 +1! +#7318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7319000 +0! +#7319500 +1! +#7319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7320000 +0! +#7320500 +1! +#7320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7321000 +0! +#7321500 +1! +#7321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#7321700 +b10010100 c +#7322000 +0! +#7322500 +1! +#7322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7323000 +0! +#7323500 +1! +#7323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7324000 +0! +#7324500 +1! +#7324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7325000 +0! +#7325500 +1! +#7325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7326000 +0! +#7326500 +1! +#7326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7327000 +0! +#7327500 +1! +#7327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7328000 +0! +#7328500 +1! +#7328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7329000 +0! +#7329500 +1! +#7329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7330000 +0! +#7330500 +1! +#7330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7331000 +0! +#7331500 +1! +#7331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7332000 +0! +#7332500 +1! +#7332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7333000 +0! +#7333500 +1! +#7333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7334000 +0! +#7334500 +1! +#7334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7335000 +0! +#7335500 +1! +#7335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7336000 +0! +#7336500 +1! +#7336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7337000 +0! +#7337500 +1! +#7337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7338000 +0! +#7338500 +1! +#7338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7339000 +0! +#7339500 +1! +#7339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7340000 +0! +#7340500 +1! +#7340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7341000 +0! +#7341500 +1! +#7341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7342000 +0! +#7342500 +1! +#7342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7343000 +0! +#7343500 +1! +#7343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7344000 +0! +#7344500 +1! +#7344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7345000 +0! +#7345500 +1! +#7345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7346000 +0! +#7346500 +1! +#7346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7347000 +0! +#7347500 +1! +#7347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7348000 +0! +#7348500 +1! +#7348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7349000 +0! +#7349500 +1! +#7349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7350000 +0! +#7350500 +1! +#7350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7351000 +0! +#7351500 +1! +#7351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7352000 +0! +#7352500 +1! +#7352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7353000 +0! +#7353500 +1! +#7353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7354000 +0! +#7354500 +1! +#7354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7355000 +0! +#7355500 +1! +#7355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7356000 +0! +#7356500 +1! +#7356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7357000 +0! +#7357500 +1! +#7357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7358000 +0! +#7358500 +1! +#7358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7359000 +0! +#7359500 +1! +#7359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7360000 +0! +#7360500 +1! +#7360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7361000 +0! +#7361500 +1! +#7361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7362000 +0! +#7362500 +1! +#7362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7363000 +0! +#7363500 +1! +#7363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7364000 +0! +#7364500 +1! +#7364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7365000 +0! +#7365500 +1! +#7365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7366000 +0! +#7366500 +1! +#7366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7367000 +0! +#7367500 +1! +#7367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7368000 +0! +#7368500 +1! +#7368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7369000 +0! +#7369500 +1! +#7369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7370000 +0! +#7370500 +1! +#7370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7371000 +0! +#7371500 +1! +#7371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7372000 +0! +#7372500 +1! +#7372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7373000 +0! +#7373500 +1! +#7373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7374000 +0! +#7374500 +1! +#7374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7375000 +0! +#7375500 +1! +#7375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7376000 +0! +#7376500 +1! +#7376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7377000 +0! +#7377500 +1! +#7377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7378000 +0! +#7378500 +1! +#7378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7379000 +0! +#7379500 +1! +#7379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7380000 +0! +#7380500 +1! +#7380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7381000 +0! +#7381500 +1! +#7381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7382000 +0! +#7382500 +1! +#7382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7383000 +0! +#7383500 +1! +#7383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7384000 +0! +#7384500 +1! +#7384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7385000 +0! +#7385500 +1! +#7385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7386000 +0! +#7386500 +1! +#7386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7387000 +0! +#7387500 +1! +#7387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7388000 +0! +#7388500 +1! +#7388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7389000 +0! +#7389500 +1! +#7389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7390000 +0! +#7390500 +1! +#7390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7391000 +0! +#7391500 +1! +#7391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7392000 +0! +#7392500 +1! +#7392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7393000 +0! +#7393500 +1! +#7393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7394000 +0! +#7394500 +1! +#7394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7395000 +0! +#7395500 +1! +#7395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7396000 +0! +#7396500 +1! +#7396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7397000 +0! +#7397500 +1! +#7397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7398000 +0! +#7398500 +1! +#7398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7399000 +0! +#7399500 +1! +#7399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7400000 +0! +#7400500 +1! +#7400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7401000 +0! +#7401500 +1! +#7401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7402000 +0! +#7402500 +1! +#7402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7403000 +0! +#7403500 +1! +#7403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7404000 +0! +#7404500 +1! +#7404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7405000 +0! +#7405500 +1! +#7405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7406000 +0! +#7406500 +1! +#7406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7407000 +0! +#7407500 +1! +#7407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7408000 +0! +#7408500 +1! +#7408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7409000 +0! +#7409500 +1! +#7409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7410000 +0! +#7410500 +1! +#7410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7411000 +0! +#7411500 +1! +#7411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7412000 +0! +#7412500 +1! +#7412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7413000 +0! +#7413500 +1! +#7413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7414000 +0! +#7414500 +1! +#7414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7415000 +0! +#7415500 +1! +#7415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7416000 +0! +#7416500 +1! +#7416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7417000 +0! +#7417500 +1! +#7417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7418000 +0! +#7418500 +1! +#7418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7419000 +0! +#7419500 +1! +#7419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7420000 +0! +#7420500 +1! +#7420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7421000 +0! +#7421500 +1! +#7421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7422000 +0! +#7422500 +1! +#7422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7423000 +0! +#7423500 +1! +#7423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#7423700 +0i +#7424000 +0! +#7424500 +1! +#7424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7425000 +0! +#7425500 +1! +#7425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7426000 +0! +#7426500 +1! +#7426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7427000 +0! +#7427500 +1! +#7427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7428000 +0! +#7428500 +1! +#7428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7429000 +0! +#7429500 +1! +#7429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7430000 +0! +#7430500 +1! +#7430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7431000 +0! +#7431500 +1! +#7431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7432000 +0! +#7432500 +1! +#7432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7433000 +0! +#7433500 +1! +#7433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7434000 +0! +#7434500 +1! +#7434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7435000 +0! +#7435500 +1! +#7435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7436000 +0! +#7436500 +1! +#7436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7437000 +0! +#7437500 +1! +#7437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7438000 +0! +#7438500 +1! +#7438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7439000 +0! +#7439500 +1! +#7439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7440000 +0! +#7440500 +1! +#7440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7441000 +0! +#7441500 +1! +#7441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7442000 +0! +#7442500 +1! +#7442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7443000 +0! +#7443500 +1! +#7443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7444000 +0! +#7444500 +1! +#7444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7445000 +0! +#7445500 +1! +#7445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7446000 +0! +#7446500 +1! +#7446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7447000 +0! +#7447500 +1! +#7447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7448000 +0! +#7448500 +1! +#7448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7449000 +0! +#7449500 +1! +#7449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7450000 +0! +#7450500 +1! +#7450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7451000 +0! +#7451500 +1! +#7451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7452000 +0! +#7452500 +1! +#7452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7453000 +0! +#7453500 +1! +#7453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7454000 +0! +#7454500 +1! +#7454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7455000 +0! +#7455500 +1! +#7455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7456000 +0! +#7456500 +1! +#7456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7457000 +0! +#7457500 +1! +#7457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7458000 +0! +#7458500 +1! +#7458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7459000 +0! +#7459500 +1! +#7459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7460000 +0! +#7460500 +1! +#7460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7461000 +0! +#7461500 +1! +#7461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7462000 +0! +#7462500 +1! +#7462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7463000 +0! +#7463500 +1! +#7463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7464000 +0! +#7464500 +1! +#7464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7465000 +0! +#7465500 +1! +#7465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7466000 +0! +#7466500 +1! +#7466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7467000 +0! +#7467500 +1! +#7467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7468000 +0! +#7468500 +1! +#7468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7469000 +0! +#7469500 +1! +#7469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7470000 +0! +#7470500 +1! +#7470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7471000 +0! +#7471500 +1! +#7471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7472000 +0! +#7472500 +1! +#7472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7473000 +0! +#7473500 +1! +#7473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7474000 +0! +#7474500 +1! +#7474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7475000 +0! +#7475500 +1! +#7475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7476000 +0! +#7476500 +1! +#7476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7477000 +0! +#7477500 +1! +#7477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7478000 +0! +#7478500 +1! +#7478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7479000 +0! +#7479500 +1! +#7479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7480000 +0! +#7480500 +1! +#7480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7481000 +0! +#7481500 +1! +#7481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7482000 +0! +#7482500 +1! +#7482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7483000 +0! +#7483500 +1! +#7483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7484000 +0! +#7484500 +1! +#7484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7485000 +0! +#7485500 +1! +#7485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7486000 +0! +#7486500 +1! +#7486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7487000 +0! +#7487500 +1! +#7487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7488000 +0! +#7488500 +1! +#7488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7489000 +0! +#7489500 +1! +#7489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7490000 +0! +#7490500 +1! +#7490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7491000 +0! +#7491500 +1! +#7491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7492000 +0! +#7492500 +1! +#7492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7493000 +0! +#7493500 +1! +#7493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7494000 +0! +#7494500 +1! +#7494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7495000 +0! +#7495500 +1! +#7495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7496000 +0! +#7496500 +1! +#7496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7497000 +0! +#7497500 +1! +#7497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7498000 +0! +#7498500 +1! +#7498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7499000 +0! +#7499500 +1! +#7499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7500000 +0! +#7500500 +1! +#7500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7501000 +0! +#7501500 +1! +#7501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7502000 +0! +#7502500 +1! +#7502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7503000 +0! +#7503500 +1! +#7503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7504000 +0! +#7504500 +1! +#7504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7505000 +0! +#7505500 +1! +#7505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7506000 +0! +#7506500 +1! +#7506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7507000 +0! +#7507500 +1! +#7507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7508000 +0! +#7508500 +1! +#7508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7509000 +0! +#7509500 +1! +#7509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7510000 +0! +#7510500 +1! +#7510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7511000 +0! +#7511500 +1! +#7511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7512000 +0! +#7512500 +1! +#7512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7513000 +0! +#7513500 +1! +#7513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7514000 +0! +#7514500 +1! +#7514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7515000 +0! +#7515500 +1! +#7515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7516000 +0! +#7516500 +1! +#7516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7517000 +0! +#7517500 +1! +#7517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7518000 +0! +#7518500 +1! +#7518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7519000 +0! +#7519500 +1! +#7519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7520000 +0! +#7520500 +1! +#7520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7521000 +0! +#7521500 +1! +#7521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7522000 +0! +#7522500 +1! +#7522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7523000 +0! +#7523500 +1! +#7523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7524000 +0! +#7524500 +1! +#7524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7525000 +0! +#7525500 +1! +#7525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#7526000 +0! +#7526500 +1! +#7526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7527000 +0! +#7527500 +1! +#7527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7528000 +0! +#7528500 +1! +#7528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7529000 +0! +#7529500 +1! +#7529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7530000 +0! +#7530500 +1! +#7530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7531000 +0! +#7531500 +1! +#7531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7532000 +0! +#7532500 +1! +#7532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7533000 +0! +#7533500 +1! +#7533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7534000 +0! +#7534500 +1! +#7534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7535000 +0! +#7535500 +1! +#7535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7536000 +0! +#7536500 +1! +#7536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7537000 +0! +#7537500 +1! +#7537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7538000 +0! +#7538500 +1! +#7538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7539000 +0! +#7539500 +1! +#7539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7540000 +0! +#7540500 +1! +#7540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7541000 +0! +#7541500 +1! +#7541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7542000 +0! +#7542500 +1! +#7542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7543000 +0! +#7543500 +1! +#7543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7544000 +0! +#7544500 +1! +#7544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7545000 +0! +#7545500 +1! +#7545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7546000 +0! +#7546500 +1! +#7546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7547000 +0! +#7547500 +1! +#7547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7548000 +0! +#7548500 +1! +#7548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7549000 +0! +#7549500 +1! +#7549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7550000 +0! +#7550500 +1! +#7550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7551000 +0! +#7551500 +1! +#7551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7552000 +0! +#7552500 +1! +#7552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7553000 +0! +#7553500 +1! +#7553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7554000 +0! +#7554500 +1! +#7554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7555000 +0! +#7555500 +1! +#7555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7556000 +0! +#7556500 +1! +#7556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7557000 +0! +#7557500 +1! +#7557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7558000 +0! +#7558500 +1! +#7558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7559000 +0! +#7559500 +1! +#7559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7560000 +0! +#7560500 +1! +#7560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7561000 +0! +#7561500 +1! +#7561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7562000 +0! +#7562500 +1! +#7562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7563000 +0! +#7563500 +1! +#7563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7564000 +0! +#7564500 +1! +#7564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7565000 +0! +#7565500 +1! +#7565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7566000 +0! +#7566500 +1! +#7566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7567000 +0! +#7567500 +1! +#7567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7568000 +0! +#7568500 +1! +#7568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7569000 +0! +#7569500 +1! +#7569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7570000 +0! +#7570500 +1! +#7570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7571000 +0! +#7571500 +1! +#7571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7572000 +0! +#7572500 +1! +#7572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7573000 +0! +#7573500 +1! +#7573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7574000 +0! +#7574500 +1! +#7574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7575000 +0! +#7575500 +1! +#7575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7576000 +0! +#7576500 +1! +#7576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#7576700 +b110 g +b101001 c +#7577000 +0! +#7577500 +1! +#7577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7578000 +0! +#7578500 +1! +#7578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7579000 +0! +#7579500 +1! +#7579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7580000 +0! +#7580500 +1! +#7580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7581000 +0! +#7581500 +1! +#7581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7582000 +0! +#7582500 +1! +#7582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7583000 +0! +#7583500 +1! +#7583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7584000 +0! +#7584500 +1! +#7584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7585000 +0! +#7585500 +1! +#7585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7586000 +0! +#7586500 +1! +#7586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7587000 +0! +#7587500 +1! +#7587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7588000 +0! +#7588500 +1! +#7588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7589000 +0! +#7589500 +1! +#7589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7590000 +0! +#7590500 +1! +#7590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7591000 +0! +#7591500 +1! +#7591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7592000 +0! +#7592500 +1! +#7592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7593000 +0! +#7593500 +1! +#7593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7594000 +0! +#7594500 +1! +#7594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7595000 +0! +#7595500 +1! +#7595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7596000 +0! +#7596500 +1! +#7596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7597000 +0! +#7597500 +1! +#7597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7598000 +0! +#7598500 +1! +#7598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7599000 +0! +#7599500 +1! +#7599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7600000 +0! +#7600500 +1! +#7600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7601000 +0! +#7601500 +1! +#7601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7602000 +0! +#7602500 +1! +#7602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7603000 +0! +#7603500 +1! +#7603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7604000 +0! +#7604500 +1! +#7604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7605000 +0! +#7605500 +1! +#7605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7606000 +0! +#7606500 +1! +#7606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7607000 +0! +#7607500 +1! +#7607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7608000 +0! +#7608500 +1! +#7608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7609000 +0! +#7609500 +1! +#7609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7610000 +0! +#7610500 +1! +#7610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7611000 +0! +#7611500 +1! +#7611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7612000 +0! +#7612500 +1! +#7612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7613000 +0! +#7613500 +1! +#7613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7614000 +0! +#7614500 +1! +#7614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7615000 +0! +#7615500 +1! +#7615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7616000 +0! +#7616500 +1! +#7616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7617000 +0! +#7617500 +1! +#7617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7618000 +0! +#7618500 +1! +#7618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7619000 +0! +#7619500 +1! +#7619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7620000 +0! +#7620500 +1! +#7620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7621000 +0! +#7621500 +1! +#7621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7622000 +0! +#7622500 +1! +#7622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7623000 +0! +#7623500 +1! +#7623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7624000 +0! +#7624500 +1! +#7624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7625000 +0! +#7625500 +1! +#7625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7626000 +0! +#7626500 +1! +#7626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7627000 +0! +#7627500 +1! +#7627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7628000 +0! +#7628500 +1! +#7628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7629000 +0! +#7629500 +1! +#7629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7630000 +0! +#7630500 +1! +#7630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7631000 +0! +#7631500 +1! +#7631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7632000 +0! +#7632500 +1! +#7632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7633000 +0! +#7633500 +1! +#7633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7634000 +0! +#7634500 +1! +#7634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7635000 +0! +#7635500 +1! +#7635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7636000 +0! +#7636500 +1! +#7636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7637000 +0! +#7637500 +1! +#7637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7638000 +0! +#7638500 +1! +#7638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7639000 +0! +#7639500 +1! +#7639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7640000 +0! +#7640500 +1! +#7640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7641000 +0! +#7641500 +1! +#7641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7642000 +0! +#7642500 +1! +#7642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7643000 +0! +#7643500 +1! +#7643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7644000 +0! +#7644500 +1! +#7644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7645000 +0! +#7645500 +1! +#7645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7646000 +0! +#7646500 +1! +#7646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7647000 +0! +#7647500 +1! +#7647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7648000 +0! +#7648500 +1! +#7648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7649000 +0! +#7649500 +1! +#7649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7650000 +0! +#7650500 +1! +#7650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7651000 +0! +#7651500 +1! +#7651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7652000 +0! +#7652500 +1! +#7652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7653000 +0! +#7653500 +1! +#7653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7654000 +0! +#7654500 +1! +#7654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7655000 +0! +#7655500 +1! +#7655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7656000 +0! +#7656500 +1! +#7656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7657000 +0! +#7657500 +1! +#7657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7658000 +0! +#7658500 +1! +#7658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7659000 +0! +#7659500 +1! +#7659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7660000 +0! +#7660500 +1! +#7660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7661000 +0! +#7661500 +1! +#7661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7662000 +0! +#7662500 +1! +#7662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7663000 +0! +#7663500 +1! +#7663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7664000 +0! +#7664500 +1! +#7664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7665000 +0! +#7665500 +1! +#7665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7666000 +0! +#7666500 +1! +#7666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7667000 +0! +#7667500 +1! +#7667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7668000 +0! +#7668500 +1! +#7668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7669000 +0! +#7669500 +1! +#7669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7670000 +0! +#7670500 +1! +#7670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7671000 +0! +#7671500 +1! +#7671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7672000 +0! +#7672500 +1! +#7672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7673000 +0! +#7673500 +1! +#7673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7674000 +0! +#7674500 +1! +#7674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7675000 +0! +#7675500 +1! +#7675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7676000 +0! +#7676500 +1! +#7676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7677000 +0! +#7677500 +1! +#7677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7678000 +0! +#7678500 +1! +#7678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#7679000 +0! +#7679500 +1! +#7679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7680000 +0! +#7680500 +1! +#7680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7681000 +0! +#7681500 +1! +#7681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7682000 +0! +#7682500 +1! +#7682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7683000 +0! +#7683500 +1! +#7683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7684000 +0! +#7684500 +1! +#7684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7685000 +0! +#7685500 +1! +#7685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7686000 +0! +#7686500 +1! +#7686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7687000 +0! +#7687500 +1! +#7687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7688000 +0! +#7688500 +1! +#7688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7689000 +0! +#7689500 +1! +#7689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7690000 +0! +#7690500 +1! +#7690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7691000 +0! +#7691500 +1! +#7691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7692000 +0! +#7692500 +1! +#7692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7693000 +0! +#7693500 +1! +#7693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7694000 +0! +#7694500 +1! +#7694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7695000 +0! +#7695500 +1! +#7695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7696000 +0! +#7696500 +1! +#7696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7697000 +0! +#7697500 +1! +#7697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7698000 +0! +#7698500 +1! +#7698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7699000 +0! +#7699500 +1! +#7699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7700000 +0! +#7700500 +1! +#7700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7701000 +0! +#7701500 +1! +#7701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7702000 +0! +#7702500 +1! +#7702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7703000 +0! +#7703500 +1! +#7703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7704000 +0! +#7704500 +1! +#7704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7705000 +0! +#7705500 +1! +#7705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7706000 +0! +#7706500 +1! +#7706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7707000 +0! +#7707500 +1! +#7707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7708000 +0! +#7708500 +1! +#7708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7709000 +0! +#7709500 +1! +#7709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7710000 +0! +#7710500 +1! +#7710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7711000 +0! +#7711500 +1! +#7711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7712000 +0! +#7712500 +1! +#7712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7713000 +0! +#7713500 +1! +#7713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7714000 +0! +#7714500 +1! +#7714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7715000 +0! +#7715500 +1! +#7715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7716000 +0! +#7716500 +1! +#7716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7717000 +0! +#7717500 +1! +#7717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7718000 +0! +#7718500 +1! +#7718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7719000 +0! +#7719500 +1! +#7719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7720000 +0! +#7720500 +1! +#7720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7721000 +0! +#7721500 +1! +#7721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7722000 +0! +#7722500 +1! +#7722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7723000 +0! +#7723500 +1! +#7723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7724000 +0! +#7724500 +1! +#7724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7725000 +0! +#7725500 +1! +#7725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7726000 +0! +#7726500 +1! +#7726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7727000 +0! +#7727500 +1! +#7727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7728000 +0! +#7728500 +1! +#7728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7729000 +0! +#7729500 +1! +#7729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7730000 +0! +#7730500 +1! +#7730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7731000 +0! +#7731500 +1! +#7731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7732000 +0! +#7732500 +1! +#7732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7733000 +0! +#7733500 +1! +#7733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7734000 +0! +#7734500 +1! +#7734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7735000 +0! +#7735500 +1! +#7735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7736000 +0! +#7736500 +1! +#7736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7737000 +0! +#7737500 +1! +#7737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7738000 +0! +#7738500 +1! +#7738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7739000 +0! +#7739500 +1! +#7739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7740000 +0! +#7740500 +1! +#7740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7741000 +0! +#7741500 +1! +#7741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7742000 +0! +#7742500 +1! +#7742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7743000 +0! +#7743500 +1! +#7743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7744000 +0! +#7744500 +1! +#7744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7745000 +0! +#7745500 +1! +#7745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7746000 +0! +#7746500 +1! +#7746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7747000 +0! +#7747500 +1! +#7747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7748000 +0! +#7748500 +1! +#7748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7749000 +0! +#7749500 +1! +#7749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7750000 +0! +#7750500 +1! +#7750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7751000 +0! +#7751500 +1! +#7751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7752000 +0! +#7752500 +1! +#7752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7753000 +0! +#7753500 +1! +#7753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7754000 +0! +#7754500 +1! +#7754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7755000 +0! +#7755500 +1! +#7755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7756000 +0! +#7756500 +1! +#7756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7757000 +0! +#7757500 +1! +#7757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7758000 +0! +#7758500 +1! +#7758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7759000 +0! +#7759500 +1! +#7759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7760000 +0! +#7760500 +1! +#7760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7761000 +0! +#7761500 +1! +#7761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7762000 +0! +#7762500 +1! +#7762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7763000 +0! +#7763500 +1! +#7763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7764000 +0! +#7764500 +1! +#7764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7765000 +0! +#7765500 +1! +#7765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7766000 +0! +#7766500 +1! +#7766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7767000 +0! +#7767500 +1! +#7767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7768000 +0! +#7768500 +1! +#7768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7769000 +0! +#7769500 +1! +#7769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7770000 +0! +#7770500 +1! +#7770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7771000 +0! +#7771500 +1! +#7771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7772000 +0! +#7772500 +1! +#7772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7773000 +0! +#7773500 +1! +#7773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7774000 +0! +#7774500 +1! +#7774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7775000 +0! +#7775500 +1! +#7775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7776000 +0! +#7776500 +1! +#7776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7777000 +0! +#7777500 +1! +#7777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7778000 +0! +#7778500 +1! +#7778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7779000 +0! +#7779500 +1! +#7779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7780000 +0! +#7780500 +1! +#7780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#7781000 +0! +#7781500 +1! +#7781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7782000 +0! +#7782500 +1! +#7782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7783000 +0! +#7783500 +1! +#7783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7784000 +0! +#7784500 +1! +#7784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7785000 +0! +#7785500 +1! +#7785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7786000 +0! +#7786500 +1! +#7786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7787000 +0! +#7787500 +1! +#7787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7788000 +0! +#7788500 +1! +#7788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7789000 +0! +#7789500 +1! +#7789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7790000 +0! +#7790500 +1! +#7790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7791000 +0! +#7791500 +1! +#7791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7792000 +0! +#7792500 +1! +#7792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7793000 +0! +#7793500 +1! +#7793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7794000 +0! +#7794500 +1! +#7794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7795000 +0! +#7795500 +1! +#7795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7796000 +0! +#7796500 +1! +#7796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7797000 +0! +#7797500 +1! +#7797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7798000 +0! +#7798500 +1! +#7798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7799000 +0! +#7799500 +1! +#7799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7800000 +0! +#7800500 +1! +#7800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7801000 +0! +#7801500 +1! +#7801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7802000 +0! +#7802500 +1! +#7802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7803000 +0! +#7803500 +1! +#7803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7804000 +0! +#7804500 +1! +#7804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7805000 +0! +#7805500 +1! +#7805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7806000 +0! +#7806500 +1! +#7806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7807000 +0! +#7807500 +1! +#7807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7808000 +0! +#7808500 +1! +#7808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7809000 +0! +#7809500 +1! +#7809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7810000 +0! +#7810500 +1! +#7810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7811000 +0! +#7811500 +1! +#7811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7812000 +0! +#7812500 +1! +#7812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7813000 +0! +#7813500 +1! +#7813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7814000 +0! +#7814500 +1! +#7814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7815000 +0! +#7815500 +1! +#7815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7816000 +0! +#7816500 +1! +#7816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7817000 +0! +#7817500 +1! +#7817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7818000 +0! +#7818500 +1! +#7818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7819000 +0! +#7819500 +1! +#7819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7820000 +0! +#7820500 +1! +#7820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7821000 +0! +#7821500 +1! +#7821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7822000 +0! +#7822500 +1! +#7822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7823000 +0! +#7823500 +1! +#7823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7824000 +0! +#7824500 +1! +#7824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7825000 +0! +#7825500 +1! +#7825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7826000 +0! +#7826500 +1! +#7826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7827000 +0! +#7827500 +1! +#7827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7828000 +0! +#7828500 +1! +#7828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7829000 +0! +#7829500 +1! +#7829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7830000 +0! +#7830500 +1! +#7830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7831000 +0! +#7831500 +1! +#7831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#7831700 +b101 g +b1010010 c +#7832000 +0! +#7832500 +1! +#7832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7833000 +0! +#7833500 +1! +#7833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7834000 +0! +#7834500 +1! +#7834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7835000 +0! +#7835500 +1! +#7835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7836000 +0! +#7836500 +1! +#7836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7837000 +0! +#7837500 +1! +#7837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7838000 +0! +#7838500 +1! +#7838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7839000 +0! +#7839500 +1! +#7839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7840000 +0! +#7840500 +1! +#7840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7841000 +0! +#7841500 +1! +#7841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7842000 +0! +#7842500 +1! +#7842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7843000 +0! +#7843500 +1! +#7843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7844000 +0! +#7844500 +1! +#7844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7845000 +0! +#7845500 +1! +#7845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7846000 +0! +#7846500 +1! +#7846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7847000 +0! +#7847500 +1! +#7847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7848000 +0! +#7848500 +1! +#7848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7849000 +0! +#7849500 +1! +#7849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7850000 +0! +#7850500 +1! +#7850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7851000 +0! +#7851500 +1! +#7851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7852000 +0! +#7852500 +1! +#7852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7853000 +0! +#7853500 +1! +#7853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7854000 +0! +#7854500 +1! +#7854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7855000 +0! +#7855500 +1! +#7855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7856000 +0! +#7856500 +1! +#7856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7857000 +0! +#7857500 +1! +#7857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7858000 +0! +#7858500 +1! +#7858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7859000 +0! +#7859500 +1! +#7859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7860000 +0! +#7860500 +1! +#7860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7861000 +0! +#7861500 +1! +#7861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7862000 +0! +#7862500 +1! +#7862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7863000 +0! +#7863500 +1! +#7863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7864000 +0! +#7864500 +1! +#7864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7865000 +0! +#7865500 +1! +#7865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7866000 +0! +#7866500 +1! +#7866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7867000 +0! +#7867500 +1! +#7867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7868000 +0! +#7868500 +1! +#7868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7869000 +0! +#7869500 +1! +#7869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7870000 +0! +#7870500 +1! +#7870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7871000 +0! +#7871500 +1! +#7871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7872000 +0! +#7872500 +1! +#7872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7873000 +0! +#7873500 +1! +#7873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7874000 +0! +#7874500 +1! +#7874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7875000 +0! +#7875500 +1! +#7875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7876000 +0! +#7876500 +1! +#7876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7877000 +0! +#7877500 +1! +#7877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7878000 +0! +#7878500 +1! +#7878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7879000 +0! +#7879500 +1! +#7879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7880000 +0! +#7880500 +1! +#7880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7881000 +0! +#7881500 +1! +#7881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7882000 +0! +#7882500 +1! +#7882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7883000 +0! +#7883500 +1! +#7883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7884000 +0! +#7884500 +1! +#7884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7885000 +0! +#7885500 +1! +#7885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7886000 +0! +#7886500 +1! +#7886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7887000 +0! +#7887500 +1! +#7887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7888000 +0! +#7888500 +1! +#7888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7889000 +0! +#7889500 +1! +#7889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7890000 +0! +#7890500 +1! +#7890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7891000 +0! +#7891500 +1! +#7891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7892000 +0! +#7892500 +1! +#7892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7893000 +0! +#7893500 +1! +#7893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7894000 +0! +#7894500 +1! +#7894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7895000 +0! +#7895500 +1! +#7895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7896000 +0! +#7896500 +1! +#7896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7897000 +0! +#7897500 +1! +#7897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7898000 +0! +#7898500 +1! +#7898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7899000 +0! +#7899500 +1! +#7899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7900000 +0! +#7900500 +1! +#7900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7901000 +0! +#7901500 +1! +#7901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7902000 +0! +#7902500 +1! +#7902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7903000 +0! +#7903500 +1! +#7903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7904000 +0! +#7904500 +1! +#7904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7905000 +0! +#7905500 +1! +#7905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7906000 +0! +#7906500 +1! +#7906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7907000 +0! +#7907500 +1! +#7907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7908000 +0! +#7908500 +1! +#7908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7909000 +0! +#7909500 +1! +#7909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7910000 +0! +#7910500 +1! +#7910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7911000 +0! +#7911500 +1! +#7911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7912000 +0! +#7912500 +1! +#7912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7913000 +0! +#7913500 +1! +#7913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7914000 +0! +#7914500 +1! +#7914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7915000 +0! +#7915500 +1! +#7915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7916000 +0! +#7916500 +1! +#7916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7917000 +0! +#7917500 +1! +#7917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7918000 +0! +#7918500 +1! +#7918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7919000 +0! +#7919500 +1! +#7919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7920000 +0! +#7920500 +1! +#7920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7921000 +0! +#7921500 +1! +#7921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7922000 +0! +#7922500 +1! +#7922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7923000 +0! +#7923500 +1! +#7923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7924000 +0! +#7924500 +1! +#7924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7925000 +0! +#7925500 +1! +#7925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7926000 +0! +#7926500 +1! +#7926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7927000 +0! +#7927500 +1! +#7927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7928000 +0! +#7928500 +1! +#7928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7929000 +0! +#7929500 +1! +#7929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7930000 +0! +#7930500 +1! +#7930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7931000 +0! +#7931500 +1! +#7931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7932000 +0! +#7932500 +1! +#7932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7933000 +0! +#7933500 +1! +#7933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#7934000 +0! +#7934500 +1! +#7934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7935000 +0! +#7935500 +1! +#7935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7936000 +0! +#7936500 +1! +#7936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7937000 +0! +#7937500 +1! +#7937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7938000 +0! +#7938500 +1! +#7938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7939000 +0! +#7939500 +1! +#7939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7940000 +0! +#7940500 +1! +#7940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7941000 +0! +#7941500 +1! +#7941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7942000 +0! +#7942500 +1! +#7942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7943000 +0! +#7943500 +1! +#7943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7944000 +0! +#7944500 +1! +#7944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7945000 +0! +#7945500 +1! +#7945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7946000 +0! +#7946500 +1! +#7946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7947000 +0! +#7947500 +1! +#7947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7948000 +0! +#7948500 +1! +#7948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7949000 +0! +#7949500 +1! +#7949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7950000 +0! +#7950500 +1! +#7950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7951000 +0! +#7951500 +1! +#7951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7952000 +0! +#7952500 +1! +#7952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7953000 +0! +#7953500 +1! +#7953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7954000 +0! +#7954500 +1! +#7954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7955000 +0! +#7955500 +1! +#7955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7956000 +0! +#7956500 +1! +#7956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7957000 +0! +#7957500 +1! +#7957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7958000 +0! +#7958500 +1! +#7958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7959000 +0! +#7959500 +1! +#7959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7960000 +0! +#7960500 +1! +#7960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7961000 +0! +#7961500 +1! +#7961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7962000 +0! +#7962500 +1! +#7962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7963000 +0! +#7963500 +1! +#7963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7964000 +0! +#7964500 +1! +#7964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7965000 +0! +#7965500 +1! +#7965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7966000 +0! +#7966500 +1! +#7966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7967000 +0! +#7967500 +1! +#7967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7968000 +0! +#7968500 +1! +#7968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7969000 +0! +#7969500 +1! +#7969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7970000 +0! +#7970500 +1! +#7970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7971000 +0! +#7971500 +1! +#7971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7972000 +0! +#7972500 +1! +#7972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7973000 +0! +#7973500 +1! +#7973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7974000 +0! +#7974500 +1! +#7974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7975000 +0! +#7975500 +1! +#7975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7976000 +0! +#7976500 +1! +#7976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7977000 +0! +#7977500 +1! +#7977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7978000 +0! +#7978500 +1! +#7978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7979000 +0! +#7979500 +1! +#7979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7980000 +0! +#7980500 +1! +#7980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7981000 +0! +#7981500 +1! +#7981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7982000 +0! +#7982500 +1! +#7982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7983000 +0! +#7983500 +1! +#7983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7984000 +0! +#7984500 +1! +#7984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7985000 +0! +#7985500 +1! +#7985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7986000 +0! +#7986500 +1! +#7986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7987000 +0! +#7987500 +1! +#7987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7988000 +0! +#7988500 +1! +#7988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7989000 +0! +#7989500 +1! +#7989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7990000 +0! +#7990500 +1! +#7990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7991000 +0! +#7991500 +1! +#7991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7992000 +0! +#7992500 +1! +#7992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7993000 +0! +#7993500 +1! +#7993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7994000 +0! +#7994500 +1! +#7994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7995000 +0! +#7995500 +1! +#7995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7996000 +0! +#7996500 +1! +#7996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7997000 +0! +#7997500 +1! +#7997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#7998000 +0! +#7998500 +1! +#7998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#7999000 +0! +#7999500 +1! +#7999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8000000 +0! +#8000500 +1! +#8000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8001000 +0! +#8001500 +1! +#8001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8002000 +0! +#8002500 +1! +#8002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8003000 +0! +#8003500 +1! +#8003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8004000 +0! +#8004500 +1! +#8004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8005000 +0! +#8005500 +1! +#8005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8006000 +0! +#8006500 +1! +#8006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8007000 +0! +#8007500 +1! +#8007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8008000 +0! +#8008500 +1! +#8008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8009000 +0! +#8009500 +1! +#8009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8010000 +0! +#8010500 +1! +#8010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8011000 +0! +#8011500 +1! +#8011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8012000 +0! +#8012500 +1! +#8012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8013000 +0! +#8013500 +1! +#8013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8014000 +0! +#8014500 +1! +#8014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8015000 +0! +#8015500 +1! +#8015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8016000 +0! +#8016500 +1! +#8016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8017000 +0! +#8017500 +1! +#8017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8018000 +0! +#8018500 +1! +#8018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8019000 +0! +#8019500 +1! +#8019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8020000 +0! +#8020500 +1! +#8020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8021000 +0! +#8021500 +1! +#8021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8022000 +0! +#8022500 +1! +#8022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8023000 +0! +#8023500 +1! +#8023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8024000 +0! +#8024500 +1! +#8024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8025000 +0! +#8025500 +1! +#8025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8026000 +0! +#8026500 +1! +#8026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8027000 +0! +#8027500 +1! +#8027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8028000 +0! +#8028500 +1! +#8028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8029000 +0! +#8029500 +1! +#8029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8030000 +0! +#8030500 +1! +#8030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8031000 +0! +#8031500 +1! +#8031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8032000 +0! +#8032500 +1! +#8032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8033000 +0! +#8033500 +1! +#8033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8034000 +0! +#8034500 +1! +#8034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8035000 +0! +#8035500 +1! +#8035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#8036000 +0! +#8036500 +1! +#8036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8037000 +0! +#8037500 +1! +#8037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8038000 +0! +#8038500 +1! +#8038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8039000 +0! +#8039500 +1! +#8039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8040000 +0! +#8040500 +1! +#8040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8041000 +0! +#8041500 +1! +#8041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8042000 +0! +#8042500 +1! +#8042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8043000 +0! +#8043500 +1! +#8043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8044000 +0! +#8044500 +1! +#8044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8045000 +0! +#8045500 +1! +#8045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8046000 +0! +#8046500 +1! +#8046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8047000 +0! +#8047500 +1! +#8047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8048000 +0! +#8048500 +1! +#8048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8049000 +0! +#8049500 +1! +#8049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8050000 +0! +#8050500 +1! +#8050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8051000 +0! +#8051500 +1! +#8051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8052000 +0! +#8052500 +1! +#8052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8053000 +0! +#8053500 +1! +#8053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8054000 +0! +#8054500 +1! +#8054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8055000 +0! +#8055500 +1! +#8055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8056000 +0! +#8056500 +1! +#8056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8057000 +0! +#8057500 +1! +#8057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8058000 +0! +#8058500 +1! +#8058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8059000 +0! +#8059500 +1! +#8059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8060000 +0! +#8060500 +1! +#8060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8061000 +0! +#8061500 +1! +#8061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8062000 +0! +#8062500 +1! +#8062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8063000 +0! +#8063500 +1! +#8063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8064000 +0! +#8064500 +1! +#8064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8065000 +0! +#8065500 +1! +#8065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8066000 +0! +#8066500 +1! +#8066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8067000 +0! +#8067500 +1! +#8067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8068000 +0! +#8068500 +1! +#8068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8069000 +0! +#8069500 +1! +#8069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8070000 +0! +#8070500 +1! +#8070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8071000 +0! +#8071500 +1! +#8071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8072000 +0! +#8072500 +1! +#8072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8073000 +0! +#8073500 +1! +#8073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8074000 +0! +#8074500 +1! +#8074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8075000 +0! +#8075500 +1! +#8075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8076000 +0! +#8076500 +1! +#8076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8077000 +0! +#8077500 +1! +#8077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8078000 +0! +#8078500 +1! +#8078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8079000 +0! +#8079500 +1! +#8079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8080000 +0! +#8080500 +1! +#8080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8081000 +0! +#8081500 +1! +#8081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8082000 +0! +#8082500 +1! +#8082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8083000 +0! +#8083500 +1! +#8083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8084000 +0! +#8084500 +1! +#8084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8085000 +0! +#8085500 +1! +#8085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8086000 +0! +#8086500 +1! +#8086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#8086700 +b100 g +b10100101 c +#8087000 +0! +#8087500 +1! +#8087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8088000 +0! +#8088500 +1! +#8088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8089000 +0! +#8089500 +1! +#8089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8090000 +0! +#8090500 +1! +#8090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8091000 +0! +#8091500 +1! +#8091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8092000 +0! +#8092500 +1! +#8092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8093000 +0! +#8093500 +1! +#8093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8094000 +0! +#8094500 +1! +#8094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8095000 +0! +#8095500 +1! +#8095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8096000 +0! +#8096500 +1! +#8096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8097000 +0! +#8097500 +1! +#8097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8098000 +0! +#8098500 +1! +#8098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8099000 +0! +#8099500 +1! +#8099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8100000 +0! +#8100500 +1! +#8100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8101000 +0! +#8101500 +1! +#8101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8102000 +0! +#8102500 +1! +#8102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8103000 +0! +#8103500 +1! +#8103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8104000 +0! +#8104500 +1! +#8104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8105000 +0! +#8105500 +1! +#8105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8106000 +0! +#8106500 +1! +#8106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8107000 +0! +#8107500 +1! +#8107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8108000 +0! +#8108500 +1! +#8108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8109000 +0! +#8109500 +1! +#8109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8110000 +0! +#8110500 +1! +#8110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8111000 +0! +#8111500 +1! +#8111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8112000 +0! +#8112500 +1! +#8112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8113000 +0! +#8113500 +1! +#8113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8114000 +0! +#8114500 +1! +#8114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8115000 +0! +#8115500 +1! +#8115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8116000 +0! +#8116500 +1! +#8116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8117000 +0! +#8117500 +1! +#8117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8118000 +0! +#8118500 +1! +#8118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8119000 +0! +#8119500 +1! +#8119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8120000 +0! +#8120500 +1! +#8120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8121000 +0! +#8121500 +1! +#8121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8122000 +0! +#8122500 +1! +#8122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8123000 +0! +#8123500 +1! +#8123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8124000 +0! +#8124500 +1! +#8124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8125000 +0! +#8125500 +1! +#8125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8126000 +0! +#8126500 +1! +#8126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8127000 +0! +#8127500 +1! +#8127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8128000 +0! +#8128500 +1! +#8128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8129000 +0! +#8129500 +1! +#8129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8130000 +0! +#8130500 +1! +#8130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8131000 +0! +#8131500 +1! +#8131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8132000 +0! +#8132500 +1! +#8132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8133000 +0! +#8133500 +1! +#8133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8134000 +0! +#8134500 +1! +#8134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8135000 +0! +#8135500 +1! +#8135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8136000 +0! +#8136500 +1! +#8136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8137000 +0! +#8137500 +1! +#8137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8138000 +0! +#8138500 +1! +#8138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8139000 +0! +#8139500 +1! +#8139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8140000 +0! +#8140500 +1! +#8140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8141000 +0! +#8141500 +1! +#8141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8142000 +0! +#8142500 +1! +#8142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8143000 +0! +#8143500 +1! +#8143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8144000 +0! +#8144500 +1! +#8144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8145000 +0! +#8145500 +1! +#8145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8146000 +0! +#8146500 +1! +#8146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8147000 +0! +#8147500 +1! +#8147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8148000 +0! +#8148500 +1! +#8148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8149000 +0! +#8149500 +1! +#8149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8150000 +0! +#8150500 +1! +#8150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8151000 +0! +#8151500 +1! +#8151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8152000 +0! +#8152500 +1! +#8152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8153000 +0! +#8153500 +1! +#8153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8154000 +0! +#8154500 +1! +#8154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8155000 +0! +#8155500 +1! +#8155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8156000 +0! +#8156500 +1! +#8156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8157000 +0! +#8157500 +1! +#8157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8158000 +0! +#8158500 +1! +#8158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8159000 +0! +#8159500 +1! +#8159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8160000 +0! +#8160500 +1! +#8160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8161000 +0! +#8161500 +1! +#8161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8162000 +0! +#8162500 +1! +#8162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8163000 +0! +#8163500 +1! +#8163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8164000 +0! +#8164500 +1! +#8164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8165000 +0! +#8165500 +1! +#8165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8166000 +0! +#8166500 +1! +#8166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8167000 +0! +#8167500 +1! +#8167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8168000 +0! +#8168500 +1! +#8168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8169000 +0! +#8169500 +1! +#8169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8170000 +0! +#8170500 +1! +#8170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8171000 +0! +#8171500 +1! +#8171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8172000 +0! +#8172500 +1! +#8172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8173000 +0! +#8173500 +1! +#8173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8174000 +0! +#8174500 +1! +#8174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8175000 +0! +#8175500 +1! +#8175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8176000 +0! +#8176500 +1! +#8176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8177000 +0! +#8177500 +1! +#8177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8178000 +0! +#8178500 +1! +#8178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8179000 +0! +#8179500 +1! +#8179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8180000 +0! +#8180500 +1! +#8180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8181000 +0! +#8181500 +1! +#8181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8182000 +0! +#8182500 +1! +#8182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8183000 +0! +#8183500 +1! +#8183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8184000 +0! +#8184500 +1! +#8184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8185000 +0! +#8185500 +1! +#8185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8186000 +0! +#8186500 +1! +#8186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8187000 +0! +#8187500 +1! +#8187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8188000 +0! +#8188500 +1! +#8188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#8189000 +0! +#8189500 +1! +#8189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8190000 +0! +#8190500 +1! +#8190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8191000 +0! +#8191500 +1! +#8191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8192000 +0! +#8192500 +1! +#8192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8193000 +0! +#8193500 +1! +#8193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8194000 +0! +#8194500 +1! +#8194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8195000 +0! +#8195500 +1! +#8195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8196000 +0! +#8196500 +1! +#8196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8197000 +0! +#8197500 +1! +#8197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8198000 +0! +#8198500 +1! +#8198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8199000 +0! +#8199500 +1! +#8199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8200000 +0! +#8200500 +1! +#8200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8201000 +0! +#8201500 +1! +#8201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8202000 +0! +#8202500 +1! +#8202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8203000 +0! +#8203500 +1! +#8203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8204000 +0! +#8204500 +1! +#8204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8205000 +0! +#8205500 +1! +#8205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8206000 +0! +#8206500 +1! +#8206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8207000 +0! +#8207500 +1! +#8207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8208000 +0! +#8208500 +1! +#8208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8209000 +0! +#8209500 +1! +#8209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8210000 +0! +#8210500 +1! +#8210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8211000 +0! +#8211500 +1! +#8211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8212000 +0! +#8212500 +1! +#8212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8213000 +0! +#8213500 +1! +#8213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8214000 +0! +#8214500 +1! +#8214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8215000 +0! +#8215500 +1! +#8215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8216000 +0! +#8216500 +1! +#8216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8217000 +0! +#8217500 +1! +#8217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8218000 +0! +#8218500 +1! +#8218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8219000 +0! +#8219500 +1! +#8219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8220000 +0! +#8220500 +1! +#8220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8221000 +0! +#8221500 +1! +#8221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8222000 +0! +#8222500 +1! +#8222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8223000 +0! +#8223500 +1! +#8223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8224000 +0! +#8224500 +1! +#8224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8225000 +0! +#8225500 +1! +#8225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8226000 +0! +#8226500 +1! +#8226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8227000 +0! +#8227500 +1! +#8227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8228000 +0! +#8228500 +1! +#8228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8229000 +0! +#8229500 +1! +#8229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8230000 +0! +#8230500 +1! +#8230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8231000 +0! +#8231500 +1! +#8231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8232000 +0! +#8232500 +1! +#8232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8233000 +0! +#8233500 +1! +#8233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8234000 +0! +#8234500 +1! +#8234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8235000 +0! +#8235500 +1! +#8235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8236000 +0! +#8236500 +1! +#8236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8237000 +0! +#8237500 +1! +#8237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8238000 +0! +#8238500 +1! +#8238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8239000 +0! +#8239500 +1! +#8239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8240000 +0! +#8240500 +1! +#8240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8241000 +0! +#8241500 +1! +#8241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8242000 +0! +#8242500 +1! +#8242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8243000 +0! +#8243500 +1! +#8243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8244000 +0! +#8244500 +1! +#8244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8245000 +0! +#8245500 +1! +#8245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8246000 +0! +#8246500 +1! +#8246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8247000 +0! +#8247500 +1! +#8247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8248000 +0! +#8248500 +1! +#8248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8249000 +0! +#8249500 +1! +#8249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8250000 +0! +#8250500 +1! +#8250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8251000 +0! +#8251500 +1! +#8251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8252000 +0! +#8252500 +1! +#8252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8253000 +0! +#8253500 +1! +#8253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8254000 +0! +#8254500 +1! +#8254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8255000 +0! +#8255500 +1! +#8255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8256000 +0! +#8256500 +1! +#8256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8257000 +0! +#8257500 +1! +#8257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8258000 +0! +#8258500 +1! +#8258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8259000 +0! +#8259500 +1! +#8259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8260000 +0! +#8260500 +1! +#8260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8261000 +0! +#8261500 +1! +#8261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8262000 +0! +#8262500 +1! +#8262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8263000 +0! +#8263500 +1! +#8263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8264000 +0! +#8264500 +1! +#8264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8265000 +0! +#8265500 +1! +#8265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8266000 +0! +#8266500 +1! +#8266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8267000 +0! +#8267500 +1! +#8267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8268000 +0! +#8268500 +1! +#8268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8269000 +0! +#8269500 +1! +#8269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8270000 +0! +#8270500 +1! +#8270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8271000 +0! +#8271500 +1! +#8271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8272000 +0! +#8272500 +1! +#8272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8273000 +0! +#8273500 +1! +#8273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8274000 +0! +#8274500 +1! +#8274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8275000 +0! +#8275500 +1! +#8275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8276000 +0! +#8276500 +1! +#8276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8277000 +0! +#8277500 +1! +#8277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8278000 +0! +#8278500 +1! +#8278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8279000 +0! +#8279500 +1! +#8279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8280000 +0! +#8280500 +1! +#8280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8281000 +0! +#8281500 +1! +#8281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8282000 +0! +#8282500 +1! +#8282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8283000 +0! +#8283500 +1! +#8283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8284000 +0! +#8284500 +1! +#8284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8285000 +0! +#8285500 +1! +#8285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8286000 +0! +#8286500 +1! +#8286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8287000 +0! +#8287500 +1! +#8287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8288000 +0! +#8288500 +1! +#8288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8289000 +0! +#8289500 +1! +#8289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8290000 +0! +#8290500 +1! +#8290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8291000 +0! +#8291500 +1! +#8291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8292000 +0! +#8292500 +1! +#8292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8293000 +0! +#8293500 +1! +#8293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8294000 +0! +#8294500 +1! +#8294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8295000 +0! +#8295500 +1! +#8295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8296000 +0! +#8296500 +1! +#8296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8297000 +0! +#8297500 +1! +#8297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8298000 +0! +#8298500 +1! +#8298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8299000 +0! +#8299500 +1! +#8299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8300000 +0! +#8300500 +1! +#8300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8301000 +0! +#8301500 +1! +#8301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8302000 +0! +#8302500 +1! +#8302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8303000 +0! +#8303500 +1! +#8303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8304000 +0! +#8304500 +1! +#8304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8305000 +0! +#8305500 +1! +#8305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8306000 +0! +#8306500 +1! +#8306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8307000 +0! +#8307500 +1! +#8307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8308000 +0! +#8308500 +1! +#8308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8309000 +0! +#8309500 +1! +#8309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8310000 +0! +#8310500 +1! +#8310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8311000 +0! +#8311500 +1! +#8311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8312000 +0! +#8312500 +1! +#8312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8313000 +0! +#8313500 +1! +#8313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8314000 +0! +#8314500 +1! +#8314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8315000 +0! +#8315500 +1! +#8315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8316000 +0! +#8316500 +1! +#8316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8317000 +0! +#8317500 +1! +#8317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8318000 +0! +#8318500 +1! +#8318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8319000 +0! +#8319500 +1! +#8319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8320000 +0! +#8320500 +1! +#8320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8321000 +0! +#8321500 +1! +#8321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8322000 +0! +#8322500 +1! +#8322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8323000 +0! +#8323500 +1! +#8323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8324000 +0! +#8324500 +1! +#8324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8325000 +0! +#8325500 +1! +#8325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8326000 +0! +#8326500 +1! +#8326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8327000 +0! +#8327500 +1! +#8327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8328000 +0! +#8328500 +1! +#8328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8329000 +0! +#8329500 +1! +#8329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8330000 +0! +#8330500 +1! +#8330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8331000 +0! +#8331500 +1! +#8331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8332000 +0! +#8332500 +1! +#8332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8333000 +0! +#8333500 +1! +#8333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8334000 +0! +#8334500 +1! +#8334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8335000 +0! +#8335500 +1! +#8335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8336000 +0! +#8336500 +1! +#8336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8337000 +0! +#8337500 +1! +#8337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8338000 +0! +#8338500 +1! +#8338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8339000 +0! +#8339500 +1! +#8339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8340000 +0! +#8340500 +1! +#8340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8341000 +0! +#8341500 +1! +#8341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#8341700 +b11 g +b1001011 c +#8342000 +0! +#8342500 +1! +#8342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8343000 +0! +#8343500 +1! +#8343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8344000 +0! +#8344500 +1! +#8344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8345000 +0! +#8345500 +1! +#8345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8346000 +0! +#8346500 +1! +#8346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8347000 +0! +#8347500 +1! +#8347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8348000 +0! +#8348500 +1! +#8348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8349000 +0! +#8349500 +1! +#8349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8350000 +0! +#8350500 +1! +#8350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8351000 +0! +#8351500 +1! +#8351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8352000 +0! +#8352500 +1! +#8352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8353000 +0! +#8353500 +1! +#8353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8354000 +0! +#8354500 +1! +#8354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8355000 +0! +#8355500 +1! +#8355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8356000 +0! +#8356500 +1! +#8356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8357000 +0! +#8357500 +1! +#8357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8358000 +0! +#8358500 +1! +#8358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8359000 +0! +#8359500 +1! +#8359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8360000 +0! +#8360500 +1! +#8360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8361000 +0! +#8361500 +1! +#8361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8362000 +0! +#8362500 +1! +#8362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8363000 +0! +#8363500 +1! +#8363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8364000 +0! +#8364500 +1! +#8364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8365000 +0! +#8365500 +1! +#8365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8366000 +0! +#8366500 +1! +#8366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8367000 +0! +#8367500 +1! +#8367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8368000 +0! +#8368500 +1! +#8368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8369000 +0! +#8369500 +1! +#8369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8370000 +0! +#8370500 +1! +#8370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8371000 +0! +#8371500 +1! +#8371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8372000 +0! +#8372500 +1! +#8372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8373000 +0! +#8373500 +1! +#8373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8374000 +0! +#8374500 +1! +#8374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8375000 +0! +#8375500 +1! +#8375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8376000 +0! +#8376500 +1! +#8376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8377000 +0! +#8377500 +1! +#8377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8378000 +0! +#8378500 +1! +#8378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8379000 +0! +#8379500 +1! +#8379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8380000 +0! +#8380500 +1! +#8380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8381000 +0! +#8381500 +1! +#8381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8382000 +0! +#8382500 +1! +#8382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8383000 +0! +#8383500 +1! +#8383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8384000 +0! +#8384500 +1! +#8384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8385000 +0! +#8385500 +1! +#8385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8386000 +0! +#8386500 +1! +#8386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8387000 +0! +#8387500 +1! +#8387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8388000 +0! +#8388500 +1! +#8388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8389000 +0! +#8389500 +1! +#8389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8390000 +0! +#8390500 +1! +#8390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8391000 +0! +#8391500 +1! +#8391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8392000 +0! +#8392500 +1! +#8392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8393000 +0! +#8393500 +1! +#8393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8394000 +0! +#8394500 +1! +#8394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8395000 +0! +#8395500 +1! +#8395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8396000 +0! +#8396500 +1! +#8396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8397000 +0! +#8397500 +1! +#8397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8398000 +0! +#8398500 +1! +#8398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8399000 +0! +#8399500 +1! +#8399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8400000 +0! +#8400500 +1! +#8400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8401000 +0! +#8401500 +1! +#8401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8402000 +0! +#8402500 +1! +#8402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8403000 +0! +#8403500 +1! +#8403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8404000 +0! +#8404500 +1! +#8404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8405000 +0! +#8405500 +1! +#8405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8406000 +0! +#8406500 +1! +#8406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8407000 +0! +#8407500 +1! +#8407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8408000 +0! +#8408500 +1! +#8408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8409000 +0! +#8409500 +1! +#8409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8410000 +0! +#8410500 +1! +#8410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8411000 +0! +#8411500 +1! +#8411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8412000 +0! +#8412500 +1! +#8412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8413000 +0! +#8413500 +1! +#8413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8414000 +0! +#8414500 +1! +#8414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8415000 +0! +#8415500 +1! +#8415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8416000 +0! +#8416500 +1! +#8416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8417000 +0! +#8417500 +1! +#8417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8418000 +0! +#8418500 +1! +#8418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8419000 +0! +#8419500 +1! +#8419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8420000 +0! +#8420500 +1! +#8420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8421000 +0! +#8421500 +1! +#8421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8422000 +0! +#8422500 +1! +#8422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8423000 +0! +#8423500 +1! +#8423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8424000 +0! +#8424500 +1! +#8424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8425000 +0! +#8425500 +1! +#8425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8426000 +0! +#8426500 +1! +#8426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8427000 +0! +#8427500 +1! +#8427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8428000 +0! +#8428500 +1! +#8428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8429000 +0! +#8429500 +1! +#8429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8430000 +0! +#8430500 +1! +#8430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8431000 +0! +#8431500 +1! +#8431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8432000 +0! +#8432500 +1! +#8432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8433000 +0! +#8433500 +1! +#8433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8434000 +0! +#8434500 +1! +#8434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8435000 +0! +#8435500 +1! +#8435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8436000 +0! +#8436500 +1! +#8436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8437000 +0! +#8437500 +1! +#8437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8438000 +0! +#8438500 +1! +#8438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8439000 +0! +#8439500 +1! +#8439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8440000 +0! +#8440500 +1! +#8440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8441000 +0! +#8441500 +1! +#8441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8442000 +0! +#8442500 +1! +#8442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8443000 +0! +#8443500 +1! +#8443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#8444000 +0! +#8444500 +1! +#8444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8445000 +0! +#8445500 +1! +#8445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8446000 +0! +#8446500 +1! +#8446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8447000 +0! +#8447500 +1! +#8447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8448000 +0! +#8448500 +1! +#8448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8449000 +0! +#8449500 +1! +#8449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8450000 +0! +#8450500 +1! +#8450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8451000 +0! +#8451500 +1! +#8451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8452000 +0! +#8452500 +1! +#8452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8453000 +0! +#8453500 +1! +#8453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8454000 +0! +#8454500 +1! +#8454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8455000 +0! +#8455500 +1! +#8455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8456000 +0! +#8456500 +1! +#8456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8457000 +0! +#8457500 +1! +#8457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8458000 +0! +#8458500 +1! +#8458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8459000 +0! +#8459500 +1! +#8459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8460000 +0! +#8460500 +1! +#8460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8461000 +0! +#8461500 +1! +#8461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8462000 +0! +#8462500 +1! +#8462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8463000 +0! +#8463500 +1! +#8463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8464000 +0! +#8464500 +1! +#8464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8465000 +0! +#8465500 +1! +#8465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8466000 +0! +#8466500 +1! +#8466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8467000 +0! +#8467500 +1! +#8467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8468000 +0! +#8468500 +1! +#8468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8469000 +0! +#8469500 +1! +#8469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8470000 +0! +#8470500 +1! +#8470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8471000 +0! +#8471500 +1! +#8471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8472000 +0! +#8472500 +1! +#8472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8473000 +0! +#8473500 +1! +#8473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8474000 +0! +#8474500 +1! +#8474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8475000 +0! +#8475500 +1! +#8475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8476000 +0! +#8476500 +1! +#8476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8477000 +0! +#8477500 +1! +#8477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8478000 +0! +#8478500 +1! +#8478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8479000 +0! +#8479500 +1! +#8479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8480000 +0! +#8480500 +1! +#8480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8481000 +0! +#8481500 +1! +#8481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8482000 +0! +#8482500 +1! +#8482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8483000 +0! +#8483500 +1! +#8483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8484000 +0! +#8484500 +1! +#8484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8485000 +0! +#8485500 +1! +#8485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8486000 +0! +#8486500 +1! +#8486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8487000 +0! +#8487500 +1! +#8487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8488000 +0! +#8488500 +1! +#8488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8489000 +0! +#8489500 +1! +#8489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8490000 +0! +#8490500 +1! +#8490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8491000 +0! +#8491500 +1! +#8491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8492000 +0! +#8492500 +1! +#8492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8493000 +0! +#8493500 +1! +#8493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8494000 +0! +#8494500 +1! +#8494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8495000 +0! +#8495500 +1! +#8495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8496000 +0! +#8496500 +1! +#8496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8497000 +0! +#8497500 +1! +#8497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8498000 +0! +#8498500 +1! +#8498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8499000 +0! +#8499500 +1! +#8499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8500000 +0! +#8500500 +1! +#8500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8501000 +0! +#8501500 +1! +#8501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8502000 +0! +#8502500 +1! +#8502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8503000 +0! +#8503500 +1! +#8503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8504000 +0! +#8504500 +1! +#8504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8505000 +0! +#8505500 +1! +#8505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8506000 +0! +#8506500 +1! +#8506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8507000 +0! +#8507500 +1! +#8507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8508000 +0! +#8508500 +1! +#8508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8509000 +0! +#8509500 +1! +#8509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8510000 +0! +#8510500 +1! +#8510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8511000 +0! +#8511500 +1! +#8511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8512000 +0! +#8512500 +1! +#8512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8513000 +0! +#8513500 +1! +#8513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8514000 +0! +#8514500 +1! +#8514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8515000 +0! +#8515500 +1! +#8515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8516000 +0! +#8516500 +1! +#8516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8517000 +0! +#8517500 +1! +#8517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8518000 +0! +#8518500 +1! +#8518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8519000 +0! +#8519500 +1! +#8519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8520000 +0! +#8520500 +1! +#8520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8521000 +0! +#8521500 +1! +#8521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8522000 +0! +#8522500 +1! +#8522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8523000 +0! +#8523500 +1! +#8523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8524000 +0! +#8524500 +1! +#8524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8525000 +0! +#8525500 +1! +#8525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8526000 +0! +#8526500 +1! +#8526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8527000 +0! +#8527500 +1! +#8527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8528000 +0! +#8528500 +1! +#8528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8529000 +0! +#8529500 +1! +#8529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8530000 +0! +#8530500 +1! +#8530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8531000 +0! +#8531500 +1! +#8531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8532000 +0! +#8532500 +1! +#8532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8533000 +0! +#8533500 +1! +#8533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8534000 +0! +#8534500 +1! +#8534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8535000 +0! +#8535500 +1! +#8535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8536000 +0! +#8536500 +1! +#8536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8537000 +0! +#8537500 +1! +#8537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8538000 +0! +#8538500 +1! +#8538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8539000 +0! +#8539500 +1! +#8539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8540000 +0! +#8540500 +1! +#8540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8541000 +0! +#8541500 +1! +#8541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8542000 +0! +#8542500 +1! +#8542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8543000 +0! +#8543500 +1! +#8543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8544000 +0! +#8544500 +1! +#8544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8545000 +0! +#8545500 +1! +#8545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#8546000 +0! +#8546500 +1! +#8546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8547000 +0! +#8547500 +1! +#8547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8548000 +0! +#8548500 +1! +#8548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8549000 +0! +#8549500 +1! +#8549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8550000 +0! +#8550500 +1! +#8550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8551000 +0! +#8551500 +1! +#8551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8552000 +0! +#8552500 +1! +#8552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8553000 +0! +#8553500 +1! +#8553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8554000 +0! +#8554500 +1! +#8554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8555000 +0! +#8555500 +1! +#8555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8556000 +0! +#8556500 +1! +#8556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8557000 +0! +#8557500 +1! +#8557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8558000 +0! +#8558500 +1! +#8558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8559000 +0! +#8559500 +1! +#8559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8560000 +0! +#8560500 +1! +#8560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8561000 +0! +#8561500 +1! +#8561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8562000 +0! +#8562500 +1! +#8562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8563000 +0! +#8563500 +1! +#8563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8564000 +0! +#8564500 +1! +#8564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8565000 +0! +#8565500 +1! +#8565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8566000 +0! +#8566500 +1! +#8566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8567000 +0! +#8567500 +1! +#8567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8568000 +0! +#8568500 +1! +#8568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8569000 +0! +#8569500 +1! +#8569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8570000 +0! +#8570500 +1! +#8570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8571000 +0! +#8571500 +1! +#8571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8572000 +0! +#8572500 +1! +#8572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8573000 +0! +#8573500 +1! +#8573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8574000 +0! +#8574500 +1! +#8574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8575000 +0! +#8575500 +1! +#8575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8576000 +0! +#8576500 +1! +#8576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8577000 +0! +#8577500 +1! +#8577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8578000 +0! +#8578500 +1! +#8578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8579000 +0! +#8579500 +1! +#8579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8580000 +0! +#8580500 +1! +#8580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8581000 +0! +#8581500 +1! +#8581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8582000 +0! +#8582500 +1! +#8582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8583000 +0! +#8583500 +1! +#8583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8584000 +0! +#8584500 +1! +#8584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8585000 +0! +#8585500 +1! +#8585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8586000 +0! +#8586500 +1! +#8586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8587000 +0! +#8587500 +1! +#8587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8588000 +0! +#8588500 +1! +#8588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8589000 +0! +#8589500 +1! +#8589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8590000 +0! +#8590500 +1! +#8590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8591000 +0! +#8591500 +1! +#8591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8592000 +0! +#8592500 +1! +#8592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8593000 +0! +#8593500 +1! +#8593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8594000 +0! +#8594500 +1! +#8594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8595000 +0! +#8595500 +1! +#8595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8596000 +0! +#8596500 +1! +#8596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#8596700 +b10 g +b10010110 c +#8597000 +0! +#8597500 +1! +#8597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8598000 +0! +#8598500 +1! +#8598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8599000 +0! +#8599500 +1! +#8599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8600000 +0! +#8600500 +1! +#8600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8601000 +0! +#8601500 +1! +#8601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8602000 +0! +#8602500 +1! +#8602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8603000 +0! +#8603500 +1! +#8603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8604000 +0! +#8604500 +1! +#8604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8605000 +0! +#8605500 +1! +#8605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8606000 +0! +#8606500 +1! +#8606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8607000 +0! +#8607500 +1! +#8607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8608000 +0! +#8608500 +1! +#8608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8609000 +0! +#8609500 +1! +#8609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8610000 +0! +#8610500 +1! +#8610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8611000 +0! +#8611500 +1! +#8611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8612000 +0! +#8612500 +1! +#8612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8613000 +0! +#8613500 +1! +#8613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8614000 +0! +#8614500 +1! +#8614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8615000 +0! +#8615500 +1! +#8615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8616000 +0! +#8616500 +1! +#8616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8617000 +0! +#8617500 +1! +#8617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8618000 +0! +#8618500 +1! +#8618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8619000 +0! +#8619500 +1! +#8619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8620000 +0! +#8620500 +1! +#8620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8621000 +0! +#8621500 +1! +#8621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8622000 +0! +#8622500 +1! +#8622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8623000 +0! +#8623500 +1! +#8623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8624000 +0! +#8624500 +1! +#8624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8625000 +0! +#8625500 +1! +#8625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8626000 +0! +#8626500 +1! +#8626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8627000 +0! +#8627500 +1! +#8627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8628000 +0! +#8628500 +1! +#8628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8629000 +0! +#8629500 +1! +#8629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8630000 +0! +#8630500 +1! +#8630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8631000 +0! +#8631500 +1! +#8631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8632000 +0! +#8632500 +1! +#8632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8633000 +0! +#8633500 +1! +#8633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8634000 +0! +#8634500 +1! +#8634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8635000 +0! +#8635500 +1! +#8635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8636000 +0! +#8636500 +1! +#8636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8637000 +0! +#8637500 +1! +#8637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8638000 +0! +#8638500 +1! +#8638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8639000 +0! +#8639500 +1! +#8639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8640000 +0! +#8640500 +1! +#8640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8641000 +0! +#8641500 +1! +#8641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8642000 +0! +#8642500 +1! +#8642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8643000 +0! +#8643500 +1! +#8643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8644000 +0! +#8644500 +1! +#8644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8645000 +0! +#8645500 +1! +#8645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8646000 +0! +#8646500 +1! +#8646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8647000 +0! +#8647500 +1! +#8647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8648000 +0! +#8648500 +1! +#8648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8649000 +0! +#8649500 +1! +#8649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8650000 +0! +#8650500 +1! +#8650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8651000 +0! +#8651500 +1! +#8651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8652000 +0! +#8652500 +1! +#8652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8653000 +0! +#8653500 +1! +#8653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8654000 +0! +#8654500 +1! +#8654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8655000 +0! +#8655500 +1! +#8655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8656000 +0! +#8656500 +1! +#8656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8657000 +0! +#8657500 +1! +#8657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8658000 +0! +#8658500 +1! +#8658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8659000 +0! +#8659500 +1! +#8659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8660000 +0! +#8660500 +1! +#8660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8661000 +0! +#8661500 +1! +#8661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8662000 +0! +#8662500 +1! +#8662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8663000 +0! +#8663500 +1! +#8663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8664000 +0! +#8664500 +1! +#8664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8665000 +0! +#8665500 +1! +#8665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8666000 +0! +#8666500 +1! +#8666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8667000 +0! +#8667500 +1! +#8667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8668000 +0! +#8668500 +1! +#8668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8669000 +0! +#8669500 +1! +#8669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8670000 +0! +#8670500 +1! +#8670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8671000 +0! +#8671500 +1! +#8671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8672000 +0! +#8672500 +1! +#8672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8673000 +0! +#8673500 +1! +#8673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8674000 +0! +#8674500 +1! +#8674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8675000 +0! +#8675500 +1! +#8675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8676000 +0! +#8676500 +1! +#8676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8677000 +0! +#8677500 +1! +#8677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8678000 +0! +#8678500 +1! +#8678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8679000 +0! +#8679500 +1! +#8679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8680000 +0! +#8680500 +1! +#8680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8681000 +0! +#8681500 +1! +#8681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8682000 +0! +#8682500 +1! +#8682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8683000 +0! +#8683500 +1! +#8683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8684000 +0! +#8684500 +1! +#8684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8685000 +0! +#8685500 +1! +#8685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8686000 +0! +#8686500 +1! +#8686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8687000 +0! +#8687500 +1! +#8687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8688000 +0! +#8688500 +1! +#8688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8689000 +0! +#8689500 +1! +#8689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8690000 +0! +#8690500 +1! +#8690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8691000 +0! +#8691500 +1! +#8691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8692000 +0! +#8692500 +1! +#8692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8693000 +0! +#8693500 +1! +#8693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8694000 +0! +#8694500 +1! +#8694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8695000 +0! +#8695500 +1! +#8695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8696000 +0! +#8696500 +1! +#8696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8697000 +0! +#8697500 +1! +#8697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8698000 +0! +#8698500 +1! +#8698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#8699000 +0! +#8699500 +1! +#8699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8700000 +0! +#8700500 +1! +#8700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8701000 +0! +#8701500 +1! +#8701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8702000 +0! +#8702500 +1! +#8702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8703000 +0! +#8703500 +1! +#8703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8704000 +0! +#8704500 +1! +#8704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8705000 +0! +#8705500 +1! +#8705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8706000 +0! +#8706500 +1! +#8706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8707000 +0! +#8707500 +1! +#8707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8708000 +0! +#8708500 +1! +#8708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8709000 +0! +#8709500 +1! +#8709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8710000 +0! +#8710500 +1! +#8710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8711000 +0! +#8711500 +1! +#8711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8712000 +0! +#8712500 +1! +#8712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8713000 +0! +#8713500 +1! +#8713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8714000 +0! +#8714500 +1! +#8714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8715000 +0! +#8715500 +1! +#8715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8716000 +0! +#8716500 +1! +#8716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8717000 +0! +#8717500 +1! +#8717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8718000 +0! +#8718500 +1! +#8718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8719000 +0! +#8719500 +1! +#8719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8720000 +0! +#8720500 +1! +#8720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8721000 +0! +#8721500 +1! +#8721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8722000 +0! +#8722500 +1! +#8722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8723000 +0! +#8723500 +1! +#8723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8724000 +0! +#8724500 +1! +#8724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8725000 +0! +#8725500 +1! +#8725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8726000 +0! +#8726500 +1! +#8726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8727000 +0! +#8727500 +1! +#8727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8728000 +0! +#8728500 +1! +#8728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8729000 +0! +#8729500 +1! +#8729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8730000 +0! +#8730500 +1! +#8730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8731000 +0! +#8731500 +1! +#8731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8732000 +0! +#8732500 +1! +#8732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8733000 +0! +#8733500 +1! +#8733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8734000 +0! +#8734500 +1! +#8734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8735000 +0! +#8735500 +1! +#8735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8736000 +0! +#8736500 +1! +#8736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8737000 +0! +#8737500 +1! +#8737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8738000 +0! +#8738500 +1! +#8738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8739000 +0! +#8739500 +1! +#8739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8740000 +0! +#8740500 +1! +#8740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8741000 +0! +#8741500 +1! +#8741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8742000 +0! +#8742500 +1! +#8742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8743000 +0! +#8743500 +1! +#8743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8744000 +0! +#8744500 +1! +#8744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8745000 +0! +#8745500 +1! +#8745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8746000 +0! +#8746500 +1! +#8746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8747000 +0! +#8747500 +1! +#8747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8748000 +0! +#8748500 +1! +#8748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8749000 +0! +#8749500 +1! +#8749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8750000 +0! +#8750500 +1! +#8750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8751000 +0! +#8751500 +1! +#8751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8752000 +0! +#8752500 +1! +#8752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8753000 +0! +#8753500 +1! +#8753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8754000 +0! +#8754500 +1! +#8754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8755000 +0! +#8755500 +1! +#8755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8756000 +0! +#8756500 +1! +#8756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8757000 +0! +#8757500 +1! +#8757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8758000 +0! +#8758500 +1! +#8758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8759000 +0! +#8759500 +1! +#8759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8760000 +0! +#8760500 +1! +#8760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8761000 +0! +#8761500 +1! +#8761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8762000 +0! +#8762500 +1! +#8762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8763000 +0! +#8763500 +1! +#8763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8764000 +0! +#8764500 +1! +#8764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8765000 +0! +#8765500 +1! +#8765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8766000 +0! +#8766500 +1! +#8766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8767000 +0! +#8767500 +1! +#8767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8768000 +0! +#8768500 +1! +#8768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8769000 +0! +#8769500 +1! +#8769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8770000 +0! +#8770500 +1! +#8770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8771000 +0! +#8771500 +1! +#8771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8772000 +0! +#8772500 +1! +#8772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8773000 +0! +#8773500 +1! +#8773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8774000 +0! +#8774500 +1! +#8774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8775000 +0! +#8775500 +1! +#8775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8776000 +0! +#8776500 +1! +#8776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8777000 +0! +#8777500 +1! +#8777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8778000 +0! +#8778500 +1! +#8778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8779000 +0! +#8779500 +1! +#8779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8780000 +0! +#8780500 +1! +#8780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8781000 +0! +#8781500 +1! +#8781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8782000 +0! +#8782500 +1! +#8782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8783000 +0! +#8783500 +1! +#8783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8784000 +0! +#8784500 +1! +#8784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8785000 +0! +#8785500 +1! +#8785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8786000 +0! +#8786500 +1! +#8786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8787000 +0! +#8787500 +1! +#8787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8788000 +0! +#8788500 +1! +#8788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8789000 +0! +#8789500 +1! +#8789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8790000 +0! +#8790500 +1! +#8790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8791000 +0! +#8791500 +1! +#8791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8792000 +0! +#8792500 +1! +#8792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8793000 +0! +#8793500 +1! +#8793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8794000 +0! +#8794500 +1! +#8794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8795000 +0! +#8795500 +1! +#8795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8796000 +0! +#8796500 +1! +#8796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8797000 +0! +#8797500 +1! +#8797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8798000 +0! +#8798500 +1! +#8798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8799000 +0! +#8799500 +1! +#8799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8800000 +0! +#8800500 +1! +#8800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#8801000 +0! +#8801500 +1! +#8801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8802000 +0! +#8802500 +1! +#8802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8803000 +0! +#8803500 +1! +#8803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8804000 +0! +#8804500 +1! +#8804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8805000 +0! +#8805500 +1! +#8805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8806000 +0! +#8806500 +1! +#8806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8807000 +0! +#8807500 +1! +#8807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8808000 +0! +#8808500 +1! +#8808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8809000 +0! +#8809500 +1! +#8809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8810000 +0! +#8810500 +1! +#8810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8811000 +0! +#8811500 +1! +#8811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8812000 +0! +#8812500 +1! +#8812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8813000 +0! +#8813500 +1! +#8813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8814000 +0! +#8814500 +1! +#8814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8815000 +0! +#8815500 +1! +#8815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8816000 +0! +#8816500 +1! +#8816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8817000 +0! +#8817500 +1! +#8817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8818000 +0! +#8818500 +1! +#8818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8819000 +0! +#8819500 +1! +#8819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8820000 +0! +#8820500 +1! +#8820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8821000 +0! +#8821500 +1! +#8821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8822000 +0! +#8822500 +1! +#8822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8823000 +0! +#8823500 +1! +#8823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8824000 +0! +#8824500 +1! +#8824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8825000 +0! +#8825500 +1! +#8825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8826000 +0! +#8826500 +1! +#8826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8827000 +0! +#8827500 +1! +#8827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8828000 +0! +#8828500 +1! +#8828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8829000 +0! +#8829500 +1! +#8829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8830000 +0! +#8830500 +1! +#8830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8831000 +0! +#8831500 +1! +#8831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8832000 +0! +#8832500 +1! +#8832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8833000 +0! +#8833500 +1! +#8833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8834000 +0! +#8834500 +1! +#8834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8835000 +0! +#8835500 +1! +#8835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8836000 +0! +#8836500 +1! +#8836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8837000 +0! +#8837500 +1! +#8837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8838000 +0! +#8838500 +1! +#8838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8839000 +0! +#8839500 +1! +#8839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8840000 +0! +#8840500 +1! +#8840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8841000 +0! +#8841500 +1! +#8841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8842000 +0! +#8842500 +1! +#8842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8843000 +0! +#8843500 +1! +#8843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8844000 +0! +#8844500 +1! +#8844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8845000 +0! +#8845500 +1! +#8845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8846000 +0! +#8846500 +1! +#8846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8847000 +0! +#8847500 +1! +#8847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8848000 +0! +#8848500 +1! +#8848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8849000 +0! +#8849500 +1! +#8849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8850000 +0! +#8850500 +1! +#8850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8851000 +0! +#8851500 +1! +#8851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#8851700 +b1 g +b101101 c +#8852000 +0! +#8852500 +1! +#8852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8853000 +0! +#8853500 +1! +#8853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8854000 +0! +#8854500 +1! +#8854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8855000 +0! +#8855500 +1! +#8855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8856000 +0! +#8856500 +1! +#8856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8857000 +0! +#8857500 +1! +#8857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8858000 +0! +#8858500 +1! +#8858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8859000 +0! +#8859500 +1! +#8859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8860000 +0! +#8860500 +1! +#8860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8861000 +0! +#8861500 +1! +#8861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8862000 +0! +#8862500 +1! +#8862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8863000 +0! +#8863500 +1! +#8863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8864000 +0! +#8864500 +1! +#8864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8865000 +0! +#8865500 +1! +#8865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8866000 +0! +#8866500 +1! +#8866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8867000 +0! +#8867500 +1! +#8867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8868000 +0! +#8868500 +1! +#8868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8869000 +0! +#8869500 +1! +#8869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8870000 +0! +#8870500 +1! +#8870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8871000 +0! +#8871500 +1! +#8871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8872000 +0! +#8872500 +1! +#8872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8873000 +0! +#8873500 +1! +#8873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8874000 +0! +#8874500 +1! +#8874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8875000 +0! +#8875500 +1! +#8875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8876000 +0! +#8876500 +1! +#8876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8877000 +0! +#8877500 +1! +#8877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8878000 +0! +#8878500 +1! +#8878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8879000 +0! +#8879500 +1! +#8879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8880000 +0! +#8880500 +1! +#8880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8881000 +0! +#8881500 +1! +#8881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8882000 +0! +#8882500 +1! +#8882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8883000 +0! +#8883500 +1! +#8883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8884000 +0! +#8884500 +1! +#8884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8885000 +0! +#8885500 +1! +#8885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8886000 +0! +#8886500 +1! +#8886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8887000 +0! +#8887500 +1! +#8887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8888000 +0! +#8888500 +1! +#8888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8889000 +0! +#8889500 +1! +#8889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8890000 +0! +#8890500 +1! +#8890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8891000 +0! +#8891500 +1! +#8891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8892000 +0! +#8892500 +1! +#8892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8893000 +0! +#8893500 +1! +#8893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8894000 +0! +#8894500 +1! +#8894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8895000 +0! +#8895500 +1! +#8895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8896000 +0! +#8896500 +1! +#8896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8897000 +0! +#8897500 +1! +#8897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8898000 +0! +#8898500 +1! +#8898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8899000 +0! +#8899500 +1! +#8899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8900000 +0! +#8900500 +1! +#8900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8901000 +0! +#8901500 +1! +#8901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8902000 +0! +#8902500 +1! +#8902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8903000 +0! +#8903500 +1! +#8903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8904000 +0! +#8904500 +1! +#8904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8905000 +0! +#8905500 +1! +#8905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8906000 +0! +#8906500 +1! +#8906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8907000 +0! +#8907500 +1! +#8907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8908000 +0! +#8908500 +1! +#8908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8909000 +0! +#8909500 +1! +#8909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8910000 +0! +#8910500 +1! +#8910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8911000 +0! +#8911500 +1! +#8911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8912000 +0! +#8912500 +1! +#8912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8913000 +0! +#8913500 +1! +#8913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8914000 +0! +#8914500 +1! +#8914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8915000 +0! +#8915500 +1! +#8915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8916000 +0! +#8916500 +1! +#8916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8917000 +0! +#8917500 +1! +#8917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8918000 +0! +#8918500 +1! +#8918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8919000 +0! +#8919500 +1! +#8919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8920000 +0! +#8920500 +1! +#8920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8921000 +0! +#8921500 +1! +#8921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8922000 +0! +#8922500 +1! +#8922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8923000 +0! +#8923500 +1! +#8923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8924000 +0! +#8924500 +1! +#8924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8925000 +0! +#8925500 +1! +#8925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8926000 +0! +#8926500 +1! +#8926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8927000 +0! +#8927500 +1! +#8927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8928000 +0! +#8928500 +1! +#8928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8929000 +0! +#8929500 +1! +#8929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8930000 +0! +#8930500 +1! +#8930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8931000 +0! +#8931500 +1! +#8931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8932000 +0! +#8932500 +1! +#8932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8933000 +0! +#8933500 +1! +#8933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8934000 +0! +#8934500 +1! +#8934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8935000 +0! +#8935500 +1! +#8935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8936000 +0! +#8936500 +1! +#8936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8937000 +0! +#8937500 +1! +#8937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8938000 +0! +#8938500 +1! +#8938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8939000 +0! +#8939500 +1! +#8939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8940000 +0! +#8940500 +1! +#8940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8941000 +0! +#8941500 +1! +#8941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8942000 +0! +#8942500 +1! +#8942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8943000 +0! +#8943500 +1! +#8943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8944000 +0! +#8944500 +1! +#8944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8945000 +0! +#8945500 +1! +#8945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8946000 +0! +#8946500 +1! +#8946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8947000 +0! +#8947500 +1! +#8947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8948000 +0! +#8948500 +1! +#8948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8949000 +0! +#8949500 +1! +#8949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8950000 +0! +#8950500 +1! +#8950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8951000 +0! +#8951500 +1! +#8951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8952000 +0! +#8952500 +1! +#8952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8953000 +0! +#8953500 +1! +#8953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#8954000 +0! +#8954500 +1! +#8954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8955000 +0! +#8955500 +1! +#8955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8956000 +0! +#8956500 +1! +#8956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8957000 +0! +#8957500 +1! +#8957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8958000 +0! +#8958500 +1! +#8958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8959000 +0! +#8959500 +1! +#8959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8960000 +0! +#8960500 +1! +#8960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8961000 +0! +#8961500 +1! +#8961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8962000 +0! +#8962500 +1! +#8962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8963000 +0! +#8963500 +1! +#8963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8964000 +0! +#8964500 +1! +#8964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8965000 +0! +#8965500 +1! +#8965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8966000 +0! +#8966500 +1! +#8966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8967000 +0! +#8967500 +1! +#8967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8968000 +0! +#8968500 +1! +#8968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8969000 +0! +#8969500 +1! +#8969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8970000 +0! +#8970500 +1! +#8970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8971000 +0! +#8971500 +1! +#8971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8972000 +0! +#8972500 +1! +#8972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8973000 +0! +#8973500 +1! +#8973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8974000 +0! +#8974500 +1! +#8974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8975000 +0! +#8975500 +1! +#8975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8976000 +0! +#8976500 +1! +#8976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8977000 +0! +#8977500 +1! +#8977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8978000 +0! +#8978500 +1! +#8978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8979000 +0! +#8979500 +1! +#8979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8980000 +0! +#8980500 +1! +#8980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8981000 +0! +#8981500 +1! +#8981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8982000 +0! +#8982500 +1! +#8982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8983000 +0! +#8983500 +1! +#8983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8984000 +0! +#8984500 +1! +#8984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8985000 +0! +#8985500 +1! +#8985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8986000 +0! +#8986500 +1! +#8986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8987000 +0! +#8987500 +1! +#8987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8988000 +0! +#8988500 +1! +#8988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8989000 +0! +#8989500 +1! +#8989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8990000 +0! +#8990500 +1! +#8990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8991000 +0! +#8991500 +1! +#8991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8992000 +0! +#8992500 +1! +#8992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8993000 +0! +#8993500 +1! +#8993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8994000 +0! +#8994500 +1! +#8994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8995000 +0! +#8995500 +1! +#8995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8996000 +0! +#8996500 +1! +#8996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8997000 +0! +#8997500 +1! +#8997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#8998000 +0! +#8998500 +1! +#8998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#8999000 +0! +#8999500 +1! +#8999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9000000 +0! +#9000500 +1! +#9000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9001000 +0! +#9001500 +1! +#9001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9002000 +0! +#9002500 +1! +#9002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9003000 +0! +#9003500 +1! +#9003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9004000 +0! +#9004500 +1! +#9004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9005000 +0! +#9005500 +1! +#9005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9006000 +0! +#9006500 +1! +#9006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9007000 +0! +#9007500 +1! +#9007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9008000 +0! +#9008500 +1! +#9008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9009000 +0! +#9009500 +1! +#9009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9010000 +0! +#9010500 +1! +#9010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9011000 +0! +#9011500 +1! +#9011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9012000 +0! +#9012500 +1! +#9012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9013000 +0! +#9013500 +1! +#9013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9014000 +0! +#9014500 +1! +#9014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9015000 +0! +#9015500 +1! +#9015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9016000 +0! +#9016500 +1! +#9016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9017000 +0! +#9017500 +1! +#9017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9018000 +0! +#9018500 +1! +#9018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9019000 +0! +#9019500 +1! +#9019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9020000 +0! +#9020500 +1! +#9020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9021000 +0! +#9021500 +1! +#9021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9022000 +0! +#9022500 +1! +#9022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9023000 +0! +#9023500 +1! +#9023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9024000 +0! +#9024500 +1! +#9024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9025000 +0! +#9025500 +1! +#9025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9026000 +0! +#9026500 +1! +#9026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9027000 +0! +#9027500 +1! +#9027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9028000 +0! +#9028500 +1! +#9028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9029000 +0! +#9029500 +1! +#9029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9030000 +0! +#9030500 +1! +#9030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9031000 +0! +#9031500 +1! +#9031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9032000 +0! +#9032500 +1! +#9032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9033000 +0! +#9033500 +1! +#9033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9034000 +0! +#9034500 +1! +#9034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9035000 +0! +#9035500 +1! +#9035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9036000 +0! +#9036500 +1! +#9036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9037000 +0! +#9037500 +1! +#9037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9038000 +0! +#9038500 +1! +#9038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9039000 +0! +#9039500 +1! +#9039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9040000 +0! +#9040500 +1! +#9040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9041000 +0! +#9041500 +1! +#9041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9042000 +0! +#9042500 +1! +#9042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9043000 +0! +#9043500 +1! +#9043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9044000 +0! +#9044500 +1! +#9044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9045000 +0! +#9045500 +1! +#9045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9046000 +0! +#9046500 +1! +#9046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9047000 +0! +#9047500 +1! +#9047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9048000 +0! +#9048500 +1! +#9048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9049000 +0! +#9049500 +1! +#9049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9050000 +0! +#9050500 +1! +#9050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9051000 +0! +#9051500 +1! +#9051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9052000 +0! +#9052500 +1! +#9052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9053000 +0! +#9053500 +1! +#9053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9054000 +0! +#9054500 +1! +#9054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9055000 +0! +#9055500 +1! +#9055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#9056000 +0! +#9056500 +1! +#9056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9057000 +0! +#9057500 +1! +#9057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9058000 +0! +#9058500 +1! +#9058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9059000 +0! +#9059500 +1! +#9059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9060000 +0! +#9060500 +1! +#9060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9061000 +0! +#9061500 +1! +#9061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9062000 +0! +#9062500 +1! +#9062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9063000 +0! +#9063500 +1! +#9063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9064000 +0! +#9064500 +1! +#9064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9065000 +0! +#9065500 +1! +#9065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9066000 +0! +#9066500 +1! +#9066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9067000 +0! +#9067500 +1! +#9067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9068000 +0! +#9068500 +1! +#9068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9069000 +0! +#9069500 +1! +#9069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9070000 +0! +#9070500 +1! +#9070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9071000 +0! +#9071500 +1! +#9071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9072000 +0! +#9072500 +1! +#9072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9073000 +0! +#9073500 +1! +#9073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9074000 +0! +#9074500 +1! +#9074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9075000 +0! +#9075500 +1! +#9075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9076000 +0! +#9076500 +1! +#9076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9077000 +0! +#9077500 +1! +#9077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9078000 +0! +#9078500 +1! +#9078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9079000 +0! +#9079500 +1! +#9079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9080000 +0! +#9080500 +1! +#9080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9081000 +0! +#9081500 +1! +#9081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9082000 +0! +#9082500 +1! +#9082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9083000 +0! +#9083500 +1! +#9083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9084000 +0! +#9084500 +1! +#9084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9085000 +0! +#9085500 +1! +#9085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9086000 +0! +#9086500 +1! +#9086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9087000 +0! +#9087500 +1! +#9087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9088000 +0! +#9088500 +1! +#9088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9089000 +0! +#9089500 +1! +#9089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9090000 +0! +#9090500 +1! +#9090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9091000 +0! +#9091500 +1! +#9091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9092000 +0! +#9092500 +1! +#9092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9093000 +0! +#9093500 +1! +#9093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9094000 +0! +#9094500 +1! +#9094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9095000 +0! +#9095500 +1! +#9095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9096000 +0! +#9096500 +1! +#9096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9097000 +0! +#9097500 +1! +#9097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9098000 +0! +#9098500 +1! +#9098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9099000 +0! +#9099500 +1! +#9099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9100000 +0! +#9100500 +1! +#9100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9101000 +0! +#9101500 +1! +#9101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9102000 +0! +#9102500 +1! +#9102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9103000 +0! +#9103500 +1! +#9103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9104000 +0! +#9104500 +1! +#9104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9105000 +0! +#9105500 +1! +#9105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9106000 +0! +#9106500 +1! +#9106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#9106700 +b0 g +b1011010 c +1h +#9107000 +0! +#9107500 +1! +#9107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9108000 +0! +#9108500 +1! +#9108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9109000 +0! +#9109500 +1! +#9109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9110000 +0! +#9110500 +1! +#9110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9111000 +0! +#9111500 +1! +#9111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9112000 +0! +#9112500 +1! +#9112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9113000 +0! +#9113500 +1! +#9113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9114000 +0! +#9114500 +1! +#9114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9115000 +0! +#9115500 +1! +#9115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9116000 +0! +#9116500 +1! +#9116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9117000 +0! +#9117500 +1! +#9117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9118000 +0! +#9118500 +1! +#9118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9119000 +0! +#9119500 +1! +#9119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9120000 +0! +#9120500 +1! +#9120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9121000 +0! +#9121500 +1! +#9121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9122000 +0! +#9122500 +1! +#9122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9123000 +0! +#9123500 +1! +#9123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9124000 +0! +#9124500 +1! +#9124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9125000 +0! +#9125500 +1! +#9125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9126000 +0! +#9126500 +1! +#9126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9127000 +0! +#9127500 +1! +#9127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9128000 +0! +#9128500 +1! +#9128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9129000 +0! +#9129500 +1! +#9129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9130000 +0! +#9130500 +1! +#9130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9131000 +0! +#9131500 +1! +#9131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9132000 +0! +#9132500 +1! +#9132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9133000 +0! +#9133500 +1! +#9133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9134000 +0! +#9134500 +1! +#9134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9135000 +0! +#9135500 +1! +#9135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9136000 +0! +#9136500 +1! +#9136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9137000 +0! +#9137500 +1! +#9137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9138000 +0! +#9138500 +1! +#9138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9139000 +0! +#9139500 +1! +#9139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9140000 +0! +#9140500 +1! +#9140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9141000 +0! +#9141500 +1! +#9141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9142000 +0! +#9142500 +1! +#9142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9143000 +0! +#9143500 +1! +#9143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9144000 +0! +#9144500 +1! +#9144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9145000 +0! +#9145500 +1! +#9145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9146000 +0! +#9146500 +1! +#9146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9147000 +0! +#9147500 +1! +#9147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9148000 +0! +#9148500 +1! +#9148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9149000 +0! +#9149500 +1! +#9149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9150000 +0! +#9150500 +1! +#9150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9151000 +0! +#9151500 +1! +#9151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9152000 +0! +#9152500 +1! +#9152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9153000 +0! +#9153500 +1! +#9153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9154000 +0! +#9154500 +1! +#9154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9155000 +0! +#9155500 +1! +#9155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9156000 +0! +#9156500 +1! +#9156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9157000 +0! +#9157500 +1! +#9157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9158000 +0! +#9158500 +1! +#9158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9159000 +0! +#9159500 +1! +#9159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9160000 +0! +#9160500 +1! +#9160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9161000 +0! +#9161500 +1! +#9161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9162000 +0! +#9162500 +1! +#9162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9163000 +0! +#9163500 +1! +#9163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9164000 +0! +#9164500 +1! +#9164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9165000 +0! +#9165500 +1! +#9165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9166000 +0! +#9166500 +1! +#9166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9167000 +0! +#9167500 +1! +#9167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9168000 +0! +#9168500 +1! +#9168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9169000 +0! +#9169500 +1! +#9169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9170000 +0! +#9170500 +1! +#9170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9171000 +0! +#9171500 +1! +#9171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9172000 +0! +#9172500 +1! +#9172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9173000 +0! +#9173500 +1! +#9173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9174000 +0! +#9174500 +1! +#9174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9175000 +0! +#9175500 +1! +#9175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9176000 +0! +#9176500 +1! +#9176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9177000 +0! +#9177500 +1! +#9177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9178000 +0! +#9178500 +1! +#9178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9179000 +0! +#9179500 +1! +#9179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9180000 +0! +#9180500 +1! +#9180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9181000 +0! +#9181500 +1! +#9181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9182000 +0! +#9182500 +1! +#9182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9183000 +0! +#9183500 +1! +#9183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9184000 +0! +#9184500 +1! +#9184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9185000 +0! +#9185500 +1! +#9185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9186000 +0! +#9186500 +1! +#9186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9187000 +0! +#9187500 +1! +#9187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9188000 +0! +#9188500 +1! +#9188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9189000 +0! +#9189500 +1! +#9189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9190000 +0! +#9190500 +1! +#9190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9191000 +0! +#9191500 +1! +#9191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9192000 +0! +#9192500 +1! +#9192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9193000 +0! +#9193500 +1! +#9193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9194000 +0! +#9194500 +1! +#9194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9195000 +0! +#9195500 +1! +#9195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9196000 +0! +#9196500 +1! +#9196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9197000 +0! +#9197500 +1! +#9197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9198000 +0! +#9198500 +1! +#9198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9199000 +0! +#9199500 +1! +#9199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9200000 +0! +#9200500 +1! +#9200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9201000 +0! +#9201500 +1! +#9201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9202000 +0! +#9202500 +1! +#9202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9203000 +0! +#9203500 +1! +#9203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9204000 +0! +#9204500 +1! +#9204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9205000 +0! +#9205500 +1! +#9205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9206000 +0! +#9206500 +1! +#9206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9207000 +0! +#9207500 +1! +#9207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9208000 +0! +#9208500 +1! +#9208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#9208700 +b101 q +0j +#9208800 +b11 ] +#9209000 +0! +#9209500 +1! +#9209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9210000 +0! +#9210500 +1! +#9210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9211000 +0! +#9211500 +1! +#9211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9212000 +0! +#9212500 +1! +#9212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9213000 +0! +#9213500 +1! +#9213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9214000 +0! +#9214500 +1! +#9214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9215000 +0! +#9215500 +1! +#9215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9216000 +0! +#9216500 +1! +#9216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9217000 +0! +#9217500 +1! +#9217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9218000 +0! +#9218500 +1! +#9218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9219000 +0! +#9219500 +1! +#9219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9220000 +0! +#9220500 +1! +#9220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9221000 +0! +#9221500 +1! +#9221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9222000 +0! +#9222500 +1! +#9222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9223000 +0! +#9223500 +1! +#9223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9224000 +0! +#9224500 +1! +#9224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9225000 +0! +#9225500 +1! +#9225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9226000 +0! +#9226500 +1! +#9226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9227000 +0! +#9227500 +1! +#9227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9228000 +0! +#9228500 +1! +#9228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9229000 +0! +#9229500 +1! +#9229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9230000 +0! +#9230500 +1! +#9230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9231000 +0! +#9231500 +1! +#9231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9232000 +0! +#9232500 +1! +#9232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9233000 +0! +#9233500 +1! +#9233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9234000 +0! +#9234500 +1! +#9234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9235000 +0! +#9235500 +1! +#9235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9236000 +0! +#9236500 +1! +#9236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9237000 +0! +#9237500 +1! +#9237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9238000 +0! +#9238500 +1! +#9238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9239000 +0! +#9239500 +1! +#9239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9240000 +0! +#9240500 +1! +#9240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9241000 +0! +#9241500 +1! +#9241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9242000 +0! +#9242500 +1! +#9242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9243000 +0! +#9243500 +1! +#9243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9244000 +0! +#9244500 +1! +#9244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9245000 +0! +#9245500 +1! +#9245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9246000 +0! +#9246500 +1! +#9246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9247000 +0! +#9247500 +1! +#9247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9248000 +0! +#9248500 +1! +#9248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9249000 +0! +#9249500 +1! +#9249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9250000 +0! +#9250500 +1! +#9250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9251000 +0! +#9251500 +1! +#9251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9252000 +0! +#9252500 +1! +#9252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9253000 +0! +#9253500 +1! +#9253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9254000 +0! +#9254500 +1! +#9254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9255000 +0! +#9255500 +1! +#9255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9256000 +0! +#9256500 +1! +#9256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9257000 +0! +#9257500 +1! +#9257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9258000 +0! +#9258500 +1! +#9258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9259000 +0! +#9259500 +1! +#9259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9260000 +0! +#9260500 +1! +#9260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9261000 +0! +#9261500 +1! +#9261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9262000 +0! +#9262500 +1! +#9262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9263000 +0! +#9263500 +1! +#9263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9264000 +0! +#9264500 +1! +#9264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9265000 +0! +#9265500 +1! +#9265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9266000 +0! +#9266500 +1! +#9266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9267000 +0! +#9267500 +1! +#9267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9268000 +0! +#9268500 +1! +#9268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9269000 +0! +#9269500 +1! +#9269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9270000 +0! +#9270500 +1! +#9270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9271000 +0! +#9271500 +1! +#9271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9272000 +0! +#9272500 +1! +#9272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9273000 +0! +#9273500 +1! +#9273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9274000 +0! +#9274500 +1! +#9274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9275000 +0! +#9275500 +1! +#9275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9276000 +0! +#9276500 +1! +#9276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9277000 +0! +#9277500 +1! +#9277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9278000 +0! +#9278500 +1! +#9278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9279000 +0! +#9279500 +1! +#9279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9280000 +0! +#9280500 +1! +#9280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9281000 +0! +#9281500 +1! +#9281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9282000 +0! +#9282500 +1! +#9282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9283000 +0! +#9283500 +1! +#9283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9284000 +0! +#9284500 +1! +#9284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9285000 +0! +#9285500 +1! +#9285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9286000 +0! +#9286500 +1! +#9286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9287000 +0! +#9287500 +1! +#9287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9288000 +0! +#9288500 +1! +#9288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9289000 +0! +#9289500 +1! +#9289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9290000 +0! +#9290500 +1! +#9290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9291000 +0! +#9291500 +1! +#9291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9292000 +0! +#9292500 +1! +#9292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9293000 +0! +#9293500 +1! +#9293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9294000 +0! +#9294500 +1! +#9294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9295000 +0! +#9295500 +1! +#9295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9296000 +0! +#9296500 +1! +#9296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9297000 +0! +#9297500 +1! +#9297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9298000 +0! +#9298500 +1! +#9298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9299000 +0! +#9299500 +1! +#9299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9300000 +0! +#9300500 +1! +#9300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9301000 +0! +#9301500 +1! +#9301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9302000 +0! +#9302500 +1! +#9302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9303000 +0! +#9303500 +1! +#9303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9304000 +0! +#9304500 +1! +#9304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9305000 +0! +#9305500 +1! +#9305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9306000 +0! +#9306500 +1! +#9306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9307000 +0! +#9307500 +1! +#9307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9308000 +0! +#9308500 +1! +#9308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9309000 +0! +#9309500 +1! +#9309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9310000 +0! +#9310500 +1! +#9310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +#9311000 +0! +#9311500 +1! +#9311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9312000 +0! +#9312500 +1! +#9312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9313000 +0! +#9313500 +1! +#9313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9314000 +0! +#9314500 +1! +#9314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9315000 +0! +#9315500 +1! +#9315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9316000 +0! +#9316500 +1! +#9316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9317000 +0! +#9317500 +1! +#9317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9318000 +0! +#9318500 +1! +#9318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9319000 +0! +#9319500 +1! +#9319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9320000 +0! +#9320500 +1! +#9320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9321000 +0! +#9321500 +1! +#9321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9322000 +0! +#9322500 +1! +#9322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9323000 +0! +#9323500 +1! +#9323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9324000 +0! +#9324500 +1! +#9324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9325000 +0! +#9325500 +1! +#9325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9326000 +0! +#9326500 +1! +#9326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9327000 +0! +#9327500 +1! +#9327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9328000 +0! +#9328500 +1! +#9328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9329000 +0! +#9329500 +1! +#9329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9330000 +0! +#9330500 +1! +#9330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9331000 +0! +#9331500 +1! +#9331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9332000 +0! +#9332500 +1! +#9332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9333000 +0! +#9333500 +1! +#9333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9334000 +0! +#9334500 +1! +#9334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9335000 +0! +#9335500 +1! +#9335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9336000 +0! +#9336500 +1! +#9336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9337000 +0! +#9337500 +1! +#9337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9338000 +0! +#9338500 +1! +#9338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9339000 +0! +#9339500 +1! +#9339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9340000 +0! +#9340500 +1! +#9340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9341000 +0! +#9341500 +1! +#9341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9342000 +0! +#9342500 +1! +#9342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9343000 +0! +#9343500 +1! +#9343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9344000 +0! +#9344500 +1! +#9344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9345000 +0! +#9345500 +1! +#9345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9346000 +0! +#9346500 +1! +#9346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9347000 +0! +#9347500 +1! +#9347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9348000 +0! +#9348500 +1! +#9348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9349000 +0! +#9349500 +1! +#9349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9350000 +0! +#9350500 +1! +#9350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9351000 +0! +#9351500 +1! +#9351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9352000 +0! +#9352500 +1! +#9352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9353000 +0! +#9353500 +1! +#9353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9354000 +0! +#9354500 +1! +#9354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9355000 +0! +#9355500 +1! +#9355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9356000 +0! +#9356500 +1! +#9356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9357000 +0! +#9357500 +1! +#9357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9358000 +0! +#9358500 +1! +#9358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9359000 +0! +#9359500 +1! +#9359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9360000 +0! +#9360500 +1! +#9360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9361000 +0! +#9361500 +1! +#9361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#9361700 +b111 g +b10110100 c +0h +#9362000 +0! +#9362500 +1! +#9362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9363000 +0! +#9363500 +1! +#9363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9364000 +0! +#9364500 +1! +#9364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9365000 +0! +#9365500 +1! +#9365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9366000 +0! +#9366500 +1! +#9366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9367000 +0! +#9367500 +1! +#9367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9368000 +0! +#9368500 +1! +#9368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9369000 +0! +#9369500 +1! +#9369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9370000 +0! +#9370500 +1! +#9370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9371000 +0! +#9371500 +1! +#9371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9372000 +0! +#9372500 +1! +#9372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9373000 +0! +#9373500 +1! +#9373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9374000 +0! +#9374500 +1! +#9374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9375000 +0! +#9375500 +1! +#9375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9376000 +0! +#9376500 +1! +#9376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9377000 +0! +#9377500 +1! +#9377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9378000 +0! +#9378500 +1! +#9378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9379000 +0! +#9379500 +1! +#9379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9380000 +0! +#9380500 +1! +#9380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9381000 +0! +#9381500 +1! +#9381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9382000 +0! +#9382500 +1! +#9382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9383000 +0! +#9383500 +1! +#9383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9384000 +0! +#9384500 +1! +#9384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9385000 +0! +#9385500 +1! +#9385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9386000 +0! +#9386500 +1! +#9386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9387000 +0! +#9387500 +1! +#9387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9388000 +0! +#9388500 +1! +#9388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9389000 +0! +#9389500 +1! +#9389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9390000 +0! +#9390500 +1! +#9390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9391000 +0! +#9391500 +1! +#9391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9392000 +0! +#9392500 +1! +#9392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9393000 +0! +#9393500 +1! +#9393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9394000 +0! +#9394500 +1! +#9394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9395000 +0! +#9395500 +1! +#9395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9396000 +0! +#9396500 +1! +#9396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9397000 +0! +#9397500 +1! +#9397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9398000 +0! +#9398500 +1! +#9398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9399000 +0! +#9399500 +1! +#9399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9400000 +0! +#9400500 +1! +#9400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9401000 +0! +#9401500 +1! +#9401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9402000 +0! +#9402500 +1! +#9402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9403000 +0! +#9403500 +1! +#9403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9404000 +0! +#9404500 +1! +#9404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9405000 +0! +#9405500 +1! +#9405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9406000 +0! +#9406500 +1! +#9406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9407000 +0! +#9407500 +1! +#9407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9408000 +0! +#9408500 +1! +#9408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9409000 +0! +#9409500 +1! +#9409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9410000 +0! +#9410500 +1! +#9410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9411000 +0! +#9411500 +1! +#9411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9412000 +0! +#9412500 +1! +#9412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9413000 +0! +#9413500 +1! +#9413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9414000 +0! +#9414500 +1! +#9414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9415000 +0! +#9415500 +1! +#9415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9416000 +0! +#9416500 +1! +#9416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9417000 +0! +#9417500 +1! +#9417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9418000 +0! +#9418500 +1! +#9418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9419000 +0! +#9419500 +1! +#9419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9420000 +0! +#9420500 +1! +#9420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9421000 +0! +#9421500 +1! +#9421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9422000 +0! +#9422500 +1! +#9422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9423000 +0! +#9423500 +1! +#9423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9424000 +0! +#9424500 +1! +#9424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9425000 +0! +#9425500 +1! +#9425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9426000 +0! +#9426500 +1! +#9426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9427000 +0! +#9427500 +1! +#9427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9428000 +0! +#9428500 +1! +#9428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9429000 +0! +#9429500 +1! +#9429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9430000 +0! +#9430500 +1! +#9430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9431000 +0! +#9431500 +1! +#9431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9432000 +0! +#9432500 +1! +#9432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9433000 +0! +#9433500 +1! +#9433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9434000 +0! +#9434500 +1! +#9434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9435000 +0! +#9435500 +1! +#9435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9436000 +0! +#9436500 +1! +#9436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9437000 +0! +#9437500 +1! +#9437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9438000 +0! +#9438500 +1! +#9438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9439000 +0! +#9439500 +1! +#9439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9440000 +0! +#9440500 +1! +#9440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9441000 +0! +#9441500 +1! +#9441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9442000 +0! +#9442500 +1! +#9442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9443000 +0! +#9443500 +1! +#9443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9444000 +0! +#9444500 +1! +#9444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9445000 +0! +#9445500 +1! +#9445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9446000 +0! +#9446500 +1! +#9446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9447000 +0! +#9447500 +1! +#9447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9448000 +0! +#9448500 +1! +#9448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9449000 +0! +#9449500 +1! +#9449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9450000 +0! +#9450500 +1! +#9450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9451000 +0! +#9451500 +1! +#9451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9452000 +0! +#9452500 +1! +#9452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9453000 +0! +#9453500 +1! +#9453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9454000 +0! +#9454500 +1! +#9454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9455000 +0! +#9455500 +1! +#9455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9456000 +0! +#9456500 +1! +#9456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9457000 +0! +#9457500 +1! +#9457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9458000 +0! +#9458500 +1! +#9458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9459000 +0! +#9459500 +1! +#9459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9460000 +0! +#9460500 +1! +#9460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9461000 +0! +#9461500 +1! +#9461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9462000 +0! +#9462500 +1! +#9462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9463000 +0! +#9463500 +1! +#9463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#9463700 +1j +1i +b100 q +1O +#9464000 +0! +#9464500 +1! +#9464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#9465000 +0! +#9465500 +1! +#9465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9466000 +0! +#9466500 +1! +#9466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000001 C +#9467000 +0! +#9467500 +1! +#9467600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#9468000 +0! +#9468500 +1! +#9469000 +0! +#9469500 +1! +#9470000 +0! +#9470500 +1! +#9471000 +0! +#9471500 +1! +#9472000 +0! +#9472500 +1! +#9473000 +0! +#9473500 +1! +#9474000 +0! +#9474500 +1! +#9475000 +0! +#9475500 +1! +#9476000 +0! +#9476500 +1! +#9477000 +0! +#9477500 +1! +#9478000 +0! +#9478500 +1! +#9479000 +0! +#9479500 +1! +#9480000 +0! +#9480500 +1! +#9481000 +0! +#9481500 +1! +#9482000 +0! +#9482500 +1! +#9483000 +0! +#9483500 +1! +#9484000 +0! +#9484500 +1! +#9485000 +0! +#9485500 +1! +#9486000 +0! +#9486500 +1! +#9487000 +0! +#9487500 +1! +#9488000 +0! +#9488500 +1! +#9489000 +0! +#9489500 +1! +#9490000 +0! +#9490500 +1! +#9491000 +0! +#9491500 +1! +#9492000 +0! +#9492500 +1! +#9493000 +0! +#9493500 +1! +#9494000 +0! +#9494500 +1! +#9495000 +0! +#9495500 +1! +#9496000 +0! +#9496500 +1! +#9497000 +0! +#9497500 +1! +#9498000 +0! +#9498500 +1! +#9499000 +0! +#9499500 +1! +#9500000 +0! +#9500500 +1! +#9501000 +0! +#9501500 +1! +#9502000 +0! +#9502500 +1! +#9503000 +0! +#9503500 +1! +#9504000 +0! +#9504500 +1! +#9505000 +0! +#9505500 +1! +#9506000 +0! +#9506500 +1! +#9507000 +0! +#9507500 +1! +#9508000 +0! +#9508500 +1! +#9509000 +0! +#9509500 +1! +#9510000 +0! +#9510500 +1! +#9511000 +0! +#9511500 +1! +#9512000 +0! +#9512500 +1! +#9513000 +0! +#9513500 +1! +#9514000 +0! +#9514500 +1! +#9515000 +0! +#9515500 +1! +#9516000 +0! +#9516500 +1! +#9517000 +0! +#9517500 +1! +#9518000 +0! +#9518500 +1! +#9519000 +0! +#9519500 +1! +#9520000 +0! +#9520500 +1! +#9521000 +0! +#9521500 +1! +#9522000 +0! +#9522500 +1! +#9523000 +0! +#9523500 +1! +#9524000 +0! +#9524500 +1! +#9525000 +0! +#9525500 +1! +#9526000 +0! +#9526500 +1! +#9527000 +0! +#9527500 +1! +#9528000 +0! +#9528500 +1! +#9529000 +0! +#9529500 +1! +#9530000 +0! +#9530500 +1! +#9531000 +0! +#9531500 +1! +#9532000 +0! +#9532500 +1! +#9533000 +0! +#9533500 +1! +#9534000 +0! +#9534500 +1! +#9535000 +0! +#9535500 +1! +#9536000 +0! +#9536500 +1! +#9537000 +0! +#9537500 +1! +#9538000 +0! +#9538500 +1! +#9539000 +0! +#9539500 +1! +#9540000 +0! +#9540500 +1! +#9541000 +0! +#9541500 +1! +#9542000 +0! +#9542500 +1! +#9543000 +0! +#9543500 +1! +#9544000 +0! +#9544500 +1! +#9545000 +0! +#9545500 +1! +#9546000 +0! +#9546500 +1! +#9547000 +0! +#9547500 +1! +#9548000 +0! +#9548500 +1! +#9549000 +0! +#9549500 +1! +#9550000 +0! +#9550500 +1! +#9551000 +0! +#9551500 +1! +#9552000 +0! +#9552500 +1! +#9553000 +0! +#9553500 +1! +#9554000 +0! +#9554500 +1! +#9555000 +0! +#9555500 +1! +#9556000 +0! +#9556500 +1! +#9557000 +0! +#9557500 +1! +#9558000 +0! +#9558500 +1! +#9559000 +0! +#9559500 +1! +#9560000 +0! +#9560500 +1! +#9561000 +0! +#9561500 +1! +#9562000 +0! +#9562500 +1! +#9563000 +0! +#9563500 +1! +#9564000 +0! +#9564500 +1! +#9565000 +0! +#9565500 +1! +#9565600 +0Q +0O +#9566000 +0! +#9566500 +1! +#9567000 +0! +#9567500 +1! +#9568000 +0! +#9568500 +1! +#9569000 +0! +#9569500 +1! +#9570000 +0! +#9570500 +1! +#9571000 +0! +#9571500 +1! +#9572000 +0! +#9572500 +1! +#9573000 +0! +#9573500 +1! +#9574000 +0! +#9574500 +1! +#9575000 +0! +#9575500 +1! +#9576000 +0! +#9576500 +1! +#9577000 +0! +#9577500 +1! +#9578000 +0! +#9578500 +1! +#9579000 +0! +#9579500 +1! +#9580000 +0! +#9580500 +1! +#9581000 +0! +#9581500 +1! +#9582000 +0! +#9582500 +1! +#9583000 +0! +#9583500 +1! +#9584000 +0! +#9584500 +1! +#9585000 +0! +#9585500 +1! +#9586000 +0! +#9586500 +1! +#9587000 +0! +#9587500 +1! +#9588000 +0! +#9588500 +1! +#9589000 +0! +#9589500 +1! +#9590000 +0! +#9590500 +1! +#9591000 +0! +#9591500 +1! +#9592000 +0! +#9592500 +1! +#9593000 +0! +#9593500 +1! +#9594000 +0! +#9594500 +1! +#9595000 +0! +#9595500 +1! +#9596000 +0! +#9596500 +1! +#9597000 +0! +#9597500 +1! +#9598000 +0! +#9598500 +1! +#9599000 +0! +#9599500 +1! +#9600000 +0! +#9600500 +1! +#9601000 +0! +#9601500 +1! +#9602000 +0! +#9602500 +1! +#9603000 +0! +#9603500 +1! +#9604000 +0! +#9604500 +1! +#9605000 +0! +#9605500 +1! +#9606000 +0! +#9606500 +1! +#9607000 +0! +#9607500 +1! +#9608000 +0! +#9608500 +1! +#9609000 +0! +#9609500 +1! +#9610000 +0! +#9610500 +1! +#9611000 +0! +#9611500 +1! +#9612000 +0! +#9612500 +1! +#9613000 +0! +#9613500 +1! +#9614000 +0! +#9614500 +1! +#9615000 +0! +#9615500 +1! +#9616000 +0! +#9616500 +1! +#9616600 +1N +1L +#9616700 +b1101000 c +#9617000 +0! +#9617500 +1! +#9618000 +0! +#9618500 +1! +#9619000 +0! +#9619500 +1! +#9620000 +0! +#9620500 +1! +#9621000 +0! +#9621500 +1! +#9622000 +0! +#9622500 +1! +#9623000 +0! +#9623500 +1! +#9624000 +0! +#9624500 +1! +#9625000 +0! +#9625500 +1! +#9626000 +0! +#9626500 +1! +#9627000 +0! +#9627500 +1! +#9628000 +0! +#9628500 +1! +#9629000 +0! +#9629500 +1! +#9630000 +0! +#9630500 +1! +#9631000 +0! +#9631500 +1! +#9632000 +0! +#9632500 +1! +#9633000 +0! +#9633500 +1! +#9634000 +0! +#9634500 +1! +#9635000 +0! +#9635500 +1! +#9636000 +0! +#9636500 +1! +#9637000 +0! +#9637500 +1! +#9638000 +0! +#9638500 +1! +#9639000 +0! +#9639500 +1! +#9640000 +0! +#9640500 +1! +#9641000 +0! +#9641500 +1! +#9642000 +0! +#9642500 +1! +#9643000 +0! +#9643500 +1! +#9644000 +0! +#9644500 +1! +#9645000 +0! +#9645500 +1! +#9646000 +0! +#9646500 +1! +#9647000 +0! +#9647500 +1! +#9648000 +0! +#9648500 +1! +#9649000 +0! +#9649500 +1! +#9650000 +0! +#9650500 +1! +#9651000 +0! +#9651500 +1! +#9652000 +0! +#9652500 +1! +#9653000 +0! +#9653500 +1! +#9654000 +0! +#9654500 +1! +#9655000 +0! +#9655500 +1! +#9656000 +0! +#9656500 +1! +#9657000 +0! +#9657500 +1! +#9658000 +0! +#9658500 +1! +#9659000 +0! +#9659500 +1! +#9660000 +0! +#9660500 +1! +#9661000 +0! +#9661500 +1! +#9662000 +0! +#9662500 +1! +#9663000 +0! +#9663500 +1! +#9664000 +0! +#9664500 +1! +#9665000 +0! +#9665500 +1! +#9666000 +0! +#9666500 +1! +#9667000 +0! +#9667500 +1! +#9667600 +1Q +1O +#9667700 +1a +1f +#9667800 +b0 q +#9668000 +0! +#9668500 +1! +#9669000 +0! +#9669500 +1! +#9670000 +0! +#9670500 +1! +#9671000 +0! +#9671500 +1! +#9672000 +0! +#9672500 +1! +#9673000 +0! +#9673500 +1! +#9674000 +0! +#9674500 +1! +#9675000 +0! +#9675500 +1! +#9676000 +0! +#9676500 +1! +#9677000 +0! +#9677500 +1! +#9678000 +0! +#9678500 +1! +#9679000 +0! +#9679500 +1! +#9680000 +0! +#9680500 +1! +#9681000 +0! +#9681500 +1! +#9682000 +0! +#9682500 +1! +#9683000 +0! +#9683500 +1! +#9684000 +0! +#9684500 +1! +#9685000 +0! +#9685500 +1! +#9686000 +0! +#9686500 +1! +#9687000 +0! +#9687500 +1! +#9688000 +0! +#9688500 +1! +#9689000 +0! +#9689500 +1! +#9690000 +0! +#9690500 +1! +#9691000 +0! +#9691500 +1! +#9692000 +0! +#9692500 +1! +#9693000 +0! +#9693500 +1! +#9694000 +0! +#9694500 +1! +#9695000 +0! +#9695500 +1! +#9696000 +0! +#9696500 +1! +#9697000 +0! +#9697500 +1! +#9698000 +0! +#9698500 +1! +#9699000 +0! +#9699500 +1! +#9700000 +0! +#9700500 +1! +#9701000 +0! +#9701500 +1! +#9702000 +0! +#9702500 +1! +#9703000 +0! +#9703500 +1! +#9704000 +0! +#9704500 +1! +#9705000 +0! +#9705500 +1! +#9706000 +0! +#9706500 +1! +#9707000 +0! +#9707500 +1! +#9708000 +0! +#9708500 +1! +#9709000 +0! +#9709500 +1! +#9710000 +0! +#9710500 +1! +#9711000 +0! +#9711500 +1! +#9712000 +0! +#9712500 +1! +#9713000 +0! +#9713500 +1! +#9714000 +0! +#9714500 +1! +#9715000 +0! +#9715500 +1! +#9716000 +0! +#9716500 +1! +#9717000 +0! +#9717500 +1! +#9718000 +0! +#9718500 +1! +#9719000 +0! +#9719500 +1! +#9720000 +0! +#9720500 +1! +#9721000 +0! +#9721500 +1! +#9722000 +0! +#9722500 +1! +#9723000 +0! +#9723500 +1! +#9724000 +0! +#9724500 +1! +#9725000 +0! +#9725500 +1! +#9726000 +0! +#9726500 +1! +#9727000 +0! +#9727500 +1! +#9728000 +0! +#9728500 +1! +#9729000 +0! +#9729500 +1! +#9730000 +0! +#9730500 +1! +#9731000 +0! +#9731500 +1! +#9732000 +0! +#9732500 +1! +#9733000 +0! +#9733500 +1! +#9734000 +0! +#9734500 +1! +#9735000 +0! +#9735500 +1! +#9736000 +0! +#9736500 +1! +#9737000 +0! +#9737500 +1! +#9738000 +0! +#9738500 +1! +#9739000 +0! +#9739500 +1! +#9740000 +0! +#9740500 +1! +#9741000 +0! +#9741500 +1! +#9742000 +0! +#9742500 +1! +#9743000 +0! +#9743500 +1! +#9744000 +0! +#9744500 +1! +#9745000 +0! +#9745500 +1! +#9746000 +0! +#9746500 +1! +#9747000 +0! +#9747500 +1! +#9748000 +0! +#9748500 +1! +#9749000 +0! +#9749500 +1! +#9750000 +0! +#9750500 +1! +#9751000 +0! +#9751500 +1! +#9752000 +0! +#9752500 +1! +#9753000 +0! +#9753500 +1! +#9754000 +0! +#9754500 +1! +#9755000 +0! +#9755500 +1! +#9756000 +0! +#9756500 +1! +#9757000 +0! +#9757500 +1! +#9758000 +0! +#9758500 +1! +#9759000 +0! +#9759500 +1! +#9760000 +0! +#9760500 +1! +#9761000 +0! +#9761500 +1! +#9762000 +0! +#9762500 +1! +#9763000 +0! +#9763500 +1! +#9764000 +0! +#9764500 +1! +#9765000 +0! +#9765500 +1! +#9766000 +0! +#9766500 +1! +#9767000 +0! +#9767500 +1! +#9768000 +0! +#9768500 +1! +#9769000 +0! +#9769500 +1! +#9770000 +0! +#9770500 +1! +#9771000 +0! +#9771500 +1! +#9772000 +0! +#9772500 +1! +#9773000 +0! +#9773500 +1! +#9774000 +0! +#9774500 +1! +#9775000 +0! +#9775500 +1! +#9776000 +0! +#9776500 +1! +#9777000 +0! +#9777500 +1! +#9778000 +0! +#9778500 +1! +#9779000 +0! +#9779500 +1! +#9780000 +0! +#9780500 +1! +#9781000 +0! +#9781500 +1! +#9782000 +0! +#9782500 +1! +#9783000 +0! +#9783500 +1! +#9784000 +0! +#9784500 +1! +#9785000 +0! +#9785500 +1! +#9786000 +0! +#9786500 +1! +#9787000 +0! +#9787500 +1! +#9788000 +0! +#9788500 +1! +#9789000 +0! +#9789500 +1! +#9790000 +0! +#9790500 +1! +#9791000 +0! +#9791500 +1! +#9792000 +0! +#9792500 +1! +#9793000 +0! +#9793500 +1! +#9794000 +0! +#9794500 +1! +#9795000 +0! +#9795500 +1! +#9796000 +0! +#9796500 +1! +#9797000 +0! +#9797500 +1! +#9798000 +0! +#9798500 +1! +#9799000 +0! +#9799500 +1! +#9800000 +0! +#9800500 +1! +#9801000 +0! +#9801500 +1! +#9802000 +0! +#9802500 +1! +#9803000 +0! +#9803500 +1! +#9804000 +0! +#9804500 +1! +#9805000 +0! +#9805500 +1! +#9806000 +0! +#9806500 +1! +#9807000 +0! +#9807500 +1! +#9808000 +0! +#9808500 +1! +#9809000 +0! +#9809500 +1! +#9810000 +0! +#9810500 +1! +#9811000 +0! +#9811500 +1! +#9812000 +0! +#9812500 +1! +#9813000 +0! +#9813500 +1! +#9814000 +0! +#9814500 +1! +#9815000 +0! +#9815500 +1! +#9816000 +0! +#9816500 +1! +#9817000 +0! +#9817500 +1! +#9818000 +0! +#9818500 +1! +#9819000 +0! +#9819500 +1! +#9820000 +0! +#9820500 +1! +#9821000 +0! +#9821500 +1! +#9822000 +0! +#9822500 +1! +#9823000 +0! +#9823500 +1! +#9824000 +0! +#9824500 +1! +#9825000 +0! +#9825500 +1! +#9826000 +0! +#9826500 +1! +#9827000 +0! +#9827500 +1! +#9828000 +0! +#9828500 +1! +#9829000 +0! +#9829500 +1! +#9830000 +0! +#9830500 +1! +#9831000 +0! +#9831500 +1! +#9832000 +0! +#9832500 +1! +#9833000 +0! +#9833500 +1! +#9834000 +0! +#9834500 +1! +#9835000 +0! +#9835500 +1! +#9836000 +0! +#9836500 +1! +#9837000 +0! +#9837500 +1! +#9838000 +0! +#9838500 +1! +#9839000 +0! +#9839500 +1! +#9840000 +0! +#9840500 +1! +#9841000 +0! +#9841500 +1! +#9842000 +0! +#9842500 +1! +#9843000 +0! +#9843500 +1! +#9844000 +0! +#9844500 +1! +#9845000 +0! +#9845500 +1! +#9846000 +0! +#9846500 +1! +#9847000 +0! +#9847500 +1! +#9848000 +0! +#9848500 +1! +#9849000 +0! +#9849500 +1! +#9850000 +0! +#9850500 +1! +#9851000 +0! +#9851500 +1! +#9852000 +0! +#9852500 +1! +#9853000 +0! +#9853500 +1! +#9854000 +0! +#9854500 +1! +#9855000 +0! +#9855500 +1! +#9856000 +0! +#9856500 +1! +#9857000 +0! +#9857500 +1! +#9858000 +0! +#9858500 +1! +#9859000 +0! +#9859500 +1! +#9860000 +0! +#9860500 +1! +#9861000 +0! +#9861500 +1! +#9862000 +0! +#9862500 +1! +#9863000 +0! +#9863500 +1! +#9864000 +0! +#9864500 +1! +#9865000 +0! +#9865500 +1! +#9866000 +0! +#9866500 +1! +#9867000 +0! +#9867500 +1! +#9868000 +0! +#9868500 +1! +#9869000 +0! +#9869500 +1! +#9870000 +0! +#9870500 +1! +#9871000 +0! +#9871500 +1! +#9872000 +0! +#9872500 +1! +#9873000 +0! +#9873500 +1! +#9874000 +0! +#9874500 +1! +#9875000 +0! +#9875500 +1! +#9876000 +0! +#9876500 +1! +#9877000 +0! +#9877500 +1! +#9878000 +0! +#9878500 +1! +#9879000 +0! +#9879500 +1! +#9880000 +0! +#9880500 +1! +#9881000 +0! +#9881500 +1! +#9882000 +0! +#9882500 +1! +#9883000 +0! +#9883500 +1! +#9884000 +0! +#9884500 +1! +#9885000 +0! +#9885500 +1! +#9886000 +0! +#9886500 +1! +#9887000 +0! +#9887500 +1! +#9888000 +0! +#9888500 +1! +#9889000 +0! +#9889500 +1! +#9890000 +0! +#9890500 +1! +#9891000 +0! +#9891500 +1! +#9892000 +0! +#9892500 +1! +#9893000 +0! +#9893500 +1! +#9894000 +0! +#9894500 +1! +#9895000 +0! +#9895500 +1! +#9896000 +0! +#9896500 +1! +#9897000 +0! +#9897500 +1! +#9898000 +0! +#9898500 +1! +#9899000 +0! +#9899500 +1! +#9900000 +0! +#9900500 +1! +#9901000 +0! +#9901500 +1! +#9902000 +0! +#9902500 +1! +#9903000 +0! +#9903500 +1! +#9904000 +0! +#9904500 +1! +#9905000 +0! +#9905500 +1! +#9906000 +0! +#9906500 +1! +#9907000 +0! +#9907500 +1! +#9908000 +0! +#9908500 +1! +#9909000 +0! +#9909500 +1! +#9910000 +0! +#9910500 +1! +#9911000 +0! +#9911500 +1! +#9912000 +0! +#9912500 +1! +#9913000 +0! +#9913500 +1! +#9914000 +0! +#9914500 +1! +#9915000 +0! +#9915500 +1! +#9916000 +0! +#9916500 +1! +#9917000 +0! +#9917500 +1! +#9918000 +0! +#9918500 +1! +#9919000 +0! +#9919500 +1! +#9920000 +0! +#9920500 +1! +#9921000 +0! +#9921500 +1! +#9922000 +0! +#9922500 +1! +#9923000 +0! +#9923500 +1! +#9924000 +0! +#9924500 +1! +#9925000 +0! +#9925500 +1! +#9926000 +0! +#9926500 +1! +#9927000 +0! +#9927500 +1! +#9928000 +0! +#9928500 +1! +#9929000 +0! +#9929500 +1! +#9930000 +0! +#9930500 +1! +#9931000 +0! +#9931500 +1! +#9932000 +0! +#9932500 +1! +#9933000 +0! +#9933500 +1! +#9934000 +0! +#9934500 +1! +#9935000 +0! +#9935500 +1! +#9936000 +0! +#9936500 +1! +#9937000 +0! +#9937500 +1! +#9938000 +0! +#9938500 +1! +#9939000 +0! +#9939500 +1! +#9940000 +0! +#9940500 +1! +#9941000 +0! +#9941500 +1! +#9942000 +0! +#9942500 +1! +#9943000 +0! +#9943500 +1! +#9944000 +0! +#9944500 +1! +#9945000 +0! +#9945500 +1! +#9946000 +0! +#9946500 +1! +#9947000 +0! +#9947500 +1! +#9948000 +0! +#9948500 +1! +#9949000 +0! +#9949500 +1! +#9950000 +0! +#9950500 +1! +#9951000 +0! +#9951500 +1! +#9952000 +0! +#9952500 +1! +#9953000 +0! +#9953500 +1! +#9954000 +0! +#9954500 +1! +#9955000 +0! +#9955500 +1! +#9956000 +0! +#9956500 +1! +#9957000 +0! +#9957500 +1! +#9958000 +0! +#9958500 +1! +#9959000 +0! +#9959500 +1! +#9960000 +0! +#9960500 +1! +#9961000 +0! +#9961500 +1! +#9962000 +0! +#9962500 +1! +#9963000 +0! +#9963500 +1! +#9964000 +0! +#9964500 +1! +#9965000 +0! +#9965500 +1! +#9966000 +0! +#9966500 +1! +#9967000 +0! +#9967500 +1! +#9968000 +0! +#9968500 +1! +#9969000 +0! +#9969500 +1! +#9970000 +0! +#9970500 +1! +#9971000 +0! +#9971500 +1! +#9972000 +0! +#9972500 +1! +#9973000 +0! +#9973500 +1! +#9974000 +0! +#9974500 +1! +#9975000 +0! +#9975500 +1! +#9976000 +0! +#9976500 +1! +#9977000 +0! +#9977500 +1! +#9978000 +0! +#9978500 +1! +#9979000 +0! +#9979500 +1! +#9980000 +0! +#9980500 +1! +#9981000 +0! +#9981500 +1! +#9982000 +0! +#9982500 +1! +#9983000 +0! +#9983500 +1! +#9984000 +0! +#9984500 +1! +#9985000 +0! +#9985500 +1! +#9986000 +0! +#9986500 +1! +#9987000 +0! +#9987500 +1! +#9988000 +0! +#9988500 +1! +#9989000 +0! +#9989500 +1! +#9990000 +0! +#9990500 +1! +#9991000 +0! +#9991500 +1! +#9992000 +0! +#9992500 +1! +#9993000 +0! +#9993500 +1! +#9994000 +0! +#9994500 +1! +#9995000 +0! +#9995500 +1! +#9996000 +0! +#9996500 +1! +#9997000 +0! +#9997500 +1! +#9998000 +0! +#9998500 +1! +#9999000 +0! +#9999500 +1! +#10000000 +0! +#10000500 +1! +#10001000 +0! +#10001500 +1! +#10002000 +0! +#10002500 +1! +#10003000 +0! +#10003500 +1! +#10004000 +0! +#10004500 +1! +#10005000 +0! +#10005500 +1! +#10006000 +0! +#10006500 +1! +#10007000 +0! +#10007500 +1! +#10008000 +0! +#10008500 +1! +#10009000 +0! +#10009500 +1! +#10010000 +0! +#10010500 +1! +#10011000 +0! +#10011500 +1! +#10012000 +0! +#10012500 +1! +#10013000 +0! +#10013500 +1! +#10014000 +0! +#10014500 +1! +#10015000 +0! +#10015500 +1! +#10016000 +0! +#10016500 +1! +#10017000 +0! +#10017500 +1! +#10018000 +0! +#10018500 +1! +#10019000 +0! +#10019500 +1! +#10020000 +0! +#10020500 +1! +#10021000 +0! +#10021500 +1! +#10022000 +0! +#10022500 +1! +#10023000 +0! +#10023500 +1! +#10024000 +0! +#10024500 +1! +#10025000 +0! +#10025500 +1! +#10026000 +0! +#10026500 +1! +#10027000 +0! +#10027500 +1! +#10028000 +0! +#10028500 +1! +#10029000 +0! +#10029500 +1! +#10030000 +0! +#10030500 +1! +#10031000 +0! +#10031500 +1! +#10032000 +0! +#10032500 +1! +#10033000 +0! +#10033500 +1! +#10034000 +0! +#10034500 +1! +#10035000 +0! +#10035500 +1! +#10036000 +0! +#10036500 +1! +#10037000 +0! +#10037500 +1! +#10038000 +0! +#10038500 +1! +#10039000 +0! +#10039500 +1! +#10040000 +0! +#10040500 +1! +#10041000 +0! +#10041500 +1! +#10042000 +0! +#10042500 +1! +#10043000 +0! +#10043500 +1! +#10044000 +0! +#10044500 +1! +#10045000 +0! +#10045500 +1! +#10046000 +0! +#10046500 +1! +#10047000 +0! +#10047500 +1! +#10048000 +0! +#10048500 +1! +#10049000 +0! +#10049500 +1! +#10050000 +0! +#10050500 +1! +#10051000 +0! +#10051500 +1! +#10052000 +0! +#10052500 +1! +#10053000 +0! +#10053500 +1! +#10054000 +0! +#10054500 +1! +#10055000 +0! +#10055500 +1! +#10056000 +0! +#10056500 +1! +#10057000 +0! +#10057500 +1! +#10058000 +0! +#10058500 +1! +#10059000 +0! +#10059500 +1! +#10060000 +0! +#10060500 +1! +#10061000 +0! +#10061500 +1! +#10062000 +0! +#10062500 +1! +#10063000 +0! +#10063500 +1! +#10064000 +0! +#10064500 +1! +#10065000 +0! +#10065500 +1! +#10066000 +0! +#10066500 +1! +#10067000 +0! +#10067500 +1! +#10068000 +0! +#10068500 +1! +#10069000 +0! +#10069500 +1! +#10070000 +0! +#10070500 +1! +#10071000 +0! +#10071500 +1! +#10072000 +0! +#10072500 +1! +#10073000 +0! +#10073500 +1! +#10074000 +0! +#10074500 +1! +#10075000 +0! +#10075500 +1! +#10076000 +0! +#10076500 +1! +#10077000 +0! +#10077500 +1! +#10078000 +0! +#10078500 +1! +#10079000 +0! +#10079500 +1! +#10080000 +0! +#10080500 +1! +#10081000 +0! +#10081500 +1! +#10082000 +0! +#10082500 +1! +#10083000 +0! +#10083500 +1! +#10084000 +0! +#10084500 +1! +#10085000 +0! +#10085500 +1! +#10086000 +0! +#10086500 +1! +#10087000 +0! +#10087500 +1! +#10088000 +0! +#10088500 +1! +#10089000 +0! +#10089500 +1! +#10090000 +0! +#10090500 +1! +#10091000 +0! +#10091500 +1! +#10092000 +0! +#10092500 +1! +#10093000 +0! +#10093500 +1! +#10094000 +0! +#10094500 +1! +#10095000 +0! +#10095500 +1! +#10096000 +0! +#10096500 +1! +#10097000 +0! +#10097500 +1! +#10098000 +0! +#10098500 +1! +#10099000 +0! +#10099500 +1! +#10100000 +0! +#10100500 +1! +#10101000 +0! +#10101500 +1! +#10102000 +0! +#10102500 +1! +#10103000 +0! +#10103500 +1! +#10104000 +0! +#10104500 +1! +#10105000 +0! +#10105500 +1! +#10106000 +0! +#10106500 +1! +#10107000 +0! +#10107500 +1! +#10108000 +0! +#10108500 +1! +#10109000 +0! +#10109500 +1! +#10110000 +0! +#10110500 +1! +#10111000 +0! +#10111500 +1! +#10112000 +0! +#10112500 +1! +#10113000 +0! +#10113500 +1! +#10114000 +0! +#10114500 +1! +#10115000 +0! +#10115500 +1! +#10116000 +0! +#10116500 +1! +#10117000 +0! +#10117500 +1! +#10118000 +0! +#10118500 +1! +#10119000 +0! +#10119500 +1! +#10120000 +0! +#10120500 +1! +#10121000 +0! +#10121500 +1! +#10122000 +0! +#10122500 +1! +#10123000 +0! +#10123500 +1! +#10124000 +0! +#10124500 +1! +#10125000 +0! +#10125500 +1! +#10126000 +0! +#10126500 +1! +#10127000 +0! +#10127500 +1! +#10128000 +0! +#10128500 +1! +#10129000 +0! +#10129500 +1! +#10130000 +0! +#10130500 +1! +#10131000 +0! +#10131500 +1! +#10132000 +0! +#10132500 +1! +#10133000 +0! +#10133500 +1! +#10134000 +0! +#10134500 +1! +#10135000 +0! +#10135500 +1! +#10136000 +0! +#10136500 +1! +#10137000 +0! +#10137500 +1! +#10138000 +0! +#10138500 +1! +#10139000 +0! +#10139500 +1! +#10140000 +0! +#10140500 +1! +#10141000 +0! +#10141500 +1! +#10142000 +0! +#10142500 +1! +#10143000 +0! +#10143500 +1! +#10144000 +0! +#10144500 +1! +#10145000 +0! +#10145500 +1! +#10146000 +0! +#10146500 +1! +#10147000 +0! +#10147500 +1! +#10148000 +0! +#10148500 +1! +#10149000 +0! +#10149500 +1! +#10150000 +0! +#10150500 +1! +#10151000 +0! +#10151500 +1! +#10152000 +0! +#10152500 +1! +#10153000 +0! +#10153500 +1! +#10154000 +0! +#10154500 +1! +#10155000 +0! +#10155500 +1! +#10156000 +0! +#10156500 +1! +#10157000 +0! +#10157500 +1! +#10158000 +0! +#10158500 +1! +#10159000 +0! +#10159500 +1! +#10160000 +0! +#10160500 +1! +#10161000 +0! +#10161500 +1! +#10162000 +0! +#10162500 +1! +#10163000 +0! +#10163500 +1! +#10164000 +0! +#10164500 +1! +#10165000 +0! +#10165500 +1! +#10166000 +0! +#10166500 +1! +#10167000 +0! +#10167500 +1! +#10168000 +0! +#10168500 +1! +#10169000 +0! +#10169500 +1! +#10170000 +0! +#10170500 +1! +#10171000 +0! +#10171500 +1! +#10172000 +0! +#10172500 +1! +#10173000 +0! +#10173500 +1! +#10174000 +0! +#10174500 +1! +#10175000 +0! +#10175500 +1! +#10176000 +0! +#10176500 +1! +#10177000 +0! +#10177500 +1! +#10178000 +0! +#10178500 +1! +#10179000 +0! +#10179500 +1! +#10180000 +0! +#10180500 +1! +#10181000 +0! +#10181500 +1! +#10182000 +0! +#10182500 +1! +#10183000 +0! +#10183500 +1! +#10184000 +0! +#10184500 +1! +#10185000 +0! +#10185500 +1! +#10186000 +0! +#10186500 +1! +#10187000 +0! +#10187500 +1! +#10188000 +0! +#10188500 +1! +#10189000 +0! +#10189500 +1! +#10190000 +0! +#10190500 +1! +#10191000 +0! +#10191500 +1! +#10192000 +0! +#10192500 +1! +#10193000 +0! +#10193500 +1! +#10194000 +0! +#10194500 +1! +#10195000 +0! +#10195500 +1! +#10196000 +0! +#10196500 +1! +#10197000 +0! +#10197500 +1! +#10198000 +0! +#10198500 +1! +#10199000 +0! +#10199500 +1! +#10200000 +0! +#10200500 +1! +#10201000 +0! +#10201500 +1! +#10202000 +0! +#10202500 +1! +#10203000 +0! +#10203500 +1! +#10204000 +0! +#10204500 +1! +#10205000 +0! +#10205500 +1! +#10206000 +0! +#10206500 +1! +#10207000 +0! +#10207500 +1! +#10208000 +0! +#10208500 +1! +#10209000 +0! +#10209500 +1! +#10210000 +0! +#10210500 +1! +#10211000 +0! +#10211500 +1! +#10212000 +0! +#10212500 +1! +#10213000 +0! +#10213500 +1! +#10214000 +0! +#10214500 +1! +#10215000 +0! +#10215500 +1! +#10216000 +0! +#10216500 +1! +#10217000 +0! +#10217500 +1! +#10218000 +0! +#10218500 +1! +#10219000 +0! +#10219500 +1! +#10220000 +0! +#10220500 +1! +#10221000 +0! +#10221500 +1! +#10222000 +0! +#10222500 +1! +#10223000 +0! +#10223500 +1! +#10224000 +0! +#10224500 +1! +#10225000 +0! +#10225500 +1! +#10226000 +0! +#10226500 +1! +#10227000 +0! +#10227500 +1! +#10228000 +0! +#10228500 +1! +#10229000 +0! +#10229500 +1! +#10230000 +0! +#10230500 +1! +#10231000 +0! +#10231500 +1! +#10232000 +0! +#10232500 +1! +#10233000 +0! +#10233500 +1! +#10234000 +0! +#10234500 +1! +#10235000 +0! +#10235500 +1! +#10236000 +0! +#10236500 +1! +#10237000 +0! +#10237500 +1! +#10238000 +0! +#10238500 +1! +#10239000 +0! +#10239500 +1! +#10240000 +0! +#10240500 +1! +#10241000 +0! +#10241500 +1! +#10242000 +0! +#10242500 +1! +#10243000 +0! +#10243500 +1! +#10244000 +0! +#10244500 +1! +#10245000 +0! +#10245500 +1! +#10246000 +0! +#10246500 +1! +#10247000 +0! +#10247500 +1! +#10248000 +0! +#10248500 +1! +#10249000 +0! +#10249500 +1! +#10250000 +0! +#10250500 +1! +#10251000 +0! +#10251500 +1! +#10252000 +0! +#10252500 +1! +#10253000 +0! +#10253500 +1! +#10254000 +0! +#10254500 +1! +#10255000 +0! +#10255500 +1! +#10256000 +0! +#10256500 +1! +#10257000 +0! +#10257500 +1! +#10258000 +0! +#10258500 +1! +#10259000 +0! +#10259500 +1! +#10260000 +0! +#10260500 +1! +#10261000 +0! +#10261500 +1! +#10262000 +0! +#10262500 +1! +#10263000 +0! +#10263500 +1! +#10264000 +0! +#10264500 +1! +#10265000 +0! +#10265500 +1! +#10266000 +0! +#10266500 +1! +#10267000 +0! +#10267500 +1! +#10268000 +0! +#10268500 +1! +#10269000 +0! +#10269500 +1! +#10270000 +0! +#10270500 +1! +#10271000 +0! +#10271500 +1! +#10272000 +0! +#10272500 +1! +#10273000 +0! +#10273500 +1! +#10274000 +0! +#10274500 +1! +#10275000 +0! +#10275500 +1! +#10276000 +0! +#10276500 +1! +#10277000 +0! +#10277500 +1! +#10278000 +0! +#10278500 +1! +#10279000 +0! +#10279500 +1! +#10280000 +0! +#10280500 +1! +#10281000 +0! +#10281500 +1! +#10282000 +0! +#10282500 +1! +#10283000 +0! +#10283500 +1! +#10284000 +0! +#10284500 +1! +#10285000 +0! +#10285500 +1! +#10286000 +0! +#10286500 +1! +#10287000 +0! +#10287500 +1! +#10288000 +0! +#10288500 +1! +#10289000 +0! +#10289500 +1! +#10290000 +0! +#10290500 +1! +#10291000 +0! +#10291500 +1! +#10292000 +0! +#10292500 +1! +#10293000 +0! +#10293500 +1! +#10294000 +0! +#10294500 +1! +#10295000 +0! +#10295500 +1! +#10296000 +0! +#10296500 +1! +#10297000 +0! +#10297500 +1! +#10298000 +0! +#10298500 +1! +#10299000 +0! +#10299500 +1! +#10300000 +0! +#10300500 +1! +#10301000 +0! +#10301500 +1! +#10302000 +0! +#10302500 +1! +#10303000 +0! +#10303500 +1! +#10304000 +0! +#10304500 +1! +#10305000 +0! +#10305500 +1! +#10306000 +0! +#10306500 +1! +#10307000 +0! +#10307500 +1! +#10308000 +0! +#10308500 +1! +#10309000 +0! +#10309500 +1! +#10310000 +0! +#10310500 +1! +#10311000 +0! +#10311500 +1! +#10312000 +0! +#10312500 +1! +#10313000 +0! +#10313500 +1! +#10314000 +0! +#10314500 +1! +#10315000 +0! +#10315500 +1! +#10316000 +0! +#10316500 +1! +#10317000 +0! +#10317500 +1! +#10318000 +0! +#10318500 +1! +#10319000 +0! +#10319500 +1! +#10320000 +0! +#10320500 +1! +#10321000 +0! +#10321500 +1! +#10322000 +0! +#10322500 +1! +#10323000 +0! +#10323500 +1! +#10324000 +0! +#10324500 +1! +#10325000 +0! +#10325500 +1! +#10326000 +0! +#10326500 +1! +#10327000 +0! +#10327500 +1! +#10328000 +0! +#10328500 +1! +#10329000 +0! +#10329500 +1! +#10330000 +0! +#10330500 +1! +#10331000 +0! +#10331500 +1! +#10332000 +0! +#10332500 +1! +#10333000 +0! +#10333500 +1! +#10334000 +0! +#10334500 +1! +#10335000 +0! +#10335500 +1! +#10336000 +0! +#10336500 +1! +#10337000 +0! +#10337500 +1! +#10338000 +0! +#10338500 +1! +#10339000 +0! +#10339500 +1! +#10340000 +0! +#10340500 +1! +#10341000 +0! +#10341500 +1! +#10342000 +0! +#10342500 +1! +#10343000 +0! +#10343500 +1! +#10344000 +0! +#10344500 +1! +#10345000 +0! +#10345500 +1! +#10346000 +0! +#10346500 +1! +#10347000 +0! +#10347500 +1! +#10348000 +0! +#10348500 +1! +#10349000 +0! +#10349500 +1! +#10350000 +0! +#10350500 +1! +#10351000 +0! +#10351500 +1! +#10352000 +0! +#10352500 +1! +#10353000 +0! +#10353500 +1! +#10354000 +0! +#10354500 +1! +#10355000 +0! +#10355500 +1! +#10356000 +0! +#10356500 +1! +#10357000 +0! +#10357500 +1! +#10358000 +0! +#10358500 +1! +#10359000 +0! +#10359500 +1! +#10360000 +0! +#10360500 +1! +#10361000 +0! +#10361500 +1! +#10362000 +0! +#10362500 +1! +#10363000 +0! +#10363500 +1! +#10364000 +0! +#10364500 +1! +#10365000 +0! +#10365500 +1! +#10366000 +0! +#10366500 +1! +#10367000 +0! +#10367500 +1! +#10368000 +0! +#10368500 +1! +#10369000 +0! +#10369500 +1! +#10370000 +0! +#10370500 +1! +#10371000 +0! +#10371500 +1! +#10372000 +0! +#10372500 +1! +#10373000 +0! +#10373500 +1! +#10374000 +0! +#10374500 +1! +#10375000 +0! +#10375500 +1! +#10376000 +0! +#10376500 +1! +#10377000 +0! +#10377500 +1! +#10378000 +0! +#10378500 +1! +#10379000 +0! +#10379500 +1! +#10380000 +0! +#10380500 +1! +#10381000 +0! +#10381500 +1! +#10382000 +0! +#10382500 +1! +#10383000 +0! +#10383500 +1! +#10384000 +0! +#10384500 +1! +#10385000 +0! +#10385500 +1! +#10386000 +0! +#10386500 +1! +#10387000 +0! +#10387500 +1! +#10388000 +0! +#10388500 +1! +#10389000 +0! +#10389500 +1! +#10390000 +0! +#10390500 +1! +#10391000 +0! +#10391500 +1! +#10392000 +0! +#10392500 +1! +#10393000 +0! +#10393500 +1! +#10394000 +0! +#10394500 +1! +#10395000 +0! +#10395500 +1! +#10396000 +0! +#10396500 +1! +#10397000 +0! +#10397500 +1! +#10398000 +0! +#10398500 +1! +#10399000 +0! +#10399500 +1! +#10400000 +0! +#10400500 +1! +#10401000 +0! +#10401500 +1! +#10402000 +0! +#10402500 +1! +#10403000 +0! +#10403500 +1! +#10404000 +0! +#10404500 +1! +#10405000 +0! +#10405500 +1! +#10406000 +0! +#10406500 +1! +#10407000 +0! +#10407500 +1! +#10408000 +0! +#10408500 +1! +#10409000 +0! +#10409500 +1! +#10410000 +0! +#10410500 +1! +#10411000 +0! +#10411500 +1! +#10412000 +0! +#10412500 +1! +#10413000 +0! +#10413500 +1! +#10414000 +0! +#10414500 +1! +#10415000 +0! +#10415500 +1! +#10416000 +0! +#10416500 +1! +#10417000 +0! +#10417500 +1! +#10418000 +0! +#10418500 +1! +#10419000 +0! +#10419500 +1! +#10420000 +0! +#10420500 +1! +#10421000 +0! +#10421500 +1! +#10422000 +0! +#10422500 +1! +#10423000 +0! +#10423500 +1! +#10424000 +0! +#10424500 +1! +#10425000 +0! +#10425500 +1! +#10426000 +0! +#10426500 +1! +#10427000 +0! +#10427500 +1! +#10428000 +0! +#10428500 +1! +#10429000 +0! +#10429500 +1! +#10430000 +0! +#10430500 +1! +#10431000 +0! +#10431500 +1! +#10432000 +0! +#10432500 +1! +#10433000 +0! +#10433500 +1! +#10434000 +0! +#10434500 +1! +#10435000 +0! +#10435500 +1! +#10436000 +0! +#10436500 +1! +#10437000 +0! +#10437500 +1! +#10438000 +0! +#10438500 +1! +#10439000 +0! +#10439500 +1! +#10440000 +0! +#10440500 +1! +#10441000 +0! +#10441500 +1! +#10442000 +0! +#10442500 +1! +#10443000 +0! +#10443500 +1! +#10444000 +0! +#10444500 +1! +#10445000 +0! +#10445500 +1! +#10446000 +0! +#10446500 +1! +#10447000 +0! +#10447500 +1! +#10448000 +0! +#10448500 +1! +#10449000 +0! +#10449500 +1! +#10450000 +0! +#10450500 +1! +#10451000 +0! +#10451500 +1! +#10452000 +0! +#10452500 +1! +#10453000 +0! +#10453500 +1! +#10454000 +0! +#10454500 +1! +#10455000 +0! +#10455500 +1! +#10456000 +0! +#10456500 +1! +#10457000 +0! +#10457500 +1! +#10458000 +0! +#10458500 +1! +#10459000 +0! +#10459500 +1! +#10460000 +0! +#10460500 +1! +#10461000 +0! +#10461500 +1! +#10462000 +0! +#10462500 +1! +#10463000 +0! +#10463500 +1! +#10464000 +0! +#10464500 +1! +#10465000 +0! +#10465500 +1! +#10466000 +0! +#10466500 +1! +#10467000 +0! +#10467500 +1! +#10468000 +0! +#10468500 +1! +#10469000 +0! +#10469500 +1! +#10470000 +0! +#10470500 +1! +#10471000 +0! +#10471500 +1! +#10472000 +0! +#10472500 +1! +#10473000 +0! +#10473500 +1! +#10474000 +0! +#10474500 +1! +#10475000 +0! +#10475500 +1! +#10476000 +0! +#10476500 +1! +#10477000 +0! +#10477500 +1! +#10478000 +0! +#10478500 +1! +#10479000 +0! +#10479500 +1! +#10480000 +0! +#10480500 +1! +#10481000 +0! +#10481500 +1! +#10482000 +0! +#10482500 +1! +#10483000 +0! +#10483500 +1! +#10484000 +0! +#10484500 +1! +#10485000 +0! +#10485500 +1! +#10486000 +0! +#10486500 +1! +#10487000 +0! +#10487500 +1! +#10488000 +0! +#10488500 +1! +#10489000 +0! +#10489500 +1! +#10490000 +0! +#10490500 +1! +#10491000 +0! +#10491500 +1! +#10492000 +0! +#10492500 +1! +#10493000 +0! +#10493500 +1! +#10494000 +0! +#10494500 +1! +#10495000 +0! +#10495500 +1! +#10496000 +0! +#10496500 +1! +#10497000 +0! +#10497500 +1! +#10498000 +0! +#10498500 +1! +#10499000 +0! +#10499500 +1! +#10500000 +0! +#10500500 +1! +#10501000 +0! +#10501500 +1! +#10502000 +0! +#10502500 +1! +#10503000 +0! +#10503500 +1! +#10504000 +0! +#10504500 +1! +#10505000 +0! +#10505500 +1! +#10506000 +0! +#10506500 +1! +#10507000 +0! +#10507500 +1! +#10508000 +0! +#10508500 +1! +#10509000 +0! +#10509500 +1! +#10510000 +0! +#10510500 +1! +#10511000 +0! +#10511500 +1! +#10512000 +0! +#10512500 +1! +#10513000 +0! +#10513500 +1! +#10514000 +0! +#10514500 +1! +#10515000 +0! +#10515500 +1! +#10516000 +0! +#10516500 +1! +#10517000 +0! +#10517500 +1! +#10518000 +0! +#10518500 +1! +#10519000 +0! +#10519500 +1! +#10520000 +0! +#10520500 +1! +#10521000 +0! +#10521500 +1! +#10522000 +0! +#10522500 +1! +#10523000 +0! +#10523500 +1! +#10524000 +0! +#10524500 +1! +#10525000 +0! +#10525500 +1! +#10526000 +0! +#10526500 +1! +#10527000 +0! +#10527500 +1! +#10528000 +0! +#10528500 +1! +#10529000 +0! +#10529500 +1! +#10530000 +0! +#10530500 +1! +#10531000 +0! +#10531500 +1! +#10532000 +0! +#10532500 +1! +#10533000 +0! +#10533500 +1! +#10534000 +0! +#10534500 +1! +#10535000 +0! +#10535500 +1! +#10536000 +0! +#10536500 +1! +#10537000 +0! +#10537500 +1! +#10538000 +0! +#10538500 +1! +#10539000 +0! +#10539500 +1! +#10540000 +0! +#10540500 +1! +#10541000 +0! +#10541500 +1! +#10542000 +0! +#10542500 +1! +#10543000 +0! +#10543500 +1! +#10544000 +0! +#10544500 +1! +#10545000 +0! +#10545500 +1! +#10546000 +0! +#10546500 +1! +#10547000 +0! +#10547500 +1! +#10548000 +0! +#10548500 +1! +#10549000 +0! +#10549500 +1! +#10550000 +0! +#10550500 +1! +#10551000 +0! +#10551500 +1! +#10552000 +0! +#10552500 +1! +#10553000 +0! +#10553500 +1! +#10554000 +0! +#10554500 +1! +#10555000 +0! +#10555500 +1! +#10556000 +0! +#10556500 +1! +#10557000 +0! +#10557500 +1! +#10558000 +0! +#10558500 +1! +#10559000 +0! +#10559500 +1! +#10560000 +0! +#10560500 +1! +#10561000 +0! +#10561500 +1! +#10562000 +0! +#10562500 +1! +#10563000 +0! +#10563500 +1! +#10564000 +0! +#10564500 +1! +#10565000 +0! +#10565500 +1! +#10566000 +0! +#10566500 +1! +#10567000 +0! +#10567500 +1! +#10568000 +0! +#10568500 +1! +#10569000 +0! +#10569500 +1! +#10570000 +0! +#10570500 +1! +#10571000 +0! +#10571500 +1! +#10572000 +0! +#10572500 +1! +#10573000 +0! +#10573500 +1! +#10574000 +0! +#10574500 +1! +#10575000 +0! +#10575500 +1! +#10576000 +0! +#10576500 +1! +#10577000 +0! +#10577500 +1! +#10578000 +0! +#10578500 +1! +#10579000 +0! +#10579500 +1! +#10580000 +0! +#10580500 +1! +#10581000 +0! +#10581500 +1! +#10582000 +0! +#10582500 +1! +#10583000 +0! +#10583500 +1! +#10584000 +0! +#10584500 +1! +#10585000 +0! +#10585500 +1! +#10586000 +0! +#10586500 +1! +#10587000 +0! +#10587500 +1! +#10588000 +0! +#10588500 +1! +#10589000 +0! +#10589500 +1! +#10590000 +0! +#10590500 +1! +#10591000 +0! +#10591500 +1! +#10592000 +0! +#10592500 +1! +#10593000 +0! +#10593500 +1! +#10594000 +0! +#10594500 +1! +#10595000 +0! +#10595500 +1! +#10596000 +0! +#10596500 +1! +#10597000 +0! +#10597500 +1! +#10598000 +0! +#10598500 +1! +#10599000 +0! +#10599500 +1! +#10600000 +0! +#10600500 +1! +#10601000 +0! +#10601500 +1! +#10602000 +0! +#10602500 +1! +#10603000 +0! +#10603500 +1! +#10604000 +0! +#10604500 +1! +#10605000 +0! +#10605500 +1! +#10606000 +0! +#10606500 +1! +#10607000 +0! +#10607500 +1! +#10608000 +0! +#10608500 +1! +#10609000 +0! +#10609500 +1! +#10610000 +0! +#10610500 +1! +#10611000 +0! +#10611500 +1! +#10612000 +0! +#10612500 +1! +#10613000 +0! +#10613500 +1! +#10614000 +0! +#10614500 +1! +#10615000 +0! +#10615500 +1! +#10616000 +0! +#10616500 +1! +#10617000 +0! +#10617500 +1! +#10618000 +0! +#10618500 +1! +#10619000 +0! +#10619500 +1! +#10620000 +0! +#10620500 +1! +#10621000 +0! +#10621500 +1! +#10622000 +0! +#10622500 +1! +#10623000 +0! +#10623500 +1! +#10624000 +0! +#10624500 +1! +#10625000 +0! +#10625500 +1! +#10626000 +0! +#10626500 +1! +#10627000 +0! +#10627500 +1! +#10628000 +0! +#10628500 +1! +#10629000 +0! +#10629500 +1! +#10630000 +0! +#10630500 +1! +#10631000 +0! +#10631500 +1! +#10632000 +0! +#10632500 +1! +#10633000 +0! +#10633500 +1! +#10634000 +0! +#10634500 +1! +#10635000 +0! +#10635500 +1! +#10636000 +0! +#10636500 +1! +#10637000 +0! +#10637500 +1! +#10638000 +0! +#10638500 +1! +#10639000 +0! +#10639500 +1! +#10640000 +0! +#10640500 +1! +#10641000 +0! +#10641500 +1! +#10642000 +0! +#10642500 +1! +#10643000 +0! +#10643500 +1! +#10644000 +0! +#10644500 +1! +#10645000 +0! +#10645500 +1! +#10646000 +0! +#10646500 +1! +#10647000 +0! +#10647500 +1! +#10648000 +0! +#10648500 +1! +#10649000 +0! +#10649500 +1! +#10650000 +0! +#10650500 +1! +#10651000 +0! +#10651500 +1! +#10652000 +0! +#10652500 +1! +#10653000 +0! +#10653500 +1! +#10654000 +0! +#10654500 +1! +#10655000 +0! +#10655500 +1! +#10656000 +0! +#10656500 +1! +#10657000 +0! +#10657500 +1! +#10658000 +0! +#10658500 +1! +#10659000 +0! +#10659500 +1! +#10660000 +0! +#10660500 +1! +#10661000 +0! +#10661500 +1! +#10662000 +0! +#10662500 +1! +#10663000 +0! +#10663500 +1! +#10664000 +0! +#10664500 +1! +#10665000 +0! +#10665500 +1! +#10666000 +0! +#10666500 +1! +#10667000 +0! +#10667500 +1! +#10668000 +0! +#10668500 +1! +#10669000 +0! +#10669500 +1! +#10670000 +0! +#10670500 +1! +#10671000 +0! +#10671500 +1! +#10672000 +0! +#10672500 +1! +#10673000 +0! +#10673500 +1! +#10674000 +0! +#10674500 +1! +#10675000 +0! +#10675500 +1! +#10676000 +0! +#10676500 +1! +#10677000 +0! +#10677500 +1! +#10678000 +0! +#10678500 +1! +#10679000 +0! +#10679500 +1! +#10680000 +0! +#10680500 +1! +#10681000 +0! +#10681500 +1! +#10682000 +0! +#10682500 +1! +#10683000 +0! +#10683500 +1! +#10684000 +0! +#10684500 +1! +#10685000 +0! +#10685500 +1! +#10686000 +0! +#10686500 +1! +#10687000 +0! +#10687500 +1! +#10688000 +0! +#10688500 +1! +#10689000 +0! +#10689500 +1! +#10690000 +0! +#10690500 +1! +#10691000 +0! +#10691500 +1! +#10692000 +0! +#10692500 +1! +#10693000 +0! +#10693500 +1! +#10694000 +0! +#10694500 +1! +#10695000 +0! +#10695500 +1! +#10696000 +0! +#10696500 +1! +#10697000 +0! +#10697500 +1! +#10698000 +0! +#10698500 +1! +#10699000 +0! +#10699500 +1! +#10700000 +0! +#10700500 +1! +#10701000 +0! +#10701500 +1! +#10702000 +0! +#10702500 +1! +#10703000 +0! +#10703500 +1! +#10704000 +0! +#10704500 +1! +#10705000 +0! +#10705500 +1! +#10706000 +0! +#10706500 +1! +#10707000 +0! +#10707500 +1! +#10708000 +0! +#10708500 +1! +#10709000 +0! +#10709500 +1! +#10710000 +0! +#10710500 +1! +#10711000 +0! +#10711500 +1! +#10712000 +0! +#10712500 +1! +#10713000 +0! +#10713500 +1! +#10714000 +0! +#10714500 +1! +#10715000 +0! +#10715500 +1! +#10716000 +0! +#10716500 +1! +#10717000 +0! +#10717500 +1! +#10718000 +0! +#10718500 +1! +#10719000 +0! +#10719500 +1! +#10720000 +0! +#10720500 +1! +#10721000 +0! +#10721500 +1! +#10722000 +0! +#10722500 +1! +#10723000 +0! +#10723500 +1! +#10724000 +0! +#10724500 +1! +#10725000 +0! +#10725500 +1! +#10726000 +0! +#10726500 +1! +#10727000 +0! +#10727500 +1! +#10728000 +0! +#10728500 +1! +#10729000 +0! +#10729500 +1! +#10730000 +0! +#10730500 +1! +#10731000 +0! +#10731500 +1! +#10732000 +0! +#10732500 +1! +#10733000 +0! +#10733500 +1! +#10734000 +0! +#10734500 +1! +#10735000 +0! +#10735500 +1! +#10736000 +0! +#10736500 +1! +#10737000 +0! +#10737500 +1! +#10738000 +0! +#10738500 +1! +#10739000 +0! +#10739500 +1! +#10740000 +0! +#10740500 +1! +#10741000 +0! +#10741500 +1! +#10742000 +0! +#10742500 +1! +#10743000 +0! +#10743500 +1! +#10744000 +0! +#10744500 +1! +#10745000 +0! +#10745500 +1! +#10746000 +0! +#10746500 +1! +#10747000 +0! +#10747500 +1! +#10748000 +0! +#10748500 +1! +#10749000 +0! +#10749500 +1! +#10750000 +0! +#10750500 +1! +#10751000 +0! +#10751500 +1! +#10752000 +0! +#10752500 +1! +#10753000 +0! +#10753500 +1! +#10754000 +0! +#10754500 +1! +#10755000 +0! +#10755500 +1! +#10756000 +0! +#10756500 +1! +#10757000 +0! +#10757500 +1! +#10758000 +0! +#10758500 +1! +#10759000 +0! +#10759500 +1! +#10760000 +0! +#10760500 +1! +#10761000 +0! +#10761500 +1! +#10762000 +0! +#10762500 +1! +#10763000 +0! +#10763500 +1! +#10764000 +0! +#10764500 +1! +#10765000 +0! +#10765500 +1! +#10766000 +0! +#10766500 +1! +#10767000 +0! +#10767500 +1! +#10768000 +0! +#10768500 +1! +#10769000 +0! +#10769500 +1! +#10770000 +0! +#10770500 +1! +#10771000 +0! +#10771500 +1! +#10772000 +0! +#10772500 +1! +#10773000 +0! +#10773500 +1! +#10774000 +0! +#10774500 +1! +#10775000 +0! +#10775500 +1! +#10776000 +0! +#10776500 +1! +#10777000 +0! +#10777500 +1! +#10778000 +0! +#10778500 +1! +#10779000 +0! +#10779500 +1! +#10780000 +0! +#10780500 +1! +#10781000 +0! +#10781500 +1! +#10782000 +0! +#10782500 +1! +#10783000 +0! +#10783500 +1! +#10784000 +0! +#10784500 +1! +#10785000 +0! +#10785500 +1! +#10786000 +0! +#10786500 +1! +#10787000 +0! +#10787500 +1! +#10788000 +0! +#10788500 +1! +#10789000 +0! +#10789500 +1! +#10790000 +0! +#10790500 +1! +#10791000 +0! +#10791500 +1! +#10792000 +0! +#10792500 +1! +#10793000 +0! +#10793500 +1! +#10794000 +0! +#10794500 +1! +#10795000 +0! +#10795500 +1! +#10796000 +0! +#10796500 +1! +#10797000 +0! +#10797500 +1! +#10798000 +0! +#10798500 +1! +#10799000 +0! +#10799500 +1! +#10800000 +0! +#10800500 +1! +#10801000 +0! +#10801500 +1! +#10802000 +0! +#10802500 +1! +#10803000 +0! +#10803500 +1! +#10804000 +0! +#10804500 +1! +#10805000 +0! +#10805500 +1! +#10806000 +0! +#10806500 +1! +#10807000 +0! +#10807500 +1! +#10808000 +0! +#10808500 +1! +#10809000 +0! +#10809500 +1! +#10810000 +0! +#10810500 +1! +#10811000 +0! +#10811500 +1! +#10812000 +0! +#10812500 +1! +#10813000 +0! +#10813500 +1! +#10814000 +0! +#10814500 +1! +#10815000 +0! +#10815500 +1! +#10816000 +0! +#10816500 +1! +#10817000 +0! +#10817500 +1! +#10818000 +0! +#10818500 +1! +#10819000 +0! +#10819500 +1! +#10820000 +0! +#10820500 +1! +#10821000 +0! +#10821500 +1! +#10822000 +0! +#10822500 +1! +#10823000 +0! +#10823500 +1! +#10824000 +0! +#10824500 +1! +#10825000 +0! +#10825500 +1! +#10826000 +0! +#10826500 +1! +#10827000 +0! +#10827500 +1! +#10828000 +0! +#10828500 +1! +#10829000 +0! +#10829500 +1! +#10830000 +0! +#10830500 +1! +#10831000 +0! +#10831500 +1! +#10832000 +0! +#10832500 +1! +#10833000 +0! +#10833500 +1! +#10834000 +0! +#10834500 +1! +#10835000 +0! +#10835500 +1! +#10836000 +0! +#10836500 +1! +#10837000 +0! +#10837500 +1! +#10838000 +0! +#10838500 +1! +#10839000 +0! +#10839500 +1! +#10840000 +0! +#10840500 +1! +#10841000 +0! +#10841500 +1! +#10842000 +0! +#10842500 +1! +#10843000 +0! +#10843500 +1! +#10844000 +0! +#10844500 +1! +#10845000 +0! +#10845500 +1! +#10846000 +0! +#10846500 +1! +#10847000 +0! +#10847500 +1! +#10848000 +0! +#10848500 +1! +#10849000 +0! +#10849500 +1! +#10850000 +0! +#10850500 +1! +#10851000 +0! +#10851500 +1! +#10852000 +0! +#10852500 +1! +#10853000 +0! +#10853500 +1! +#10854000 +0! +#10854500 +1! +#10855000 +0! +#10855500 +1! +#10856000 +0! +#10856500 +1! +#10857000 +0! +#10857500 +1! +#10858000 +0! +#10858500 +1! +#10859000 +0! +#10859500 +1! +#10860000 +0! +#10860500 +1! +#10861000 +0! +#10861500 +1! +#10862000 +0! +#10862500 +1! +#10863000 +0! +#10863500 +1! +#10864000 +0! +#10864500 +1! +#10865000 +0! +#10865500 +1! +#10866000 +0! +#10866500 +1! +#10867000 +0! +#10867500 +1! +#10868000 +0! +#10868500 +1! +#10869000 +0! +#10869500 +1! +#10870000 +0! +#10870500 +1! +#10871000 +0! +#10871500 +1! +#10872000 +0! +#10872500 +1! +#10873000 +0! +#10873500 +1! +#10874000 +0! +#10874500 +1! +#10875000 +0! +#10875500 +1! +#10876000 +0! +#10876500 +1! +#10877000 +0! +#10877500 +1! +#10878000 +0! +#10878500 +1! +#10879000 +0! +#10879500 +1! +#10880000 +0! +#10880500 +1! +#10881000 +0! +#10881500 +1! +#10882000 +0! +#10882500 +1! +#10883000 +0! +#10883500 +1! +#10884000 +0! +#10884500 +1! +#10885000 +0! +#10885500 +1! +#10886000 +0! +#10886500 +1! +#10887000 +0! +#10887500 +1! +#10888000 +0! +#10888500 +1! +#10889000 +0! +#10889500 +1! +#10890000 +0! +#10890500 +1! +#10891000 +0! +#10891500 +1! +#10892000 +0! +#10892500 +1! +#10893000 +0! +#10893500 +1! +#10894000 +0! +#10894500 +1! +#10895000 +0! +#10895500 +1! +#10896000 +0! +#10896500 +1! +#10897000 +0! +#10897500 +1! +#10898000 +0! +#10898500 +1! +#10899000 +0! +#10899500 +1! +#10900000 +0! +#10900500 +1! +#10901000 +0! +#10901500 +1! +#10902000 +0! +#10902500 +1! +#10903000 +0! +#10903500 +1! +#10904000 +0! +#10904500 +1! +#10905000 +0! +#10905500 +1! +#10906000 +0! +#10906500 +1! +#10907000 +0! +#10907500 +1! +#10908000 +0! +#10908500 +1! +#10909000 +0! +#10909500 +1! +#10910000 +0! +#10910500 +1! +#10911000 +0! +#10911500 +1! +#10912000 +0! +#10912500 +1! +#10913000 +0! +#10913500 +1! +#10914000 +0! +#10914500 +1! +#10915000 +0! +#10915500 +1! +#10916000 +0! +#10916500 +1! +#10917000 +0! +#10917500 +1! +#10918000 +0! +#10918500 +1! +#10919000 +0! +#10919500 +1! +#10920000 +0! +#10920500 +1! +#10921000 +0! +#10921500 +1! +#10922000 +0! +#10922500 +1! +#10923000 +0! +#10923500 +1! +#10924000 +0! +#10924500 +1! +#10925000 +0! +#10925500 +1! +#10926000 +0! +#10926500 +1! +#10927000 +0! +#10927500 +1! +#10928000 +0! +#10928500 +1! +#10929000 +0! +#10929500 +1! +#10930000 +0! +#10930500 +1! +#10931000 +0! +#10931500 +1! +#10932000 +0! +#10932500 +1! +#10933000 +0! +#10933500 +1! +#10934000 +0! +#10934500 +1! +#10935000 +0! +#10935500 +1! +#10936000 +0! +#10936500 +1! +#10937000 +0! +#10937500 +1! +#10938000 +0! +#10938500 +1! +#10939000 +0! +#10939500 +1! +#10940000 +0! +#10940500 +1! +#10941000 +0! +#10941500 +1! +#10942000 +0! +#10942500 +1! +#10943000 +0! +#10943500 +1! +#10944000 +0! +#10944500 +1! +#10945000 +0! +#10945500 +1! +#10946000 +0! +#10946500 +1! +#10947000 +0! +#10947500 +1! +#10948000 +0! +#10948500 +1! +#10949000 +0! +#10949500 +1! +#10950000 +0! +#10950500 +1! +#10951000 +0! +#10951500 +1! +#10952000 +0! +#10952500 +1! +#10953000 +0! +#10953500 +1! +#10954000 +0! +#10954500 +1! +#10955000 +0! +#10955500 +1! +#10956000 +0! +#10956500 +1! +#10957000 +0! +#10957500 +1! +#10958000 +0! +#10958500 +1! +#10959000 +0! +#10959500 +1! +#10960000 +0! +#10960500 +1! +#10961000 +0! +#10961500 +1! +#10962000 +0! +#10962500 +1! +#10963000 +0! +#10963500 +1! +#10964000 +0! +#10964500 +1! +#10965000 +0! +#10965500 +1! +#10966000 +0! +#10966500 +1! +#10967000 +0! +#10967500 +1! +#10968000 +0! +#10968500 +1! +#10969000 +0! +#10969500 +1! +#10970000 +0! +#10970500 +1! +#10971000 +0! +#10971500 +1! +#10972000 +0! +#10972500 +1! +#10973000 +0! +#10973500 +1! +#10974000 +0! +#10974500 +1! +#10975000 +0! +#10975500 +1! +#10976000 +0! +#10976500 +1! +#10977000 +0! +#10977500 +1! +#10978000 +0! +#10978500 +1! +#10979000 +0! +#10979500 +1! +#10980000 +0! +#10980500 +1! +#10981000 +0! +#10981500 +1! +#10982000 +0! +#10982500 +1! +#10983000 +0! +#10983500 +1! +#10984000 +0! +#10984500 +1! +#10985000 +0! +#10985500 +1! +#10986000 +0! +#10986500 +1! +#10987000 +0! +#10987500 +1! +#10988000 +0! +#10988500 +1! +#10989000 +0! +#10989500 +1! +#10990000 +0! +#10990500 +1! +#10991000 +0! +#10991500 +1! +#10992000 +0! +#10992500 +1! +#10993000 +0! +#10993500 +1! +#10994000 +0! +#10994500 +1! +#10995000 +0! +#10995500 +1! +#10996000 +0! +#10996500 +1! +#10997000 +0! +#10997500 +1! +#10998000 +0! +#10998500 +1! +#10999000 +0! +#10999500 +1! +#11000000 +0! +#11000500 +1! +#11001000 +0! +#11001500 +1! +#11002000 +0! +#11002500 +1! +#11003000 +0! +#11003500 +1! +#11004000 +0! +#11004500 +1! +#11005000 +0! +#11005500 +1! +#11006000 +0! +#11006500 +1! +#11007000 +0! +#11007500 +1! +#11008000 +0! +#11008500 +1! +#11009000 +0! +#11009500 +1! +#11010000 +0! +#11010500 +1! +#11011000 +0! +#11011500 +1! +#11012000 +0! +#11012500 +1! +#11013000 +0! +#11013500 +1! +#11014000 +0! +#11014500 +1! +#11015000 +0! +#11015500 +1! +#11016000 +0! +#11016500 +1! +#11017000 +0! +#11017500 +1! +#11018000 +0! +#11018500 +1! +#11019000 +0! +#11019500 +1! +#11020000 +0! +#11020500 +1! +#11021000 +0! +#11021500 +1! +#11022000 +0! +#11022500 +1! +#11023000 +0! +#11023500 +1! +#11024000 +0! +#11024500 +1! +#11025000 +0! +#11025500 +1! +#11026000 +0! +#11026500 +1! +#11027000 +0! +#11027500 +1! +#11028000 +0! +#11028500 +1! +#11029000 +0! +#11029500 +1! +#11030000 +0! +#11030500 +1! +#11031000 +0! +#11031500 +1! +#11032000 +0! +#11032500 +1! +#11033000 +0! +#11033500 +1! +#11034000 +0! +#11034500 +1! +#11035000 +0! +#11035500 +1! +#11036000 +0! +#11036500 +1! +#11037000 +0! +#11037500 +1! +#11038000 +0! +#11038500 +1! +#11039000 +0! +#11039500 +1! +#11040000 +0! +#11040500 +1! +#11041000 +0! +#11041500 +1! +#11042000 +0! +#11042500 +1! +#11043000 +0! +#11043500 +1! +#11044000 +0! +#11044500 +1! +#11045000 +0! +#11045500 +1! +#11046000 +0! +#11046500 +1! +#11047000 +0! +#11047500 +1! +#11048000 +0! +#11048500 +1! +#11049000 +0! +#11049500 +1! +#11050000 +0! +#11050500 +1! +#11051000 +0! +#11051500 +1! +#11052000 +0! +#11052500 +1! +#11053000 +0! +#11053500 +1! +#11054000 +0! +#11054500 +1! +#11055000 +0! +#11055500 +1! +#11056000 +0! +#11056500 +1! +#11057000 +0! +#11057500 +1! +#11058000 +0! +#11058500 +1! +#11059000 +0! +#11059500 +1! +#11060000 +0! +#11060500 +1! +#11061000 +0! +#11061500 +1! +#11062000 +0! +#11062500 +1! +#11063000 +0! +#11063500 +1! +#11064000 +0! +#11064500 +1! +#11065000 +0! +#11065500 +1! +#11066000 +0! +#11066500 +1! +#11067000 +0! +#11067500 +1! +#11068000 +0! +#11068500 +1! +#11069000 +0! +#11069500 +1! +#11070000 +0! +#11070500 +1! +#11071000 +0! +#11071500 +1! +#11072000 +0! +#11072500 +1! +#11073000 +0! +#11073500 +1! +#11074000 +0! +#11074500 +1! +#11075000 +0! +#11075500 +1! +#11076000 +0! +#11076500 +1! +#11077000 +0! +#11077500 +1! +#11078000 +0! +#11078500 +1! +#11079000 +0! +#11079500 +1! +#11080000 +0! +#11080500 +1! +#11081000 +0! +#11081500 +1! +#11082000 +0! +#11082500 +1! +#11083000 +0! +#11083500 +1! +#11084000 +0! +#11084500 +1! +#11085000 +0! +#11085500 +1! +#11086000 +0! +#11086500 +1! +#11087000 +0! +#11087500 +1! +#11088000 +0! +#11088500 +1! +#11089000 +0! +#11089500 +1! +#11090000 +0! +#11090500 +1! +#11091000 +0! +#11091500 +1! +#11092000 +0! +#11092500 +1! +#11093000 +0! +#11093500 +1! +#11094000 +0! +#11094500 +1! +#11095000 +0! +#11095500 +1! +#11096000 +0! +#11096500 +1! +#11097000 +0! +#11097500 +1! +#11098000 +0! +#11098500 +1! +#11099000 +0! +#11099500 +1! +#11100000 +0! +#11100500 +1! +#11101000 +0! +#11101500 +1! +#11102000 +0! +#11102500 +1! +#11103000 +0! +#11103500 +1! +#11104000 +0! +#11104500 +1! +#11105000 +0! +#11105500 +1! +#11106000 +0! +#11106500 +1! +#11107000 +0! +#11107500 +1! +#11108000 +0! +#11108500 +1! +#11109000 +0! +#11109500 +1! +#11110000 +0! +#11110500 +1! +#11111000 +0! +#11111500 +1! +#11112000 +0! +#11112500 +1! +#11113000 +0! +#11113500 +1! +#11114000 +0! +#11114500 +1! +#11115000 +0! +#11115500 +1! +#11116000 +0! +#11116500 +1! +#11117000 +0! +#11117500 +1! +#11118000 +0! +#11118500 +1! +#11119000 +0! +#11119500 +1! +#11120000 +0! +#11120500 +1! +#11121000 +0! +#11121500 +1! +#11122000 +0! +#11122500 +1! +#11123000 +0! +#11123500 +1! +#11124000 +0! +#11124500 +1! +#11125000 +0! +#11125500 +1! +#11126000 +0! +#11126500 +1! +#11127000 +0! +#11127500 +1! +#11128000 +0! +#11128500 +1! +#11129000 +0! +#11129500 +1! +#11130000 +0! +#11130500 +1! +#11131000 +0! +#11131500 +1! +#11132000 +0! +#11132500 +1! +#11133000 +0! +#11133500 +1! +#11134000 +0! +#11134500 +1! +#11135000 +0! +#11135500 +1! +#11136000 +0! +#11136500 +1! +#11137000 +0! +#11137500 +1! +#11138000 +0! +#11138500 +1! +#11139000 +0! +#11139500 +1! +#11140000 +0! +#11140500 +1! +#11141000 +0! +#11141500 +1! +#11142000 +0! +#11142500 +1! +#11143000 +0! +#11143500 +1! +#11144000 +0! +#11144500 +1! +#11145000 +0! +#11145500 +1! +#11146000 +0! +#11146500 +1! +#11147000 +0! +#11147500 +1! +#11148000 +0! +#11148500 +1! +#11149000 +0! +#11149500 +1! +#11150000 +0! +#11150500 +1! +#11151000 +0! +#11151500 +1! +#11152000 +0! +#11152500 +1! +#11153000 +0! +#11153500 +1! +#11154000 +0! +#11154500 +1! +#11155000 +0! +#11155500 +1! +#11156000 +0! +#11156500 +1! +#11157000 +0! +#11157500 +1! +#11158000 +0! +#11158500 +1! +#11159000 +0! +#11159500 +1! +#11160000 +0! +#11160500 +1! +#11161000 +0! +#11161500 +1! +#11162000 +0! +#11162500 +1! +#11163000 +0! +#11163500 +1! +#11164000 +0! +#11164500 +1! +#11165000 +0! +#11165500 +1! +#11166000 +0! +#11166500 +1! +#11167000 +0! +#11167500 +1! +#11168000 +0! +#11168500 +1! +#11169000 +0! +#11169500 +1! +#11170000 +0! +#11170500 +1! +#11171000 +0! +#11171500 +1! +#11172000 +0! +#11172500 +1! +#11173000 +0! +#11173500 +1! +#11174000 +0! +#11174500 +1! +#11175000 +0! +#11175500 +1! +#11176000 +0! +#11176500 +1! +#11177000 +0! +#11177500 +1! +#11178000 +0! +#11178500 +1! +#11179000 +0! +#11179500 +1! +#11180000 +0! +#11180500 +1! +#11181000 +0! +#11181500 +1! +#11182000 +0! +#11182500 +1! +#11183000 +0! +#11183500 +1! +#11184000 +0! +#11184500 +1! +#11185000 +0! +#11185500 +1! +#11186000 +0! +#11186500 +1! +#11187000 +0! +#11187500 +1! +#11188000 +0! +#11188500 +1! +#11189000 +0! +#11189500 +1! +#11190000 +0! +#11190500 +1! +#11191000 +0! +#11191500 +1! +#11192000 +0! +#11192500 +1! +#11193000 +0! +#11193500 +1! +#11194000 +0! +#11194500 +1! +#11195000 +0! +#11195500 +1! +#11196000 +0! +#11196500 +1! +#11197000 +0! +#11197500 +1! +#11198000 +0! +#11198500 +1! +#11199000 +0! +#11199500 +1! +#11200000 +0! +#11200500 +1! +#11201000 +0! +#11201500 +1! +#11202000 +0! +#11202500 +1! +#11203000 +0! +#11203500 +1! +#11204000 +0! +#11204500 +1! +#11205000 +0! +#11205500 +1! +#11206000 +0! +#11206500 +1! +#11207000 +0! +#11207500 +1! +#11208000 +0! +#11208500 +1! +#11209000 +0! +#11209500 +1! +#11210000 +0! +#11210500 +1! +#11211000 +0! +#11211500 +1! +#11212000 +0! +#11212500 +1! +#11213000 +0! +#11213500 +1! +#11214000 +0! +#11214500 +1! +#11215000 +0! +#11215500 +1! +#11216000 +0! +#11216500 +1! +#11217000 +0! +#11217500 +1! +#11218000 +0! +#11218500 +1! +#11219000 +0! +#11219500 +1! +#11220000 +0! +#11220500 +1! +#11221000 +0! +#11221500 +1! +#11222000 +0! +#11222500 +1! +#11223000 +0! +#11223500 +1! +#11224000 +0! +#11224500 +1! +#11225000 +0! +#11225500 +1! +#11226000 +0! +#11226500 +1! +#11227000 +0! +#11227500 +1! +#11228000 +0! +#11228500 +1! +#11229000 +0! +#11229500 +1! +#11230000 +0! +#11230500 +1! +#11231000 +0! +#11231500 +1! +#11232000 +0! +#11232500 +1! +#11233000 +0! +#11233500 +1! +#11234000 +0! +#11234500 +1! +#11235000 +0! +#11235500 +1! +#11236000 +0! +#11236500 +1! +#11237000 +0! +#11237500 +1! +#11238000 +0! +#11238500 +1! +#11239000 +0! +#11239500 +1! +#11240000 +0! +#11240500 +1! +#11241000 +0! +#11241500 +1! +#11242000 +0! +#11242500 +1! +#11243000 +0! +#11243500 +1! +#11244000 +0! +#11244500 +1! +#11245000 +0! +#11245500 +1! +#11246000 +0! +#11246500 +1! +#11247000 +0! +#11247500 +1! +#11248000 +0! +#11248500 +1! +#11249000 +0! +#11249500 +1! +#11250000 +0! +#11250500 +1! +#11251000 +0! +#11251500 +1! +#11252000 +0! +#11252500 +1! +#11253000 +0! +#11253500 +1! +#11254000 +0! +#11254500 +1! +#11255000 +0! +#11255500 +1! +#11256000 +0! +#11256500 +1! +#11257000 +0! +#11257500 +1! +#11258000 +0! +#11258500 +1! +#11259000 +0! +#11259500 +1! +#11260000 +0! +#11260500 +1! +#11261000 +0! +#11261500 +1! +#11262000 +0! +#11262500 +1! +#11263000 +0! +#11263500 +1! +#11264000 +0! +#11264500 +1! +#11265000 +0! +#11265500 +1! +#11266000 +0! +#11266500 +1! +#11267000 +0! +#11267500 +1! +#11268000 +0! +#11268500 +1! +#11269000 +0! +#11269500 +1! +#11270000 +0! +#11270500 +1! +#11271000 +0! +#11271500 +1! +#11272000 +0! +#11272500 +1! +#11273000 +0! +#11273500 +1! +#11274000 +0! +#11274500 +1! +#11275000 +0! +#11275500 +1! +#11276000 +0! +#11276500 +1! +#11277000 +0! +#11277500 +1! +#11278000 +0! +#11278500 +1! +#11279000 +0! +#11279500 +1! +#11280000 +0! +#11280500 +1! +#11281000 +0! +#11281500 +1! +#11282000 +0! +#11282500 +1! +#11283000 +0! +#11283500 +1! +#11284000 +0! +#11284500 +1! +#11285000 +0! +#11285500 +1! +#11286000 +0! +#11286500 +1! +#11287000 +0! +#11287500 +1! +#11288000 +0! +#11288500 +1! +#11289000 +0! +#11289500 +1! +#11290000 +0! +#11290500 +1! +#11291000 +0! +#11291500 +1! +#11292000 +0! +#11292500 +1! +#11293000 +0! +#11293500 +1! +#11294000 +0! +#11294500 +1! +#11295000 +0! +#11295500 +1! +#11296000 +0! +#11296500 +1! +#11297000 +0! +#11297500 +1! +#11298000 +0! +#11298500 +1! +#11299000 +0! +#11299500 +1! +#11300000 +0! +#11300500 +1! +#11301000 +0! +#11301500 +1! +#11302000 +0! +#11302500 +1! +#11303000 +0! +#11303500 +1! +#11304000 +0! +#11304500 +1! +#11305000 +0! +#11305500 +1! +#11306000 +0! +#11306500 +1! +#11307000 +0! +#11307500 +1! +#11308000 +0! +#11308500 +1! +#11309000 +0! +#11309500 +1! +#11310000 +0! +#11310500 +1! +#11311000 +0! +#11311500 +1! +#11312000 +0! +#11312500 +1! +#11313000 +0! +#11313500 +1! +#11314000 +0! +#11314500 +1! +#11315000 +0! +#11315500 +1! +#11316000 +0! +#11316500 +1! +#11317000 +0! +#11317500 +1! +#11318000 +0! +#11318500 +1! +#11319000 +0! +#11319500 +1! +#11320000 +0! +#11320500 +1! +#11321000 +0! +#11321500 +1! +#11322000 +0! +#11322500 +1! +#11323000 +0! +#11323500 +1! +#11324000 +0! +#11324500 +1! +#11325000 +0! +#11325500 +1! +#11326000 +0! +#11326500 +1! +#11327000 +0! +#11327500 +1! +#11328000 +0! +#11328500 +1! +#11329000 +0! +#11329500 +1! +#11330000 +0! +#11330500 +1! +#11331000 +0! +#11331500 +1! +#11332000 +0! +#11332500 +1! +#11333000 +0! +#11333500 +1! +#11334000 +0! +#11334500 +1! +#11335000 +0! +#11335500 +1! +#11336000 +0! +#11336500 +1! +#11337000 +0! +#11337500 +1! +#11338000 +0! +#11338500 +1! +#11339000 +0! +#11339500 +1! +#11340000 +0! +#11340500 +1! +#11341000 +0! +#11341500 +1! +#11342000 +0! +#11342500 +1! +#11343000 +0! +#11343500 +1! +#11344000 +0! +#11344500 +1! +#11345000 +0! +#11345500 +1! +#11346000 +0! +#11346500 +1! +#11347000 +0! +#11347500 +1! +#11348000 +0! +#11348500 +1! +#11349000 +0! +#11349500 +1! +#11350000 +0! +#11350500 +1! +#11351000 +0! +#11351500 +1! +#11352000 +0! +#11352500 +1! +#11353000 +0! +#11353500 +1! +#11354000 +0! +#11354500 +1! +#11355000 +0! +#11355500 +1! +#11356000 +0! +#11356500 +1! +#11357000 +0! +#11357500 +1! +#11358000 +0! +#11358500 +1! +#11359000 +0! +#11359500 +1! +#11360000 +0! +#11360500 +1! +#11361000 +0! +#11361500 +1! +#11362000 +0! +#11362500 +1! +#11363000 +0! +#11363500 +1! +#11364000 +0! +#11364500 +1! +#11365000 +0! +#11365500 +1! +#11366000 +0! +#11366500 +1! +#11367000 +0! +#11367500 +1! +#11368000 +0! +#11368500 +1! +#11369000 +0! +#11369500 +1! +#11370000 +0! +#11370500 +1! +#11371000 +0! +#11371500 +1! +#11372000 +0! +#11372500 +1! +#11373000 +0! +#11373500 +1! +#11374000 +0! +#11374500 +1! +#11375000 +0! +#11375500 +1! +#11376000 +0! +#11376500 +1! +#11377000 +0! +#11377500 +1! +#11378000 +0! +#11378500 +1! +#11379000 +0! +#11379500 +1! +#11380000 +0! +#11380500 +1! +#11381000 +0! +#11381500 +1! +#11382000 +0! +#11382500 +1! +#11383000 +0! +#11383500 +1! +#11384000 +0! +#11384500 +1! +#11385000 +0! +#11385500 +1! +#11386000 +0! +#11386500 +1! +#11387000 +0! +#11387500 +1! +#11388000 +0! +#11388500 +1! +#11389000 +0! +#11389500 +1! +#11390000 +0! +#11390500 +1! +#11391000 +0! +#11391500 +1! +#11392000 +0! +#11392500 +1! +#11393000 +0! +#11393500 +1! +#11394000 +0! +#11394500 +1! +#11395000 +0! +#11395500 +1! +#11396000 +0! +#11396500 +1! +#11397000 +0! +#11397500 +1! +#11398000 +0! +#11398500 +1! +#11399000 +0! +#11399500 +1! +#11400000 +0! +#11400500 +1! +#11401000 +0! +#11401500 +1! +#11402000 +0! +#11402500 +1! +#11403000 +0! +#11403500 +1! +#11404000 +0! +#11404500 +1! +#11405000 +0! +#11405500 +1! +#11406000 +0! +#11406500 +1! +#11407000 +0! +#11407500 +1! +#11408000 +0! +#11408500 +1! +#11409000 +0! +#11409500 +1! +#11410000 +0! +#11410500 +1! +#11411000 +0! +#11411500 +1! +#11412000 +0! +#11412500 +1! +#11413000 +0! +#11413500 +1! +#11414000 +0! +#11414500 +1! +#11415000 +0! +#11415500 +1! +#11416000 +0! +#11416500 +1! +#11417000 +0! +#11417500 +1! +#11418000 +0! +#11418500 +1! +#11419000 +0! +#11419500 +1! +#11420000 +0! +#11420500 +1! +#11421000 +0! +#11421500 +1! +#11422000 +0! +#11422500 +1! +#11423000 +0! +#11423500 +1! +#11424000 +0! +#11424500 +1! +#11425000 +0! +#11425500 +1! +#11426000 +0! +#11426500 +1! +#11427000 +0! +#11427500 +1! +#11428000 +0! +#11428500 +1! +#11429000 +0! +#11429500 +1! +#11430000 +0! +#11430500 +1! +#11431000 +0! +#11431500 +1! +#11432000 +0! +#11432500 +1! +#11433000 +0! +#11433500 +1! +#11434000 +0! +#11434500 +1! +#11435000 +0! +#11435500 +1! +#11436000 +0! +#11436500 +1! +#11437000 +0! +#11437500 +1! +#11438000 +0! +#11438500 +1! +#11439000 +0! +#11439500 +1! +#11440000 +0! +#11440500 +1! +#11441000 +0! +#11441500 +1! +#11442000 +0! +#11442500 +1! +#11443000 +0! +#11443500 +1! +#11444000 +0! +#11444500 +1! +#11445000 +0! +#11445500 +1! +#11446000 +0! +#11446500 +1! +#11447000 +0! +#11447500 +1! +#11448000 +0! +#11448500 +1! +#11449000 +0! +#11449500 +1! +#11450000 +0! +#11450500 +1! +#11451000 +0! +#11451500 +1! +#11452000 +0! +#11452500 +1! +#11453000 +0! +#11453500 +1! +#11454000 +0! +#11454500 +1! +#11455000 +0! +#11455500 +1! +#11456000 +0! +#11456500 +1! +#11457000 +0! +#11457500 +1! +#11458000 +0! +#11458500 +1! +#11459000 +0! +#11459500 +1! +#11460000 +0! +#11460500 +1! +#11461000 +0! +#11461500 +1! +#11462000 +0! +#11462500 +1! +#11463000 +0! +#11463500 +1! +#11464000 +0! +#11464500 +1! +#11465000 +0! +#11465500 +1! +#11466000 +0! +#11466500 +1! +#11467000 +0! +#11467500 +1! +#11468000 +0! +#11468500 +1! +#11469000 +0! +#11469500 +1! +#11470000 +0! +#11470500 +1! +#11471000 +0! +#11471500 +1! +#11472000 +0! +#11472500 +1! +#11473000 +0! +#11473500 +1! +#11474000 +0! +#11474500 +1! +#11475000 +0! +#11475500 +1! +#11476000 +0! +#11476500 +1! +#11477000 +0! +#11477500 +1! +#11478000 +0! +#11478500 +1! +#11479000 +0! +#11479500 +1! +#11480000 +0! +#11480500 +1! +#11481000 +0! +#11481500 +1! +#11482000 +0! +#11482500 +1! +#11483000 +0! +#11483500 +1! +#11484000 +0! +#11484500 +1! +#11485000 +0! +#11485500 +1! +#11486000 +0! +#11486500 +1! +#11487000 +0! +#11487500 +1! +#11488000 +0! +#11488500 +1! +#11489000 +0! +#11489500 +1! +#11490000 +0! +#11490500 +1! +#11491000 +0! +#11491500 +1! +#11492000 +0! +#11492500 +1! +#11493000 +0! +#11493500 +1! +#11494000 +0! +#11494500 +1! +#11495000 +0! +#11495500 +1! +#11496000 +0! +#11496500 +1! +#11497000 +0! +#11497500 +1! +#11498000 +0! +#11498500 +1! +#11499000 +0! +#11499500 +1! +#11500000 +0! +#11500500 +1! +#11501000 +0! +#11501500 +1! +#11502000 +0! +#11502500 +1! +#11503000 +0! +#11503500 +1! +#11504000 +0! +#11504500 +1! +#11505000 +0! +#11505500 +1! +#11506000 +0! +#11506500 +1! +#11507000 +0! +#11507500 +1! +#11508000 +0! +#11508500 +1! +#11509000 +0! +#11509500 +1! +#11510000 +0! +#11510500 +1! +#11511000 +0! +#11511500 +1! +#11512000 +0! +#11512500 +1! +#11513000 +0! +#11513500 +1! +#11514000 +0! +#11514500 +1! +#11515000 +0! +#11515500 +1! +#11516000 +0! +#11516500 +1! +#11517000 +0! +#11517500 +1! +#11518000 +0! +#11518500 +1! +#11519000 +0! +#11519500 +1! +#11520000 +0! +#11520500 +1! +#11521000 +0! +#11521500 +1! +#11522000 +0! +#11522500 +1! +#11523000 +0! +#11523500 +1! +#11524000 +0! +#11524500 +1! +#11525000 +0! +#11525500 +1! +#11526000 +0! +#11526500 +1! +#11527000 +0! +#11527500 +1! +#11528000 +0! +#11528500 +1! +#11529000 +0! +#11529500 +1! +#11530000 +0! +#11530500 +1! +#11531000 +0! +#11531500 +1! +#11532000 +0! +#11532500 +1! +#11533000 +0! +#11533500 +1! +#11534000 +0! +#11534500 +1! +#11535000 +0! +#11535500 +1! +#11536000 +0! +#11536500 +1! +#11537000 +0! +#11537500 +1! +#11538000 +0! +#11538500 +1! +#11539000 +0! +#11539500 +1! +#11540000 +0! +#11540500 +1! +#11541000 +0! +#11541500 +1! +#11542000 +0! +#11542500 +1! +#11543000 +0! +#11543500 +1! +#11544000 +0! +#11544500 +1! +#11545000 +0! +#11545500 +1! +#11546000 +0! +#11546500 +1! +#11547000 +0! +#11547500 +1! +#11548000 +0! +#11548500 +1! +#11549000 +0! +#11549500 +1! +#11550000 +0! +#11550500 +1! +#11551000 +0! +#11551500 +1! +#11552000 +0! +#11552500 +1! +#11553000 +0! +#11553500 +1! +#11554000 +0! +#11554500 +1! +#11555000 +0! +#11555500 +1! +#11556000 +0! +#11556500 +1! +#11557000 +0! +#11557500 +1! +#11558000 +0! +#11558500 +1! +#11559000 +0! +#11559500 +1! +#11560000 +0! +#11560500 +1! +#11561000 +0! +#11561500 +1! +#11562000 +0! +#11562500 +1! +#11563000 +0! +#11563500 +1! +#11564000 +0! +#11564500 +1! +#11565000 +0! +#11565500 +1! +#11566000 +0! +#11566500 +1! +#11567000 +0! +#11567500 +1! +#11568000 +0! +#11568500 +1! +#11569000 +0! +#11569500 +1! +#11570000 +0! +#11570500 +1! +#11571000 +0! +#11571500 +1! +#11572000 +0! +#11572500 +1! +#11573000 +0! +#11573500 +1! +#11574000 +0! +#11574500 +1! +#11575000 +0! +#11575500 +1! +#11576000 +0! +#11576500 +1! +#11577000 +0! +#11577500 +1! +#11578000 +0! +#11578500 +1! +#11579000 +0! +#11579500 +1! +#11580000 +0! +#11580500 +1! +#11581000 +0! +#11581500 +1! +#11582000 +0! +#11582500 +1! +#11583000 +0! +#11583500 +1! +#11584000 +0! +#11584500 +1! +#11585000 +0! +#11585500 +1! +#11586000 +0! +#11586500 +1! +#11587000 +0! +#11587500 +1! +#11588000 +0! +#11588500 +1! +#11589000 +0! +#11589500 +1! +#11590000 +0! +#11590500 +1! +#11591000 +0! +#11591500 +1! +#11592000 +0! +#11592500 +1! +#11593000 +0! +#11593500 +1! +#11594000 +0! +#11594500 +1! +#11595000 +0! +#11595500 +1! +#11596000 +0! +#11596500 +1! +#11597000 +0! +#11597500 +1! +#11598000 +0! +#11598500 +1! +#11599000 +0! +#11599500 +1! +#11600000 +0! +#11600500 +1! +#11601000 +0! +#11601500 +1! +#11602000 +0! +#11602500 +1! +#11603000 +0! +#11603500 +1! +#11604000 +0! +#11604500 +1! +#11605000 +0! +#11605500 +1! +#11606000 +0! +#11606500 +1! +#11607000 +0! +#11607500 +1! +#11608000 +0! +#11608500 +1! +#11609000 +0! +#11609500 +1! +#11610000 +0! +#11610500 +1! +#11611000 +0! +#11611500 +1! +#11612000 +0! +#11612500 +1! +#11613000 +0! +#11613500 +1! +#11614000 +0! +#11614500 +1! +#11615000 +0! +#11615500 +1! +#11616000 +0! +#11616500 +1! +#11617000 +0! +#11617500 +1! +#11618000 +0! +#11618500 +1! +#11619000 +0! +#11619500 +1! +#11620000 +0! +#11620500 +1! +#11621000 +0! +#11621500 +1! +#11622000 +0! +#11622500 +1! +#11623000 +0! +#11623500 +1! +#11624000 +0! +#11624500 +1! +#11625000 +0! +#11625500 +1! +#11626000 +0! +#11626500 +1! +#11627000 +0! +#11627500 +1! +#11628000 +0! +#11628500 +1! +#11629000 +0! +#11629500 +1! +#11630000 +0! +#11630500 +1! +#11631000 +0! +#11631500 +1! +#11632000 +0! +#11632500 +1! +#11633000 +0! +#11633500 +1! +#11634000 +0! +#11634500 +1! +#11635000 +0! +#11635500 +1! +#11636000 +0! +#11636500 +1! +#11637000 +0! +#11637500 +1! +#11638000 +0! +#11638500 +1! +#11639000 +0! +#11639500 +1! +#11640000 +0! +#11640500 +1! +#11641000 +0! +#11641500 +1! +#11642000 +0! +#11642500 +1! +#11643000 +0! +#11643500 +1! +#11644000 +0! +#11644500 +1! +#11645000 +0! +#11645500 +1! +#11646000 +0! +#11646500 +1! +#11647000 +0! +#11647500 +1! +#11648000 +0! +#11648500 +1! +#11649000 +0! +#11649500 +1! +#11650000 +0! +#11650500 +1! +#11651000 +0! +#11651500 +1! +#11652000 +0! +#11652500 +1! +#11653000 +0! +#11653500 +1! +#11654000 +0! +#11654500 +1! +#11655000 +0! +#11655500 +1! +#11656000 +0! +#11656500 +1! +#11657000 +0! +#11657500 +1! +#11658000 +0! +#11658500 +1! +#11659000 +0! +#11659500 +1! +#11660000 +0! +#11660500 +1! +#11661000 +0! +#11661500 +1! +#11662000 +0! +#11662500 +1! +#11663000 +0! +#11663500 +1! +#11664000 +0! +#11664500 +1! +#11665000 +0! +#11665500 +1! +#11666000 +0! +#11666500 +1! +#11667000 +0! +#11667500 +1! +#11668000 +0! +#11668500 +1! +#11669000 +0! +#11669500 +1! +#11670000 +0! +#11670500 +1! +#11671000 +0! +#11671500 +1! +#11672000 +0! +#11672500 +1! +#11673000 +0! +#11673500 +1! +#11674000 +0! +#11674500 +1! +#11675000 +0! +#11675500 +1! +#11676000 +0! +#11676500 +1! +#11677000 +0! +#11677500 +1! +#11678000 +0! +#11678500 +1! +#11679000 +0! +#11679500 +1! +#11680000 +0! +#11680500 +1! +#11681000 +0! +#11681500 +1! +#11682000 +0! +#11682500 +1! +#11683000 +0! +#11683500 +1! +#11684000 +0! +#11684500 +1! +#11685000 +0! +#11685500 +1! +#11686000 +0! +#11686500 +1! +#11687000 +0! +#11687500 +1! +#11688000 +0! +#11688500 +1! +#11689000 +0! +#11689500 +1! +#11690000 +0! +#11690500 +1! +#11691000 +0! +#11691500 +1! +#11692000 +0! +#11692500 +1! +#11693000 +0! +#11693500 +1! +#11694000 +0! +#11694500 +1! +#11695000 +0! +#11695500 +1! +#11696000 +0! +#11696500 +1! +#11697000 +0! +#11697500 +1! +#11698000 +0! +#11698500 +1! +#11699000 +0! +#11699500 +1! +#11700000 +0! +#11700500 +1! +#11701000 +0! +#11701500 +1! +#11702000 +0! +#11702500 +1! +#11703000 +0! +#11703500 +1! +#11704000 +0! +#11704500 +1! +#11705000 +0! +#11705500 +1! +#11706000 +0! +#11706500 +1! +#11707000 +0! +#11707500 +1! +#11708000 +0! +#11708500 +1! +#11709000 +0! +#11709500 +1! +#11710000 +0! +#11710500 +1! +#11711000 +0! +#11711500 +1! +#11712000 +0! +#11712500 +1! +#11713000 +0! +#11713500 +1! +#11714000 +0! +#11714500 +1! +#11715000 +0! +#11715500 +1! +#11716000 +0! +#11716500 +1! +#11717000 +0! +#11717500 +1! +#11718000 +0! +#11718500 +1! +#11719000 +0! +#11719500 +1! +#11720000 +0! +#11720500 +1! +#11721000 +0! +#11721500 +1! +#11722000 +0! +#11722500 +1! +#11723000 +0! +#11723500 +1! +#11724000 +0! +#11724500 +1! +#11725000 +0! +#11725500 +1! +#11726000 +0! +#11726500 +1! +#11727000 +0! +#11727500 +1! +#11728000 +0! +#11728500 +1! +#11729000 +0! +#11729500 +1! +#11730000 +0! +#11730500 +1! +#11731000 +0! +#11731500 +1! +#11732000 +0! +#11732500 +1! +#11733000 +0! +#11733500 +1! +#11734000 +0! +#11734500 +1! +#11735000 +0! +#11735500 +1! +#11736000 +0! +#11736500 +1! +#11737000 +0! +#11737500 +1! +#11738000 +0! +#11738500 +1! +#11739000 +0! +#11739500 +1! +#11740000 +0! +#11740500 +1! +#11741000 +0! +#11741500 +1! +#11742000 +0! +#11742500 +1! +#11743000 +0! +#11743500 +1! +#11744000 +0! +#11744500 +1! +#11745000 +0! +#11745500 +1! +#11746000 +0! +#11746500 +1! +#11747000 +0! +#11747500 +1! +#11748000 +0! +#11748500 +1! +#11749000 +0! +#11749500 +1! +#11750000 +0! +#11750500 +1! +#11751000 +0! +#11751500 +1! +#11752000 +0! +#11752500 +1! +#11753000 +0! +#11753500 +1! +#11754000 +0! +#11754500 +1! +#11755000 +0! +#11755500 +1! +#11756000 +0! +#11756500 +1! +#11757000 +0! +#11757500 +1! +#11758000 +0! +#11758500 +1! +#11759000 +0! +#11759500 +1! +#11760000 +0! +#11760500 +1! +#11761000 +0! +#11761500 +1! +#11762000 +0! +#11762500 +1! +#11763000 +0! +#11763500 +1! +#11764000 +0! +#11764500 +1! +#11765000 +0! +#11765500 +1! +#11766000 +0! +#11766500 +1! +#11767000 +0! +#11767500 +1! +#11768000 +0! +#11768500 +1! +#11769000 +0! +#11769500 +1! +#11770000 +0! +#11770500 +1! +#11771000 +0! +#11771500 +1! +#11772000 +0! +#11772500 +1! +#11773000 +0! +#11773500 +1! +#11774000 +0! +#11774500 +1! +#11775000 +0! +#11775500 +1! +#11776000 +0! +#11776500 +1! +#11777000 +0! +#11777500 +1! +#11778000 +0! +#11778500 +1! +#11779000 +0! +#11779500 +1! +#11780000 +0! +#11780500 +1! +#11781000 +0! +#11781500 +1! +#11782000 +0! +#11782500 +1! +#11783000 +0! +#11783500 +1! +#11784000 +0! +#11784500 +1! +#11785000 +0! +#11785500 +1! +#11786000 +0! +#11786500 +1! +#11787000 +0! +#11787500 +1! +#11788000 +0! +#11788500 +1! +#11789000 +0! +#11789500 +1! +#11790000 +0! +#11790500 +1! +#11791000 +0! +#11791500 +1! +#11792000 +0! +#11792500 +1! +#11793000 +0! +#11793500 +1! +#11794000 +0! +#11794500 +1! +#11795000 +0! +#11795500 +1! +#11796000 +0! +#11796500 +1! +#11797000 +0! +#11797500 +1! +#11798000 +0! +#11798500 +1! +#11799000 +0! +#11799500 +1! +#11800000 +0! +#11800500 +1! +#11801000 +0! +#11801500 +1! +#11802000 +0! +#11802500 +1! +#11803000 +0! +#11803500 +1! +#11804000 +0! +#11804500 +1! +#11805000 +0! +#11805500 +1! +#11806000 +0! +#11806500 +1! +#11807000 +0! +#11807500 +1! +#11808000 +0! +#11808500 +1! +#11809000 +0! +#11809500 +1! +#11810000 +0! +#11810500 +1! +#11811000 +0! +#11811500 +1! +#11812000 +0! +#11812500 +1! +#11813000 +0! +#11813500 +1! +#11814000 +0! +#11814500 +1! +#11815000 +0! +#11815500 +1! +#11816000 +0! +#11816500 +1! +#11817000 +0! +#11817500 +1! +#11818000 +0! +#11818500 +1! +#11819000 +0! +#11819500 +1! +#11820000 +0! +#11820500 +1! +#11821000 +0! +#11821500 +1! +#11822000 +0! +#11822500 +1! +#11823000 +0! +#11823500 +1! +#11824000 +0! +#11824500 +1! +#11825000 +0! +#11825500 +1! +#11826000 +0! +#11826500 +1! +#11827000 +0! +#11827500 +1! +#11828000 +0! +#11828500 +1! +#11829000 +0! +#11829500 +1! +#11830000 +0! +#11830500 +1! +#11831000 +0! +#11831500 +1! +#11832000 +0! +#11832500 +1! +#11833000 +0! +#11833500 +1! +#11834000 +0! +#11834500 +1! +#11835000 +0! +#11835500 +1! +#11836000 +0! +#11836500 +1! +#11837000 +0! +#11837500 +1! +#11838000 +0! +#11838500 +1! +#11839000 +0! +#11839500 +1! +#11840000 +0! +#11840500 +1! +#11841000 +0! +#11841500 +1! +#11842000 +0! +#11842500 +1! +#11843000 +0! +#11843500 +1! +#11844000 +0! +#11844500 +1! +#11845000 +0! +#11845500 +1! +#11846000 +0! +#11846500 +1! +#11847000 +0! +#11847500 +1! +#11848000 +0! +#11848500 +1! +#11849000 +0! +#11849500 +1! +#11850000 +0! +#11850500 +1! +#11851000 +0! +#11851500 +1! +#11852000 +0! +#11852500 +1! +#11853000 +0! +#11853500 +1! +#11854000 +0! +#11854500 +1! +#11855000 +0! +#11855500 +1! +#11856000 +0! +#11856500 +1! +#11857000 +0! +#11857500 +1! +#11858000 +0! +#11858500 +1! +#11859000 +0! +#11859500 +1! +#11860000 +0! +#11860500 +1! +#11861000 +0! +#11861500 +1! +#11862000 +0! +#11862500 +1! +#11863000 +0! +#11863500 +1! +#11864000 +0! +#11864500 +1! +#11865000 +0! +#11865500 +1! +#11866000 +0! +#11866500 +1! +#11867000 +0! +#11867500 +1! +#11868000 +0! +#11868500 +1! +#11869000 +0! +#11869500 +1! +#11870000 +0! +#11870500 +1! +#11871000 +0! +#11871500 +1! +#11872000 +0! +#11872500 +1! +#11873000 +0! +#11873500 +1! +#11874000 +0! +#11874500 +1! +#11875000 +0! +#11875500 +1! +#11876000 +0! +#11876500 +1! +#11877000 +0! +#11877500 +1! +#11878000 +0! +#11878500 +1! +#11879000 +0! +#11879500 +1! +#11880000 +0! +#11880500 +1! +#11881000 +0! +#11881500 +1! +#11882000 +0! +#11882500 +1! +#11883000 +0! +#11883500 +1! +#11884000 +0! +#11884500 +1! +#11885000 +0! +#11885500 +1! +#11886000 +0! +#11886500 +1! +#11887000 +0! +#11887500 +1! +#11888000 +0! +#11888500 +1! +#11889000 +0! +#11889500 +1! +#11890000 +0! +#11890500 +1! +#11891000 +0! +#11891500 +1! +#11892000 +0! +#11892500 +1! +#11893000 +0! +#11893500 +1! +#11894000 +0! +#11894500 +1! +#11895000 +0! +#11895500 +1! +#11896000 +0! +#11896500 +1! +#11897000 +0! +#11897500 +1! +#11898000 +0! +#11898500 +1! +#11899000 +0! +#11899500 +1! +#11900000 +0! +#11900500 +1! +#11901000 +0! +#11901500 +1! +#11902000 +0! +#11902500 +1! +#11903000 +0! +#11903500 +1! +#11904000 +0! +#11904500 +1! +#11905000 +0! +#11905500 +1! +#11906000 +0! +#11906500 +1! +#11907000 +0! +#11907500 +1! +#11908000 +0! +#11908500 +1! +#11909000 +0! +#11909500 +1! +#11910000 +0! +#11910500 +1! +#11911000 +0! +#11911500 +1! +#11912000 +0! +#11912500 +1! +#11913000 +0! +#11913500 +1! +#11914000 +0! +#11914500 +1! +#11915000 +0! +#11915500 +1! +#11916000 +0! +#11916500 +1! +#11917000 +0! +#11917500 +1! +#11918000 +0! +#11918500 +1! +#11919000 +0! +#11919500 +1! +#11920000 +0! +#11920500 +1! +#11921000 +0! +#11921500 +1! +#11922000 +0! +#11922500 +1! +#11923000 +0! +#11923500 +1! +#11924000 +0! +#11924500 +1! +#11925000 +0! +#11925500 +1! +#11926000 +0! +#11926500 +1! +#11927000 +0! +#11927500 +1! +#11928000 +0! +#11928500 +1! +#11929000 +0! +#11929500 +1! +#11930000 +0! +#11930500 +1! +#11931000 +0! +#11931500 +1! +#11932000 +0! +#11932500 +1! +#11933000 +0! +#11933500 +1! +#11934000 +0! +#11934500 +1! +#11935000 +0! +#11935500 +1! +#11936000 +0! +#11936500 +1! +#11937000 +0! +#11937500 +1! +#11938000 +0! +#11938500 +1! +#11939000 +0! +#11939500 +1! +#11940000 +0! +#11940500 +1! +#11941000 +0! +#11941500 +1! +#11942000 +0! +#11942500 +1! +#11943000 +0! +#11943500 +1! +#11944000 +0! +#11944500 +1! +#11945000 +0! +#11945500 +1! +#11946000 +0! +#11946500 +1! +#11947000 +0! +#11947500 +1! +#11948000 +0! +#11948500 +1! +#11949000 +0! +#11949500 +1! +#11950000 +0! +#11950500 +1! +#11951000 +0! +#11951500 +1! +#11952000 +0! +#11952500 +1! +#11953000 +0! +#11953500 +1! +#11954000 +0! +#11954500 +1! +#11955000 +0! +#11955500 +1! +#11956000 +0! +#11956500 +1! +#11957000 +0! +#11957500 +1! +#11958000 +0! +#11958500 +1! +#11959000 +0! +#11959500 +1! +#11960000 +0! +#11960500 +1! +#11961000 +0! +#11961500 +1! +#11962000 +0! +#11962500 +1! +#11963000 +0! +#11963500 +1! +#11964000 +0! +#11964500 +1! +#11965000 +0! +#11965500 +1! +#11966000 +0! +#11966500 +1! +#11967000 +0! +#11967500 +1! +#11968000 +0! +#11968500 +1! +#11969000 +0! +#11969500 +1! +#11970000 +0! +#11970500 +1! +#11971000 +0! +#11971500 +1! +#11972000 +0! +#11972500 +1! +#11973000 +0! +#11973500 +1! +#11974000 +0! +#11974500 +1! +#11975000 +0! +#11975500 +1! +#11976000 +0! +#11976500 +1! +#11977000 +0! +#11977500 +1! +#11978000 +0! +#11978500 +1! +#11979000 +0! +#11979500 +1! +#11980000 +0! +#11980500 +1! +#11981000 +0! +#11981500 +1! +#11982000 +0! +#11982500 +1! +#11983000 +0! +#11983500 +1! +#11984000 +0! +#11984500 +1! +#11985000 +0! +#11985500 +1! +#11986000 +0! +#11986500 +1! +#11987000 +0! +#11987500 +1! +#11988000 +0! +#11988500 +1! +#11989000 +0! +#11989500 +1! +#11990000 +0! +#11990500 +1! +#11991000 +0! +#11991500 +1! +#11992000 +0! +#11992500 +1! +#11993000 +0! +#11993500 +1! +#11994000 +0! +#11994500 +1! +#11995000 +0! +#11995500 +1! +#11996000 +0! +#11996500 +1! +#11997000 +0! +#11997500 +1! +#11998000 +0! +#11998500 +1! +#11999000 +0! +#11999500 +1! +#12000000 +0! +#12000500 +1! +#12001000 +0! +#12001500 +1! +#12002000 +0! +#12002500 +1! +#12003000 +0! +#12003500 +1! +#12004000 +0! +#12004500 +1! +#12005000 +0! +#12005500 +1! +#12006000 +0! +#12006500 +1! +#12007000 +0! +#12007500 +1! +#12008000 +0! +#12008500 +1! +#12009000 +0! +#12009500 +1! +#12010000 +0! +#12010500 +1! +#12011000 +0! +#12011500 +1! +#12012000 +0! +#12012500 +1! +#12013000 +0! +#12013500 +1! +#12014000 +0! +#12014500 +1! +#12015000 +0! +#12015500 +1! +#12016000 +0! +#12016500 +1! +#12017000 +0! +#12017500 +1! +#12018000 +0! +#12018500 +1! +#12019000 +0! +#12019500 +1! +#12020000 +0! +#12020500 +1! +#12021000 +0! +#12021500 +1! +#12022000 +0! +#12022500 +1! +#12023000 +0! +#12023500 +1! +#12024000 +0! +#12024500 +1! +#12025000 +0! +#12025500 +1! +#12026000 +0! +#12026500 +1! +#12027000 +0! +#12027500 +1! +#12028000 +0! +#12028500 +1! +#12029000 +0! +#12029500 +1! +#12030000 +0! +#12030500 +1! +#12031000 +0! +#12031500 +1! +#12032000 +0! +#12032500 +1! +#12033000 +0! +#12033500 +1! +#12034000 +0! +#12034500 +1! +#12035000 +0! +#12035500 +1! +#12036000 +0! +#12036500 +1! +#12037000 +0! +#12037500 +1! +#12038000 +0! +#12038500 +1! +#12039000 +0! +#12039500 +1! +#12040000 +0! +#12040500 +1! +#12041000 +0! +#12041500 +1! +#12042000 +0! +#12042500 +1! +#12043000 +0! +#12043500 +1! +#12044000 +0! +#12044500 +1! +#12045000 +0! +#12045500 +1! +#12046000 +0! +#12046500 +1! +#12047000 +0! +#12047500 +1! +#12048000 +0! +#12048500 +1! +#12049000 +0! +#12049500 +1! +#12050000 +0! +#12050500 +1! +#12051000 +0! +#12051500 +1! +#12052000 +0! +#12052500 +1! +#12053000 +0! +#12053500 +1! +#12054000 +0! +#12054500 +1! +#12055000 +0! +#12055500 +1! +#12056000 +0! +#12056500 +1! +#12057000 +0! +#12057500 +1! +#12058000 +0! +#12058500 +1! +#12059000 +0! +#12059500 +1! +#12060000 +0! +#12060500 +1! +#12061000 +0! +#12061500 +1! +#12062000 +0! +#12062500 +1! +#12063000 +0! +#12063500 +1! +#12064000 +0! +#12064500 +1! +#12065000 +0! +#12065500 +1! +#12066000 +0! +#12066500 +1! +#12067000 +0! +#12067500 +1! +#12068000 +0! +#12068500 +1! +#12069000 +0! +#12069500 +1! +#12070000 +0! +#12070500 +1! +#12071000 +0! +#12071500 +1! +#12072000 +0! +#12072500 +1! +#12073000 +0! +#12073500 +1! +#12074000 +0! +#12074500 +1! +#12075000 +0! +#12075500 +1! +#12076000 +0! +#12076500 +1! +#12077000 +0! +#12077500 +1! +#12078000 +0! +#12078500 +1! +#12079000 +0! +#12079500 +1! +#12080000 +0! +#12080500 +1! +#12081000 +0! +#12081500 +1! +#12082000 +0! +#12082500 +1! +#12083000 +0! +#12083500 +1! +#12084000 +0! +#12084500 +1! +#12085000 +0! +#12085500 +1! +#12086000 +0! +#12086500 +1! +#12087000 +0! +#12087500 +1! +#12088000 +0! +#12088500 +1! +#12089000 +0! +#12089500 +1! +#12090000 +0! +#12090500 +1! +#12091000 +0! +#12091500 +1! +#12092000 +0! +#12092500 +1! +#12093000 +0! +#12093500 +1! +#12094000 +0! +#12094500 +1! +#12095000 +0! +#12095500 +1! +#12096000 +0! +#12096500 +1! +#12097000 +0! +#12097500 +1! +#12098000 +0! +#12098500 +1! +#12099000 +0! +#12099500 +1! +#12100000 +0! +#12100500 +1! +#12101000 +0! +#12101500 +1! +#12102000 +0! +#12102500 +1! +#12103000 +0! +#12103500 +1! +#12104000 +0! +#12104500 +1! +#12105000 +0! +#12105500 +1! +#12106000 +0! +#12106500 +1! +#12107000 +0! +#12107500 +1! +#12108000 +0! +#12108500 +1! +#12109000 +0! +#12109500 +1! +#12110000 +0! +#12110500 +1! +#12111000 +0! +#12111500 +1! +#12112000 +0! +#12112500 +1! +#12113000 +0! +#12113500 +1! +#12114000 +0! +#12114500 +1! +#12115000 +0! +#12115500 +1! +#12116000 +0! +#12116500 +1! +#12117000 +0! +#12117500 +1! +#12118000 +0! +#12118500 +1! +#12119000 +0! +#12119500 +1! +#12120000 +0! +#12120500 +1! +#12121000 +0! +#12121500 +1! +#12122000 +0! +#12122500 +1! +#12123000 +0! +#12123500 +1! +#12124000 +0! +#12124500 +1! +#12125000 +0! +#12125500 +1! +#12126000 +0! +#12126500 +1! +#12127000 +0! +#12127500 +1! +#12128000 +0! +#12128500 +1! +#12129000 +0! +#12129500 +1! +#12130000 +0! +#12130500 +1! +#12131000 +0! +#12131500 +1! +#12132000 +0! +#12132500 +1! +#12133000 +0! +#12133500 +1! +#12134000 +0! +#12134500 +1! +#12135000 +0! +#12135500 +1! +#12136000 +0! +#12136500 +1! +#12137000 +0! +#12137500 +1! +#12138000 +0! +#12138500 +1! +#12139000 +0! +#12139500 +1! +#12140000 +0! +#12140500 +1! +#12141000 +0! +#12141500 +1! +#12142000 +0! +#12142500 +1! +#12143000 +0! +#12143500 +1! +#12144000 +0! +#12144500 +1! +#12145000 +0! +#12145500 +1! +#12146000 +0! +#12146500 +1! +#12147000 +0! +#12147500 +1! +#12148000 +0! +#12148500 +1! +#12149000 +0! +#12149500 +1! +#12150000 +0! +#12150500 +1! +#12151000 +0! +#12151500 +1! +#12152000 +0! +#12152500 +1! +#12153000 +0! +#12153500 +1! +#12154000 +0! +#12154500 +1! +#12155000 +0! +#12155500 +1! +#12156000 +0! +#12156500 +1! +#12157000 +0! +#12157500 +1! +#12158000 +0! +#12158500 +1! +#12159000 +0! +#12159500 +1! +#12160000 +0! +#12160500 +1! +#12161000 +0! +#12161500 +1! +#12162000 +0! +#12162500 +1! +#12163000 +0! +#12163500 +1! +#12164000 +0! +#12164500 +1! +#12165000 +0! +#12165500 +1! +#12166000 +0! +#12166500 +1! +#12167000 +0! +#12167500 +1! +#12168000 +0! +#12168500 +1! +#12169000 +0! +#12169500 +1! +#12170000 +0! +#12170500 +1! +#12171000 +0! +#12171500 +1! +#12172000 +0! +#12172500 +1! +#12173000 +0! +#12173500 +1! +#12174000 +0! +#12174500 +1! +#12175000 +0! +#12175500 +1! +#12176000 +0! +#12176500 +1! +#12177000 +0! +#12177500 +1! +#12178000 +0! +#12178500 +1! +#12179000 +0! +#12179500 +1! +#12180000 +0! +#12180500 +1! +#12181000 +0! +#12181500 +1! +#12182000 +0! +#12182500 +1! +#12183000 +0! +#12183500 +1! +#12184000 +0! +#12184500 +1! +#12185000 +0! +#12185500 +1! +#12186000 +0! +#12186500 +1! +#12187000 +0! +#12187500 +1! +#12188000 +0! +#12188500 +1! +#12189000 +0! +#12189500 +1! +#12190000 +0! +#12190500 +1! +#12191000 +0! +#12191500 +1! +#12192000 +0! +#12192500 +1! +#12193000 +0! +#12193500 +1! +#12194000 +0! +#12194500 +1! +#12195000 +0! +#12195500 +1! +#12196000 +0! +#12196500 +1! +#12197000 +0! +#12197500 +1! +#12198000 +0! +#12198500 +1! +#12199000 +0! +#12199500 +1! +#12200000 +0! +#12200500 +1! +#12201000 +0! +#12201500 +1! +#12202000 +0! +#12202500 +1! +#12203000 +0! +#12203500 +1! +#12204000 +0! +#12204500 +1! +#12205000 +0! +#12205500 +1! +#12206000 +0! +#12206500 +1! +#12207000 +0! +#12207500 +1! +#12208000 +0! +#12208500 +1! +#12209000 +0! +#12209500 +1! +#12210000 +0! +#12210500 +1! +#12211000 +0! +#12211500 +1! +#12212000 +0! +#12212500 +1! +#12213000 +0! +#12213500 +1! +#12214000 +0! +#12214500 +1! +#12215000 +0! +#12215500 +1! +#12216000 +0! +#12216500 +1! +#12217000 +0! +#12217500 +1! +#12218000 +0! +#12218500 +1! +#12219000 +0! +#12219500 +1! +#12220000 +0! +#12220500 +1! +#12221000 +0! +#12221500 +1! +#12222000 +0! +#12222500 +1! +#12223000 +0! +#12223500 +1! +#12224000 +0! +#12224500 +1! +#12225000 +0! +#12225500 +1! +#12226000 +0! +#12226500 +1! +#12227000 +0! +#12227500 +1! +#12228000 +0! +#12228500 +1! +#12229000 +0! +#12229500 +1! +#12230000 +0! +#12230500 +1! +#12231000 +0! +#12231500 +1! +#12232000 +0! +#12232500 +1! +#12233000 +0! +#12233500 +1! +#12234000 +0! +#12234500 +1! +#12235000 +0! +#12235500 +1! +#12236000 +0! +#12236500 +1! +#12237000 +0! +#12237500 +1! +#12238000 +0! +#12238500 +1! +#12239000 +0! +#12239500 +1! +#12240000 +0! +#12240500 +1! +#12241000 +0! +#12241500 +1! +#12242000 +0! +#12242500 +1! +#12243000 +0! +#12243500 +1! +#12244000 +0! +#12244500 +1! +#12245000 +0! +#12245500 +1! +#12246000 +0! +#12246500 +1! +#12247000 +0! +#12247500 +1! +#12248000 +0! +#12248500 +1! +#12249000 +0! +#12249500 +1! +#12250000 +0! +#12250500 +1! +#12251000 +0! +#12251500 +1! +#12252000 +0! +#12252500 +1! +#12253000 +0! +#12253500 +1! +#12254000 +0! +#12254500 +1! +#12255000 +0! +#12255500 +1! +#12256000 +0! +#12256500 +1! +#12257000 +0! +#12257500 +1! +#12258000 +0! +#12258500 +1! +#12259000 +0! +#12259500 +1! +#12260000 +0! +#12260500 +1! +#12261000 +0! +#12261500 +1! +#12262000 +0! +#12262500 +1! +#12263000 +0! +#12263500 +1! +#12264000 +0! +#12264500 +1! +#12265000 +0! +#12265500 +1! +#12266000 +0! +#12266500 +1! +#12267000 +0! +#12267500 +1! +#12268000 +0! +#12268500 +1! +#12269000 +0! +#12269500 +1! +#12270000 +0! +#12270500 +1! +#12271000 +0! +#12271500 +1! +#12272000 +0! +#12272500 +1! +#12273000 +0! +#12273500 +1! +#12274000 +0! +#12274500 +1! +#12275000 +0! +#12275500 +1! +#12276000 +0! +#12276500 +1! +#12277000 +0! +#12277500 +1! +#12278000 +0! +#12278500 +1! +#12279000 +0! +#12279500 +1! +#12280000 +0! +#12280500 +1! +#12281000 +0! +#12281500 +1! +#12282000 +0! +#12282500 +1! +#12283000 +0! +#12283500 +1! +#12284000 +0! +#12284500 +1! +#12285000 +0! +#12285500 +1! +#12286000 +0! +#12286500 +1! +#12287000 +0! +#12287500 +1! +#12288000 +0! +#12288500 +1! +#12289000 +0! +#12289500 +1! +#12290000 +0! +#12290500 +1! +#12291000 +0! +#12291500 +1! +#12292000 +0! +#12292500 +1! +#12293000 +0! +#12293500 +1! +#12294000 +0! +#12294500 +1! +#12295000 +0! +#12295500 +1! +#12296000 +0! +#12296500 +1! +#12297000 +0! +#12297500 +1! +#12298000 +0! +#12298500 +1! +#12299000 +0! +#12299500 +1! +#12300000 +0! +#12300500 +1! +#12301000 +0! +#12301500 +1! +#12302000 +0! +#12302500 +1! +#12303000 +0! +#12303500 +1! +#12304000 +0! +#12304500 +1! +#12305000 +0! +#12305500 +1! +#12306000 +0! +#12306500 +1! +#12307000 +0! +#12307500 +1! +#12308000 +0! +#12308500 +1! +#12309000 +0! +#12309500 +1! +#12310000 +0! +#12310500 +1! +#12311000 +0! +#12311500 +1! +#12312000 +0! +#12312500 +1! +#12313000 +0! +#12313500 +1! +#12314000 +0! +#12314500 +1! +#12315000 +0! +#12315500 +1! +#12316000 +0! +#12316500 +1! +#12317000 +0! +#12317500 +1! +#12318000 +0! +#12318500 +1! +#12319000 +0! +#12319500 +1! +#12320000 +0! +#12320500 +1! +#12321000 +0! +#12321500 +1! +#12322000 +0! +#12322500 +1! +#12323000 +0! +#12323500 +1! +#12324000 +0! +#12324500 +1! +#12325000 +0! +#12325500 +1! +#12326000 +0! +#12326500 +1! +#12327000 +0! +#12327500 +1! +#12328000 +0! +#12328500 +1! +#12329000 +0! +#12329500 +1! +#12330000 +0! +#12330500 +1! +#12331000 +0! +#12331500 +1! +#12332000 +0! +#12332500 +1! +#12333000 +0! +#12333500 +1! +#12334000 +0! +#12334500 +1! +#12335000 +0! +#12335500 +1! +#12336000 +0! +#12336500 +1! +#12337000 +0! +#12337500 +1! +#12338000 +0! +#12338500 +1! +#12339000 +0! +#12339500 +1! +#12340000 +0! +#12340500 +1! +#12341000 +0! +#12341500 +1! +#12342000 +0! +#12342500 +1! +#12343000 +0! +#12343500 +1! +#12344000 +0! +#12344500 +1! +#12345000 +0! +#12345500 +1! +#12346000 +0! +#12346500 +1! +#12347000 +0! +#12347500 +1! +#12348000 +0! +#12348500 +1! +#12349000 +0! +#12349500 +1! +#12350000 +0! +#12350500 +1! +#12351000 +0! +#12351500 +1! +#12352000 +0! +#12352500 +1! +#12353000 +0! +#12353500 +1! +#12354000 +0! +#12354500 +1! +#12355000 +0! +#12355500 +1! +#12356000 +0! +#12356500 +1! +#12357000 +0! +#12357500 +1! +#12358000 +0! +#12358500 +1! +#12359000 +0! +#12359500 +1! +#12360000 +0! +#12360500 +1! +#12361000 +0! +#12361500 +1! +#12362000 +0! +#12362500 +1! +#12363000 +0! +#12363500 +1! +#12364000 +0! +#12364500 +1! +#12365000 +0! +#12365500 +1! +#12366000 +0! +#12366500 +1! +#12367000 +0! +#12367500 +1! +#12368000 +0! +#12368500 +1! +#12369000 +0! +#12369500 +1! +#12370000 +0! +#12370500 +1! +#12371000 +0! +#12371500 +1! +#12372000 +0! +#12372500 +1! +#12373000 +0! +#12373500 +1! +#12374000 +0! +#12374500 +1! +#12375000 +0! +#12375500 +1! +#12376000 +0! +#12376500 +1! +#12377000 +0! +#12377500 +1! +#12378000 +0! +#12378500 +1! +#12379000 +0! +#12379500 +1! +#12380000 +0! +#12380500 +1! +#12381000 +0! +#12381500 +1! +#12382000 +0! +#12382500 +1! +#12383000 +0! +#12383500 +1! +#12384000 +0! +#12384500 +1! +#12385000 +0! +#12385500 +1! +#12386000 +0! +#12386500 +1! +#12387000 +0! +#12387500 +1! +#12388000 +0! +#12388500 +1! +#12389000 +0! +#12389500 +1! +#12390000 +0! +#12390500 +1! +#12391000 +0! +#12391500 +1! +#12392000 +0! +#12392500 +1! +#12393000 +0! +#12393500 +1! +#12394000 +0! +#12394500 +1! +#12395000 +0! +#12395500 +1! +#12396000 +0! +#12396500 +1! +#12397000 +0! +#12397500 +1! +#12398000 +0! +#12398500 +1! +#12399000 +0! +#12399500 +1! +#12400000 +0! +#12400500 +1! +#12401000 +0! +#12401500 +1! +#12402000 +0! +#12402500 +1! +#12403000 +0! +#12403500 +1! +#12404000 +0! +#12404500 +1! +#12405000 +0! +#12405500 +1! +#12406000 +0! +#12406500 +1! +#12407000 +0! +#12407500 +1! +#12408000 +0! +#12408500 +1! +#12409000 +0! +#12409500 +1! +#12410000 +0! +#12410500 +1! +#12411000 +0! +#12411500 +1! +#12412000 +0! +#12412500 +1! +#12413000 +0! +#12413500 +1! +#12414000 +0! +#12414500 +1! +#12415000 +0! +#12415500 +1! +#12416000 +0! +#12416500 +1! +#12417000 +0! +#12417500 +1! +#12418000 +0! +#12418500 +1! +#12419000 +0! +#12419500 +1! +#12420000 +0! +#12420500 +1! +#12421000 +0! +#12421500 +1! +#12422000 +0! +#12422500 +1! +#12423000 +0! +#12423500 +1! +#12424000 +0! +#12424500 +1! +#12425000 +0! +#12425500 +1! +#12426000 +0! +#12426500 +1! +#12427000 +0! +#12427500 +1! +#12428000 +0! +#12428500 +1! +#12429000 +0! +#12429500 +1! +#12430000 +0! +#12430500 +1! +#12431000 +0! +#12431500 +1! +#12432000 +0! +#12432500 +1! +#12433000 +0! +#12433500 +1! +#12434000 +0! +#12434500 +1! +#12435000 +0! +#12435500 +1! +#12436000 +0! +#12436500 +1! +#12437000 +0! +#12437500 +1! +#12438000 +0! +#12438500 +1! +#12439000 +0! +#12439500 +1! +#12440000 +0! +#12440500 +1! +#12441000 +0! +#12441500 +1! +#12442000 +0! +#12442500 +1! +#12443000 +0! +#12443500 +1! +#12444000 +0! +#12444500 +1! +#12445000 +0! +#12445500 +1! +#12446000 +0! +#12446500 +1! +#12447000 +0! +#12447500 +1! +#12448000 +0! +#12448500 +1! +#12449000 +0! +#12449500 +1! +#12450000 +0! +#12450500 +1! +#12451000 +0! +#12451500 +1! +#12452000 +0! +#12452500 +1! +#12453000 +0! +#12453500 +1! +#12454000 +0! +#12454500 +1! +#12455000 +0! +#12455500 +1! +#12456000 +0! +#12456500 +1! +#12457000 +0! +#12457500 +1! +#12458000 +0! +#12458500 +1! +#12459000 +0! +#12459500 +1! +#12460000 +0! +#12460500 +1! +#12461000 +0! +#12461500 +1! +#12462000 +0! +#12462500 +1! +#12463000 +0! +#12463500 +1! +#12464000 +0! +#12464500 +1! +#12465000 +0! +#12465500 +1! +#12466000 +0! +#12466500 +1! +#12467000 +0! +#12467500 +1! +#12468000 +0! +#12468500 +1! +#12469000 +0! +#12469500 +1! +#12470000 +0! +#12470500 +1! +#12471000 +0! +#12471500 +1! +#12472000 +0! +#12472500 +1! +#12473000 +0! +#12473500 +1! +#12474000 +0! +#12474500 +1! +#12475000 +0! +#12475500 +1! +#12476000 +0! +#12476500 +1! +#12477000 +0! +#12477500 +1! +#12478000 +0! +#12478500 +1! +#12479000 +0! +#12479500 +1! +#12480000 +0! +#12480500 +1! +#12481000 +0! +#12481500 +1! +#12482000 +0! +#12482500 +1! +#12483000 +0! +#12483500 +1! +#12484000 +0! +#12484500 +1! +#12485000 +0! +#12485500 +1! +#12486000 +0! +#12486500 +1! +#12487000 +0! +#12487500 +1! +#12488000 +0! +#12488500 +1! +#12489000 +0! +#12489500 +1! +#12490000 +0! +#12490500 +1! +#12491000 +0! +#12491500 +1! +#12492000 +0! +#12492500 +1! +#12493000 +0! +#12493500 +1! +#12494000 +0! +#12494500 +1! +#12495000 +0! +#12495500 +1! +#12496000 +0! +#12496500 +1! +#12497000 +0! +#12497500 +1! +#12498000 +0! +#12498500 +1! +#12499000 +0! +#12499500 +1! +#12500000 +0! +#12500500 +1! +#12501000 +0! +#12501500 +1! +#12502000 +0! +#12502500 +1! +#12503000 +0! +#12503500 +1! +#12504000 +0! +#12504500 +1! +#12505000 +0! +#12505500 +1! +#12506000 +0! +#12506500 +1! +#12507000 +0! +#12507500 +1! +#12508000 +0! +#12508500 +1! +#12509000 +0! +#12509500 +1! +#12510000 +0! +#12510500 +1! +#12511000 +0! +#12511500 +1! +#12512000 +0! +#12512500 +1! +#12513000 +0! +#12513500 +1! +#12514000 +0! +#12514500 +1! +#12515000 +0! +#12515500 +1! +#12516000 +0! +#12516500 +1! +#12517000 +0! +#12517500 +1! +#12518000 +0! +#12518500 +1! +#12519000 +0! +#12519500 +1! +#12520000 +0! +#12520500 +1! +#12521000 +0! +#12521500 +1! +#12522000 +0! +#12522500 +1! +#12523000 +0! +#12523500 +1! +#12524000 +0! +#12524500 +1! +#12525000 +0! +#12525500 +1! +#12526000 +0! +#12526500 +1! +#12527000 +0! +#12527500 +1! +#12528000 +0! +#12528500 +1! +#12529000 +0! +#12529500 +1! +#12530000 +0! +#12530500 +1! +#12531000 +0! +#12531500 +1! +#12532000 +0! +#12532500 +1! +#12533000 +0! +#12533500 +1! +#12534000 +0! +#12534500 +1! +#12535000 +0! +#12535500 +1! +#12536000 +0! +#12536500 +1! +#12537000 +0! +#12537500 +1! +#12538000 +0! +#12538500 +1! +#12539000 +0! +#12539500 +1! +#12540000 +0! +#12540500 +1! +#12541000 +0! +#12541500 +1! +#12542000 +0! +#12542500 +1! +#12543000 +0! +#12543500 +1! +#12544000 +0! +#12544500 +1! +#12545000 +0! +#12545500 +1! +#12546000 +0! +#12546500 +1! +#12547000 +0! +#12547500 +1! +#12548000 +0! +#12548500 +1! +#12549000 +0! +#12549500 +1! +#12550000 +0! +#12550500 +1! +#12551000 +0! +#12551500 +1! +#12552000 +0! +#12552500 +1! +#12553000 +0! +#12553500 +1! +#12554000 +0! +#12554500 +1! +#12555000 +0! +#12555500 +1! +#12556000 +0! +#12556500 +1! +#12557000 +0! +#12557500 +1! +#12558000 +0! +#12558500 +1! +#12559000 +0! +#12559500 +1! +#12560000 +0! +#12560500 +1! +#12561000 +0! +#12561500 +1! +#12562000 +0! +#12562500 +1! +#12563000 +0! +#12563500 +1! +#12564000 +0! +#12564500 +1! +#12565000 +0! +#12565500 +1! +#12566000 +0! +#12566500 +1! +#12567000 +0! +#12567500 +1! +#12568000 +0! +#12568500 +1! +#12569000 +0! +#12569500 +1! +#12570000 +0! +#12570500 +1! +#12571000 +0! +#12571500 +1! +#12572000 +0! +#12572500 +1! +#12573000 +0! +#12573500 +1! +#12574000 +0! +#12574500 +1! +#12575000 +0! +#12575500 +1! +#12576000 +0! +#12576500 +1! +#12577000 +0! +#12577500 +1! +#12578000 +0! +#12578500 +1! +#12579000 +0! +#12579500 +1! +#12580000 +0! +#12580500 +1! +#12581000 +0! +#12581500 +1! +#12582000 +0! +#12582500 +1! +#12583000 +0! +#12583500 +1! +#12584000 +0! +#12584500 +1! +#12585000 +0! +#12585500 +1! +#12586000 +0! +#12586500 +1! +#12587000 +0! +#12587500 +1! +#12588000 +0! +#12588500 +1! +#12589000 +0! +#12589500 +1! +#12590000 +0! +#12590500 +1! +#12591000 +0! +#12591500 +1! +#12592000 +0! +#12592500 +1! +#12593000 +0! +#12593500 +1! +#12594000 +0! +#12594500 +1! +#12595000 +0! +#12595500 +1! +#12596000 +0! +#12596500 +1! +#12597000 +0! +#12597500 +1! +#12598000 +0! +#12598500 +1! +#12599000 +0! +#12599500 +1! +#12600000 +0! +#12600500 +1! +#12601000 +0! +#12601500 +1! +#12602000 +0! +#12602500 +1! +#12603000 +0! +#12603500 +1! +#12604000 +0! +#12604500 +1! +#12605000 +0! +#12605500 +1! +#12606000 +0! +#12606500 +1! +#12607000 +0! +#12607500 +1! +#12608000 +0! +#12608500 +1! +#12609000 +0! +#12609500 +1! +#12610000 +0! +#12610500 +1! +#12611000 +0! +#12611500 +1! +#12612000 +0! +#12612500 +1! +#12613000 +0! +#12613500 +1! +#12614000 +0! +#12614500 +1! +#12615000 +0! +#12615500 +1! +#12616000 +0! +#12616500 +1! +#12617000 +0! +#12617500 +1! +#12618000 +0! +#12618500 +1! +#12619000 +0! +#12619500 +1! +#12620000 +0! +#12620500 +1! +#12621000 +0! +#12621500 +1! +#12622000 +0! +#12622500 +1! +#12623000 +0! +#12623500 +1! +#12624000 +0! +#12624500 +1! +#12625000 +0! +#12625500 +1! +#12626000 +0! +#12626500 +1! +#12627000 +0! +#12627500 +1! +#12628000 +0! +#12628500 +1! +#12629000 +0! +#12629500 +1! +#12630000 +0! +#12630500 +1! +#12631000 +0! +#12631500 +1! +#12632000 +0! +#12632500 +1! +#12633000 +0! +#12633500 +1! +#12634000 +0! +#12634500 +1! +#12635000 +0! +#12635500 +1! +#12636000 +0! +#12636500 +1! +#12637000 +0! +#12637500 +1! +#12638000 +0! +#12638500 +1! +#12639000 +0! +#12639500 +1! +#12640000 +0! +#12640500 +1! +#12641000 +0! +#12641500 +1! +#12642000 +0! +#12642500 +1! +#12643000 +0! +#12643500 +1! +#12644000 +0! +#12644500 +1! +#12645000 +0! +#12645500 +1! +#12646000 +0! +#12646500 +1! +#12647000 +0! +#12647500 +1! +#12648000 +0! +#12648500 +1! +#12649000 +0! +#12649500 +1! +#12650000 +0! +#12650500 +1! +#12651000 +0! +#12651500 +1! +#12652000 +0! +#12652500 +1! +#12653000 +0! +#12653500 +1! +#12654000 +0! +#12654500 +1! +#12655000 +0! +#12655500 +1! +#12656000 +0! +#12656500 +1! +#12657000 +0! +#12657500 +1! +#12658000 +0! +#12658500 +1! +#12659000 +0! +#12659500 +1! +#12660000 +0! +#12660500 +1! +#12661000 +0! +#12661500 +1! +#12662000 +0! +#12662500 +1! +#12663000 +0! +#12663500 +1! +#12664000 +0! +#12664500 +1! +#12665000 +0! +#12665500 +1! +#12666000 +0! +#12666500 +1! +#12667000 +0! +#12667500 +1! +#12668000 +0! +#12668500 +1! +#12669000 +0! +#12669500 +1! +#12670000 +0! +#12670500 +1! +#12671000 +0! +#12671500 +1! +#12672000 +0! +#12672500 +1! +#12673000 +0! +#12673500 +1! +#12674000 +0! +#12674500 +1! +#12675000 +0! +#12675500 +1! +#12676000 +0! +#12676500 +1! +#12677000 +0! +#12677500 +1! +#12678000 +0! +#12678500 +1! +#12679000 +0! +#12679500 +1! +#12680000 +0! +#12680500 +1! +#12681000 +0! +#12681500 +1! +#12682000 +0! +#12682500 +1! +#12683000 +0! +#12683500 +1! +#12684000 +0! +#12684500 +1! +#12685000 +0! +#12685500 +1! +#12686000 +0! +#12686500 +1! +#12687000 +0! +#12687500 +1! +#12688000 +0! +#12688500 +1! +#12689000 +0! +#12689500 +1! +#12690000 +0! +#12690500 +1! +#12691000 +0! +#12691500 +1! +#12692000 +0! +#12692500 +1! +#12693000 +0! +#12693500 +1! +#12694000 +0! +#12694500 +1! +#12695000 +0! +#12695500 +1! +#12696000 +0! +#12696500 +1! +#12697000 +0! +#12697500 +1! +#12698000 +0! +#12698500 +1! +#12699000 +0! +#12699500 +1! +#12700000 +0! +#12700500 +1! +#12701000 +0! +#12701500 +1! +#12702000 +0! +#12702500 +1! +#12703000 +0! +#12703500 +1! +#12704000 +0! +#12704500 +1! +#12705000 +0! +#12705500 +1! +#12706000 +0! +#12706500 +1! +#12707000 +0! +#12707500 +1! +#12708000 +0! +#12708500 +1! +#12709000 +0! +#12709500 +1! +#12710000 +0! +#12710500 +1! +#12711000 +0! +#12711500 +1! +#12712000 +0! +#12712500 +1! +#12713000 +0! +#12713500 +1! +#12714000 +0! +#12714500 +1! +#12715000 +0! +#12715500 +1! +#12716000 +0! +#12716500 +1! +#12717000 +0! +#12717500 +1! +#12718000 +0! +#12718500 +1! +#12719000 +0! +#12719500 +1! +#12720000 +0! +#12720500 +1! +#12721000 +0! +#12721500 +1! +#12722000 +0! +#12722500 +1! +#12723000 +0! +#12723500 +1! +#12724000 +0! +#12724500 +1! +#12725000 +0! +#12725500 +1! +#12726000 +0! +#12726500 +1! +#12727000 +0! +#12727500 +1! +#12728000 +0! +#12728500 +1! +#12729000 +0! +#12729500 +1! +#12730000 +0! +#12730500 +1! +#12731000 +0! +#12731500 +1! +#12732000 +0! +#12732500 +1! +#12733000 +0! +#12733500 +1! +#12734000 +0! +#12734500 +1! +#12735000 +0! +#12735500 +1! +#12736000 +0! +#12736500 +1! +#12737000 +0! +#12737500 +1! +#12738000 +0! +#12738500 +1! +#12739000 +0! +#12739500 +1! +#12740000 +0! +#12740500 +1! +#12741000 +0! +#12741500 +1! +#12742000 +0! +#12742500 +1! +#12743000 +0! +#12743500 +1! +#12744000 +0! +#12744500 +1! +#12745000 +0! +#12745500 +1! +#12746000 +0! +#12746500 +1! +#12747000 +0! +#12747500 +1! +#12748000 +0! +#12748500 +1! +#12749000 +0! +#12749500 +1! +#12750000 +0! +#12750500 +1! +#12751000 +0! +#12751500 +1! +#12752000 +0! +#12752500 +1! +#12753000 +0! +#12753500 +1! +#12754000 +0! +#12754500 +1! +#12755000 +0! +#12755500 +1! +#12756000 +0! +#12756500 +1! +#12757000 +0! +#12757500 +1! +#12758000 +0! +#12758500 +1! +#12759000 +0! +#12759500 +1! +#12760000 +0! +#12760500 +1! +#12761000 +0! +#12761500 +1! +#12762000 +0! +#12762500 +1! +#12763000 +0! +#12763500 +1! +#12764000 +0! +#12764500 +1! +#12765000 +0! +#12765500 +1! +#12766000 +0! +#12766500 +1! +#12767000 +0! +#12767500 +1! +#12768000 +0! +#12768500 +1! +#12769000 +0! +#12769500 +1! +#12770000 +0! +#12770500 +1! +#12771000 +0! +#12771500 +1! +#12772000 +0! +#12772500 +1! +#12773000 +0! +#12773500 +1! +#12774000 +0! +#12774500 +1! +#12775000 +0! +#12775500 +1! +#12776000 +0! +#12776500 +1! +#12777000 +0! +#12777500 +1! +#12778000 +0! +#12778500 +1! +#12779000 +0! +#12779500 +1! +#12780000 +0! +#12780500 +1! +#12781000 +0! +#12781500 +1! +#12782000 +0! +#12782500 +1! +#12783000 +0! +#12783500 +1! +#12784000 +0! +#12784500 +1! +#12785000 +0! +#12785500 +1! +#12786000 +0! +#12786500 +1! +#12787000 +0! +#12787500 +1! +#12788000 +0! +#12788500 +1! +#12789000 +0! +#12789500 +1! +#12790000 +0! +#12790500 +1! +#12791000 +0! +#12791500 +1! +#12792000 +0! +#12792500 +1! +#12793000 +0! +#12793500 +1! +#12794000 +0! +#12794500 +1! +#12795000 +0! +#12795500 +1! +#12796000 +0! +#12796500 +1! +#12797000 +0! +#12797500 +1! +#12798000 +0! +#12798500 +1! +#12799000 +0! +#12799500 +1! +#12800000 +0! +#12800500 +1! +#12801000 +0! +#12801500 +1! +#12802000 +0! +#12802500 +1! +#12803000 +0! +#12803500 +1! +#12804000 +0! +#12804500 +1! +#12805000 +0! +#12805500 +1! +#12806000 +0! +#12806500 +1! +#12807000 +0! +#12807500 +1! +#12808000 +0! +#12808500 +1! +#12809000 +0! +#12809500 +1! +#12810000 +0! +#12810500 +1! +#12811000 +0! +#12811500 +1! +#12812000 +0! +#12812500 +1! +#12813000 +0! +#12813500 +1! +#12814000 +0! +#12814500 +1! +#12815000 +0! +#12815500 +1! +#12816000 +0! +#12816500 +1! +#12817000 +0! +#12817500 +1! +#12818000 +0! +#12818500 +1! +#12819000 +0! +#12819500 +1! +#12820000 +0! +#12820500 +1! +#12821000 +0! +#12821500 +1! +#12822000 +0! +#12822500 +1! +#12823000 +0! +#12823500 +1! +#12824000 +0! +#12824500 +1! +#12825000 +0! +#12825500 +1! +#12826000 +0! +#12826500 +1! +#12827000 +0! +#12827500 +1! +#12828000 +0! +#12828500 +1! +#12829000 +0! +#12829500 +1! +#12830000 +0! +#12830500 +1! +#12831000 +0! +#12831500 +1! +#12832000 +0! +#12832500 +1! +#12833000 +0! +#12833500 +1! +#12834000 +0! +#12834500 +1! +#12835000 +0! +#12835500 +1! +#12836000 +0! +#12836500 +1! +#12837000 +0! +#12837500 +1! +#12838000 +0! +#12838500 +1! +#12839000 +0! +#12839500 +1! +#12840000 +0! +#12840500 +1! +#12841000 +0! +#12841500 +1! +#12842000 +0! +#12842500 +1! +#12843000 +0! +#12843500 +1! +#12844000 +0! +#12844500 +1! +#12845000 +0! +#12845500 +1! +#12846000 +0! +#12846500 +1! +#12847000 +0! +#12847500 +1! +#12848000 +0! +#12848500 +1! +#12849000 +0! +#12849500 +1! +#12850000 +0! +#12850500 +1! +#12851000 +0! +#12851500 +1! +#12852000 +0! +#12852500 +1! +#12853000 +0! +#12853500 +1! +#12854000 +0! +#12854500 +1! +#12855000 +0! +#12855500 +1! +#12856000 +0! +#12856500 +1! +#12857000 +0! +#12857500 +1! +#12858000 +0! +#12858500 +1! +#12859000 +0! +#12859500 +1! +#12860000 +0! +#12860500 +1! +#12861000 +0! +#12861500 +1! +#12862000 +0! +#12862500 +1! +#12863000 +0! +#12863500 +1! +#12864000 +0! +#12864500 +1! +#12865000 +0! +#12865500 +1! +#12866000 +0! +#12866500 +1! +#12867000 +0! +#12867500 +1! +#12868000 +0! +#12868500 +1! +#12869000 +0! +#12869500 +1! +#12870000 +0! +#12870500 +1! +#12871000 +0! +#12871500 +1! +#12872000 +0! +#12872500 +1! +#12873000 +0! +#12873500 +1! +#12874000 +0! +#12874500 +1! +#12875000 +0! +#12875500 +1! +#12876000 +0! +#12876500 +1! +#12877000 +0! +#12877500 +1! +#12878000 +0! +#12878500 +1! +#12879000 +0! +#12879500 +1! +#12880000 +0! +#12880500 +1! +#12881000 +0! +#12881500 +1! +#12882000 +0! +#12882500 +1! +#12883000 +0! +#12883500 +1! +#12884000 +0! +#12884500 +1! +#12885000 +0! +#12885500 +1! +#12886000 +0! +#12886500 +1! +#12887000 +0! +#12887500 +1! +#12888000 +0! +#12888500 +1! +#12889000 +0! +#12889500 +1! +#12890000 +0! +#12890500 +1! +#12891000 +0! +#12891500 +1! +#12892000 +0! +#12892500 +1! +#12893000 +0! +#12893500 +1! +#12894000 +0! +#12894500 +1! +#12895000 +0! +#12895500 +1! +#12896000 +0! +#12896500 +1! +#12897000 +0! +#12897500 +1! +#12898000 +0! +#12898500 +1! +#12899000 +0! +#12899500 +1! +#12900000 +0! +#12900500 +1! +#12901000 +0! +#12901500 +1! +#12902000 +0! +#12902500 +1! +#12903000 +0! +#12903500 +1! +#12904000 +0! +#12904500 +1! +#12905000 +0! +#12905500 +1! +#12906000 +0! +#12906500 +1! +#12907000 +0! +#12907500 +1! +#12908000 +0! +#12908500 +1! +#12909000 +0! +#12909500 +1! +#12910000 +0! +#12910500 +1! +#12911000 +0! +#12911500 +1! +#12912000 +0! +#12912500 +1! +#12913000 +0! +#12913500 +1! +#12914000 +0! +#12914500 +1! +#12915000 +0! +#12915500 +1! +#12916000 +0! +#12916500 +1! +#12917000 +0! +#12917500 +1! +#12918000 +0! +#12918500 +1! +#12919000 +0! +#12919500 +1! +#12920000 +0! +#12920500 +1! +#12921000 +0! +#12921500 +1! +#12922000 +0! +#12922500 +1! +#12923000 +0! +#12923500 +1! +#12924000 +0! +#12924500 +1! +#12925000 +0! +#12925500 +1! +#12926000 +0! +#12926500 +1! +#12927000 +0! +#12927500 +1! +#12928000 +0! +#12928500 +1! +#12929000 +0! +#12929500 +1! +#12930000 +0! +#12930500 +1! +#12931000 +0! +#12931500 +1! +#12932000 +0! +#12932500 +1! +#12933000 +0! +#12933500 +1! +#12934000 +0! +#12934500 +1! +#12935000 +0! +#12935500 +1! +#12936000 +0! +#12936500 +1! +#12937000 +0! +#12937500 +1! +#12938000 +0! +#12938500 +1! +#12939000 +0! +#12939500 +1! +#12940000 +0! +#12940500 +1! +#12941000 +0! +#12941500 +1! +#12942000 +0! +#12942500 +1! +#12943000 +0! +#12943500 +1! +#12944000 +0! +#12944500 +1! +#12945000 +0! +#12945500 +1! +#12946000 +0! +#12946500 +1! +#12947000 +0! +#12947500 +1! +#12948000 +0! +#12948500 +1! +#12949000 +0! +#12949500 +1! +#12950000 +0! +#12950500 +1! +#12951000 +0! +#12951500 +1! +#12952000 +0! +#12952500 +1! +#12953000 +0! +#12953500 +1! +#12954000 +0! +#12954500 +1! +#12955000 +0! +#12955500 +1! +#12956000 +0! +#12956500 +1! +#12957000 +0! +#12957500 +1! +#12958000 +0! +#12958500 +1! +#12959000 +0! +#12959500 +1! +#12960000 +0! +#12960500 +1! +#12961000 +0! +#12961500 +1! +#12962000 +0! +#12962500 +1! +#12963000 +0! +#12963500 +1! +#12964000 +0! +#12964500 +1! +#12965000 +0! +#12965500 +1! +#12966000 +0! +#12966500 +1! +#12967000 +0! +#12967500 +1! +#12968000 +0! +#12968500 +1! +#12969000 +0! +#12969500 +1! +#12970000 +0! +#12970500 +1! +#12971000 +0! +#12971500 +1! +#12972000 +0! +#12972500 +1! +#12973000 +0! +#12973500 +1! +#12974000 +0! +#12974500 +1! +#12975000 +0! +#12975500 +1! +#12976000 +0! +#12976500 +1! +#12977000 +0! +#12977500 +1! +#12978000 +0! +#12978500 +1! +#12979000 +0! +#12979500 +1! +#12980000 +0! +#12980500 +1! +#12981000 +0! +#12981500 +1! +#12982000 +0! +#12982500 +1! +#12983000 +0! +#12983500 +1! +#12984000 +0! +#12984500 +1! +#12985000 +0! +#12985500 +1! +#12986000 +0! +#12986500 +1! +#12987000 +0! +#12987500 +1! +#12988000 +0! +#12988500 +1! +#12989000 +0! +#12989500 +1! +#12990000 +0! +#12990500 +1! +#12991000 +0! +#12991500 +1! +#12992000 +0! +#12992500 +1! +#12993000 +0! +#12993500 +1! +#12994000 +0! +#12994500 +1! +#12995000 +0! +#12995500 +1! +#12996000 +0! +#12996500 +1! +#12997000 +0! +#12997500 +1! +#12998000 +0! +#12998500 +1! +#12999000 +0! +#12999500 +1! +#13000000 +0! +#13000500 +1! +#13001000 +0! +#13001500 +1! +#13002000 +0! +#13002500 +1! +#13003000 +0! +#13003500 +1! +#13004000 +0! +#13004500 +1! +#13005000 +0! +#13005500 +1! +#13006000 +0! +#13006500 +1! +#13007000 +0! +#13007500 +1! +#13008000 +0! +#13008500 +1! +#13009000 +0! +#13009500 +1! +#13010000 +0! +#13010500 +1! +#13011000 +0! +#13011500 +1! +#13012000 +0! +#13012500 +1! +#13013000 +0! +#13013500 +1! +#13014000 +0! +#13014500 +1! +#13015000 +0! +#13015500 +1! +#13016000 +0! +#13016500 +1! +#13017000 +0! +#13017500 +1! +#13018000 +0! +#13018500 +1! +#13019000 +0! +#13019500 +1! +#13020000 +0! +#13020500 +1! +#13021000 +0! +#13021500 +1! +#13022000 +0! +#13022500 +1! +#13023000 +0! +#13023500 +1! +#13024000 +0! +#13024500 +1! +#13025000 +0! +#13025500 +1! +#13026000 +0! +#13026500 +1! +#13027000 +0! +#13027500 +1! +#13028000 +0! +#13028500 +1! +#13029000 +0! +#13029500 +1! +#13030000 +0! +#13030500 +1! +#13031000 +0! +#13031500 +1! +#13032000 +0! +#13032500 +1! +#13033000 +0! +#13033500 +1! +#13034000 +0! +#13034500 +1! +#13035000 +0! +#13035500 +1! +#13036000 +0! +#13036500 +1! +#13037000 +0! +#13037500 +1! +#13038000 +0! +#13038500 +1! +#13039000 +0! +#13039500 +1! +#13040000 +0! +#13040500 +1! +#13041000 +0! +#13041500 +1! +#13042000 +0! +#13042500 +1! +#13043000 +0! +#13043500 +1! +#13044000 +0! +#13044500 +1! +#13045000 +0! +#13045500 +1! +#13046000 +0! +#13046500 +1! +#13047000 +0! +#13047500 +1! +#13048000 +0! +#13048500 +1! +#13049000 +0! +#13049500 +1! +#13050000 +0! +#13050500 +1! +#13051000 +0! +#13051500 +1! +#13052000 +0! +#13052500 +1! +#13053000 +0! +#13053500 +1! +#13054000 +0! +#13054500 +1! +#13055000 +0! +#13055500 +1! +#13056000 +0! +#13056500 +1! +#13057000 +0! +#13057500 +1! +#13058000 +0! +#13058500 +1! +#13059000 +0! +#13059500 +1! +#13060000 +0! +#13060500 +1! +#13061000 +0! +#13061500 +1! +#13062000 +0! +#13062500 +1! +#13063000 +0! +#13063500 +1! +#13064000 +0! +#13064500 +1! +#13065000 +0! +#13065500 +1! +#13066000 +0! +#13066500 +1! +#13067000 +0! +#13067500 +1! +#13068000 +0! +#13068500 +1! +#13069000 +0! +#13069500 +1! +#13070000 +0! +#13070500 +1! +#13071000 +0! +#13071500 +1! +#13072000 +0! +#13072500 +1! +#13073000 +0! +#13073500 +1! +#13074000 +0! +#13074500 +1! +#13075000 +0! +#13075500 +1! +#13076000 +0! +#13076500 +1! +#13077000 +0! +#13077500 +1! +#13078000 +0! +#13078500 +1! +#13079000 +0! +#13079500 +1! +#13080000 +0! +#13080500 +1! +#13081000 +0! +#13081500 +1! +#13082000 +0! +#13082500 +1! +#13083000 +0! +#13083500 +1! +#13084000 +0! +#13084500 +1! +#13085000 +0! +#13085500 +1! +#13086000 +0! +#13086500 +1! +#13087000 +0! +#13087500 +1! +#13088000 +0! +#13088500 +1! +#13089000 +0! +#13089500 +1! +#13090000 +0! +#13090500 +1! +#13091000 +0! +#13091500 +1! +#13092000 +0! +#13092500 +1! +#13093000 +0! +#13093500 +1! +#13094000 +0! +#13094500 +1! +#13095000 +0! +#13095500 +1! +#13096000 +0! +#13096500 +1! +#13097000 +0! +#13097500 +1! +#13098000 +0! +#13098500 +1! +#13099000 +0! +#13099500 +1! +#13100000 +0! +#13100500 +1! +#13101000 +0! +#13101500 +1! +#13102000 +0! +#13102500 +1! +#13103000 +0! +#13103500 +1! +#13104000 +0! +#13104500 +1! +#13105000 +0! +#13105500 +1! +#13106000 +0! +#13106500 +1! +#13107000 +0! +#13107500 +1! +#13108000 +0! +#13108500 +1! +#13109000 +0! +#13109500 +1! +#13110000 +0! +#13110500 +1! +#13111000 +0! +#13111500 +1! +#13112000 +0! +#13112500 +1! +#13113000 +0! +#13113500 +1! +#13114000 +0! +#13114500 +1! +#13115000 +0! +#13115500 +1! +#13116000 +0! +#13116500 +1! +#13117000 +0! +#13117500 +1! +#13118000 +0! +#13118500 +1! +#13119000 +0! +#13119500 +1! +#13120000 +0! +#13120500 +1! +#13121000 +0! +#13121500 +1! +#13122000 +0! +#13122500 +1! +#13123000 +0! +#13123500 +1! +#13124000 +0! +#13124500 +1! +#13125000 +0! +#13125500 +1! +#13126000 +0! +#13126500 +1! +#13127000 +0! +#13127500 +1! +#13128000 +0! +#13128500 +1! +#13129000 +0! +#13129500 +1! +#13130000 +0! +#13130500 +1! +#13131000 +0! +#13131500 +1! +#13132000 +0! +#13132500 +1! +#13133000 +0! +#13133500 +1! +#13134000 +0! +#13134500 +1! +#13135000 +0! +#13135500 +1! +#13136000 +0! +#13136500 +1! +#13137000 +0! +#13137500 +1! +#13138000 +0! +#13138500 +1! +#13139000 +0! +#13139500 +1! +#13140000 +0! +#13140500 +1! +#13141000 +0! +#13141500 +1! +#13142000 +0! +#13142500 +1! +#13143000 +0! +#13143500 +1! +#13144000 +0! +#13144500 +1! +#13145000 +0! +#13145500 +1! +#13146000 +0! +#13146500 +1! +#13147000 +0! +#13147500 +1! +#13148000 +0! +#13148500 +1! +#13149000 +0! +#13149500 +1! +#13150000 +0! +#13150500 +1! +#13151000 +0! +#13151500 +1! +#13152000 +0! +#13152500 +1! +#13153000 +0! +#13153500 +1! +#13154000 +0! +#13154500 +1! +#13155000 +0! +#13155500 +1! +#13156000 +0! +#13156500 +1! +#13157000 +0! +#13157500 +1! +#13158000 +0! +#13158500 +1! +#13159000 +0! +#13159500 +1! +#13160000 +0! +#13160500 +1! +#13161000 +0! +#13161500 +1! +#13162000 +0! +#13162500 +1! +#13163000 +0! +#13163500 +1! +#13164000 +0! +#13164500 +1! +#13165000 +0! +#13165500 +1! +#13166000 +0! +#13166500 +1! +#13167000 +0! +#13167500 +1! +#13168000 +0! +#13168500 +1! +#13169000 +0! +#13169500 +1! +#13170000 +0! +#13170500 +1! +#13171000 +0! +#13171500 +1! +#13172000 +0! +#13172500 +1! +#13173000 +0! +#13173500 +1! +#13174000 +0! +#13174500 +1! +#13175000 +0! +#13175500 +1! +#13176000 +0! +#13176500 +1! +#13177000 +0! +#13177500 +1! +#13178000 +0! +#13178500 +1! +#13179000 +0! +#13179500 +1! +#13180000 +0! +#13180500 +1! +#13181000 +0! +#13181500 +1! +#13182000 +0! +#13182500 +1! +#13183000 +0! +#13183500 +1! +#13184000 +0! +#13184500 +1! +#13185000 +0! +#13185500 +1! +#13186000 +0! +#13186500 +1! +#13187000 +0! +#13187500 +1! +#13188000 +0! +#13188500 +1! +#13189000 +0! +#13189500 +1! +#13190000 +0! +#13190500 +1! +#13191000 +0! +#13191500 +1! +#13192000 +0! +#13192500 +1! +#13193000 +0! +#13193500 +1! +#13194000 +0! +#13194500 +1! +#13195000 +0! +#13195500 +1! +#13196000 +0! +#13196500 +1! +#13197000 +0! +#13197500 +1! +#13198000 +0! +#13198500 +1! +#13199000 +0! +#13199500 +1! +#13200000 +0! +#13200500 +1! +#13201000 +0! +#13201500 +1! +#13202000 +0! +#13202500 +1! +#13203000 +0! +#13203500 +1! +#13204000 +0! +#13204500 +1! +#13205000 +0! +#13205500 +1! +#13206000 +0! +#13206500 +1! +#13207000 +0! +#13207500 +1! +#13208000 +0! +#13208500 +1! +#13209000 +0! +#13209500 +1! +#13210000 +0! +#13210500 +1! +#13211000 +0! +#13211500 +1! +#13212000 +0! +#13212500 +1! +#13213000 +0! +#13213500 +1! +#13214000 +0! +#13214500 +1! +#13215000 +0! +#13215500 +1! +#13216000 +0! +#13216500 +1! +#13217000 +0! +#13217500 +1! +#13218000 +0! +#13218500 +1! +#13219000 +0! +#13219500 +1! +#13220000 +0! +#13220500 +1! +#13221000 +0! +#13221500 +1! +#13222000 +0! +#13222500 +1! +#13223000 +0! +#13223500 +1! +#13224000 +0! +#13224500 +1! +#13225000 +0! +#13225500 +1! +#13226000 +0! +#13226500 +1! +#13227000 +0! +#13227500 +1! +#13228000 +0! +#13228500 +1! +#13229000 +0! +#13229500 +1! +#13230000 +0! +#13230500 +1! +#13231000 +0! +#13231500 +1! +#13232000 +0! +#13232500 +1! +#13233000 +0! +#13233500 +1! +#13234000 +0! +#13234500 +1! +#13235000 +0! +#13235500 +1! +#13236000 +0! +#13236500 +1! +#13237000 +0! +#13237500 +1! +#13238000 +0! +#13238500 +1! +#13239000 +0! +#13239500 +1! +#13240000 +0! +#13240500 +1! +#13241000 +0! +#13241500 +1! +#13242000 +0! +#13242500 +1! +#13243000 +0! +#13243500 +1! +#13244000 +0! +#13244500 +1! +#13245000 +0! +#13245500 +1! +#13246000 +0! +#13246500 +1! +#13247000 +0! +#13247500 +1! +#13248000 +0! +#13248500 +1! +#13249000 +0! +#13249500 +1! +#13250000 +0! +#13250500 +1! +#13251000 +0! +#13251500 +1! +#13252000 +0! +#13252500 +1! +#13253000 +0! +#13253500 +1! +#13254000 +0! +#13254500 +1! +#13255000 +0! +#13255500 +1! +#13256000 +0! +#13256500 +1! +#13257000 +0! +#13257500 +1! +#13258000 +0! +#13258500 +1! +#13259000 +0! +#13259500 +1! +#13260000 +0! +#13260500 +1! +#13261000 +0! +#13261500 +1! +#13262000 +0! +#13262500 +1! +#13263000 +0! +#13263500 +1! +#13264000 +0! +#13264500 +1! +#13265000 +0! +#13265500 +1! +#13266000 +0! +#13266500 +1! +#13267000 +0! +#13267500 +1! +#13268000 +0! +#13268500 +1! +#13269000 +0! +#13269500 +1! +#13270000 +0! +#13270500 +1! +#13271000 +0! +#13271500 +1! +#13272000 +0! +#13272500 +1! +#13273000 +0! +#13273500 +1! +#13274000 +0! +#13274500 +1! +#13275000 +0! +#13275500 +1! +#13276000 +0! +#13276500 +1! +#13277000 +0! +#13277500 +1! +#13278000 +0! +#13278500 +1! +#13279000 +0! +#13279500 +1! +#13280000 +0! +#13280500 +1! +#13281000 +0! +#13281500 +1! +#13282000 +0! +#13282500 +1! +#13283000 +0! +#13283500 +1! +#13284000 +0! +#13284500 +1! +#13285000 +0! +#13285500 +1! +#13286000 +0! +#13286500 +1! +#13287000 +0! +#13287500 +1! +#13288000 +0! +#13288500 +1! +#13289000 +0! +#13289500 +1! +#13290000 +0! +#13290500 +1! +#13291000 +0! +#13291500 +1! +#13292000 +0! +#13292500 +1! +#13293000 +0! +#13293500 +1! +#13294000 +0! +#13294500 +1! +#13295000 +0! +#13295500 +1! +#13296000 +0! +#13296500 +1! +#13297000 +0! +#13297500 +1! +#13298000 +0! +#13298500 +1! +#13299000 +0! +#13299500 +1! +#13300000 +0! +#13300500 +1! +#13301000 +0! +#13301500 +1! +#13302000 +0! +#13302500 +1! +#13303000 +0! +#13303500 +1! +#13304000 +0! +#13304500 +1! +#13305000 +0! +#13305500 +1! +#13306000 +0! +#13306500 +1! +#13307000 +0! +#13307500 +1! +#13308000 +0! +#13308500 +1! +#13309000 +0! +#13309500 +1! +#13310000 +0! +#13310500 +1! +#13311000 +0! +#13311500 +1! +#13312000 +0! +#13312500 +1! +#13313000 +0! +#13313500 +1! +#13314000 +0! +#13314500 +1! +#13315000 +0! +#13315500 +1! +#13316000 +0! +#13316500 +1! +#13317000 +0! +#13317500 +1! +#13318000 +0! +#13318500 +1! +#13319000 +0! +#13319500 +1! +#13320000 +0! +#13320500 +1! +#13321000 +0! +#13321500 +1! +#13322000 +0! +#13322500 +1! +#13323000 +0! +#13323500 +1! +#13324000 +0! +#13324500 +1! +#13325000 +0! +#13325500 +1! +#13326000 +0! +#13326500 +1! +#13327000 +0! +#13327500 +1! +#13328000 +0! +#13328500 +1! +#13329000 +0! +#13329500 +1! +#13330000 +0! +#13330500 +1! +#13331000 +0! +#13331500 +1! +#13332000 +0! +#13332500 +1! +#13333000 +0! +#13333500 +1! +#13334000 +0! +#13334500 +1! +#13335000 +0! +#13335500 +1! +#13336000 +0! +#13336500 +1! +#13337000 +0! +#13337500 +1! +#13338000 +0! +#13338500 +1! +#13339000 +0! +#13339500 +1! +#13340000 +0! +#13340500 +1! +#13341000 +0! +#13341500 +1! +#13342000 +0! +#13342500 +1! +#13343000 +0! +#13343500 +1! +#13344000 +0! +#13344500 +1! +#13345000 +0! +#13345500 +1! +#13346000 +0! +#13346500 +1! +#13347000 +0! +#13347500 +1! +#13348000 +0! +#13348500 +1! +#13349000 +0! +#13349500 +1! +#13350000 +0! +#13350500 +1! +#13351000 +0! +#13351500 +1! +#13352000 +0! +#13352500 +1! +#13353000 +0! +#13353500 +1! +#13354000 +0! +#13354500 +1! +#13355000 +0! +#13355500 +1! +#13356000 +0! +#13356500 +1! +#13357000 +0! +#13357500 +1! +#13358000 +0! +#13358500 +1! +#13359000 +0! +#13359500 +1! +#13360000 +0! +#13360500 +1! +#13361000 +0! +#13361500 +1! +#13362000 +0! +#13362500 +1! +#13363000 +0! +#13363500 +1! +#13364000 +0! +#13364500 +1! +#13365000 +0! +#13365500 +1! +#13366000 +0! +#13366500 +1! +#13367000 +0! +#13367500 +1! +#13368000 +0! +#13368500 +1! +#13369000 +0! +#13369500 +1! +#13370000 +0! +#13370500 +1! +#13371000 +0! +#13371500 +1! +#13372000 +0! +#13372500 +1! +#13373000 +0! +#13373500 +1! +#13374000 +0! +#13374500 +1! +#13375000 +0! +#13375500 +1! +#13376000 +0! +#13376500 +1! +#13377000 +0! +#13377500 +1! +#13378000 +0! +#13378500 +1! +#13379000 +0! +#13379500 +1! +#13380000 +0! +#13380500 +1! +#13381000 +0! +#13381500 +1! +#13382000 +0! +#13382500 +1! +#13383000 +0! +#13383500 +1! +#13384000 +0! +#13384500 +1! +#13385000 +0! +#13385500 +1! +#13386000 +0! +#13386500 +1! +#13387000 +0! +#13387500 +1! +#13388000 +0! +#13388500 +1! +#13389000 +0! +#13389500 +1! +#13390000 +0! +#13390500 +1! +#13391000 +0! +#13391500 +1! +#13392000 +0! +#13392500 +1! +#13393000 +0! +#13393500 +1! +#13394000 +0! +#13394500 +1! +#13395000 +0! +#13395500 +1! +#13396000 +0! +#13396500 +1! +#13397000 +0! +#13397500 +1! +#13398000 +0! +#13398500 +1! +#13399000 +0! +#13399500 +1! +#13400000 +0! +#13400500 +1! +#13401000 +0! +#13401500 +1! +#13402000 +0! +#13402500 +1! +#13403000 +0! +#13403500 +1! +#13404000 +0! +#13404500 +1! +#13405000 +0! +#13405500 +1! +#13406000 +0! +#13406500 +1! +#13407000 +0! +#13407500 +1! +#13408000 +0! +#13408500 +1! +#13409000 +0! +#13409500 +1! +#13410000 +0! +#13410500 +1! +#13411000 +0! +#13411500 +1! +#13412000 +0! +#13412500 +1! +#13413000 +0! +#13413500 +1! +#13414000 +0! +#13414500 +1! +#13415000 +0! +#13415500 +1! +#13416000 +0! +#13416500 +1! +#13417000 +0! +#13417500 +1! +#13418000 +0! +#13418500 +1! +#13419000 +0! +#13419500 +1! +#13420000 +0! +#13420500 +1! +#13421000 +0! +#13421500 +1! +#13422000 +0! +#13422500 +1! +#13423000 +0! +#13423500 +1! +#13424000 +0! +#13424500 +1! +#13425000 +0! +#13425500 +1! +#13426000 +0! +#13426500 +1! +#13427000 +0! +#13427500 +1! +#13428000 +0! +#13428500 +1! +#13429000 +0! +#13429500 +1! +#13430000 +0! +#13430500 +1! +#13431000 +0! +#13431500 +1! +#13432000 +0! +#13432500 +1! +#13433000 +0! +#13433500 +1! +#13434000 +0! +#13434500 +1! +#13435000 +0! +#13435500 +1! +#13436000 +0! +#13436500 +1! +#13437000 +0! +#13437500 +1! +#13438000 +0! +#13438500 +1! +#13439000 +0! +#13439500 +1! +#13440000 +0! +#13440500 +1! +#13441000 +0! +#13441500 +1! +#13442000 +0! +#13442500 +1! +#13443000 +0! +#13443500 +1! +#13444000 +0! +#13444500 +1! +#13445000 +0! +#13445500 +1! +#13446000 +0! +#13446500 +1! +#13447000 +0! +#13447500 +1! +#13448000 +0! +#13448500 +1! +#13449000 +0! +#13449500 +1! +#13450000 +0! +#13450500 +1! +#13451000 +0! +#13451500 +1! +#13452000 +0! +#13452500 +1! +#13453000 +0! +#13453500 +1! +#13454000 +0! +#13454500 +1! +#13455000 +0! +#13455500 +1! +#13456000 +0! +#13456500 +1! +#13457000 +0! +#13457500 +1! +#13458000 +0! +#13458500 +1! +#13459000 +0! +#13459500 +1! +#13460000 +0! +#13460500 +1! +#13461000 +0! +#13461500 +1! +#13462000 +0! +#13462500 +1! +#13463000 +0! +#13463500 +1! +#13464000 +0! +#13464500 +1! +#13465000 +0! +#13465500 +1! +#13466000 +0! +#13466500 +1! +#13467000 +0! +#13467500 +1! +#13468000 +0! +#13468500 +1! +#13469000 +0! +#13469500 +1! +#13470000 +0! +#13470500 +1! +#13471000 +0! +#13471500 +1! +#13472000 +0! +#13472500 +1! +#13473000 +0! +#13473500 +1! +#13474000 +0! +#13474500 +1! +#13475000 +0! +#13475500 +1! +#13476000 +0! +#13476500 +1! +#13477000 +0! +#13477500 +1! +#13478000 +0! +#13478500 +1! +#13479000 +0! +#13479500 +1! +#13480000 +0! +#13480500 +1! +#13481000 +0! +#13481500 +1! +#13482000 +0! +#13482500 +1! +#13483000 +0! +#13483500 +1! +#13484000 +0! +#13484500 +1! +#13485000 +0! +#13485500 +1! +#13486000 +0! +#13486500 +1! +#13487000 +0! +#13487500 +1! +#13488000 +0! +#13488500 +1! +#13489000 +0! +#13489500 +1! +#13490000 +0! +#13490500 +1! +#13491000 +0! +#13491500 +1! +#13492000 +0! +#13492500 +1! +#13493000 +0! +#13493500 +1! +#13494000 +0! +#13494500 +1! +#13495000 +0! +#13495500 +1! +#13496000 +0! +#13496500 +1! +#13497000 +0! +#13497500 +1! +#13498000 +0! +#13498500 +1! +#13499000 +0! +#13499500 +1! +#13500000 +0! +#13500500 +1! +#13501000 +0! +#13501500 +1! +#13502000 +0! +#13502500 +1! +#13503000 +0! +#13503500 +1! +#13504000 +0! +#13504500 +1! +#13505000 +0! +#13505500 +1! +#13506000 +0! +#13506500 +1! +#13507000 +0! +#13507500 +1! +#13508000 +0! +#13508500 +1! +#13509000 +0! +#13509500 +1! +#13510000 +0! +#13510500 +1! +#13511000 +0! +#13511500 +1! +#13512000 +0! +#13512500 +1! +#13513000 +0! +#13513500 +1! +#13514000 +0! +#13514500 +1! +#13515000 +0! +#13515500 +1! +#13516000 +0! +#13516500 +1! +#13517000 +0! +#13517500 +1! +#13518000 +0! +#13518500 +1! +#13519000 +0! +#13519500 +1! +#13520000 +0! +#13520500 +1! +#13521000 +0! +#13521500 +1! +#13522000 +0! +#13522500 +1! +#13523000 +0! +#13523500 +1! +#13524000 +0! +#13524500 +1! +#13525000 +0! +#13525500 +1! +#13526000 +0! +#13526500 +1! +#13527000 +0! +#13527500 +1! +#13528000 +0! +#13528500 +1! +#13529000 +0! +#13529500 +1! +#13530000 +0! +#13530500 +1! +#13531000 +0! +#13531500 +1! +#13532000 +0! +#13532500 +1! +#13533000 +0! +#13533500 +1! +#13534000 +0! +#13534500 +1! +#13535000 +0! +#13535500 +1! +#13536000 +0! +#13536500 +1! +#13537000 +0! +#13537500 +1! +#13538000 +0! +#13538500 +1! +#13539000 +0! +#13539500 +1! +#13540000 +0! +#13540500 +1! +#13541000 +0! +#13541500 +1! +#13542000 +0! +#13542500 +1! +#13543000 +0! +#13543500 +1! +#13544000 +0! +#13544500 +1! +#13545000 +0! +#13545500 +1! +#13546000 +0! +#13546500 +1! +#13547000 +0! +#13547500 +1! +#13548000 +0! +#13548500 +1! +#13549000 +0! +#13549500 +1! +#13550000 +0! +#13550500 +1! +#13551000 +0! +#13551500 +1! +#13552000 +0! +#13552500 +1! +#13553000 +0! +#13553500 +1! +#13554000 +0! +#13554500 +1! +#13555000 +0! +#13555500 +1! +#13556000 +0! +#13556500 +1! +#13557000 +0! +#13557500 +1! +#13558000 +0! +#13558500 +1! +#13559000 +0! +#13559500 +1! +#13560000 +0! +#13560500 +1! +#13561000 +0! +#13561500 +1! +#13562000 +0! +#13562500 +1! +#13563000 +0! +#13563500 +1! +#13564000 +0! +#13564500 +1! +#13565000 +0! +#13565500 +1! +#13566000 +0! +#13566500 +1! +#13567000 +0! +#13567500 +1! +#13568000 +0! +#13568500 +1! +#13569000 +0! +#13569500 +1! +#13570000 +0! +#13570500 +1! +#13571000 +0! +#13571500 +1! +#13572000 +0! +#13572500 +1! +#13573000 +0! +#13573500 +1! +#13574000 +0! +#13574500 +1! +#13575000 +0! +#13575500 +1! +#13576000 +0! +#13576500 +1! +#13577000 +0! +#13577500 +1! +#13578000 +0! +#13578500 +1! +#13579000 +0! +#13579500 +1! +#13580000 +0! +#13580500 +1! +#13581000 +0! +#13581500 +1! +#13582000 +0! +#13582500 +1! +#13583000 +0! +#13583500 +1! +#13584000 +0! +#13584500 +1! +#13585000 +0! +#13585500 +1! +#13586000 +0! +#13586500 +1! +#13587000 +0! +#13587500 +1! +#13588000 +0! +#13588500 +1! +#13589000 +0! +#13589500 +1! +#13590000 +0! +#13590500 +1! +#13591000 +0! +#13591500 +1! +#13592000 +0! +#13592500 +1! +#13593000 +0! +#13593500 +1! +#13594000 +0! +#13594500 +1! +#13595000 +0! +#13595500 +1! +#13596000 +0! +#13596500 +1! +#13597000 +0! +#13597500 +1! +#13598000 +0! +#13598500 +1! +#13599000 +0! +#13599500 +1! +#13600000 +0! +#13600500 +1! +#13601000 +0! +#13601500 +1! +#13602000 +0! +#13602500 +1! +#13603000 +0! +#13603500 +1! +#13604000 +0! +#13604500 +1! +#13605000 +0! +#13605500 +1! +#13606000 +0! +#13606500 +1! +#13607000 +0! +#13607500 +1! +#13608000 +0! +#13608500 +1! +#13609000 +0! +#13609500 +1! +#13610000 +0! +#13610500 +1! +#13611000 +0! +#13611500 +1! +#13612000 +0! +#13612500 +1! +#13613000 +0! +#13613500 +1! +#13614000 +0! +#13614500 +1! +#13615000 +0! +#13615500 +1! +#13616000 +0! +#13616500 +1! +#13617000 +0! +#13617500 +1! +#13618000 +0! +#13618500 +1! +#13619000 +0! +#13619500 +1! +#13620000 +0! +#13620500 +1! +#13621000 +0! +#13621500 +1! +#13622000 +0! +#13622500 +1! +#13623000 +0! +#13623500 +1! +#13624000 +0! +#13624500 +1! +#13625000 +0! +#13625500 +1! +#13626000 +0! +#13626500 +1! +#13627000 +0! +#13627500 +1! +#13628000 +0! +#13628500 +1! +#13629000 +0! +#13629500 +1! +#13630000 +0! +#13630500 +1! +#13631000 +0! +#13631500 +1! +#13632000 +0! +#13632500 +1! +#13633000 +0! +#13633500 +1! +#13634000 +0! +#13634500 +1! +#13635000 +0! +#13635500 +1! +#13636000 +0! +#13636500 +1! +#13637000 +0! +#13637500 +1! +#13638000 +0! +#13638500 +1! +#13639000 +0! +#13639500 +1! +#13640000 +0! +#13640500 +1! +#13641000 +0! +#13641500 +1! +#13642000 +0! +#13642500 +1! +#13643000 +0! +#13643500 +1! +#13644000 +0! +#13644500 +1! +#13645000 +0! +#13645500 +1! +#13646000 +0! +#13646500 +1! +#13647000 +0! +#13647500 +1! +#13648000 +0! +#13648500 +1! +#13649000 +0! +#13649500 +1! +#13650000 +0! +#13650500 +1! +#13651000 +0! +#13651500 +1! +#13652000 +0! +#13652500 +1! +#13653000 +0! +#13653500 +1! +#13654000 +0! +#13654500 +1! +#13655000 +0! +#13655500 +1! +#13656000 +0! +#13656500 +1! +#13657000 +0! +#13657500 +1! +#13658000 +0! +#13658500 +1! +#13659000 +0! +#13659500 +1! +#13660000 +0! +#13660500 +1! +#13661000 +0! +#13661500 +1! +#13662000 +0! +#13662500 +1! +#13663000 +0! +#13663500 +1! +#13664000 +0! +#13664500 +1! +#13665000 +0! +#13665500 +1! +#13666000 +0! +#13666500 +1! +#13667000 +0! +#13667500 +1! +#13668000 +0! +#13668500 +1! +#13669000 +0! +#13669500 +1! +#13670000 +0! +#13670500 +1! +#13671000 +0! +#13671500 +1! +#13672000 +0! +#13672500 +1! +#13673000 +0! +#13673500 +1! +#13674000 +0! +#13674500 +1! +#13675000 +0! +#13675500 +1! +#13676000 +0! +#13676500 +1! +#13677000 +0! +#13677500 +1! +#13678000 +0! +#13678500 +1! +#13679000 +0! +#13679500 +1! +#13680000 +0! +#13680500 +1! +#13681000 +0! +#13681500 +1! +#13682000 +0! +#13682500 +1! +#13683000 +0! +#13683500 +1! +#13684000 +0! +#13684500 +1! +#13685000 +0! +#13685500 +1! +#13686000 +0! +#13686500 +1! +#13687000 +0! +#13687500 +1! +#13688000 +0! +#13688500 +1! +#13689000 +0! +#13689500 +1! +#13690000 +0! +#13690500 +1! +#13691000 +0! +#13691500 +1! +#13692000 +0! +#13692500 +1! +#13693000 +0! +#13693500 +1! +#13694000 +0! +#13694500 +1! +#13695000 +0! +#13695500 +1! +#13696000 +0! +#13696500 +1! +#13697000 +0! +#13697500 +1! +#13698000 +0! +#13698500 +1! +#13699000 +0! +#13699500 +1! +#13700000 +0! +#13700500 +1! +#13701000 +0! +#13701500 +1! +#13702000 +0! +#13702500 +1! +#13703000 +0! +#13703500 +1! +#13704000 +0! +#13704500 +1! +#13705000 +0! +#13705500 +1! +#13706000 +0! +#13706500 +1! +#13707000 +0! +#13707500 +1! +#13708000 +0! +#13708500 +1! +#13709000 +0! +#13709500 +1! +#13710000 +0! +#13710500 +1! +#13711000 +0! +#13711500 +1! +#13712000 +0! +#13712500 +1! +#13713000 +0! +#13713500 +1! +#13714000 +0! +#13714500 +1! +#13715000 +0! +#13715500 +1! +#13716000 +0! +#13716500 +1! +#13717000 +0! +#13717500 +1! +#13718000 +0! +#13718500 +1! +#13719000 +0! +#13719500 +1! +#13720000 +0! +#13720500 +1! +#13721000 +0! +#13721500 +1! +#13722000 +0! +#13722500 +1! +#13723000 +0! +#13723500 +1! +#13724000 +0! +#13724500 +1! +#13725000 +0! +#13725500 +1! +#13726000 +0! +#13726500 +1! +#13727000 +0! +#13727500 +1! +#13728000 +0! +#13728500 +1! +#13729000 +0! +#13729500 +1! +#13730000 +0! +#13730500 +1! +#13731000 +0! +#13731500 +1! +#13732000 +0! +#13732500 +1! +#13733000 +0! +#13733500 +1! +#13734000 +0! +#13734500 +1! +#13735000 +0! +#13735500 +1! +#13736000 +0! +#13736500 +1! +#13737000 +0! +#13737500 +1! +#13738000 +0! +#13738500 +1! +#13739000 +0! +#13739500 +1! +#13740000 +0! +#13740500 +1! +#13741000 +0! +#13741500 +1! +#13742000 +0! +#13742500 +1! +#13743000 +0! +#13743500 +1! +#13744000 +0! +#13744500 +1! +#13745000 +0! +#13745500 +1! +#13746000 +0! +#13746500 +1! +#13747000 +0! +#13747500 +1! +#13748000 +0! +#13748500 +1! +#13749000 +0! +#13749500 +1! +#13750000 +0! +#13750500 +1! +#13751000 +0! +#13751500 +1! +#13752000 +0! +#13752500 +1! +#13753000 +0! +#13753500 +1! +#13754000 +0! +#13754500 +1! +#13755000 +0! +#13755500 +1! +#13756000 +0! +#13756500 +1! +#13757000 +0! +#13757500 +1! +#13758000 +0! +#13758500 +1! +#13759000 +0! +#13759500 +1! +#13760000 +0! +#13760500 +1! +#13761000 +0! +#13761500 +1! +#13762000 +0! +#13762500 +1! +#13763000 +0! +#13763500 +1! +#13764000 +0! +#13764500 +1! +#13765000 +0! +#13765500 +1! +#13766000 +0! +#13766500 +1! +#13767000 +0! +#13767500 +1! +#13768000 +0! +#13768500 +1! +#13769000 +0! +#13769500 +1! +#13770000 +0! +#13770500 +1! +#13771000 +0! +#13771500 +1! +#13772000 +0! +#13772500 +1! +#13773000 +0! +#13773500 +1! +#13774000 +0! +#13774500 +1! +#13775000 +0! +#13775500 +1! +#13776000 +0! +#13776500 +1! +#13777000 +0! +#13777500 +1! +#13778000 +0! +#13778500 +1! +#13779000 +0! +#13779500 +1! +#13780000 +0! +#13780500 +1! +#13781000 +0! +#13781500 +1! +#13782000 +0! +#13782500 +1! +#13783000 +0! +#13783500 +1! +#13784000 +0! +#13784500 +1! +#13785000 +0! +#13785500 +1! +#13786000 +0! +#13786500 +1! +#13787000 +0! +#13787500 +1! +#13788000 +0! +#13788500 +1! +#13789000 +0! +#13789500 +1! +#13790000 +0! +#13790500 +1! +#13791000 +0! +#13791500 +1! +#13792000 +0! +#13792500 +1! +#13793000 +0! +#13793500 +1! +#13794000 +0! +#13794500 +1! +#13795000 +0! +#13795500 +1! +#13796000 +0! +#13796500 +1! +#13797000 +0! +#13797500 +1! +#13798000 +0! +#13798500 +1! +#13799000 +0! +#13799500 +1! +#13800000 +0! +#13800500 +1! +#13801000 +0! +#13801500 +1! +#13802000 +0! +#13802500 +1! +#13803000 +0! +#13803500 +1! +#13804000 +0! +#13804500 +1! +#13805000 +0! +#13805500 +1! +#13806000 +0! +#13806500 +1! +#13807000 +0! +#13807500 +1! +#13808000 +0! +#13808500 +1! +#13809000 +0! +#13809500 +1! +#13810000 +0! +#13810500 +1! +#13811000 +0! +#13811500 +1! +#13812000 +0! +#13812500 +1! +#13813000 +0! +#13813500 +1! +#13814000 +0! +#13814500 +1! +#13815000 +0! +#13815500 +1! +#13816000 +0! +#13816500 +1! +#13817000 +0! +#13817500 +1! +#13818000 +0! +#13818500 +1! +#13819000 +0! +#13819500 +1! +#13820000 +0! +#13820500 +1! +#13821000 +0! +#13821500 +1! +#13822000 +0! +#13822500 +1! +#13823000 +0! +#13823500 +1! +#13824000 +0! +#13824500 +1! +#13825000 +0! +#13825500 +1! +#13826000 +0! +#13826500 +1! +#13827000 +0! +#13827500 +1! +#13828000 +0! +#13828500 +1! +#13829000 +0! +#13829500 +1! +#13830000 +0! +#13830500 +1! +#13831000 +0! +#13831500 +1! +#13832000 +0! +#13832500 +1! +#13833000 +0! +#13833500 +1! +#13834000 +0! +#13834500 +1! +#13835000 +0! +#13835500 +1! +#13836000 +0! +#13836500 +1! +#13837000 +0! +#13837500 +1! +#13838000 +0! +#13838500 +1! +#13839000 +0! +#13839500 +1! +#13840000 +0! +#13840500 +1! +#13841000 +0! +#13841500 +1! +#13842000 +0! +#13842500 +1! +#13843000 +0! +#13843500 +1! +#13844000 +0! +#13844500 +1! +#13845000 +0! +#13845500 +1! +#13846000 +0! +#13846500 +1! +#13847000 +0! +#13847500 +1! +#13848000 +0! +#13848500 +1! +#13849000 +0! +#13849500 +1! +#13850000 +0! +#13850500 +1! +#13851000 +0! +#13851500 +1! +#13852000 +0! +#13852500 +1! +#13853000 +0! +#13853500 +1! +#13854000 +0! +#13854500 +1! +#13855000 +0! +#13855500 +1! +#13856000 +0! +#13856500 +1! +#13857000 +0! +#13857500 +1! +#13858000 +0! +#13858500 +1! +#13859000 +0! +#13859500 +1! +#13860000 +0! +#13860500 +1! +#13861000 +0! +#13861500 +1! +#13862000 +0! +#13862500 +1! +#13863000 +0! +#13863500 +1! +#13864000 +0! +#13864500 +1! +#13865000 +0! +#13865500 +1! +#13866000 +0! +#13866500 +1! +#13867000 +0! +#13867500 +1! +#13868000 +0! +#13868500 +1! +#13869000 +0! +#13869500 +1! +#13870000 +0! +#13870500 +1! +#13871000 +0! +#13871500 +1! +#13872000 +0! +#13872500 +1! +#13873000 +0! +#13873500 +1! +#13874000 +0! +#13874500 +1! +#13875000 +0! +#13875500 +1! +#13876000 +0! +#13876500 +1! +#13877000 +0! +#13877500 +1! +#13878000 +0! +#13878500 +1! +#13879000 +0! +#13879500 +1! +#13880000 +0! +#13880500 +1! +#13881000 +0! +#13881500 +1! +#13882000 +0! +#13882500 +1! +#13883000 +0! +#13883500 +1! +#13884000 +0! +#13884500 +1! +#13885000 +0! +#13885500 +1! +#13886000 +0! +#13886500 +1! +#13887000 +0! +#13887500 +1! +#13888000 +0! +#13888500 +1! +#13889000 +0! +#13889500 +1! +#13890000 +0! +#13890500 +1! +#13891000 +0! +#13891500 +1! +#13892000 +0! +#13892500 +1! +#13893000 +0! +#13893500 +1! +#13894000 +0! +#13894500 +1! +#13895000 +0! +#13895500 +1! +#13896000 +0! +#13896500 +1! +#13897000 +0! +#13897500 +1! +#13898000 +0! +#13898500 +1! +#13899000 +0! +#13899500 +1! +#13900000 +0! +#13900500 +1! +#13901000 +0! +#13901500 +1! +#13902000 +0! +#13902500 +1! +#13903000 +0! +#13903500 +1! +#13904000 +0! +#13904500 +1! +#13905000 +0! +#13905500 +1! +#13906000 +0! +#13906500 +1! +#13907000 +0! +#13907500 +1! +#13908000 +0! +#13908500 +1! +#13909000 +0! +#13909500 +1! +#13910000 +0! +#13910500 +1! +#13911000 +0! +#13911500 +1! +#13912000 +0! +#13912500 +1! +#13913000 +0! +#13913500 +1! +#13914000 +0! +#13914500 +1! +#13915000 +0! +#13915500 +1! +#13916000 +0! +#13916500 +1! +#13917000 +0! +#13917500 +1! +#13918000 +0! +#13918500 +1! +#13919000 +0! +#13919500 +1! +#13920000 +0! +#13920500 +1! +#13921000 +0! +#13921500 +1! +#13922000 +0! +#13922500 +1! +#13923000 +0! +#13923500 +1! +#13924000 +0! +#13924500 +1! +#13925000 +0! +#13925500 +1! +#13926000 +0! +#13926500 +1! +#13927000 +0! +#13927500 +1! +#13928000 +0! +#13928500 +1! +#13929000 +0! +#13929500 +1! +#13930000 +0! +#13930500 +1! +#13931000 +0! +#13931500 +1! +#13932000 +0! +#13932500 +1! +#13933000 +0! +#13933500 +1! +#13934000 +0! +#13934500 +1! +#13935000 +0! +#13935500 +1! +#13936000 +0! +#13936500 +1! +#13937000 +0! +#13937500 +1! +#13938000 +0! +#13938500 +1! +#13939000 +0! +#13939500 +1! +#13940000 +0! +#13940500 +1! +#13941000 +0! +#13941500 +1! +#13942000 +0! +#13942500 +1! +#13943000 +0! +#13943500 +1! +#13944000 +0! +#13944500 +1! +#13945000 +0! +#13945500 +1! +#13946000 +0! +#13946500 +1! +#13947000 +0! +#13947500 +1! +#13948000 +0! +#13948500 +1! +#13949000 +0! +#13949500 +1! +#13950000 +0! +#13950500 +1! +#13951000 +0! +#13951500 +1! +#13952000 +0! +#13952500 +1! +#13953000 +0! +#13953500 +1! +#13954000 +0! +#13954500 +1! +#13955000 +0! +#13955500 +1! +#13956000 +0! +#13956500 +1! +#13957000 +0! +#13957500 +1! +#13958000 +0! +#13958500 +1! +#13959000 +0! +#13959500 +1! +#13960000 +0! +#13960500 +1! +#13961000 +0! +#13961500 +1! +#13962000 +0! +#13962500 +1! +#13963000 +0! +#13963500 +1! +#13964000 +0! +#13964500 +1! +#13965000 +0! +#13965500 +1! +#13966000 +0! +#13966500 +1! +#13967000 +0! +#13967500 +1! +#13968000 +0! +#13968500 +1! +#13969000 +0! +#13969500 +1! +#13970000 +0! +#13970500 +1! +#13971000 +0! +#13971500 +1! +#13972000 +0! +#13972500 +1! +#13973000 +0! +#13973500 +1! +#13974000 +0! +#13974500 +1! +#13975000 +0! +#13975500 +1! +#13976000 +0! +#13976500 +1! +#13977000 +0! +#13977500 +1! +#13978000 +0! +#13978500 +1! +#13979000 +0! +#13979500 +1! +#13980000 +0! +#13980500 +1! +#13981000 +0! +#13981500 +1! +#13982000 +0! +#13982500 +1! +#13983000 +0! +#13983500 +1! +#13984000 +0! +#13984500 +1! +#13985000 +0! +#13985500 +1! +#13986000 +0! +#13986500 +1! +#13987000 +0! +#13987500 +1! +#13988000 +0! +#13988500 +1! +#13989000 +0! +#13989500 +1! +#13990000 +0! +#13990500 +1! +#13991000 +0! +#13991500 +1! +#13992000 +0! +#13992500 +1! +#13993000 +0! +#13993500 +1! +#13994000 +0! +#13994500 +1! +#13995000 +0! +#13995500 +1! +#13996000 +0! +#13996500 +1! +#13997000 +0! +#13997500 +1! +#13998000 +0! +#13998500 +1! +#13999000 +0! +#13999500 +1! +#14000000 +0! +#14000500 +1! +#14001000 +0! +#14001500 +1! +#14002000 +0! +#14002500 +1! +#14003000 +0! +#14003500 +1! +#14004000 +0! +#14004500 +1! +#14005000 +0! +#14005500 +1! +#14006000 +0! +#14006500 +1! +#14007000 +0! +#14007500 +1! +#14008000 +0! +#14008500 +1! +#14009000 +0! +#14009500 +1! +#14010000 +0! +#14010500 +1! +#14011000 +0! +#14011500 +1! +#14012000 +0! +#14012500 +1! +#14013000 +0! +#14013500 +1! +#14014000 +0! +#14014500 +1! +#14015000 +0! +#14015500 +1! +#14016000 +0! +#14016500 +1! +#14017000 +0! +#14017500 +1! +#14018000 +0! +#14018500 +1! +#14019000 +0! +#14019500 +1! +#14020000 +0! +#14020500 +1! +#14021000 +0! +#14021500 +1! +#14022000 +0! +#14022500 +1! +#14023000 +0! +#14023500 +1! +#14024000 +0! +#14024500 +1! +#14025000 +0! +#14025500 +1! +#14026000 +0! +#14026500 +1! +#14027000 +0! +#14027500 +1! +#14028000 +0! +#14028500 +1! +#14029000 +0! +#14029500 +1! +#14030000 +0! +#14030500 +1! +#14031000 +0! +#14031500 +1! +#14032000 +0! +#14032500 +1! +#14033000 +0! +#14033500 +1! +#14034000 +0! +#14034500 +1! +#14035000 +0! +#14035500 +1! +#14036000 +0! +#14036500 +1! +#14037000 +0! +#14037500 +1! +#14038000 +0! +#14038500 +1! +#14039000 +0! +#14039500 +1! +#14040000 +0! +#14040500 +1! +#14041000 +0! +#14041500 +1! +#14042000 +0! +#14042500 +1! +#14043000 +0! +#14043500 +1! +#14044000 +0! +#14044500 +1! +#14045000 +0! +#14045500 +1! +#14046000 +0! +#14046500 +1! +#14047000 +0! +#14047500 +1! +#14048000 +0! +#14048500 +1! +#14049000 +0! +#14049500 +1! +#14050000 +0! +#14050500 +1! +#14051000 +0! +#14051500 +1! +#14052000 +0! +#14052500 +1! +#14053000 +0! +#14053500 +1! +#14054000 +0! +#14054500 +1! +#14055000 +0! +#14055500 +1! +#14056000 +0! +#14056500 +1! +#14057000 +0! +#14057500 +1! +#14058000 +0! +#14058500 +1! +#14059000 +0! +#14059500 +1! +#14060000 +0! +#14060500 +1! +#14061000 +0! +#14061500 +1! +#14062000 +0! +#14062500 +1! +#14063000 +0! +#14063500 +1! +#14064000 +0! +#14064500 +1! +#14065000 +0! +#14065500 +1! +#14066000 +0! +#14066500 +1! +#14067000 +0! +#14067500 +1! +#14068000 +0! +#14068500 +1! +#14069000 +0! +#14069500 +1! +#14070000 +0! +#14070500 +1! +#14071000 +0! +#14071500 +1! +#14072000 +0! +#14072500 +1! +#14073000 +0! +#14073500 +1! +#14074000 +0! +#14074500 +1! +#14075000 +0! +#14075500 +1! +#14076000 +0! +#14076500 +1! +#14077000 +0! +#14077500 +1! +#14078000 +0! +#14078500 +1! +#14079000 +0! +#14079500 +1! +#14080000 +0! +#14080500 +1! +#14081000 +0! +#14081500 +1! +#14082000 +0! +#14082500 +1! +#14083000 +0! +#14083500 +1! +#14084000 +0! +#14084500 +1! +#14085000 +0! +#14085500 +1! +#14086000 +0! +#14086500 +1! +#14087000 +0! +#14087500 +1! +#14088000 +0! +#14088500 +1! +#14089000 +0! +#14089500 +1! +#14090000 +0! +#14090500 +1! +#14091000 +0! +#14091500 +1! +#14092000 +0! +#14092500 +1! +#14093000 +0! +#14093500 +1! +#14094000 +0! +#14094500 +1! +#14095000 +0! +#14095500 +1! +#14096000 +0! +#14096500 +1! +#14097000 +0! +#14097500 +1! +#14098000 +0! +#14098500 +1! +#14099000 +0! +#14099500 +1! +#14100000 +0! +#14100500 +1! +#14101000 +0! +#14101500 +1! +#14102000 +0! +#14102500 +1! +#14103000 +0! +#14103500 +1! +#14104000 +0! +#14104500 +1! +#14105000 +0! +#14105500 +1! +#14106000 +0! +#14106500 +1! +#14107000 +0! +#14107500 +1! +#14108000 +0! +#14108500 +1! +#14109000 +0! +#14109500 +1! +#14110000 +0! +#14110500 +1! +#14111000 +0! +#14111500 +1! +#14112000 +0! +#14112500 +1! +#14113000 +0! +#14113500 +1! +#14114000 +0! +#14114500 +1! +#14115000 +0! +#14115500 +1! +#14116000 +0! +#14116500 +1! +#14117000 +0! +#14117500 +1! +#14118000 +0! +#14118500 +1! +#14119000 +0! +#14119500 +1! +#14120000 +0! +#14120500 +1! +#14121000 +0! +#14121500 +1! +#14122000 +0! +#14122500 +1! +#14123000 +0! +#14123500 +1! +#14124000 +0! +#14124500 +1! +#14125000 +0! +#14125500 +1! +#14126000 +0! +#14126500 +1! +#14127000 +0! +#14127500 +1! +#14128000 +0! +#14128500 +1! +#14129000 +0! +#14129500 +1! +#14130000 +0! +#14130500 +1! +#14131000 +0! +#14131500 +1! +#14132000 +0! +#14132500 +1! +#14133000 +0! +#14133500 +1! +#14134000 +0! +#14134500 +1! +#14135000 +0! +#14135500 +1! +#14136000 +0! +#14136500 +1! +#14137000 +0! +#14137500 +1! +#14138000 +0! +#14138500 +1! +#14139000 +0! +#14139500 +1! +#14140000 +0! +#14140500 +1! +#14141000 +0! +#14141500 +1! +#14142000 +0! +#14142500 +1! +#14143000 +0! +#14143500 +1! +#14144000 +0! +#14144500 +1! +#14145000 +0! +#14145500 +1! +#14146000 +0! +#14146500 +1! +#14147000 +0! +#14147500 +1! +#14148000 +0! +#14148500 +1! +#14149000 +0! +#14149500 +1! +#14150000 +0! +#14150500 +1! +#14151000 +0! +#14151500 +1! +#14152000 +0! +#14152500 +1! +#14153000 +0! +#14153500 +1! +#14154000 +0! +#14154500 +1! +#14155000 +0! +#14155500 +1! +#14156000 +0! +#14156500 +1! +#14157000 +0! +#14157500 +1! +#14158000 +0! +#14158500 +1! +#14159000 +0! +#14159500 +1! +#14160000 +0! +#14160500 +1! +#14161000 +0! +#14161500 +1! +#14162000 +0! +#14162500 +1! +#14163000 +0! +#14163500 +1! +#14164000 +0! +#14164500 +1! +#14165000 +0! +#14165500 +1! +#14166000 +0! +#14166500 +1! +#14167000 +0! +#14167500 +1! +#14168000 +0! +#14168500 +1! +#14169000 +0! +#14169500 +1! +#14170000 +0! +#14170500 +1! +#14171000 +0! +#14171500 +1! +#14172000 +0! +#14172500 +1! +#14173000 +0! +#14173500 +1! +#14174000 +0! +#14174500 +1! +#14175000 +0! +#14175500 +1! +#14176000 +0! +#14176500 +1! +#14177000 +0! +#14177500 +1! +#14178000 +0! +#14178500 +1! +#14179000 +0! +#14179500 +1! +#14180000 +0! +#14180500 +1! +#14181000 +0! +#14181500 +1! +#14182000 +0! +#14182500 +1! +#14183000 +0! +#14183500 +1! +#14184000 +0! +#14184500 +1! +#14185000 +0! +#14185500 +1! +#14186000 +0! +#14186500 +1! +#14187000 +0! +#14187500 +1! +#14188000 +0! +#14188500 +1! +#14189000 +0! +#14189500 +1! +#14190000 +0! +#14190500 +1! +#14191000 +0! +#14191500 +1! +#14192000 +0! +#14192500 +1! +#14193000 +0! +#14193500 +1! +#14194000 +0! +#14194500 +1! +#14195000 +0! +#14195500 +1! +#14196000 +0! +#14196500 +1! +#14197000 +0! +#14197500 +1! +#14198000 +0! +#14198500 +1! +#14199000 +0! +#14199500 +1! +#14200000 +0! +#14200500 +1! +#14201000 +0! +#14201500 +1! +#14202000 +0! +#14202500 +1! +#14203000 +0! +#14203500 +1! +#14204000 +0! +#14204500 +1! +#14205000 +0! +#14205500 +1! +#14206000 +0! +#14206500 +1! +#14207000 +0! +#14207500 +1! +#14208000 +0! +#14208500 +1! +#14209000 +0! +#14209500 +1! +#14210000 +0! +#14210500 +1! +#14211000 +0! +#14211500 +1! +#14212000 +0! +#14212500 +1! +#14213000 +0! +#14213500 +1! +#14214000 +0! +#14214500 +1! +#14215000 +0! +#14215500 +1! +#14216000 +0! +#14216500 +1! +#14217000 +0! +#14217500 +1! +#14218000 +0! +#14218500 +1! +#14219000 +0! +#14219500 +1! +#14220000 +0! +#14220500 +1! +#14221000 +0! +#14221500 +1! +#14222000 +0! +#14222500 +1! +#14223000 +0! +#14223500 +1! +#14224000 +0! +#14224500 +1! +#14225000 +0! +#14225500 +1! +#14226000 +0! +#14226500 +1! +#14227000 +0! +#14227500 +1! +#14228000 +0! +#14228500 +1! +#14229000 +0! +#14229500 +1! +#14230000 +0! +#14230500 +1! +#14231000 +0! +#14231500 +1! +#14232000 +0! +#14232500 +1! +#14233000 +0! +#14233500 +1! +#14234000 +0! +#14234500 +1! +#14235000 +0! +#14235500 +1! +#14236000 +0! +#14236500 +1! +#14237000 +0! +#14237500 +1! +#14238000 +0! +#14238500 +1! +#14239000 +0! +#14239500 +1! +#14240000 +0! +#14240500 +1! +#14241000 +0! +#14241500 +1! +#14242000 +0! +#14242500 +1! +#14243000 +0! +#14243500 +1! +#14244000 +0! +#14244500 +1! +#14245000 +0! +#14245500 +1! +#14246000 +0! +#14246500 +1! +#14247000 +0! +#14247500 +1! +#14248000 +0! +#14248500 +1! +#14249000 +0! +#14249500 +1! +#14250000 +0! +#14250500 +1! +#14251000 +0! +#14251500 +1! +#14252000 +0! +#14252500 +1! +#14253000 +0! +#14253500 +1! +#14254000 +0! +#14254500 +1! +#14255000 +0! +#14255500 +1! +#14256000 +0! +#14256500 +1! +#14257000 +0! +#14257500 +1! +#14258000 +0! +#14258500 +1! +#14259000 +0! +#14259500 +1! +#14260000 +0! +#14260500 +1! +#14261000 +0! +#14261500 +1! +#14262000 +0! +#14262500 +1! +#14263000 +0! +#14263500 +1! +#14264000 +0! +#14264500 +1! +#14265000 +0! +#14265500 +1! +#14266000 +0! +#14266500 +1! +#14267000 +0! +#14267500 +1! +#14268000 +0! +#14268500 +1! +#14269000 +0! +#14269500 +1! +#14270000 +0! +#14270500 +1! +#14271000 +0! +#14271500 +1! +#14272000 +0! +#14272500 +1! +#14273000 +0! +#14273500 +1! +#14274000 +0! +#14274500 +1! +#14275000 +0! +#14275500 +1! +#14276000 +0! +#14276500 +1! +#14277000 +0! +#14277500 +1! +#14278000 +0! +#14278500 +1! +#14279000 +0! +#14279500 +1! +#14280000 +0! +#14280500 +1! +#14281000 +0! +#14281500 +1! +#14282000 +0! +#14282500 +1! +#14283000 +0! +#14283500 +1! +#14284000 +0! +#14284500 +1! +#14285000 +0! +#14285500 +1! +#14286000 +0! +#14286500 +1! +#14287000 +0! +#14287500 +1! +#14288000 +0! +#14288500 +1! +#14289000 +0! +#14289500 +1! +#14290000 +0! +#14290500 +1! +#14291000 +0! +#14291500 +1! +#14292000 +0! +#14292500 +1! +#14293000 +0! +#14293500 +1! +#14294000 +0! +#14294500 +1! +#14295000 +0! +#14295500 +1! +#14296000 +0! +#14296500 +1! +#14297000 +0! +#14297500 +1! +#14298000 +0! +#14298500 +1! +#14299000 +0! +#14299500 +1! +#14300000 +0! +#14300500 +1! +#14301000 +0! +#14301500 +1! +#14302000 +0! +#14302500 +1! +#14303000 +0! +#14303500 +1! +#14304000 +0! +#14304500 +1! +#14305000 +0! +#14305500 +1! +#14306000 +0! +#14306500 +1! +#14307000 +0! +#14307500 +1! +#14308000 +0! +#14308500 +1! +#14309000 +0! +#14309500 +1! +#14310000 +0! +#14310500 +1! +#14311000 +0! +#14311500 +1! +#14312000 +0! +#14312500 +1! +#14313000 +0! +#14313500 +1! +#14314000 +0! +#14314500 +1! +#14315000 +0! +#14315500 +1! +#14316000 +0! +#14316500 +1! +#14317000 +0! +#14317500 +1! +#14318000 +0! +#14318500 +1! +#14319000 +0! +#14319500 +1! +#14320000 +0! +#14320500 +1! +#14321000 +0! +#14321500 +1! +#14322000 +0! +#14322500 +1! +#14323000 +0! +#14323500 +1! +#14324000 +0! +#14324500 +1! +#14325000 +0! +#14325500 +1! +#14326000 +0! +#14326500 +1! +#14327000 +0! +#14327500 +1! +#14328000 +0! +#14328500 +1! +#14329000 +0! +#14329500 +1! +#14330000 +0! +#14330500 +1! +#14331000 +0! +#14331500 +1! +#14332000 +0! +#14332500 +1! +#14333000 +0! +#14333500 +1! +#14334000 +0! +#14334500 +1! +#14335000 +0! +#14335500 +1! +#14336000 +0! +#14336500 +1! +#14337000 +0! +#14337500 +1! +#14338000 +0! +#14338500 +1! +#14339000 +0! +#14339500 +1! +#14340000 +0! +#14340500 +1! +#14341000 +0! +#14341500 +1! +#14342000 +0! +#14342500 +1! +#14343000 +0! +#14343500 +1! +#14344000 +0! +#14344500 +1! +#14345000 +0! +#14345500 +1! +#14346000 +0! +#14346500 +1! +#14347000 +0! +#14347500 +1! +#14348000 +0! +#14348500 +1! +#14349000 +0! +#14349500 +1! +#14350000 +0! +#14350500 +1! +#14351000 +0! +#14351500 +1! +#14352000 +0! +#14352500 +1! +#14353000 +0! +#14353500 +1! +#14354000 +0! +#14354500 +1! +#14355000 +0! +#14355500 +1! +#14356000 +0! +#14356500 +1! +#14357000 +0! +#14357500 +1! +#14358000 +0! +#14358500 +1! +#14359000 +0! +#14359500 +1! +#14360000 +0! +#14360500 +1! +#14361000 +0! +#14361500 +1! +#14362000 +0! +#14362500 +1! +#14363000 +0! +#14363500 +1! +#14364000 +0! +#14364500 +1! +#14365000 +0! +#14365500 +1! +#14366000 +0! +#14366500 +1! +#14367000 +0! +#14367500 +1! +#14368000 +0! +#14368500 +1! +#14369000 +0! +#14369500 +1! +#14370000 +0! +#14370500 +1! +#14371000 +0! +#14371500 +1! +#14372000 +0! +#14372500 +1! +#14373000 +0! +#14373500 +1! +#14374000 +0! +#14374500 +1! +#14375000 +0! +#14375500 +1! +#14376000 +0! +#14376500 +1! +#14377000 +0! +#14377500 +1! +#14378000 +0! +#14378500 +1! +#14379000 +0! +#14379500 +1! +#14380000 +0! +#14380500 +1! +#14381000 +0! +#14381500 +1! +#14382000 +0! +#14382500 +1! +#14383000 +0! +#14383500 +1! +#14384000 +0! +#14384500 +1! +#14385000 +0! +#14385500 +1! +#14386000 +0! +#14386500 +1! +#14387000 +0! +#14387500 +1! +#14388000 +0! +#14388500 +1! +#14389000 +0! +#14389500 +1! +#14390000 +0! +#14390500 +1! +#14391000 +0! +#14391500 +1! +#14392000 +0! +#14392500 +1! +#14393000 +0! +#14393500 +1! +#14394000 +0! +#14394500 +1! +#14395000 +0! +#14395500 +1! +#14396000 +0! +#14396500 +1! +#14397000 +0! +#14397500 +1! +#14398000 +0! +#14398500 +1! +#14399000 +0! +#14399500 +1! +#14400000 +0! +#14400500 +1! +#14401000 +0! +#14401500 +1! +#14402000 +0! +#14402500 +1! +#14403000 +0! +#14403500 +1! +#14404000 +0! +#14404500 +1! +#14405000 +0! +#14405500 +1! +#14406000 +0! +#14406500 +1! +#14407000 +0! +#14407500 +1! +#14408000 +0! +#14408500 +1! +#14409000 +0! +#14409500 +1! +#14410000 +0! +#14410500 +1! +#14411000 +0! +#14411500 +1! +#14412000 +0! +#14412500 +1! +#14413000 +0! +#14413500 +1! +#14414000 +0! +#14414500 +1! +#14415000 +0! +#14415500 +1! +#14416000 +0! +#14416500 +1! +#14417000 +0! +#14417500 +1! +#14418000 +0! +#14418500 +1! +#14419000 +0! +#14419500 +1! +#14420000 +0! +#14420500 +1! +#14421000 +0! +#14421500 +1! +#14422000 +0! +#14422500 +1! +#14423000 +0! +#14423500 +1! +#14424000 +0! +#14424500 +1! +#14425000 +0! +#14425500 +1! +#14426000 +0! +#14426500 +1! +#14427000 +0! +#14427500 +1! +#14428000 +0! +#14428500 +1! +#14429000 +0! +#14429500 +1! +#14430000 +0! +#14430500 +1! +#14431000 +0! +#14431500 +1! +#14432000 +0! +#14432500 +1! +#14433000 +0! +#14433500 +1! +#14434000 +0! +#14434500 +1! +#14435000 +0! +#14435500 +1! +#14436000 +0! +#14436500 +1! +#14437000 +0! +#14437500 +1! +#14438000 +0! +#14438500 +1! +#14439000 +0! +#14439500 +1! +#14440000 +0! +#14440500 +1! +#14441000 +0! +#14441500 +1! +#14442000 +0! +#14442500 +1! +#14443000 +0! +#14443500 +1! +#14444000 +0! +#14444500 +1! +#14445000 +0! +#14445500 +1! +#14446000 +0! +#14446500 +1! +#14447000 +0! +#14447500 +1! +#14448000 +0! +#14448500 +1! +#14449000 +0! +#14449500 +1! +#14450000 +0! +#14450500 +1! +#14451000 +0! +#14451500 +1! +#14452000 +0! +#14452500 +1! +#14453000 +0! +#14453500 +1! +#14454000 +0! +#14454500 +1! +#14455000 +0! +#14455500 +1! +#14456000 +0! +#14456500 +1! +#14457000 +0! +#14457500 +1! +#14458000 +0! +#14458500 +1! +#14459000 +0! +#14459500 +1! +#14460000 +0! +#14460500 +1! +#14461000 +0! +#14461500 +1! +#14462000 +0! +#14462500 +1! +#14463000 +0! +#14463500 +1! +#14464000 +0! +#14464500 +1! +#14465000 +0! +#14465500 +1! +#14466000 +0! +#14466500 +1! +#14467000 +0! +#14467500 +1! +#14468000 +0! +#14468500 +1! +#14469000 +0! +#14469500 +1! +#14470000 +0! +#14470500 +1! +#14471000 +0! +#14471500 +1! +#14472000 +0! +#14472500 +1! +#14473000 +0! +#14473500 +1! +#14474000 +0! +#14474500 +1! +#14475000 +0! +#14475500 +1! +#14476000 +0! +#14476500 +1! +#14477000 +0! +#14477500 +1! +#14478000 +0! +#14478500 +1! +#14479000 +0! +#14479500 +1! +#14480000 +0! +#14480500 +1! +#14481000 +0! +#14481500 +1! +#14482000 +0! +#14482500 +1! +#14483000 +0! +#14483500 +1! +#14484000 +0! +#14484500 +1! +#14485000 +0! +#14485500 +1! +#14486000 +0! +#14486500 +1! +#14487000 +0! +#14487500 +1! +#14488000 +0! +#14488500 +1! +#14489000 +0! +#14489500 +1! +#14490000 +0! +#14490500 +1! +#14491000 +0! +#14491500 +1! +#14492000 +0! +#14492500 +1! +#14493000 +0! +#14493500 +1! +#14494000 +0! +#14494500 +1! +#14495000 +0! +#14495500 +1! +#14496000 +0! +#14496500 +1! +#14497000 +0! +#14497500 +1! +#14498000 +0! +#14498500 +1! +#14499000 +0! +#14499500 +1! +#14500000 +0! +#14500500 +1! +#14501000 +0! +#14501500 +1! +#14502000 +0! +#14502500 +1! +#14503000 +0! +#14503500 +1! +#14504000 +0! +#14504500 +1! +#14505000 +0! +#14505500 +1! +#14506000 +0! +#14506500 +1! +#14507000 +0! +#14507500 +1! +#14508000 +0! +#14508500 +1! +#14509000 +0! +#14509500 +1! +#14510000 +0! +#14510500 +1! +#14511000 +0! +#14511500 +1! +#14512000 +0! +#14512500 +1! +#14513000 +0! +#14513500 +1! +#14514000 +0! +#14514500 +1! +#14515000 +0! +#14515500 +1! +#14516000 +0! +#14516500 +1! +#14517000 +0! +#14517500 +1! +#14518000 +0! +#14518500 +1! +#14519000 +0! +#14519500 +1! +#14520000 +0! +#14520500 +1! +#14521000 +0! +#14521500 +1! +#14522000 +0! +#14522500 +1! +#14523000 +0! +#14523500 +1! +#14524000 +0! +#14524500 +1! +#14525000 +0! +#14525500 +1! +#14526000 +0! +#14526500 +1! +#14527000 +0! +#14527500 +1! +#14528000 +0! +#14528500 +1! +#14529000 +0! +#14529500 +1! +#14530000 +0! +#14530500 +1! +#14531000 +0! +#14531500 +1! +#14532000 +0! +#14532500 +1! +#14533000 +0! +#14533500 +1! +#14534000 +0! +#14534500 +1! +#14535000 +0! +#14535500 +1! +#14536000 +0! +#14536500 +1! +#14537000 +0! +#14537500 +1! +#14538000 +0! +#14538500 +1! +#14539000 +0! +#14539500 +1! +#14540000 +0! +#14540500 +1! +#14541000 +0! +#14541500 +1! +#14542000 +0! +#14542500 +1! +#14543000 +0! +#14543500 +1! +#14544000 +0! +#14544500 +1! +#14545000 +0! +#14545500 +1! +#14546000 +0! +#14546500 +1! +#14547000 +0! +#14547500 +1! +#14548000 +0! +#14548500 +1! +#14549000 +0! +#14549500 +1! +#14550000 +0! +#14550500 +1! +#14551000 +0! +#14551500 +1! +#14552000 +0! +#14552500 +1! +#14553000 +0! +#14553500 +1! +#14554000 +0! +#14554500 +1! +#14555000 +0! +#14555500 +1! +#14556000 +0! +#14556500 +1! +#14557000 +0! +#14557500 +1! +#14558000 +0! +#14558500 +1! +#14559000 +0! +#14559500 +1! +#14560000 +0! +#14560500 +1! +#14561000 +0! +#14561500 +1! +#14562000 +0! +#14562500 +1! +#14563000 +0! +#14563500 +1! +#14564000 +0! +#14564500 +1! +#14565000 +0! +#14565500 +1! +#14566000 +0! +#14566500 +1! +#14567000 +0! +#14567500 +1! +#14568000 +0! +#14568500 +1! +#14569000 +0! +#14569500 +1! +#14570000 +0! +#14570500 +1! +#14571000 +0! +#14571500 +1! +#14572000 +0! +#14572500 +1! +#14573000 +0! +#14573500 +1! +#14574000 +0! +#14574500 +1! +#14575000 +0! +#14575500 +1! +#14576000 +0! +#14576500 +1! +#14577000 +0! +#14577500 +1! +#14578000 +0! +#14578500 +1! +#14579000 +0! +#14579500 +1! +#14580000 +0! +#14580500 +1! +#14581000 +0! +#14581500 +1! +#14582000 +0! +#14582500 +1! +#14583000 +0! +#14583500 +1! +#14584000 +0! +#14584500 +1! +#14585000 +0! +#14585500 +1! +#14586000 +0! +#14586500 +1! +#14587000 +0! +#14587500 +1! +#14588000 +0! +#14588500 +1! +#14589000 +0! +#14589500 +1! +#14590000 +0! +#14590500 +1! +#14591000 +0! +#14591500 +1! +#14592000 +0! +#14592500 +1! +#14593000 +0! +#14593500 +1! +#14594000 +0! +#14594500 +1! +#14595000 +0! +#14595500 +1! +#14596000 +0! +#14596500 +1! +#14597000 +0! +#14597500 +1! +#14598000 +0! +#14598500 +1! +#14599000 +0! +#14599500 +1! +#14600000 +0! +#14600500 +1! +#14601000 +0! +#14601500 +1! +#14602000 +0! +#14602500 +1! +#14603000 +0! +#14603500 +1! +#14604000 +0! +#14604500 +1! +#14605000 +0! +#14605500 +1! +#14606000 +0! +#14606500 +1! +#14607000 +0! +#14607500 +1! +#14608000 +0! +#14608500 +1! +#14609000 +0! +#14609500 +1! +#14610000 +0! +#14610500 +1! +#14611000 +0! +#14611500 +1! +#14612000 +0! +#14612500 +1! +#14613000 +0! +#14613500 +1! +#14614000 +0! +#14614500 +1! +#14615000 +0! +#14615500 +1! +#14616000 +0! +#14616500 +1! +#14617000 +0! +#14617500 +1! +#14618000 +0! +#14618500 +1! +#14619000 +0! +#14619500 +1! +#14620000 +0! +#14620500 +1! +#14621000 +0! +#14621500 +1! +#14622000 +0! +#14622500 +1! +#14623000 +0! +#14623500 +1! +#14624000 +0! +#14624500 +1! +#14625000 +0! +#14625500 +1! +#14626000 +0! +#14626500 +1! +#14627000 +0! +#14627500 +1! +#14628000 +0! +#14628500 +1! +#14629000 +0! +#14629500 +1! +#14630000 +0! +#14630500 +1! +#14631000 +0! +#14631500 +1! +#14632000 +0! +#14632500 +1! +#14633000 +0! +#14633500 +1! +#14634000 +0! +#14634500 +1! +#14635000 +0! +#14635500 +1! +#14636000 +0! +#14636500 +1! +#14637000 +0! +#14637500 +1! +#14638000 +0! +#14638500 +1! +#14639000 +0! +#14639500 +1! +#14640000 +0! +#14640500 +1! +#14641000 +0! +#14641500 +1! +#14642000 +0! +#14642500 +1! +#14643000 +0! +#14643500 +1! +#14644000 +0! +#14644500 +1! +#14645000 +0! +#14645500 +1! +#14646000 +0! +#14646500 +1! +#14647000 +0! +#14647500 +1! +#14648000 +0! +#14648500 +1! +#14649000 +0! +#14649500 +1! +#14650000 +0! +#14650500 +1! +#14651000 +0! +#14651500 +1! +#14652000 +0! +#14652500 +1! +#14653000 +0! +#14653500 +1! +#14654000 +0! +#14654500 +1! +#14655000 +0! +#14655500 +1! +#14656000 +0! +#14656500 +1! +#14657000 +0! +#14657500 +1! +#14658000 +0! +#14658500 +1! +#14659000 +0! +#14659500 +1! +#14660000 +0! +#14660500 +1! +#14661000 +0! +#14661500 +1! +#14662000 +0! +#14662500 +1! +#14663000 +0! +#14663500 +1! +#14664000 +0! +#14664500 +1! +#14665000 +0! +#14665500 +1! +#14666000 +0! +#14666500 +1! +#14667000 +0! +#14667500 +1! +#14668000 +0! +#14668500 +1! +#14669000 +0! +#14669500 +1! +#14670000 +0! +#14670500 +1! +#14671000 +0! +#14671500 +1! +#14672000 +0! +#14672500 +1! +#14673000 +0! +#14673500 +1! +#14674000 +0! +#14674500 +1! +#14675000 +0! +#14675500 +1! +#14676000 +0! +#14676500 +1! +#14677000 +0! +#14677500 +1! +#14678000 +0! +#14678500 +1! +#14679000 +0! +#14679500 +1! +#14680000 +0! +#14680500 +1! +#14681000 +0! +#14681500 +1! +#14682000 +0! +#14682500 +1! +#14683000 +0! +#14683500 +1! +#14684000 +0! +#14684500 +1! +#14685000 +0! +#14685500 +1! +#14686000 +0! +#14686500 +1! +#14687000 +0! +#14687500 +1! +#14688000 +0! +#14688500 +1! +#14689000 +0! +#14689500 +1! +#14690000 +0! +#14690500 +1! +#14691000 +0! +#14691500 +1! +#14692000 +0! +#14692500 +1! +#14693000 +0! +#14693500 +1! +#14694000 +0! +#14694500 +1! +#14695000 +0! +#14695500 +1! +#14696000 +0! +#14696500 +1! +#14697000 +0! +#14697500 +1! +#14698000 +0! +#14698500 +1! +#14699000 +0! +#14699500 +1! +#14700000 +0! +#14700500 +1! +#14701000 +0! +#14701500 +1! +#14702000 +0! +#14702500 +1! +#14703000 +0! +#14703500 +1! +#14704000 +0! +#14704500 +1! +#14705000 +0! +#14705500 +1! +#14706000 +0! +#14706500 +1! +#14707000 +0! +#14707500 +1! +#14708000 +0! +#14708500 +1! +#14709000 +0! +#14709500 +1! +#14710000 +0! +#14710500 +1! +#14711000 +0! +#14711500 +1! +#14712000 +0! +#14712500 +1! +#14713000 +0! +#14713500 +1! +#14714000 +0! +#14714500 +1! +#14715000 +0! +#14715500 +1! +#14716000 +0! +#14716500 +1! +#14717000 +0! +#14717500 +1! +#14718000 +0! +#14718500 +1! +#14719000 +0! +#14719500 +1! +#14720000 +0! +#14720500 +1! +#14721000 +0! +#14721500 +1! +#14722000 +0! +#14722500 +1! +#14723000 +0! +#14723500 +1! +#14724000 +0! +#14724500 +1! +#14725000 +0! +#14725500 +1! +#14726000 +0! +#14726500 +1! +#14727000 +0! +#14727500 +1! +#14728000 +0! +#14728500 +1! +#14729000 +0! +#14729500 +1! +#14730000 +0! +#14730500 +1! +#14731000 +0! +#14731500 +1! +#14732000 +0! +#14732500 +1! +#14733000 +0! +#14733500 +1! +#14734000 +0! +#14734500 +1! +#14735000 +0! +#14735500 +1! +#14736000 +0! +#14736500 +1! +#14737000 +0! +#14737500 +1! +#14738000 +0! +#14738500 +1! +#14739000 +0! +#14739500 +1! +#14740000 +0! +#14740500 +1! +#14741000 +0! +#14741500 +1! +#14742000 +0! +#14742500 +1! +#14743000 +0! +#14743500 +1! +#14744000 +0! +#14744500 +1! +#14745000 +0! +#14745500 +1! +#14746000 +0! +#14746500 +1! +#14747000 +0! +#14747500 +1! +#14748000 +0! +#14748500 +1! +#14749000 +0! +#14749500 +1! +#14750000 +0! +#14750500 +1! +#14751000 +0! +#14751500 +1! +#14752000 +0! +#14752500 +1! +#14753000 +0! +#14753500 +1! +#14754000 +0! +#14754500 +1! +#14755000 +0! +#14755500 +1! +#14756000 +0! +#14756500 +1! +#14757000 +0! +#14757500 +1! +#14758000 +0! +#14758500 +1! +#14759000 +0! +#14759500 +1! +#14760000 +0! +#14760500 +1! +#14761000 +0! +#14761500 +1! +#14762000 +0! +#14762500 +1! +#14763000 +0! +#14763500 +1! +#14764000 +0! +#14764500 +1! +#14765000 +0! +#14765500 +1! +#14766000 +0! +#14766500 +1! +#14767000 +0! +#14767500 +1! +#14768000 +0! +#14768500 +1! +#14769000 +0! +#14769500 +1! +#14770000 +0! +#14770500 +1! +#14771000 +0! +#14771500 +1! +#14772000 +0! +#14772500 +1! +#14773000 +0! +#14773500 +1! +#14774000 +0! +#14774500 +1! +#14775000 +0! +#14775500 +1! +#14776000 +0! +#14776500 +1! +#14777000 +0! +#14777500 +1! +#14778000 +0! +#14778500 +1! +#14779000 +0! +#14779500 +1! +#14780000 +0! +#14780500 +1! +#14781000 +0! +#14781500 +1! +#14782000 +0! +#14782500 +1! +#14783000 +0! +#14783500 +1! +#14784000 +0! +#14784500 +1! +#14785000 +0! +#14785500 +1! +#14786000 +0! +#14786500 +1! +#14787000 +0! +#14787500 +1! +#14788000 +0! +#14788500 +1! +#14789000 +0! +#14789500 +1! +#14790000 +0! +#14790500 +1! +#14791000 +0! +#14791500 +1! +#14792000 +0! +#14792500 +1! +#14793000 +0! +#14793500 +1! +#14794000 +0! +#14794500 +1! +#14795000 +0! +#14795500 +1! +#14796000 +0! +#14796500 +1! +#14797000 +0! +#14797500 +1! +#14798000 +0! +#14798500 +1! +#14799000 +0! +#14799500 +1! +#14800000 +0! +#14800500 +1! +#14801000 +0! +#14801500 +1! +#14802000 +0! +#14802500 +1! +#14803000 +0! +#14803500 +1! +#14804000 +0! +#14804500 +1! +#14805000 +0! +#14805500 +1! +#14806000 +0! +#14806500 +1! +#14807000 +0! +#14807500 +1! +#14808000 +0! +#14808500 +1! +#14809000 +0! +#14809500 +1! +#14810000 +0! +#14810500 +1! +#14811000 +0! +#14811500 +1! +#14812000 +0! +#14812500 +1! +#14813000 +0! +#14813500 +1! +#14814000 +0! +#14814500 +1! +#14815000 +0! +#14815500 +1! +#14816000 +0! +#14816500 +1! +#14817000 +0! +#14817500 +1! +#14818000 +0! +#14818500 +1! +#14819000 +0! +#14819500 +1! +#14820000 +0! +#14820500 +1! +#14821000 +0! +#14821500 +1! +#14822000 +0! +#14822500 +1! +#14823000 +0! +#14823500 +1! +#14824000 +0! +#14824500 +1! +#14825000 +0! +#14825500 +1! +#14826000 +0! +#14826500 +1! +#14827000 +0! +#14827500 +1! +#14828000 +0! +#14828500 +1! +#14829000 +0! +#14829500 +1! +#14830000 +0! +#14830500 +1! +#14831000 +0! +#14831500 +1! +#14832000 +0! +#14832500 +1! +#14833000 +0! +#14833500 +1! +#14834000 +0! +#14834500 +1! +#14835000 +0! +#14835500 +1! +#14836000 +0! +#14836500 +1! +#14837000 +0! +#14837500 +1! +#14838000 +0! +#14838500 +1! +#14839000 +0! +#14839500 +1! +#14840000 +0! +#14840500 +1! +#14841000 +0! +#14841500 +1! +#14842000 +0! +#14842500 +1! +#14843000 +0! +#14843500 +1! +#14844000 +0! +#14844500 +1! +#14845000 +0! +#14845500 +1! +#14846000 +0! +#14846500 +1! +#14847000 +0! +#14847500 +1! +#14848000 +0! +#14848500 +1! +#14849000 +0! +#14849500 +1! +#14850000 +0! +#14850500 +1! +#14851000 +0! +#14851500 +1! +#14852000 +0! +#14852500 +1! +#14853000 +0! +#14853500 +1! +#14854000 +0! +#14854500 +1! +#14855000 +0! +#14855500 +1! +#14856000 +0! +#14856500 +1! +#14857000 +0! +#14857500 +1! +#14858000 +0! +#14858500 +1! +#14859000 +0! +#14859500 +1! +#14860000 +0! +#14860500 +1! +#14861000 +0! +#14861500 +1! +#14862000 +0! +#14862500 +1! +#14863000 +0! +#14863500 +1! +#14864000 +0! +#14864500 +1! +#14865000 +0! +#14865500 +1! +#14866000 +0! +#14866500 +1! +#14867000 +0! +#14867500 +1! +#14868000 +0! +#14868500 +1! +#14869000 +0! +#14869500 +1! +#14870000 +0! +#14870500 +1! +#14871000 +0! +#14871500 +1! +#14872000 +0! +#14872500 +1! +#14873000 +0! +#14873500 +1! +#14874000 +0! +#14874500 +1! +#14875000 +0! +#14875500 +1! +#14876000 +0! +#14876500 +1! +#14877000 +0! +#14877500 +1! +#14878000 +0! +#14878500 +1! +#14879000 +0! +#14879500 +1! +#14880000 +0! +#14880500 +1! +#14881000 +0! +#14881500 +1! +#14882000 +0! +#14882500 +1! +#14883000 +0! +#14883500 +1! +#14884000 +0! +#14884500 +1! +#14885000 +0! +#14885500 +1! +#14886000 +0! +#14886500 +1! +#14887000 +0! +#14887500 +1! +#14888000 +0! +#14888500 +1! +#14889000 +0! +#14889500 +1! +#14890000 +0! +#14890500 +1! +#14891000 +0! +#14891500 +1! +#14892000 +0! +#14892500 +1! +#14893000 +0! +#14893500 +1! +#14894000 +0! +#14894500 +1! +#14895000 +0! +#14895500 +1! +#14896000 +0! +#14896500 +1! +#14897000 +0! +#14897500 +1! +#14898000 +0! +#14898500 +1! +#14899000 +0! +#14899500 +1! +#14900000 +0! +#14900500 +1! +#14901000 +0! +#14901500 +1! +#14902000 +0! +#14902500 +1! +#14903000 +0! +#14903500 +1! +#14904000 +0! +#14904500 +1! +#14905000 +0! +#14905500 +1! +#14906000 +0! +#14906500 +1! +#14907000 +0! +#14907500 +1! +#14908000 +0! +#14908500 +1! +#14909000 +0! +#14909500 +1! +#14910000 +0! +#14910500 +1! +#14911000 +0! +#14911500 +1! +#14912000 +0! +#14912500 +1! +#14913000 +0! +#14913500 +1! +#14914000 +0! +#14914500 +1! +#14915000 +0! +#14915500 +1! +#14916000 +0! +#14916500 +1! +#14917000 +0! +#14917500 +1! +#14918000 +0! +#14918500 +1! +#14919000 +0! +#14919500 +1! +#14920000 +0! +#14920500 +1! +#14921000 +0! +#14921500 +1! +#14922000 +0! +#14922500 +1! +#14923000 +0! +#14923500 +1! +#14924000 +0! +#14924500 +1! +#14925000 +0! +#14925500 +1! +#14926000 +0! +#14926500 +1! +#14927000 +0! +#14927500 +1! +#14928000 +0! +#14928500 +1! +#14929000 +0! +#14929500 +1! +#14930000 +0! +#14930500 +1! +#14931000 +0! +#14931500 +1! +#14932000 +0! +#14932500 +1! +#14933000 +0! +#14933500 +1! +#14934000 +0! +#14934500 +1! +#14935000 +0! +#14935500 +1! +#14936000 +0! +#14936500 +1! +#14937000 +0! +#14937500 +1! +#14938000 +0! +#14938500 +1! +#14939000 +0! +#14939500 +1! +#14940000 +0! +#14940500 +1! +#14941000 +0! +#14941500 +1! +#14942000 +0! +#14942500 +1! +#14943000 +0! +#14943500 +1! +#14944000 +0! +#14944500 +1! +#14945000 +0! +#14945500 +1! +#14946000 +0! +#14946500 +1! +#14947000 +0! +#14947500 +1! +#14948000 +0! +#14948500 +1! +#14949000 +0! +#14949500 +1! +#14950000 +0! +#14950500 +1! +#14951000 +0! +#14951500 +1! +#14952000 +0! +#14952500 +1! +#14953000 +0! +#14953500 +1! +#14954000 +0! +#14954500 +1! +#14955000 +0! +#14955500 +1! +#14956000 +0! +#14956500 +1! +#14957000 +0! +#14957500 +1! +#14958000 +0! +#14958500 +1! +#14959000 +0! +#14959500 +1! +#14960000 +0! +#14960500 +1! +#14961000 +0! +#14961500 +1! +#14962000 +0! +#14962500 +1! +#14963000 +0! +#14963500 +1! +#14964000 +0! +#14964500 +1! +#14965000 +0! +#14965500 +1! +#14966000 +0! +#14966500 +1! +#14967000 +0! +#14967500 +1! +#14968000 +0! +#14968500 +1! +#14969000 +0! +#14969500 +1! +#14970000 +0! +#14970500 +1! +#14971000 +0! +#14971500 +1! +#14972000 +0! +#14972500 +1! +#14973000 +0! +#14973500 +1! +#14974000 +0! +#14974500 +1! +#14975000 +0! +#14975500 +1! +#14976000 +0! +#14976500 +1! +#14977000 +0! +#14977500 +1! +#14978000 +0! +#14978500 +1! +#14979000 +0! +#14979500 +1! +#14980000 +0! +#14980500 +1! +#14981000 +0! +#14981500 +1! +#14982000 +0! +#14982500 +1! +#14983000 +0! +#14983500 +1! +#14984000 +0! +#14984500 +1! +#14985000 +0! +#14985500 +1! +#14986000 +0! +#14986500 +1! +#14987000 +0! +#14987500 +1! +#14988000 +0! +#14988500 +1! +#14989000 +0! +#14989500 +1! +#14990000 +0! +#14990500 +1! +#14991000 +0! +#14991500 +1! +#14992000 +0! +#14992500 +1! +#14993000 +0! +#14993500 +1! +#14994000 +0! +#14994500 +1! +#14995000 +0! +#14995500 +1! +#14996000 +0! +#14996500 +1! +#14997000 +0! +#14997500 +1! +#14998000 +0! +#14998500 +1! +#14999000 +0! +#14999500 +1! +#15000000 +0! +#15000500 +1! +#15001000 +0! +#15001500 +1! +#15002000 +0! +#15002500 +1! +#15003000 +0! +#15003500 +1! +#15004000 +0! +#15004500 +1! +#15005000 +0! +#15005500 +1! +#15006000 +0! +#15006500 +1! +#15007000 +0! +#15007500 +1! +#15008000 +0! +#15008500 +1! +#15009000 +0! +#15009500 +1! +#15010000 +0! +#15010500 +1! +#15011000 +0! +#15011500 +1! +#15012000 +0! +#15012500 +1! +#15013000 +0! +#15013500 +1! +#15014000 +0! +#15014500 +1! +#15015000 +0! +#15015500 +1! +#15016000 +0! +#15016500 +1! +#15017000 +0! +#15017500 +1! +#15018000 +0! +#15018500 +1! +#15019000 +0! +#15019500 +1! +#15020000 +0! +#15020500 +1! +#15021000 +0! +#15021500 +1! +#15022000 +0! +#15022500 +1! +#15023000 +0! +#15023500 +1! +#15024000 +0! +#15024500 +1! +#15025000 +0! +#15025500 +1! +#15026000 +0! +#15026500 +1! +#15027000 +0! +#15027500 +1! +#15028000 +0! +#15028500 +1! +#15029000 +0! +#15029500 +1! +#15030000 +0! +#15030500 +1! +#15031000 +0! +#15031500 +1! +#15032000 +0! +#15032500 +1! +#15033000 +0! +#15033500 +1! +#15034000 +0! +#15034500 +1! +#15035000 +0! +#15035500 +1! +#15036000 +0! +#15036500 +1! +#15037000 +0! +#15037500 +1! +#15038000 +0! +#15038500 +1! +#15039000 +0! +#15039500 +1! +#15040000 +0! +#15040500 +1! +#15041000 +0! +#15041500 +1! +#15042000 +0! +#15042500 +1! +#15043000 +0! +#15043500 +1! +#15044000 +0! +#15044500 +1! +#15045000 +0! +#15045500 +1! +#15046000 +0! +#15046500 +1! +#15047000 +0! +#15047500 +1! +#15048000 +0! +#15048500 +1! +#15049000 +0! +#15049500 +1! +#15050000 +0! +#15050500 +1! +#15051000 +0! +#15051500 +1! +#15052000 +0! +#15052500 +1! +#15053000 +0! +#15053500 +1! +#15054000 +0! +#15054500 +1! +#15055000 +0! +#15055500 +1! +#15056000 +0! +#15056500 +1! +#15057000 +0! +#15057500 +1! +#15058000 +0! +#15058500 +1! +#15059000 +0! +#15059500 +1! +#15060000 +0! +#15060500 +1! +#15061000 +0! +#15061500 +1! +#15062000 +0! +#15062500 +1! +#15063000 +0! +#15063500 +1! +#15064000 +0! +#15064500 +1! +#15065000 +0! +#15065500 +1! +#15066000 +0! +#15066500 +1! +#15067000 +0! +#15067500 +1! +#15068000 +0! +#15068500 +1! +#15069000 +0! +#15069500 +1! +#15070000 +0! +#15070500 +1! +#15071000 +0! +#15071500 +1! +#15072000 +0! +#15072500 +1! +#15073000 +0! +#15073500 +1! +#15074000 +0! +#15074500 +1! +#15075000 +0! +#15075500 +1! +#15076000 +0! +#15076500 +1! +#15077000 +0! +#15077500 +1! +#15078000 +0! +#15078500 +1! +#15079000 +0! +#15079500 +1! +#15080000 +0! +#15080500 +1! +#15081000 +0! +#15081500 +1! +#15082000 +0! +#15082500 +1! +#15083000 +0! +#15083500 +1! +#15084000 +0! +#15084500 +1! +#15085000 +0! +#15085500 +1! +#15086000 +0! +#15086500 +1! +#15087000 +0! +#15087500 +1! +#15088000 +0! +#15088500 +1! +#15089000 +0! +#15089500 +1! +#15090000 +0! +#15090500 +1! +#15091000 +0! +#15091500 +1! +#15092000 +0! +#15092500 +1! +#15093000 +0! +#15093500 +1! +#15094000 +0! +#15094500 +1! +#15095000 +0! +#15095500 +1! +#15096000 +0! +#15096500 +1! +#15097000 +0! +#15097500 +1! +#15098000 +0! +#15098500 +1! +#15099000 +0! +#15099500 +1! +#15100000 +0! +#15100500 +1! +#15101000 +0! +#15101500 +1! +#15102000 +0! +#15102500 +1! +#15103000 +0! +#15103500 +1! +#15104000 +0! +#15104500 +1! +#15105000 +0! +#15105500 +1! +#15106000 +0! +#15106500 +1! +#15107000 +0! +#15107500 +1! +#15108000 +0! +#15108500 +1! +#15109000 +0! +#15109500 +1! +#15110000 +0! +#15110500 +1! +#15111000 +0! +#15111500 +1! +#15112000 +0! +#15112500 +1! +#15113000 +0! +#15113500 +1! +#15114000 +0! +#15114500 +1! +#15115000 +0! +#15115500 +1! +#15116000 +0! +#15116500 +1! +#15117000 +0! +#15117500 +1! +#15118000 +0! +#15118500 +1! +#15119000 +0! +#15119500 +1! +#15120000 +0! +#15120500 +1! +#15121000 +0! +#15121500 +1! +#15122000 +0! +#15122500 +1! +#15123000 +0! +#15123500 +1! +#15124000 +0! +#15124500 +1! +#15125000 +0! +#15125500 +1! +#15126000 +0! +#15126500 +1! +#15127000 +0! +#15127500 +1! +#15128000 +0! +#15128500 +1! +#15129000 +0! +#15129500 +1! +#15130000 +0! +#15130500 +1! +#15131000 +0! +#15131500 +1! +#15132000 +0! +#15132500 +1! +#15133000 +0! +#15133500 +1! +#15134000 +0! +#15134500 +1! +#15135000 +0! +#15135500 +1! +#15136000 +0! +#15136500 +1! +#15137000 +0! +#15137500 +1! +#15138000 +0! +#15138500 +1! +#15139000 +0! +#15139500 +1! +#15140000 +0! +#15140500 +1! +#15141000 +0! +#15141500 +1! +#15142000 +0! +#15142500 +1! +#15143000 +0! +#15143500 +1! +#15144000 +0! +#15144500 +1! +#15145000 +0! +#15145500 +1! +#15146000 +0! +#15146500 +1! +#15147000 +0! +#15147500 +1! +#15148000 +0! +#15148500 +1! +#15149000 +0! +#15149500 +1! +#15150000 +0! +#15150500 +1! +#15151000 +0! +#15151500 +1! +#15152000 +0! +#15152500 +1! +#15153000 +0! +#15153500 +1! +#15154000 +0! +#15154500 +1! +#15155000 +0! +#15155500 +1! +#15156000 +0! +#15156500 +1! +#15157000 +0! +#15157500 +1! +#15158000 +0! +#15158500 +1! +#15159000 +0! +#15159500 +1! +#15160000 +0! +#15160500 +1! +#15161000 +0! +#15161500 +1! +#15162000 +0! +#15162500 +1! +#15163000 +0! +#15163500 +1! +#15164000 +0! +#15164500 +1! +#15165000 +0! +#15165500 +1! +#15166000 +0! +#15166500 +1! +#15167000 +0! +#15167500 +1! +#15168000 +0! +#15168500 +1! +#15169000 +0! +#15169500 +1! +#15170000 +0! +#15170500 +1! +#15171000 +0! +#15171500 +1! +#15172000 +0! +#15172500 +1! +#15173000 +0! +#15173500 +1! +#15174000 +0! +#15174500 +1! +#15175000 +0! +#15175500 +1! +#15176000 +0! +#15176500 +1! +#15177000 +0! +#15177500 +1! +#15178000 +0! +#15178500 +1! +#15179000 +0! +#15179500 +1! +#15180000 +0! +#15180500 +1! +#15181000 +0! +#15181500 +1! +#15182000 +0! +#15182500 +1! +#15183000 +0! +#15183500 +1! +#15184000 +0! +#15184500 +1! +#15185000 +0! +#15185500 +1! +#15186000 +0! +#15186500 +1! +#15187000 +0! +#15187500 +1! +#15188000 +0! +#15188500 +1! +#15189000 +0! +#15189500 +1! +#15190000 +0! +#15190500 +1! +#15191000 +0! +#15191500 +1! +#15192000 +0! +#15192500 +1! +#15193000 +0! +#15193500 +1! +#15194000 +0! +#15194500 +1! +#15195000 +0! +#15195500 +1! +#15196000 +0! +#15196500 +1! +#15197000 +0! +#15197500 +1! +#15198000 +0! +#15198500 +1! +#15199000 +0! +#15199500 +1! +#15200000 +0! +#15200500 +1! +#15201000 +0! +#15201500 +1! +#15202000 +0! +#15202500 +1! +#15203000 +0! +#15203500 +1! +#15204000 +0! +#15204500 +1! +#15205000 +0! +#15205500 +1! +#15206000 +0! +#15206500 +1! +#15207000 +0! +#15207500 +1! +#15208000 +0! +#15208500 +1! +#15209000 +0! +#15209500 +1! +#15210000 +0! +#15210500 +1! +#15211000 +0! +#15211500 +1! +#15212000 +0! +#15212500 +1! +#15213000 +0! +#15213500 +1! +#15214000 +0! +#15214500 +1! +#15215000 +0! +#15215500 +1! +#15216000 +0! +#15216500 +1! +#15217000 +0! +#15217500 +1! +#15218000 +0! +#15218500 +1! +#15219000 +0! +#15219500 +1! +#15220000 +0! +#15220500 +1! +#15221000 +0! +#15221500 +1! +#15222000 +0! +#15222500 +1! +#15223000 +0! +#15223500 +1! +#15224000 +0! +#15224500 +1! +#15225000 +0! +#15225500 +1! +#15226000 +0! +#15226500 +1! +#15227000 +0! +#15227500 +1! +#15228000 +0! +#15228500 +1! +#15229000 +0! +#15229500 +1! +#15230000 +0! +#15230500 +1! +#15231000 +0! +#15231500 +1! +#15232000 +0! +#15232500 +1! +#15233000 +0! +#15233500 +1! +#15234000 +0! +#15234500 +1! +#15235000 +0! +#15235500 +1! +#15236000 +0! +#15236500 +1! +#15237000 +0! +#15237500 +1! +#15238000 +0! +#15238500 +1! +#15239000 +0! +#15239500 +1! +#15240000 +0! +#15240500 +1! +#15241000 +0! +#15241500 +1! +#15242000 +0! +#15242500 +1! +#15243000 +0! +#15243500 +1! +#15244000 +0! +#15244500 +1! +#15245000 +0! +#15245500 +1! +#15246000 +0! +#15246500 +1! +#15247000 +0! +#15247500 +1! +#15248000 +0! +#15248500 +1! +#15249000 +0! +#15249500 +1! +#15250000 +0! +#15250500 +1! +#15251000 +0! +#15251500 +1! +#15252000 +0! +#15252500 +1! +#15253000 +0! +#15253500 +1! +#15254000 +0! +#15254500 +1! +#15255000 +0! +#15255500 +1! +#15256000 +0! +#15256500 +1! +#15257000 +0! +#15257500 +1! +#15258000 +0! +#15258500 +1! +#15259000 +0! +#15259500 +1! +#15260000 +0! +#15260500 +1! +#15261000 +0! +#15261500 +1! +#15262000 +0! +#15262500 +1! +#15263000 +0! +#15263500 +1! +#15264000 +0! +#15264500 +1! +#15265000 +0! +#15265500 +1! +#15266000 +0! +#15266500 +1! +#15267000 +0! +#15267500 +1! +#15268000 +0! +#15268500 +1! +#15269000 +0! +#15269500 +1! +#15270000 +0! +#15270500 +1! +#15271000 +0! +#15271500 +1! +#15272000 +0! +#15272500 +1! +#15273000 +0! +#15273500 +1! +#15274000 +0! +#15274500 +1! +#15275000 +0! +#15275500 +1! +#15276000 +0! +#15276500 +1! +#15277000 +0! +#15277500 +1! +#15278000 +0! +#15278500 +1! +#15279000 +0! +#15279500 +1! +#15280000 +0! +#15280500 +1! +#15281000 +0! +#15281500 +1! +#15282000 +0! +#15282500 +1! +#15283000 +0! +#15283500 +1! +#15284000 +0! +#15284500 +1! +#15285000 +0! +#15285500 +1! +#15286000 +0! +#15286500 +1! +#15287000 +0! +#15287500 +1! +#15288000 +0! +#15288500 +1! +#15289000 +0! +#15289500 +1! +#15290000 +0! +#15290500 +1! +#15291000 +0! +#15291500 +1! +#15292000 +0! +#15292500 +1! +#15293000 +0! +#15293500 +1! +#15294000 +0! +#15294500 +1! +#15295000 +0! +#15295500 +1! +#15296000 +0! +#15296500 +1! +#15297000 +0! +#15297500 +1! +#15298000 +0! +#15298500 +1! +#15299000 +0! +#15299500 +1! +#15300000 +0! +#15300500 +1! +#15301000 +0! +#15301500 +1! +#15302000 +0! +#15302500 +1! +#15303000 +0! +#15303500 +1! +#15304000 +0! +#15304500 +1! +#15305000 +0! +#15305500 +1! +#15306000 +0! +#15306500 +1! +#15307000 +0! +#15307500 +1! +#15308000 +0! +#15308500 +1! +#15309000 +0! +#15309500 +1! +#15310000 +0! +#15310500 +1! +#15311000 +0! +#15311500 +1! +#15312000 +0! +#15312500 +1! +#15313000 +0! +#15313500 +1! +#15314000 +0! +#15314500 +1! +#15315000 +0! +#15315500 +1! +#15316000 +0! +#15316500 +1! +#15317000 +0! +#15317500 +1! +#15318000 +0! +#15318500 +1! +#15319000 +0! +#15319500 +1! +#15320000 +0! +#15320500 +1! +#15321000 +0! +#15321500 +1! +#15322000 +0! +#15322500 +1! +#15323000 +0! +#15323500 +1! +#15324000 +0! +#15324500 +1! +#15325000 +0! +#15325500 +1! +#15326000 +0! +#15326500 +1! +#15327000 +0! +#15327500 +1! +#15328000 +0! +#15328500 +1! +#15329000 +0! +#15329500 +1! +#15330000 +0! +#15330500 +1! +#15331000 +0! +#15331500 +1! +#15332000 +0! +#15332500 +1! +#15333000 +0! +#15333500 +1! +#15334000 +0! +#15334500 +1! +#15335000 +0! +#15335500 +1! +#15336000 +0! +#15336500 +1! +#15337000 +0! +#15337500 +1! +#15338000 +0! +#15338500 +1! +#15339000 +0! +#15339500 +1! +#15340000 +0! +#15340500 +1! +#15341000 +0! +#15341500 +1! +#15342000 +0! +#15342500 +1! +#15343000 +0! +#15343500 +1! +#15344000 +0! +#15344500 +1! +#15345000 +0! +#15345500 +1! +#15346000 +0! +#15346500 +1! +#15347000 +0! +#15347500 +1! +#15348000 +0! +#15348500 +1! +#15349000 +0! +#15349500 +1! +#15350000 +0! +#15350500 +1! +#15351000 +0! +#15351500 +1! +#15352000 +0! +#15352500 +1! +#15353000 +0! +#15353500 +1! +#15354000 +0! +#15354500 +1! +#15355000 +0! +#15355500 +1! +#15356000 +0! +#15356500 +1! +#15357000 +0! +#15357500 +1! +#15358000 +0! +#15358500 +1! +#15359000 +0! +#15359500 +1! +#15360000 +0! +#15360500 +1! +#15361000 +0! +#15361500 +1! +#15362000 +0! +#15362500 +1! +#15363000 +0! +#15363500 +1! +#15364000 +0! +#15364500 +1! +#15365000 +0! +#15365500 +1! +#15366000 +0! +#15366500 +1! +#15367000 +0! +#15367500 +1! +#15368000 +0! +#15368500 +1! +#15369000 +0! +#15369500 +1! +#15370000 +0! +#15370500 +1! +#15371000 +0! +#15371500 +1! +#15372000 +0! +#15372500 +1! +#15373000 +0! +#15373500 +1! +#15374000 +0! +#15374500 +1! +#15375000 +0! +#15375500 +1! +#15376000 +0! +#15376500 +1! +#15377000 +0! +#15377500 +1! +#15378000 +0! +#15378500 +1! +#15379000 +0! +#15379500 +1! +#15380000 +0! +#15380500 +1! +#15381000 +0! +#15381500 +1! +#15382000 +0! +#15382500 +1! +#15383000 +0! +#15383500 +1! +#15384000 +0! +#15384500 +1! +#15385000 +0! +#15385500 +1! +#15386000 +0! +#15386500 +1! +#15387000 +0! +#15387500 +1! +#15388000 +0! +#15388500 +1! +#15389000 +0! +#15389500 +1! +#15390000 +0! +#15390500 +1! +#15391000 +0! +#15391500 +1! +#15392000 +0! +#15392500 +1! +#15393000 +0! +#15393500 +1! +#15394000 +0! +#15394500 +1! +#15395000 +0! +#15395500 +1! +#15396000 +0! +#15396500 +1! +#15397000 +0! +#15397500 +1! +#15398000 +0! +#15398500 +1! +#15399000 +0! +#15399500 +1! +#15400000 +0! +#15400500 +1! +#15401000 +0! +#15401500 +1! +#15402000 +0! +#15402500 +1! +#15403000 +0! +#15403500 +1! +#15404000 +0! +#15404500 +1! +#15405000 +0! +#15405500 +1! +#15406000 +0! +#15406500 +1! +#15407000 +0! +#15407500 +1! +#15408000 +0! +#15408500 +1! +#15409000 +0! +#15409500 +1! +#15410000 +0! +#15410500 +1! +#15411000 +0! +#15411500 +1! +#15412000 +0! +#15412500 +1! +#15413000 +0! +#15413500 +1! +#15414000 +0! +#15414500 +1! +#15415000 +0! +#15415500 +1! +#15416000 +0! +#15416500 +1! +#15417000 +0! +#15417500 +1! +#15418000 +0! +#15418500 +1! +#15419000 +0! +#15419500 +1! +#15420000 +0! +#15420500 +1! +#15421000 +0! +#15421500 +1! +#15422000 +0! +#15422500 +1! +#15423000 +0! +#15423500 +1! +#15424000 +0! +#15424500 +1! +#15425000 +0! +#15425500 +1! +#15426000 +0! +#15426500 +1! +#15427000 +0! +#15427500 +1! +#15428000 +0! +#15428500 +1! +#15429000 +0! +#15429500 +1! +#15430000 +0! +#15430500 +1! +#15431000 +0! +#15431500 +1! +#15432000 +0! +#15432500 +1! +#15433000 +0! +#15433500 +1! +#15434000 +0! +#15434500 +1! +#15435000 +0! +#15435500 +1! +#15436000 +0! +#15436500 +1! +#15437000 +0! +#15437500 +1! +#15438000 +0! +#15438500 +1! +#15439000 +0! +#15439500 +1! +#15440000 +0! +#15440500 +1! +#15441000 +0! +#15441500 +1! +#15442000 +0! +#15442500 +1! +#15443000 +0! +#15443500 +1! +#15444000 +0! +#15444500 +1! +#15445000 +0! +#15445500 +1! +#15446000 +0! +#15446500 +1! +#15447000 +0! +#15447500 +1! +#15448000 +0! +#15448500 +1! +#15449000 +0! +#15449500 +1! +#15450000 +0! +#15450500 +1! +#15451000 +0! +#15451500 +1! +#15452000 +0! +#15452500 +1! +#15453000 +0! +#15453500 +1! +#15454000 +0! +#15454500 +1! +#15455000 +0! +#15455500 +1! +#15456000 +0! +#15456500 +1! +#15457000 +0! +#15457500 +1! +#15458000 +0! +#15458500 +1! +#15459000 +0! +#15459500 +1! +#15460000 +0! +#15460500 +1! +#15461000 +0! +#15461500 +1! +#15462000 +0! +#15462500 +1! +#15463000 +0! +#15463500 +1! +#15464000 +0! +#15464500 +1! +#15465000 +0! +#15465500 +1! +#15466000 +0! +#15466500 +1! +#15467000 +0! +#15467500 +1! +#15468000 +0! +#15468500 +1! +#15469000 +0! +#15469500 +1! +#15470000 +0! +#15470500 +1! +#15471000 +0! +#15471500 +1! +#15472000 +0! +#15472500 +1! +#15473000 +0! +#15473500 +1! +#15474000 +0! +#15474500 +1! +#15475000 +0! +#15475500 +1! +#15476000 +0! +#15476500 +1! +#15477000 +0! +#15477500 +1! +#15478000 +0! +#15478500 +1! +#15479000 +0! +#15479500 +1! +#15480000 +0! +#15480500 +1! +#15481000 +0! +#15481500 +1! +#15482000 +0! +#15482500 +1! +#15483000 +0! +#15483500 +1! +#15484000 +0! +#15484500 +1! +#15485000 +0! +#15485500 +1! +#15486000 +0! +#15486500 +1! +#15487000 +0! +#15487500 +1! +#15488000 +0! +#15488500 +1! +#15489000 +0! +#15489500 +1! +#15490000 +0! +#15490500 +1! +#15491000 +0! +#15491500 +1! +#15492000 +0! +#15492500 +1! +#15493000 +0! +#15493500 +1! +#15494000 +0! +#15494500 +1! +#15495000 +0! +#15495500 +1! +#15496000 +0! +#15496500 +1! +#15497000 +0! +#15497500 +1! +#15498000 +0! +#15498500 +1! +#15499000 +0! +#15499500 +1! +#15500000 +0! +#15500500 +1! +#15501000 +0! +#15501500 +1! +#15502000 +0! +#15502500 +1! +#15503000 +0! +#15503500 +1! +#15504000 +0! +#15504500 +1! +#15505000 +0! +#15505500 +1! +#15506000 +0! +#15506500 +1! +#15507000 +0! +#15507500 +1! +#15508000 +0! +#15508500 +1! +#15509000 +0! +#15509500 +1! +#15510000 +0! +#15510500 +1! +#15511000 +0! +#15511500 +1! +#15512000 +0! +#15512500 +1! +#15513000 +0! +#15513500 +1! +#15514000 +0! +#15514500 +1! +#15515000 +0! +#15515500 +1! +#15516000 +0! +#15516500 +1! +#15517000 +0! +#15517500 +1! +#15518000 +0! +#15518500 +1! +#15519000 +0! +#15519500 +1! +#15520000 +0! +#15520500 +1! +#15521000 +0! +#15521500 +1! +#15522000 +0! +#15522500 +1! +#15523000 +0! +#15523500 +1! +#15524000 +0! +#15524500 +1! +#15525000 +0! +#15525500 +1! +#15526000 +0! +#15526500 +1! +#15527000 +0! +#15527500 +1! +#15528000 +0! +#15528500 +1! +#15529000 +0! +#15529500 +1! +#15530000 +0! +#15530500 +1! +#15531000 +0! +#15531500 +1! +#15532000 +0! +#15532500 +1! +#15533000 +0! +#15533500 +1! +#15534000 +0! +#15534500 +1! +#15535000 +0! +#15535500 +1! +#15536000 +0! +#15536500 +1! +#15537000 +0! +#15537500 +1! +#15538000 +0! +#15538500 +1! +#15539000 +0! +#15539500 +1! +#15540000 +0! +#15540500 +1! +#15541000 +0! +#15541500 +1! +#15542000 +0! +#15542500 +1! +#15543000 +0! +#15543500 +1! +#15544000 +0! +#15544500 +1! +#15545000 +0! +#15545500 +1! +#15546000 +0! +#15546500 +1! +#15547000 +0! +#15547500 +1! +#15548000 +0! +#15548500 +1! +#15549000 +0! +#15549500 +1! +#15550000 +0! +#15550500 +1! +#15551000 +0! +#15551500 +1! +#15552000 +0! +#15552500 +1! +#15553000 +0! +#15553500 +1! +#15554000 +0! +#15554500 +1! +#15555000 +0! +#15555500 +1! +#15556000 +0! +#15556500 +1! +#15557000 +0! +#15557500 +1! +#15558000 +0! +#15558500 +1! +#15559000 +0! +#15559500 +1! +#15560000 +0! +#15560500 +1! +#15561000 +0! +#15561500 +1! +#15562000 +0! +#15562500 +1! +#15563000 +0! +#15563500 +1! +#15564000 +0! +#15564500 +1! +#15565000 +0! +#15565500 +1! +#15566000 +0! +#15566500 +1! +#15567000 +0! +#15567500 +1! +#15568000 +0! +#15568500 +1! +#15569000 +0! +#15569500 +1! +#15570000 +0! +#15570500 +1! +#15571000 +0! +#15571500 +1! +#15572000 +0! +#15572500 +1! +#15573000 +0! +#15573500 +1! +#15574000 +0! +#15574500 +1! +#15575000 +0! +#15575500 +1! +#15576000 +0! +#15576500 +1! +#15577000 +0! +#15577500 +1! +#15578000 +0! +#15578500 +1! +#15579000 +0! +#15579500 +1! +#15580000 +0! +#15580500 +1! +#15581000 +0! +#15581500 +1! +#15582000 +0! +#15582500 +1! +#15583000 +0! +#15583500 +1! +#15584000 +0! +#15584500 +1! +#15585000 +0! +#15585500 +1! +#15586000 +0! +#15586500 +1! +#15587000 +0! +#15587500 +1! +#15588000 +0! +#15588500 +1! +#15589000 +0! +#15589500 +1! +#15590000 +0! +#15590500 +1! +#15591000 +0! +#15591500 +1! +#15592000 +0! +#15592500 +1! +#15593000 +0! +#15593500 +1! +#15594000 +0! +#15594500 +1! +#15595000 +0! +#15595500 +1! +#15596000 +0! +#15596500 +1! +#15597000 +0! +#15597500 +1! +#15598000 +0! +#15598500 +1! +#15599000 +0! +#15599500 +1! +#15600000 +0! +#15600500 +1! +#15601000 +0! +#15601500 +1! +#15602000 +0! +#15602500 +1! +#15603000 +0! +#15603500 +1! +#15604000 +0! +#15604500 +1! +#15605000 +0! +#15605500 +1! +#15606000 +0! +#15606500 +1! +#15607000 +0! +#15607500 +1! +#15608000 +0! +#15608500 +1! +#15609000 +0! +#15609500 +1! +#15610000 +0! +#15610500 +1! +#15611000 +0! +#15611500 +1! +#15612000 +0! +#15612500 +1! +#15613000 +0! +#15613500 +1! +#15614000 +0! +#15614500 +1! +#15615000 +0! +#15615500 +1! +#15616000 +0! +#15616500 +1! +#15617000 +0! +#15617500 +1! +#15618000 +0! +#15618500 +1! +#15619000 +0! +#15619500 +1! +#15620000 +0! +#15620500 +1! +#15621000 +0! +#15621500 +1! +#15622000 +0! +#15622500 +1! +#15623000 +0! +#15623500 +1! +#15624000 +0! +#15624500 +1! +#15625000 +0! +#15625500 +1! +#15626000 +0! +#15626500 +1! +#15627000 +0! +#15627500 +1! +#15628000 +0! +#15628500 +1! +#15629000 +0! +#15629500 +1! +#15630000 +0! +#15630500 +1! +#15631000 +0! +#15631500 +1! +#15632000 +0! +#15632500 +1! +#15633000 +0! +#15633500 +1! +#15634000 +0! +#15634500 +1! +#15635000 +0! +#15635500 +1! +#15636000 +0! +#15636500 +1! +#15637000 +0! +#15637500 +1! +#15638000 +0! +#15638500 +1! +#15639000 +0! +#15639500 +1! +#15640000 +0! +#15640500 +1! +#15641000 +0! +#15641500 +1! +#15642000 +0! +#15642500 +1! +#15643000 +0! +#15643500 +1! +#15644000 +0! +#15644500 +1! +#15645000 +0! +#15645500 +1! +#15646000 +0! +#15646500 +1! +#15647000 +0! +#15647500 +1! +#15648000 +0! +#15648500 +1! +#15649000 +0! +#15649500 +1! +#15650000 +0! +#15650500 +1! +#15651000 +0! +#15651500 +1! +#15652000 +0! +#15652500 +1! +#15653000 +0! +#15653500 +1! +#15654000 +0! +#15654500 +1! +#15655000 +0! +#15655500 +1! +#15656000 +0! +#15656500 +1! +#15657000 +0! +#15657500 +1! +#15658000 +0! +#15658500 +1! +#15659000 +0! +#15659500 +1! +#15660000 +0! +#15660500 +1! +#15661000 +0! +#15661500 +1! +#15662000 +0! +#15662500 +1! +#15663000 +0! +#15663500 +1! +#15664000 +0! +#15664500 +1! +#15665000 +0! +#15665500 +1! +#15666000 +0! +#15666500 +1! +#15667000 +0! +#15667500 +1! +#15668000 +0! +#15668500 +1! +#15669000 +0! +#15669500 +1! +#15670000 +0! +#15670500 +1! +#15671000 +0! +#15671500 +1! +#15672000 +0! +#15672500 +1! +#15673000 +0! +#15673500 +1! +#15674000 +0! +#15674500 +1! +#15675000 +0! +#15675500 +1! +#15676000 +0! +#15676500 +1! +#15677000 +0! +#15677500 +1! +#15678000 +0! +#15678500 +1! +#15679000 +0! +#15679500 +1! +#15680000 +0! +#15680500 +1! +#15681000 +0! +#15681500 +1! +#15682000 +0! +#15682500 +1! +#15683000 +0! +#15683500 +1! +#15684000 +0! +#15684500 +1! +#15685000 +0! +#15685500 +1! +#15686000 +0! +#15686500 +1! +#15687000 +0! +#15687500 +1! +#15688000 +0! +#15688500 +1! +#15689000 +0! +#15689500 +1! +#15690000 +0! +#15690500 +1! +#15691000 +0! +#15691500 +1! +#15692000 +0! +#15692500 +1! +#15693000 +0! +#15693500 +1! +#15694000 +0! +#15694500 +1! +#15695000 +0! +#15695500 +1! +#15696000 +0! +#15696500 +1! +#15697000 +0! +#15697500 +1! +#15698000 +0! +#15698500 +1! +#15699000 +0! +#15699500 +1! +#15700000 +0! +#15700500 +1! +#15701000 +0! +#15701500 +1! +#15702000 +0! +#15702500 +1! +#15703000 +0! +#15703500 +1! +#15704000 +0! +#15704500 +1! +#15705000 +0! +#15705500 +1! +#15706000 +0! +#15706500 +1! +#15707000 +0! +#15707500 +1! +#15708000 +0! +#15708500 +1! +#15709000 +0! +#15709500 +1! +#15710000 +0! +#15710500 +1! +#15711000 +0! +#15711500 +1! +#15712000 +0! +#15712500 +1! +#15713000 +0! +#15713500 +1! +#15714000 +0! +#15714500 +1! +#15715000 +0! +#15715500 +1! +#15716000 +0! +#15716500 +1! +#15717000 +0! +#15717500 +1! +#15718000 +0! +#15718500 +1! +#15719000 +0! +#15719500 +1! +#15720000 +0! +#15720500 +1! +#15721000 +0! +#15721500 +1! +#15722000 +0! +#15722500 +1! +#15723000 +0! +#15723500 +1! +#15724000 +0! +#15724500 +1! +#15725000 +0! +#15725500 +1! +#15726000 +0! +#15726500 +1! +#15727000 +0! +#15727500 +1! +#15728000 +0! +#15728500 +1! +#15729000 +0! +#15729500 +1! +#15730000 +0! +#15730500 +1! +#15731000 +0! +#15731500 +1! +#15732000 +0! +#15732500 +1! +#15733000 +0! +#15733500 +1! +#15734000 +0! +#15734500 +1! +#15735000 +0! +#15735500 +1! +#15736000 +0! +#15736500 +1! +#15737000 +0! +#15737500 +1! +#15738000 +0! +#15738500 +1! +#15739000 +0! +#15739500 +1! +#15740000 +0! +#15740500 +1! +#15741000 +0! +#15741500 +1! +#15742000 +0! +#15742500 +1! +#15743000 +0! +#15743500 +1! +#15744000 +0! +#15744500 +1! +#15745000 +0! +#15745500 +1! +#15746000 +0! +#15746500 +1! +#15747000 +0! +#15747500 +1! +#15748000 +0! +#15748500 +1! +#15749000 +0! +#15749500 +1! +#15750000 +0! +#15750500 +1! +#15751000 +0! +#15751500 +1! +#15752000 +0! +#15752500 +1! +#15753000 +0! +#15753500 +1! +#15754000 +0! +#15754500 +1! +#15755000 +0! +#15755500 +1! +#15756000 +0! +#15756500 +1! +#15757000 +0! +#15757500 +1! +#15758000 +0! +#15758500 +1! +#15759000 +0! +#15759500 +1! +#15760000 +0! +#15760500 +1! +#15761000 +0! +#15761500 +1! +#15762000 +0! +#15762500 +1! +#15763000 +0! +#15763500 +1! +#15764000 +0! +#15764500 +1! +#15765000 +0! +#15765500 +1! +#15766000 +0! +#15766500 +1! +#15767000 +0! +#15767500 +1! +#15768000 +0! +#15768500 +1! +#15769000 +0! +#15769500 +1! +#15770000 +0! +#15770500 +1! +#15771000 +0! +#15771500 +1! +#15772000 +0! +#15772500 +1! +#15773000 +0! +#15773500 +1! +#15774000 +0! +#15774500 +1! +#15775000 +0! +#15775500 +1! +#15776000 +0! +#15776500 +1! +#15777000 +0! +#15777500 +1! +#15778000 +0! +#15778500 +1! +#15779000 +0! +#15779500 +1! +#15780000 +0! +#15780500 +1! +#15781000 +0! +#15781500 +1! +#15782000 +0! +#15782500 +1! +#15783000 +0! +#15783500 +1! +#15784000 +0! +#15784500 +1! +#15785000 +0! +#15785500 +1! +#15786000 +0! +#15786500 +1! +#15787000 +0! +#15787500 +1! +#15788000 +0! +#15788500 +1! +#15789000 +0! +#15789500 +1! +#15790000 +0! +#15790500 +1! +#15791000 +0! +#15791500 +1! +#15792000 +0! +#15792500 +1! +#15793000 +0! +#15793500 +1! +#15794000 +0! +#15794500 +1! +#15795000 +0! +#15795500 +1! +#15796000 +0! +#15796500 +1! +#15797000 +0! +#15797500 +1! +#15798000 +0! +#15798500 +1! +#15799000 +0! +#15799500 +1! +#15800000 +0! +#15800500 +1! +#15801000 +0! +#15801500 +1! +#15802000 +0! +#15802500 +1! +#15803000 +0! +#15803500 +1! +#15804000 +0! +#15804500 +1! +#15805000 +0! +#15805500 +1! +#15806000 +0! +#15806500 +1! +#15807000 +0! +#15807500 +1! +#15808000 +0! +#15808500 +1! +#15809000 +0! +#15809500 +1! +#15810000 +0! +#15810500 +1! +#15811000 +0! +#15811500 +1! +#15812000 +0! +#15812500 +1! +#15813000 +0! +#15813500 +1! +#15814000 +0! +#15814500 +1! +#15815000 +0! +#15815500 +1! +#15816000 +0! +#15816500 +1! +#15817000 +0! +#15817500 +1! +#15818000 +0! +#15818500 +1! +#15819000 +0! +#15819500 +1! +#15820000 +0! +#15820500 +1! +#15821000 +0! +#15821500 +1! +#15822000 +0! +#15822500 +1! +#15823000 +0! +#15823500 +1! +#15824000 +0! +#15824500 +1! +#15825000 +0! +#15825500 +1! +#15826000 +0! +#15826500 +1! +#15827000 +0! +#15827500 +1! +#15828000 +0! +#15828500 +1! +#15829000 +0! +#15829500 +1! +#15830000 +0! +#15830500 +1! +#15831000 +0! +#15831500 +1! +#15832000 +0! +#15832500 +1! +#15833000 +0! +#15833500 +1! +#15834000 +0! +#15834500 +1! +#15835000 +0! +#15835500 +1! +#15836000 +0! +#15836500 +1! +#15837000 +0! +#15837500 +1! +#15838000 +0! +#15838500 +1! +#15839000 +0! +#15839500 +1! +#15840000 +0! +#15840500 +1! +#15841000 +0! +#15841500 +1! +#15842000 +0! +#15842500 +1! +#15843000 +0! +#15843500 +1! +#15844000 +0! +#15844500 +1! +#15845000 +0! +#15845500 +1! +#15846000 +0! +#15846500 +1! +#15847000 +0! +#15847500 +1! +#15848000 +0! +#15848500 +1! +#15849000 +0! +#15849500 +1! +#15850000 +0! +#15850500 +1! +#15851000 +0! +#15851500 +1! +#15852000 +0! +#15852500 +1! +#15853000 +0! +#15853500 +1! +#15854000 +0! +#15854500 +1! +#15855000 +0! +#15855500 +1! +#15856000 +0! +#15856500 +1! +#15857000 +0! +#15857500 +1! +#15858000 +0! +#15858500 +1! +#15859000 +0! +#15859500 +1! +#15860000 +0! +#15860500 +1! +#15861000 +0! +#15861500 +1! +#15862000 +0! +#15862500 +1! +#15863000 +0! +#15863500 +1! +#15864000 +0! +#15864500 +1! +#15865000 +0! +#15865500 +1! +#15866000 +0! +#15866500 +1! +#15867000 +0! +#15867500 +1! +#15868000 +0! +#15868500 +1! +#15869000 +0! +#15869500 +1! +#15870000 +0! +#15870500 +1! +#15871000 +0! +#15871500 +1! +#15872000 +0! +#15872500 +1! +#15873000 +0! +#15873500 +1! +#15874000 +0! +#15874500 +1! +#15875000 +0! +#15875500 +1! +#15876000 +0! +#15876500 +1! +#15877000 +0! +#15877500 +1! +#15878000 +0! +#15878500 +1! +#15879000 +0! +#15879500 +1! +#15880000 +0! +#15880500 +1! +#15881000 +0! +#15881500 +1! +#15882000 +0! +#15882500 +1! +#15883000 +0! +#15883500 +1! +#15884000 +0! +#15884500 +1! +#15885000 +0! +#15885500 +1! +#15886000 +0! +#15886500 +1! +#15887000 +0! +#15887500 +1! +#15888000 +0! +#15888500 +1! +#15889000 +0! +#15889500 +1! +#15890000 +0! +#15890500 +1! +#15891000 +0! +#15891500 +1! +#15892000 +0! +#15892500 +1! +#15893000 +0! +#15893500 +1! +#15894000 +0! +#15894500 +1! +#15895000 +0! +#15895500 +1! +#15896000 +0! +#15896500 +1! +#15897000 +0! +#15897500 +1! +#15898000 +0! +#15898500 +1! +#15899000 +0! +#15899500 +1! +#15900000 +0! +#15900500 +1! +#15901000 +0! +#15901500 +1! +#15902000 +0! +#15902500 +1! +#15903000 +0! +#15903500 +1! +#15904000 +0! +#15904500 +1! +#15905000 +0! +#15905500 +1! +#15906000 +0! +#15906500 +1! +#15907000 +0! +#15907500 +1! +#15908000 +0! +#15908500 +1! +#15909000 +0! +#15909500 +1! +#15910000 +0! +#15910500 +1! +#15911000 +0! +#15911500 +1! +#15912000 +0! +#15912500 +1! +#15913000 +0! +#15913500 +1! +#15914000 +0! +#15914500 +1! +#15915000 +0! +#15915500 +1! +#15916000 +0! +#15916500 +1! +#15917000 +0! +#15917500 +1! +#15918000 +0! +#15918500 +1! +#15919000 +0! +#15919500 +1! +#15920000 +0! +#15920500 +1! +#15921000 +0! +#15921500 +1! +#15922000 +0! +#15922500 +1! +#15923000 +0! +#15923500 +1! +#15924000 +0! +#15924500 +1! +#15925000 +0! +#15925500 +1! +#15926000 +0! +#15926500 +1! +#15927000 +0! +#15927500 +1! +#15928000 +0! +#15928500 +1! +#15929000 +0! +#15929500 +1! +#15930000 +0! +#15930500 +1! +#15931000 +0! +#15931500 +1! +#15932000 +0! +#15932500 +1! +#15933000 +0! +#15933500 +1! +#15934000 +0! +#15934500 +1! +#15935000 +0! +#15935500 +1! +#15936000 +0! +#15936500 +1! +#15937000 +0! +#15937500 +1! +#15938000 +0! +#15938500 +1! +#15939000 +0! +#15939500 +1! +#15940000 +0! +#15940500 +1! +#15941000 +0! +#15941500 +1! +#15942000 +0! +#15942500 +1! +#15943000 +0! +#15943500 +1! +#15944000 +0! +#15944500 +1! +#15945000 +0! +#15945500 +1! +#15946000 +0! +#15946500 +1! +#15947000 +0! +#15947500 +1! +#15948000 +0! +#15948500 +1! +#15949000 +0! +#15949500 +1! +#15950000 +0! +#15950500 +1! +#15951000 +0! +#15951500 +1! +#15952000 +0! +#15952500 +1! +#15953000 +0! +#15953500 +1! +#15954000 +0! +#15954500 +1! +#15955000 +0! +#15955500 +1! +#15956000 +0! +#15956500 +1! +#15957000 +0! +#15957500 +1! +#15958000 +0! +#15958500 +1! +#15959000 +0! +#15959500 +1! +#15960000 +0! +#15960500 +1! +#15961000 +0! +#15961500 +1! +#15962000 +0! +#15962500 +1! +#15963000 +0! +#15963500 +1! +#15964000 +0! +#15964500 +1! +#15965000 +0! +#15965500 +1! +#15966000 +0! +#15966500 +1! +#15967000 +0! +#15967500 +1! +#15968000 +0! +#15968500 +1! +#15969000 +0! +#15969500 +1! +#15970000 +0! +#15970500 +1! +#15971000 +0! +#15971500 +1! +#15972000 +0! +#15972500 +1! +#15973000 +0! +#15973500 +1! +#15974000 +0! +#15974500 +1! +#15975000 +0! +#15975500 +1! +#15976000 +0! +#15976500 +1! +#15977000 +0! +#15977500 +1! +#15978000 +0! +#15978500 +1! +#15979000 +0! +#15979500 +1! +#15980000 +0! +#15980500 +1! +#15981000 +0! +#15981500 +1! +#15982000 +0! +#15982500 +1! +#15983000 +0! +#15983500 +1! +#15984000 +0! +#15984500 +1! +#15985000 +0! +#15985500 +1! +#15986000 +0! +#15986500 +1! +#15987000 +0! +#15987500 +1! +#15988000 +0! +#15988500 +1! +#15989000 +0! +#15989500 +1! +#15990000 +0! +#15990500 +1! +#15991000 +0! +#15991500 +1! +#15992000 +0! +#15992500 +1! +#15993000 +0! +#15993500 +1! +#15994000 +0! +#15994500 +1! +#15995000 +0! +#15995500 +1! +#15996000 +0! +#15996500 +1! +#15997000 +0! +#15997500 +1! +#15998000 +0! +#15998500 +1! +#15999000 +0! +#15999500 +1! +#16000000 +0! +#16000500 +1! +#16001000 +0! +#16001500 +1! +#16002000 +0! +#16002500 +1! +#16003000 +0! +#16003500 +1! +#16004000 +0! +#16004500 +1! +#16005000 +0! +#16005500 +1! +#16006000 +0! +#16006500 +1! +#16007000 +0! +#16007500 +1! +#16008000 +0! +#16008500 +1! +#16009000 +0! +#16009500 +1! +#16010000 +0! +#16010500 +1! +#16011000 +0! +#16011500 +1! +#16012000 +0! +#16012500 +1! +#16013000 +0! +#16013500 +1! +#16014000 +0! +#16014500 +1! +#16015000 +0! +#16015500 +1! +#16016000 +0! +#16016500 +1! +#16017000 +0! +#16017500 +1! +#16018000 +0! +#16018500 +1! +#16019000 +0! +#16019500 +1! +#16020000 +0! +#16020500 +1! +#16021000 +0! +#16021500 +1! +#16022000 +0! +#16022500 +1! +#16023000 +0! +#16023500 +1! +#16024000 +0! +#16024500 +1! +#16025000 +0! +#16025500 +1! +#16026000 +0! +#16026500 +1! +#16027000 +0! +#16027500 +1! +#16028000 +0! +#16028500 +1! +#16029000 +0! +#16029500 +1! +#16030000 +0! +#16030500 +1! +#16031000 +0! +#16031500 +1! +#16032000 +0! +#16032500 +1! +#16033000 +0! +#16033500 +1! +#16034000 +0! +#16034500 +1! +#16035000 +0! +#16035500 +1! +#16036000 +0! +#16036500 +1! +#16037000 +0! +#16037500 +1! +#16038000 +0! +#16038500 +1! +#16039000 +0! +#16039500 +1! +#16040000 +0! +#16040500 +1! +#16041000 +0! +#16041500 +1! +#16042000 +0! +#16042500 +1! +#16043000 +0! +#16043500 +1! +#16044000 +0! +#16044500 +1! +#16045000 +0! +#16045500 +1! +#16046000 +0! +#16046500 +1! +#16047000 +0! +#16047500 +1! +#16048000 +0! +#16048500 +1! +#16049000 +0! +#16049500 +1! +#16050000 +0! +#16050500 +1! +#16051000 +0! +#16051500 +1! +#16052000 +0! +#16052500 +1! +#16053000 +0! +#16053500 +1! +#16054000 +0! +#16054500 +1! +#16055000 +0! +#16055500 +1! +#16056000 +0! +#16056500 +1! +#16057000 +0! +#16057500 +1! +#16058000 +0! +#16058500 +1! +#16059000 +0! +#16059500 +1! +#16060000 +0! +#16060500 +1! +#16061000 +0! +#16061500 +1! +#16062000 +0! +#16062500 +1! +#16063000 +0! +#16063500 +1! +#16064000 +0! +#16064500 +1! +#16065000 +0! +#16065500 +1! +#16066000 +0! +#16066500 +1! +#16067000 +0! +#16067500 +1! +#16068000 +0! +#16068500 +1! +#16069000 +0! +#16069500 +1! +#16070000 +0! +#16070500 +1! +#16071000 +0! +#16071500 +1! +#16072000 +0! +#16072500 +1! +#16073000 +0! +#16073500 +1! +#16074000 +0! +#16074500 +1! +#16075000 +0! +#16075500 +1! +#16076000 +0! +#16076500 +1! +#16077000 +0! +#16077500 +1! +#16078000 +0! +#16078500 +1! +#16079000 +0! +#16079500 +1! +#16080000 +0! +#16080500 +1! +#16081000 +0! +#16081500 +1! +#16082000 +0! +#16082500 +1! +#16083000 +0! +#16083500 +1! +#16084000 +0! +#16084500 +1! +#16085000 +0! +#16085500 +1! +#16086000 +0! +#16086500 +1! +#16087000 +0! +#16087500 +1! +#16088000 +0! +#16088500 +1! +#16089000 +0! +#16089500 +1! +#16090000 +0! +#16090500 +1! +#16091000 +0! +#16091500 +1! +#16092000 +0! +#16092500 +1! +#16093000 +0! +#16093500 +1! +#16094000 +0! +#16094500 +1! +#16095000 +0! +#16095500 +1! +#16096000 +0! +#16096500 +1! +#16097000 +0! +#16097500 +1! +#16098000 +0! +#16098500 +1! +#16099000 +0! +#16099500 +1! +#16100000 +0! +#16100500 +1! +#16101000 +0! +#16101500 +1! +#16102000 +0! +#16102500 +1! +#16103000 +0! +#16103500 +1! +#16104000 +0! +#16104500 +1! +#16105000 +0! +#16105500 +1! +#16106000 +0! +#16106500 +1! +#16107000 +0! +#16107500 +1! +#16108000 +0! +#16108500 +1! +#16109000 +0! +#16109500 +1! +#16110000 +0! +#16110500 +1! +#16111000 +0! +#16111500 +1! +#16112000 +0! +#16112500 +1! +#16113000 +0! +#16113500 +1! +#16114000 +0! +#16114500 +1! +#16115000 +0! +#16115500 +1! +#16116000 +0! +#16116500 +1! +#16117000 +0! +#16117500 +1! +#16118000 +0! +#16118500 +1! +#16119000 +0! +#16119500 +1! +#16120000 +0! +#16120500 +1! +#16121000 +0! +#16121500 +1! +#16122000 +0! +#16122500 +1! +#16123000 +0! +#16123500 +1! +#16124000 +0! +#16124500 +1! +#16125000 +0! +#16125500 +1! +#16126000 +0! +#16126500 +1! +#16127000 +0! +#16127500 +1! +#16128000 +0! +#16128500 +1! +#16129000 +0! +#16129500 +1! +#16130000 +0! +#16130500 +1! +#16131000 +0! +#16131500 +1! +#16132000 +0! +#16132500 +1! +#16133000 +0! +#16133500 +1! +#16134000 +0! +#16134500 +1! +#16135000 +0! +#16135500 +1! +#16136000 +0! +#16136500 +1! +#16137000 +0! +#16137500 +1! +#16138000 +0! +#16138500 +1! +#16139000 +0! +#16139500 +1! +#16140000 +0! +#16140500 +1! +#16141000 +0! +#16141500 +1! +#16142000 +0! +#16142500 +1! +#16143000 +0! +#16143500 +1! +#16144000 +0! +#16144500 +1! +#16145000 +0! +#16145500 +1! +#16146000 +0! +#16146500 +1! +#16147000 +0! +#16147500 +1! +#16148000 +0! +#16148500 +1! +#16149000 +0! +#16149500 +1! +#16150000 +0! +#16150500 +1! +#16151000 +0! +#16151500 +1! +#16152000 +0! +#16152500 +1! +#16153000 +0! +#16153500 +1! +#16154000 +0! +#16154500 +1! +#16155000 +0! +#16155500 +1! +#16156000 +0! +#16156500 +1! +#16157000 +0! +#16157500 +1! +#16158000 +0! +#16158500 +1! +#16159000 +0! +#16159500 +1! +#16160000 +0! +#16160500 +1! +#16161000 +0! +#16161500 +1! +#16162000 +0! +#16162500 +1! +#16163000 +0! +#16163500 +1! +#16164000 +0! +#16164500 +1! +#16165000 +0! +#16165500 +1! +#16166000 +0! +#16166500 +1! +#16167000 +0! +#16167500 +1! +#16168000 +0! +#16168500 +1! +#16169000 +0! +#16169500 +1! +#16170000 +0! +#16170500 +1! +#16171000 +0! +#16171500 +1! +#16172000 +0! +#16172500 +1! +#16173000 +0! +#16173500 +1! +#16174000 +0! +#16174500 +1! +#16175000 +0! +#16175500 +1! +#16176000 +0! +#16176500 +1! +#16177000 +0! +#16177500 +1! +#16178000 +0! +#16178500 +1! +#16179000 +0! +#16179500 +1! +#16180000 +0! +#16180500 +1! +#16181000 +0! +#16181500 +1! +#16182000 +0! +#16182500 +1! +#16183000 +0! +#16183500 +1! +#16184000 +0! +#16184500 +1! +#16185000 +0! +#16185500 +1! +#16186000 +0! +#16186500 +1! +#16187000 +0! +#16187500 +1! +#16188000 +0! +#16188500 +1! +#16189000 +0! +#16189500 +1! +#16190000 +0! +#16190500 +1! +#16191000 +0! +#16191500 +1! +#16192000 +0! +#16192500 +1! +#16193000 +0! +#16193500 +1! +#16194000 +0! +#16194500 +1! +#16195000 +0! +#16195500 +1! +#16196000 +0! +#16196500 +1! +#16197000 +0! +#16197500 +1! +#16198000 +0! +#16198500 +1! +#16199000 +0! +#16199500 +1! +#16200000 +0! +#16200500 +1! +#16201000 +0! +#16201500 +1! +#16202000 +0! +#16202500 +1! +#16203000 +0! +#16203500 +1! +#16204000 +0! +#16204500 +1! +#16205000 +0! +#16205500 +1! +#16206000 +0! +#16206500 +1! +#16207000 +0! +#16207500 +1! +#16208000 +0! +#16208500 +1! +#16209000 +0! +#16209500 +1! +#16210000 +0! +#16210500 +1! +#16211000 +0! +#16211500 +1! +#16212000 +0! +#16212500 +1! +#16213000 +0! +#16213500 +1! +#16214000 +0! +#16214500 +1! +#16215000 +0! +#16215500 +1! +#16216000 +0! +#16216500 +1! +#16217000 +0! +#16217500 +1! +#16218000 +0! +#16218500 +1! +#16219000 +0! +#16219500 +1! +#16220000 +0! +#16220500 +1! +#16221000 +0! +#16221500 +1! +#16222000 +0! +#16222500 +1! +#16223000 +0! +#16223500 +1! +#16224000 +0! +#16224500 +1! +#16225000 +0! +#16225500 +1! +#16226000 +0! +#16226500 +1! +#16227000 +0! +#16227500 +1! +#16228000 +0! +#16228500 +1! +#16229000 +0! +#16229500 +1! +#16230000 +0! +#16230500 +1! +#16231000 +0! +#16231500 +1! +#16232000 +0! +#16232500 +1! +#16233000 +0! +#16233500 +1! +#16234000 +0! +#16234500 +1! +#16235000 +0! +#16235500 +1! +#16236000 +0! +#16236500 +1! +#16237000 +0! +#16237500 +1! +#16238000 +0! +#16238500 +1! +#16239000 +0! +#16239500 +1! +#16240000 +0! +#16240500 +1! +#16241000 +0! +#16241500 +1! +#16242000 +0! +#16242500 +1! +#16243000 +0! +#16243500 +1! +#16244000 +0! +#16244500 +1! +#16245000 +0! +#16245500 +1! +#16246000 +0! +#16246500 +1! +#16247000 +0! +#16247500 +1! +#16248000 +0! +#16248500 +1! +#16249000 +0! +#16249500 +1! +#16250000 +0! +#16250500 +1! +#16251000 +0! +#16251500 +1! +#16252000 +0! +#16252500 +1! +#16253000 +0! +#16253500 +1! +#16254000 +0! +#16254500 +1! +#16255000 +0! +#16255500 +1! +#16256000 +0! +#16256500 +1! +#16257000 +0! +#16257500 +1! +#16258000 +0! +#16258500 +1! +#16259000 +0! +#16259500 +1! +#16260000 +0! +#16260500 +1! +#16261000 +0! +#16261500 +1! +#16262000 +0! +#16262500 +1! +#16263000 +0! +#16263500 +1! +#16264000 +0! +#16264500 +1! +#16265000 +0! +#16265500 +1! +#16266000 +0! +#16266500 +1! +#16267000 +0! +#16267500 +1! +#16268000 +0! +#16268500 +1! +#16269000 +0! +#16269500 +1! +#16270000 +0! +#16270500 +1! +#16271000 +0! +#16271500 +1! +#16272000 +0! +#16272500 +1! +#16273000 +0! +#16273500 +1! +#16274000 +0! +#16274500 +1! +#16275000 +0! +#16275500 +1! +#16276000 +0! +#16276500 +1! +#16277000 +0! +#16277500 +1! +#16278000 +0! +#16278500 +1! +#16279000 +0! +#16279500 +1! +#16280000 +0! +#16280500 +1! +#16281000 +0! +#16281500 +1! +#16282000 +0! +#16282500 +1! +#16283000 +0! +#16283500 +1! +#16284000 +0! +#16284500 +1! +#16285000 +0! +#16285500 +1! +#16286000 +0! +#16286500 +1! +#16287000 +0! +#16287500 +1! +#16288000 +0! +#16288500 +1! +#16289000 +0! +#16289500 +1! +#16290000 +0! +#16290500 +1! +#16291000 +0! +#16291500 +1! +#16292000 +0! +#16292500 +1! +#16293000 +0! +#16293500 +1! +#16294000 +0! +#16294500 +1! +#16295000 +0! +#16295500 +1! +#16296000 +0! +#16296500 +1! +#16297000 +0! +#16297500 +1! +#16298000 +0! +#16298500 +1! +#16299000 +0! +#16299500 +1! +#16300000 +0! +#16300500 +1! +#16301000 +0! +#16301500 +1! +#16302000 +0! +#16302500 +1! +#16303000 +0! +#16303500 +1! +#16304000 +0! +#16304500 +1! +#16305000 +0! +#16305500 +1! +#16306000 +0! +#16306500 +1! +#16307000 +0! +#16307500 +1! +#16308000 +0! +#16308500 +1! +#16309000 +0! +#16309500 +1! +#16310000 +0! +#16310500 +1! +#16311000 +0! +#16311500 +1! +#16312000 +0! +#16312500 +1! +#16313000 +0! +#16313500 +1! +#16314000 +0! +#16314500 +1! +#16315000 +0! +#16315500 +1! +#16316000 +0! +#16316500 +1! +#16317000 +0! +#16317500 +1! +#16318000 +0! +#16318500 +1! +#16319000 +0! +#16319500 +1! +#16320000 +0! +#16320500 +1! +#16321000 +0! +#16321500 +1! +#16322000 +0! +#16322500 +1! +#16323000 +0! +#16323500 +1! +#16324000 +0! +#16324500 +1! +#16325000 +0! +#16325500 +1! +#16326000 +0! +#16326500 +1! +#16327000 +0! +#16327500 +1! +#16328000 +0! +#16328500 +1! +#16329000 +0! +#16329500 +1! +#16330000 +0! +#16330500 +1! +#16331000 +0! +#16331500 +1! +#16332000 +0! +#16332500 +1! +#16333000 +0! +#16333500 +1! +#16334000 +0! +#16334500 +1! +#16335000 +0! +#16335500 +1! +#16336000 +0! +#16336500 +1! +#16337000 +0! +#16337500 +1! +#16338000 +0! +#16338500 +1! +#16339000 +0! +#16339500 +1! +#16340000 +0! +#16340500 +1! +#16341000 +0! +#16341500 +1! +#16342000 +0! +#16342500 +1! +#16343000 +0! +#16343500 +1! +#16344000 +0! +#16344500 +1! +#16345000 +0! +#16345500 +1! +#16346000 +0! +#16346500 +1! +#16347000 +0! +#16347500 +1! +#16348000 +0! +#16348500 +1! +#16349000 +0! +#16349500 +1! +#16350000 +0! +#16350500 +1! +#16351000 +0! +#16351500 +1! +#16352000 +0! +#16352500 +1! +#16353000 +0! +#16353500 +1! +#16354000 +0! +#16354500 +1! +#16355000 +0! +#16355500 +1! +#16356000 +0! +#16356500 +1! +#16357000 +0! +#16357500 +1! +#16358000 +0! +#16358500 +1! +#16359000 +0! +#16359500 +1! +#16360000 +0! +#16360500 +1! +#16361000 +0! +#16361500 +1! +#16362000 +0! +#16362500 +1! +#16363000 +0! +#16363500 +1! +#16364000 +0! +#16364500 +1! +#16365000 +0! +#16365500 +1! +#16366000 +0! +#16366500 +1! +#16367000 +0! +#16367500 +1! +#16368000 +0! +#16368500 +1! +#16369000 +0! +#16369500 +1! +#16370000 +0! +#16370500 +1! +#16371000 +0! +#16371500 +1! +#16372000 +0! +#16372500 +1! +#16373000 +0! +#16373500 +1! +#16374000 +0! +#16374500 +1! +#16375000 +0! +#16375500 +1! +#16376000 +0! +#16376500 +1! +#16377000 +0! +#16377500 +1! +#16378000 +0! +#16378500 +1! +#16379000 +0! +#16379500 +1! +#16380000 +0! +#16380500 +1! +#16381000 +0! +#16381500 +1! +#16382000 +0! +#16382500 +1! +#16383000 +0! +#16383500 +1! +#16384000 +0! +#16384500 +1! +#16385000 +0! +#16385500 +1! +#16386000 +0! +#16386500 +1! +#16387000 +0! +#16387500 +1! +#16388000 +0! +#16388500 +1! +#16389000 +0! +#16389500 +1! +#16390000 +0! +#16390500 +1! +#16391000 +0! +#16391500 +1! +#16392000 +0! +#16392500 +1! +#16393000 +0! +#16393500 +1! +#16394000 +0! +#16394500 +1! +#16395000 +0! +#16395500 +1! +#16396000 +0! +#16396500 +1! +#16397000 +0! +#16397500 +1! +#16398000 +0! +#16398500 +1! +#16399000 +0! +#16399500 +1! +#16400000 +0! +#16400500 +1! +#16401000 +0! +#16401500 +1! +#16402000 +0! +#16402500 +1! +#16403000 +0! +#16403500 +1! +#16404000 +0! +#16404500 +1! +#16405000 +0! +#16405500 +1! +#16406000 +0! +#16406500 +1! +#16407000 +0! +#16407500 +1! +#16408000 +0! +#16408500 +1! +#16409000 +0! +#16409500 +1! +#16410000 +0! +#16410500 +1! +#16411000 +0! +#16411500 +1! +#16412000 +0! +#16412500 +1! +#16413000 +0! +#16413500 +1! +#16414000 +0! +#16414500 +1! +#16415000 +0! +#16415500 +1! +#16416000 +0! +#16416500 +1! +#16417000 +0! +#16417500 +1! +#16418000 +0! +#16418500 +1! +#16419000 +0! +#16419500 +1! +#16420000 +0! +#16420500 +1! +#16421000 +0! +#16421500 +1! +#16422000 +0! +#16422500 +1! +#16423000 +0! +#16423500 +1! +#16424000 +0! +#16424500 +1! +#16425000 +0! +#16425500 +1! +#16426000 +0! +#16426500 +1! +#16427000 +0! +#16427500 +1! +#16428000 +0! +#16428500 +1! +#16429000 +0! +#16429500 +1! +#16430000 +0! +#16430500 +1! +#16431000 +0! +#16431500 +1! +#16432000 +0! +#16432500 +1! +#16433000 +0! +#16433500 +1! +#16434000 +0! +#16434500 +1! +#16435000 +0! +#16435500 +1! +#16436000 +0! +#16436500 +1! +#16437000 +0! +#16437500 +1! +#16438000 +0! +#16438500 +1! +#16439000 +0! +#16439500 +1! +#16440000 +0! +#16440500 +1! +#16441000 +0! +#16441500 +1! +#16442000 +0! +#16442500 +1! +#16443000 +0! +#16443500 +1! +#16444000 +0! +#16444500 +1! +#16445000 +0! +#16445500 +1! +#16446000 +0! +#16446500 +1! +#16447000 +0! +#16447500 +1! +#16448000 +0! +#16448500 +1! +#16449000 +0! +#16449500 +1! +#16450000 +0! +#16450500 +1! +#16451000 +0! +#16451500 +1! +#16452000 +0! +#16452500 +1! +#16453000 +0! +#16453500 +1! +#16454000 +0! +#16454500 +1! +#16455000 +0! +#16455500 +1! +#16456000 +0! +#16456500 +1! +#16457000 +0! +#16457500 +1! +#16458000 +0! +#16458500 +1! +#16459000 +0! +#16459500 +1! +#16460000 +0! +#16460500 +1! +#16461000 +0! +#16461500 +1! +#16462000 +0! +#16462500 +1! +#16463000 +0! +#16463500 +1! +#16464000 +0! +#16464500 +1! +#16465000 +0! +#16465500 +1! +#16466000 +0! +#16466500 +1! +#16467000 +0! +#16467500 +1! +#16468000 +0! +#16468500 +1! +#16469000 +0! +#16469500 +1! +#16470000 +0! +#16470500 +1! +#16471000 +0! +#16471500 +1! +#16472000 +0! +#16472500 +1! +#16473000 +0! +#16473500 +1! +#16474000 +0! +#16474500 +1! +#16475000 +0! +#16475500 +1! +#16476000 +0! +#16476500 +1! +#16477000 +0! +#16477500 +1! +#16478000 +0! +#16478500 +1! +#16479000 +0! +#16479500 +1! +#16480000 +0! +#16480500 +1! +#16481000 +0! +#16481500 +1! +#16482000 +0! +#16482500 +1! +#16483000 +0! +#16483500 +1! +#16484000 +0! +#16484500 +1! +#16485000 +0! +#16485500 +1! +#16486000 +0! +#16486500 +1! +#16487000 +0! +#16487500 +1! +#16488000 +0! +#16488500 +1! +#16489000 +0! +#16489500 +1! +#16490000 +0! +#16490500 +1! +#16491000 +0! +#16491500 +1! +#16492000 +0! +#16492500 +1! +#16493000 +0! +#16493500 +1! +#16494000 +0! +#16494500 +1! +#16495000 +0! +#16495500 +1! +#16496000 +0! +#16496500 +1! +#16497000 +0! +#16497500 +1! +#16498000 +0! +#16498500 +1! +#16499000 +0! +#16499500 +1! +#16500000 +0! +#16500500 +1! +#16501000 +0! +#16501500 +1! +#16502000 +0! +#16502500 +1! +#16503000 +0! +#16503500 +1! +#16504000 +0! +#16504500 +1! +#16505000 +0! +#16505500 +1! +#16506000 +0! +#16506500 +1! +#16507000 +0! +#16507500 +1! +#16508000 +0! +#16508500 +1! +#16509000 +0! +#16509500 +1! +#16510000 +0! +#16510500 +1! +#16511000 +0! +#16511500 +1! +#16512000 +0! +#16512500 +1! +#16513000 +0! +#16513500 +1! +#16514000 +0! +#16514500 +1! +#16515000 +0! +#16515500 +1! +#16516000 +0! +#16516500 +1! +#16517000 +0! +#16517500 +1! +#16518000 +0! +#16518500 +1! +#16519000 +0! +#16519500 +1! +#16520000 +0! +#16520500 +1! +#16521000 +0! +#16521500 +1! +#16522000 +0! +#16522500 +1! +#16523000 +0! +#16523500 +1! +#16524000 +0! +#16524500 +1! +#16525000 +0! +#16525500 +1! +#16526000 +0! +#16526500 +1! +#16527000 +0! +#16527500 +1! +#16528000 +0! +#16528500 +1! +#16529000 +0! +#16529500 +1! +#16530000 +0! +#16530500 +1! +#16531000 +0! +#16531500 +1! +#16532000 +0! +#16532500 +1! +#16533000 +0! +#16533500 +1! +#16534000 +0! +#16534500 +1! +#16535000 +0! +#16535500 +1! +#16536000 +0! +#16536500 +1! +#16537000 +0! +#16537500 +1! +#16538000 +0! +#16538500 +1! +#16539000 +0! +#16539500 +1! +#16540000 +0! +#16540500 +1! +#16541000 +0! +#16541500 +1! +#16542000 +0! +#16542500 +1! +#16543000 +0! +#16543500 +1! +#16544000 +0! +#16544500 +1! +#16545000 +0! +#16545500 +1! +#16546000 +0! +#16546500 +1! +#16547000 +0! +#16547500 +1! +#16548000 +0! +#16548500 +1! +#16549000 +0! +#16549500 +1! +#16550000 +0! +#16550500 +1! +#16551000 +0! +#16551500 +1! +#16552000 +0! +#16552500 +1! +#16553000 +0! +#16553500 +1! +#16554000 +0! +#16554500 +1! +#16555000 +0! +#16555500 +1! +#16556000 +0! +#16556500 +1! +#16557000 +0! +#16557500 +1! +#16558000 +0! +#16558500 +1! +#16559000 +0! +#16559500 +1! +#16560000 +0! +#16560500 +1! +#16561000 +0! +#16561500 +1! +#16562000 +0! +#16562500 +1! +#16563000 +0! +#16563500 +1! +#16564000 +0! +#16564500 +1! +#16565000 +0! +#16565500 +1! +#16566000 +0! +#16566500 +1! +#16567000 +0! +#16567500 +1! +#16568000 +0! +#16568500 +1! +#16569000 +0! +#16569500 +1! +#16570000 +0! +#16570500 +1! +#16571000 +0! +#16571500 +1! +#16572000 +0! +#16572500 +1! +#16573000 +0! +#16573500 +1! +#16574000 +0! +#16574500 +1! +#16575000 +0! +#16575500 +1! +#16576000 +0! +#16576500 +1! +#16577000 +0! +#16577500 +1! +#16578000 +0! +#16578500 +1! +#16579000 +0! +#16579500 +1! +#16580000 +0! +#16580500 +1! +#16581000 +0! +#16581500 +1! +#16582000 +0! +#16582500 +1! +#16583000 +0! +#16583500 +1! +#16584000 +0! +#16584500 +1! +#16585000 +0! +#16585500 +1! +#16586000 +0! +#16586500 +1! +#16587000 +0! +#16587500 +1! +#16588000 +0! +#16588500 +1! +#16589000 +0! +#16589500 +1! +#16590000 +0! +#16590500 +1! +#16591000 +0! +#16591500 +1! +#16592000 +0! +#16592500 +1! +#16593000 +0! +#16593500 +1! +#16594000 +0! +#16594500 +1! +#16595000 +0! +#16595500 +1! +#16596000 +0! +#16596500 +1! +#16597000 +0! +#16597500 +1! +#16598000 +0! +#16598500 +1! +#16599000 +0! +#16599500 +1! +#16600000 +0! +#16600500 +1! +#16601000 +0! +#16601500 +1! +#16602000 +0! +#16602500 +1! +#16603000 +0! +#16603500 +1! +#16604000 +0! +#16604500 +1! +#16605000 +0! +#16605500 +1! +#16606000 +0! +#16606500 +1! +#16607000 +0! +#16607500 +1! +#16608000 +0! +#16608500 +1! +#16609000 +0! +#16609500 +1! +#16610000 +0! +#16610500 +1! +#16611000 +0! +#16611500 +1! +#16612000 +0! +#16612500 +1! +#16613000 +0! +#16613500 +1! +#16614000 +0! +#16614500 +1! +#16615000 +0! +#16615500 +1! +#16616000 +0! +#16616500 +1! +#16617000 +0! +#16617500 +1! +#16618000 +0! +#16618500 +1! +#16619000 +0! +#16619500 +1! +#16620000 +0! +#16620500 +1! +#16621000 +0! +#16621500 +1! +#16622000 +0! +#16622500 +1! +#16623000 +0! +#16623500 +1! +#16624000 +0! +#16624500 +1! +#16625000 +0! +#16625500 +1! +#16626000 +0! +#16626500 +1! +#16627000 +0! +#16627500 +1! +#16628000 +0! +#16628500 +1! +#16629000 +0! +#16629500 +1! +#16630000 +0! +#16630500 +1! +#16631000 +0! +#16631500 +1! +#16632000 +0! +#16632500 +1! +#16633000 +0! +#16633500 +1! +#16634000 +0! +#16634500 +1! +#16635000 +0! +#16635500 +1! +#16636000 +0! +#16636500 +1! +#16637000 +0! +#16637500 +1! +#16638000 +0! +#16638500 +1! +#16639000 +0! +#16639500 +1! +#16640000 +0! +#16640500 +1! +#16641000 +0! +#16641500 +1! +#16642000 +0! +#16642500 +1! +#16643000 +0! +#16643500 +1! +#16644000 +0! +#16644500 +1! +#16645000 +0! +#16645500 +1! +#16646000 +0! +#16646500 +1! +#16647000 +0! +#16647500 +1! +#16648000 +0! +#16648500 +1! +#16649000 +0! +#16649500 +1! +#16650000 +0! +#16650500 +1! +#16651000 +0! +#16651500 +1! +#16652000 +0! +#16652500 +1! +#16653000 +0! +#16653500 +1! +#16654000 +0! +#16654500 +1! +#16655000 +0! +#16655500 +1! +#16656000 +0! +#16656500 +1! +#16657000 +0! +#16657500 +1! +#16658000 +0! +#16658500 +1! +#16659000 +0! +#16659500 +1! +#16660000 +0! +#16660500 +1! +#16661000 +0! +#16661500 +1! +#16662000 +0! +#16662500 +1! +#16663000 +0! +#16663500 +1! +#16664000 +0! +#16664500 +1! +#16665000 +0! +#16665500 +1! +#16666000 +0! +#16666500 +1! +#16667000 +0! +#16667500 +1! +#16668000 +0! +#16668500 +1! +#16669000 +0! +#16669500 +1! +#16670000 +0! +#16670500 +1! +#16671000 +0! +#16671500 +1! +#16672000 +0! +#16672500 +1! +#16673000 +0! +#16673500 +1! +#16674000 +0! +#16674500 +1! +#16675000 +0! +#16675500 +1! +#16676000 +0! +#16676500 +1! +#16677000 +0! +#16677500 +1! +#16678000 +0! +#16678500 +1! +#16679000 +0! +#16679500 +1! +#16680000 +0! +#16680500 +1! +#16681000 +0! +#16681500 +1! +#16682000 +0! +#16682500 +1! +#16683000 +0! +#16683500 +1! +#16684000 +0! +#16684500 +1! +#16685000 +0! +#16685500 +1! +#16686000 +0! +#16686500 +1! +#16687000 +0! +#16687500 +1! +#16688000 +0! +#16688500 +1! +#16689000 +0! +#16689500 +1! +#16690000 +0! +#16690500 +1! +#16691000 +0! +#16691500 +1! +#16692000 +0! +#16692500 +1! +#16693000 +0! +#16693500 +1! +#16694000 +0! +#16694500 +1! +#16695000 +0! +#16695500 +1! +#16696000 +0! +#16696500 +1! +#16697000 +0! +#16697500 +1! +#16698000 +0! +#16698500 +1! +#16699000 +0! +#16699500 +1! +#16700000 +0! +#16700500 +1! +#16701000 +0! +#16701500 +1! +#16702000 +0! +#16702500 +1! +#16703000 +0! +#16703500 +1! +#16704000 +0! +#16704500 +1! +#16705000 +0! +#16705500 +1! +#16706000 +0! +#16706500 +1! +#16707000 +0! +#16707500 +1! +#16708000 +0! +#16708500 +1! +#16709000 +0! +#16709500 +1! +#16710000 +0! +#16710500 +1! +#16711000 +0! +#16711500 +1! +#16712000 +0! +#16712500 +1! +#16713000 +0! +#16713500 +1! +#16714000 +0! +#16714500 +1! +#16715000 +0! +#16715500 +1! +#16716000 +0! +#16716500 +1! +#16717000 +0! +#16717500 +1! +#16718000 +0! +#16718500 +1! +#16719000 +0! +#16719500 +1! +#16720000 +0! +#16720500 +1! +#16721000 +0! +#16721500 +1! +#16722000 +0! +#16722500 +1! +#16723000 +0! +#16723500 +1! +#16724000 +0! +#16724500 +1! +#16725000 +0! +#16725500 +1! +#16726000 +0! +#16726500 +1! +#16727000 +0! +#16727500 +1! +#16728000 +0! +#16728500 +1! +#16729000 +0! +#16729500 +1! +#16730000 +0! +#16730500 +1! +#16731000 +0! +#16731500 +1! +#16732000 +0! +#16732500 +1! +#16733000 +0! +#16733500 +1! +#16734000 +0! +#16734500 +1! +#16735000 +0! +#16735500 +1! +#16736000 +0! +#16736500 +1! +#16737000 +0! +#16737500 +1! +#16738000 +0! +#16738500 +1! +#16739000 +0! +#16739500 +1! +#16740000 +0! +#16740500 +1! +#16741000 +0! +#16741500 +1! +#16742000 +0! +#16742500 +1! +#16743000 +0! +#16743500 +1! +#16744000 +0! +#16744500 +1! +#16745000 +0! +#16745500 +1! +#16746000 +0! +#16746500 +1! +#16747000 +0! +#16747500 +1! +#16748000 +0! +#16748500 +1! +#16749000 +0! +#16749500 +1! +#16750000 +0! +#16750500 +1! +#16751000 +0! +#16751500 +1! +#16752000 +0! +#16752500 +1! +#16753000 +0! +#16753500 +1! +#16754000 +0! +#16754500 +1! +#16755000 +0! +#16755500 +1! +#16756000 +0! +#16756500 +1! +#16757000 +0! +#16757500 +1! +#16758000 +0! +#16758500 +1! +#16759000 +0! +#16759500 +1! +#16760000 +0! +#16760500 +1! +#16761000 +0! +#16761500 +1! +#16762000 +0! +#16762500 +1! +#16763000 +0! +#16763500 +1! +#16764000 +0! +#16764500 +1! +#16765000 +0! +#16765500 +1! +#16766000 +0! +#16766500 +1! +#16767000 +0! +#16767500 +1! +#16768000 +0! +#16768500 +1! +#16769000 +0! +#16769500 +1! +#16770000 +0! +#16770500 +1! +#16771000 +0! +#16771500 +1! +#16772000 +0! +#16772500 +1! +#16773000 +0! +#16773500 +1! +#16774000 +0! +#16774500 +1! +#16775000 +0! +#16775500 +1! +#16776000 +0! +#16776500 +1! +#16777000 +0! +#16777500 +1! +#16778000 +0! +#16778500 +1! +#16779000 +0! +#16779500 +1! +#16780000 +0! +#16780500 +1! +#16781000 +0! +#16781500 +1! +#16782000 +0! +#16782500 +1! +#16783000 +0! +#16783500 +1! +#16784000 +0! +#16784500 +1! +#16785000 +0! +#16785500 +1! +#16786000 +0! +#16786500 +1! +#16787000 +0! +#16787500 +1! +#16788000 +0! +#16788500 +1! +#16789000 +0! +#16789500 +1! +#16790000 +0! +#16790500 +1! +#16791000 +0! +#16791500 +1! +#16792000 +0! +#16792500 +1! +#16793000 +0! +#16793500 +1! +#16794000 +0! +#16794500 +1! +#16795000 +0! +#16795500 +1! +#16796000 +0! +#16796500 +1! +#16797000 +0! +#16797500 +1! +#16798000 +0! +#16798500 +1! +#16799000 +0! +#16799500 +1! +#16800000 +0! +#16800500 +1! +#16801000 +0! +#16801500 +1! +#16802000 +0! +#16802500 +1! +#16803000 +0! +#16803500 +1! +#16804000 +0! +#16804500 +1! +#16805000 +0! +#16805500 +1! +#16806000 +0! +#16806500 +1! +#16807000 +0! +#16807500 +1! +#16808000 +0! +#16808500 +1! +#16809000 +0! +#16809500 +1! +#16810000 +0! +#16810500 +1! +#16811000 +0! +#16811500 +1! +#16812000 +0! +#16812500 +1! +#16813000 +0! +#16813500 +1! +#16814000 +0! +#16814500 +1! +#16815000 +0! +#16815500 +1! +#16816000 +0! +#16816500 +1! +#16817000 +0! +#16817500 +1! +#16818000 +0! +#16818500 +1! +#16819000 +0! +#16819500 +1! +#16820000 +0! +#16820500 +1! +#16821000 +0! +#16821500 +1! +#16822000 +0! +#16822500 +1! +#16823000 +0! +#16823500 +1! +#16824000 +0! +#16824500 +1! +#16825000 +0! +#16825500 +1! +#16826000 +0! +#16826500 +1! +#16827000 +0! +#16827500 +1! +#16828000 +0! +#16828500 +1! +#16829000 +0! +#16829500 +1! +#16830000 +0! +#16830500 +1! +#16831000 +0! +#16831500 +1! +#16832000 +0! +#16832500 +1! +#16833000 +0! +#16833500 +1! +#16834000 +0! +#16834500 +1! +#16835000 +0! +#16835500 +1! +#16836000 +0! +#16836500 +1! +#16837000 +0! +#16837500 +1! +#16838000 +0! +#16838500 +1! +#16839000 +0! +#16839500 +1! +#16840000 +0! +#16840500 +1! +#16841000 +0! +#16841500 +1! +#16842000 +0! +#16842500 +1! +#16843000 +0! +#16843500 +1! +#16844000 +0! +#16844500 +1! +#16845000 +0! +#16845500 +1! +#16846000 +0! +#16846500 +1! +#16847000 +0! +#16847500 +1! +#16848000 +0! +#16848500 +1! +#16849000 +0! +#16849500 +1! +#16850000 +0! +#16850500 +1! +#16851000 +0! +#16851500 +1! +#16852000 +0! +#16852500 +1! +#16853000 +0! +#16853500 +1! +#16854000 +0! +#16854500 +1! +#16855000 +0! +#16855500 +1! +#16856000 +0! +#16856500 +1! +#16857000 +0! +#16857500 +1! +#16858000 +0! +#16858500 +1! +#16859000 +0! +#16859500 +1! +#16860000 +0! +#16860500 +1! +#16861000 +0! +#16861500 +1! +#16862000 +0! +#16862500 +1! +#16863000 +0! +#16863500 +1! +#16864000 +0! +#16864500 +1! +#16865000 +0! +#16865500 +1! +#16866000 +0! +#16866500 +1! +#16867000 +0! +#16867500 +1! +#16868000 +0! +#16868500 +1! +#16869000 +0! +#16869500 +1! +#16870000 +0! +#16870500 +1! +#16871000 +0! +#16871500 +1! +#16872000 +0! +#16872500 +1! +#16873000 +0! +#16873500 +1! +#16874000 +0! +#16874500 +1! +#16875000 +0! +#16875500 +1! +#16876000 +0! +#16876500 +1! +#16877000 +0! +#16877500 +1! +#16878000 +0! +#16878500 +1! +#16879000 +0! +#16879500 +1! +#16880000 +0! +#16880500 +1! +#16881000 +0! +#16881500 +1! +#16882000 +0! +#16882500 +1! +#16883000 +0! +#16883500 +1! +#16884000 +0! +#16884500 +1! +#16885000 +0! +#16885500 +1! +#16886000 +0! +#16886500 +1! +#16887000 +0! +#16887500 +1! +#16888000 +0! +#16888500 +1! +#16889000 +0! +#16889500 +1! +#16890000 +0! +#16890500 +1! +#16891000 +0! +#16891500 +1! +#16892000 +0! +#16892500 +1! +#16893000 +0! +#16893500 +1! +#16894000 +0! +#16894500 +1! +#16895000 +0! +#16895500 +1! +#16896000 +0! +#16896500 +1! +#16897000 +0! +#16897500 +1! +#16898000 +0! +#16898500 +1! +#16899000 +0! +#16899500 +1! +#16900000 +0! +#16900500 +1! +#16901000 +0! +#16901500 +1! +#16902000 +0! +#16902500 +1! +#16903000 +0! +#16903500 +1! +#16904000 +0! +#16904500 +1! +#16905000 +0! +#16905500 +1! +#16906000 +0! +#16906500 +1! +#16907000 +0! +#16907500 +1! +#16908000 +0! +#16908500 +1! +#16909000 +0! +#16909500 +1! +#16910000 +0! +#16910500 +1! +#16911000 +0! +#16911500 +1! +#16912000 +0! +#16912500 +1! +#16913000 +0! +#16913500 +1! +#16914000 +0! +#16914500 +1! +#16915000 +0! +#16915500 +1! +#16916000 +0! +#16916500 +1! +#16917000 +0! +#16917500 +1! +#16918000 +0! +#16918500 +1! +#16919000 +0! +#16919500 +1! +#16920000 +0! +#16920500 +1! +#16921000 +0! +#16921500 +1! +#16922000 +0! +#16922500 +1! +#16923000 +0! +#16923500 +1! +#16924000 +0! +#16924500 +1! +#16925000 +0! +#16925500 +1! +#16926000 +0! +#16926500 +1! +#16927000 +0! +#16927500 +1! +#16928000 +0! +#16928500 +1! +#16929000 +0! +#16929500 +1! +#16930000 +0! +#16930500 +1! +#16931000 +0! +#16931500 +1! +#16932000 +0! +#16932500 +1! +#16933000 +0! +#16933500 +1! +#16934000 +0! +#16934500 +1! +#16935000 +0! +#16935500 +1! +#16936000 +0! +#16936500 +1! +#16937000 +0! +#16937500 +1! +#16938000 +0! +#16938500 +1! +#16939000 +0! +#16939500 +1! +#16940000 +0! +#16940500 +1! +#16941000 +0! +#16941500 +1! +#16942000 +0! +#16942500 +1! +#16943000 +0! +#16943500 +1! +#16944000 +0! +#16944500 +1! +#16945000 +0! +#16945500 +1! +#16946000 +0! +#16946500 +1! +#16947000 +0! +#16947500 +1! +#16948000 +0! +#16948500 +1! +#16949000 +0! +#16949500 +1! +#16950000 +0! +#16950500 +1! +#16951000 +0! +#16951500 +1! +#16952000 +0! +#16952500 +1! +#16953000 +0! +#16953500 +1! +#16954000 +0! +#16954500 +1! +#16955000 +0! +#16955500 +1! +#16956000 +0! +#16956500 +1! +#16957000 +0! +#16957500 +1! +#16958000 +0! +#16958500 +1! +#16959000 +0! +#16959500 +1! +#16960000 +0! +#16960500 +1! +#16961000 +0! +#16961500 +1! +#16962000 +0! +#16962500 +1! +#16963000 +0! +#16963500 +1! +#16964000 +0! +#16964500 +1! +#16965000 +0! +#16965500 +1! +#16966000 +0! +#16966500 +1! +#16967000 +0! +#16967500 +1! +#16968000 +0! +#16968500 +1! +#16969000 +0! +#16969500 +1! +#16970000 +0! +#16970500 +1! +#16971000 +0! +#16971500 +1! +#16972000 +0! +#16972500 +1! +#16973000 +0! +#16973500 +1! +#16974000 +0! +#16974500 +1! +#16975000 +0! +#16975500 +1! +#16976000 +0! +#16976500 +1! +#16977000 +0! +#16977500 +1! +#16978000 +0! +#16978500 +1! +#16979000 +0! +#16979500 +1! +#16980000 +0! +#16980500 +1! +#16981000 +0! +#16981500 +1! +#16982000 +0! +#16982500 +1! +#16983000 +0! +#16983500 +1! +#16984000 +0! +#16984500 +1! +#16985000 +0! +#16985500 +1! +#16986000 +0! +#16986500 +1! +#16987000 +0! +#16987500 +1! +#16988000 +0! +#16988500 +1! +#16989000 +0! +#16989500 +1! +#16990000 +0! +#16990500 +1! +#16991000 +0! +#16991500 +1! +#16992000 +0! +#16992500 +1! +#16993000 +0! +#16993500 +1! +#16994000 +0! +#16994500 +1! +#16995000 +0! +#16995500 +1! +#16996000 +0! +#16996500 +1! +#16997000 +0! +#16997500 +1! +#16998000 +0! +#16998500 +1! +#16999000 +0! +#16999500 +1! +#17000000 +0! +#17000500 +1! +#17001000 +0! +#17001500 +1! +#17002000 +0! +#17002500 +1! +#17003000 +0! +#17003500 +1! +#17004000 +0! +#17004500 +1! +#17005000 +0! +#17005500 +1! +#17006000 +0! +#17006500 +1! +#17007000 +0! +#17007500 +1! +#17008000 +0! +#17008500 +1! +#17009000 +0! +#17009500 +1! +#17010000 +0! +#17010500 +1! +#17011000 +0! +#17011500 +1! +#17012000 +0! +#17012500 +1! +#17013000 +0! +#17013500 +1! +#17014000 +0! +#17014500 +1! +#17015000 +0! +#17015500 +1! +#17016000 +0! +#17016500 +1! +#17017000 +0! +#17017500 +1! +#17018000 +0! +#17018500 +1! +#17019000 +0! +#17019500 +1! +#17020000 +0! +#17020500 +1! +#17021000 +0! +#17021500 +1! +#17022000 +0! +#17022500 +1! +#17023000 +0! +#17023500 +1! +#17024000 +0! +#17024500 +1! +#17025000 +0! +#17025500 +1! +#17026000 +0! +#17026500 +1! +#17027000 +0! +#17027500 +1! +#17028000 +0! +#17028500 +1! +#17029000 +0! +#17029500 +1! +#17030000 +0! +#17030500 +1! +#17031000 +0! +#17031500 +1! +#17032000 +0! +#17032500 +1! +#17033000 +0! +#17033500 +1! +#17034000 +0! +#17034500 +1! +#17035000 +0! +#17035500 +1! +#17036000 +0! +#17036500 +1! +#17037000 +0! +#17037500 +1! +#17038000 +0! +#17038500 +1! +#17039000 +0! +#17039500 +1! +#17040000 +0! +#17040500 +1! +#17041000 +0! +#17041500 +1! +#17042000 +0! +#17042500 +1! +#17043000 +0! +#17043500 +1! +#17044000 +0! +#17044500 +1! +#17045000 +0! +#17045500 +1! +#17046000 +0! +#17046500 +1! +#17047000 +0! +#17047500 +1! +#17048000 +0! +#17048500 +1! +#17049000 +0! +#17049500 +1! +#17050000 +0! +#17050500 +1! +#17051000 +0! +#17051500 +1! +#17052000 +0! +#17052500 +1! +#17053000 +0! +#17053500 +1! +#17054000 +0! +#17054500 +1! +#17055000 +0! +#17055500 +1! +#17056000 +0! +#17056500 +1! +#17057000 +0! +#17057500 +1! +#17058000 +0! +#17058500 +1! +#17059000 +0! +#17059500 +1! +#17060000 +0! +#17060500 +1! +#17061000 +0! +#17061500 +1! +#17062000 +0! +#17062500 +1! +#17063000 +0! +#17063500 +1! +#17064000 +0! +#17064500 +1! +#17065000 +0! +#17065500 +1! +#17066000 +0! +#17066500 +1! +#17067000 +0! +#17067500 +1! +#17068000 +0! +#17068500 +1! +#17069000 +0! +#17069500 +1! +#17070000 +0! +#17070500 +1! +#17071000 +0! +#17071500 +1! +#17072000 +0! +#17072500 +1! +#17073000 +0! +#17073500 +1! +#17074000 +0! +#17074500 +1! +#17075000 +0! +#17075500 +1! +#17076000 +0! +#17076500 +1! +#17077000 +0! +#17077500 +1! +#17078000 +0! +#17078500 +1! +#17079000 +0! +#17079500 +1! +#17080000 +0! +#17080500 +1! +#17081000 +0! +#17081500 +1! +#17082000 +0! +#17082500 +1! +#17083000 +0! +#17083500 +1! +#17084000 +0! +#17084500 +1! +#17085000 +0! +#17085500 +1! +#17086000 +0! +#17086500 +1! +#17087000 +0! +#17087500 +1! +#17088000 +0! +#17088500 +1! +#17089000 +0! +#17089500 +1! +#17090000 +0! +#17090500 +1! +#17091000 +0! +#17091500 +1! +#17092000 +0! +#17092500 +1! +#17093000 +0! +#17093500 +1! +#17094000 +0! +#17094500 +1! +#17095000 +0! +#17095500 +1! +#17096000 +0! +#17096500 +1! +#17097000 +0! +#17097500 +1! +#17098000 +0! +#17098500 +1! +#17099000 +0! +#17099500 +1! +#17100000 +0! +#17100500 +1! +#17101000 +0! +#17101500 +1! +#17102000 +0! +#17102500 +1! +#17103000 +0! +#17103500 +1! +#17104000 +0! +#17104500 +1! +#17105000 +0! +#17105500 +1! +#17106000 +0! +#17106500 +1! +#17107000 +0! +#17107500 +1! +#17108000 +0! +#17108500 +1! +#17109000 +0! +#17109500 +1! +#17110000 +0! +#17110500 +1! +#17111000 +0! +#17111500 +1! +#17112000 +0! +#17112500 +1! +#17113000 +0! +#17113500 +1! +#17114000 +0! +#17114500 +1! +#17115000 +0! +#17115500 +1! +#17116000 +0! +#17116500 +1! +#17117000 +0! +#17117500 +1! +#17118000 +0! +#17118500 +1! +#17119000 +0! +#17119500 +1! +#17120000 +0! +#17120500 +1! +#17121000 +0! +#17121500 +1! +#17122000 +0! +#17122500 +1! +#17123000 +0! +#17123500 +1! +#17124000 +0! +#17124500 +1! +#17125000 +0! +#17125500 +1! +#17126000 +0! +#17126500 +1! +#17127000 +0! +#17127500 +1! +#17128000 +0! +#17128500 +1! +#17129000 +0! +#17129500 +1! +#17130000 +0! +#17130500 +1! +#17131000 +0! +#17131500 +1! +#17132000 +0! +#17132500 +1! +#17133000 +0! +#17133500 +1! +#17134000 +0! +#17134500 +1! +#17135000 +0! +#17135500 +1! +#17136000 +0! +#17136500 +1! +#17137000 +0! +#17137500 +1! +#17138000 +0! +#17138500 +1! +#17139000 +0! +#17139500 +1! +#17140000 +0! +#17140500 +1! +#17141000 +0! +#17141500 +1! +#17142000 +0! +#17142500 +1! +#17143000 +0! +#17143500 +1! +#17144000 +0! +#17144500 +1! +#17145000 +0! +#17145500 +1! +#17146000 +0! +#17146500 +1! +#17147000 +0! +#17147500 +1! +#17148000 +0! +#17148500 +1! +#17149000 +0! +#17149500 +1! +#17150000 +0! +#17150500 +1! +#17151000 +0! +#17151500 +1! +#17152000 +0! +#17152500 +1! +#17153000 +0! +#17153500 +1! +#17154000 +0! +#17154500 +1! +#17155000 +0! +#17155500 +1! +#17156000 +0! +#17156500 +1! +#17157000 +0! +#17157500 +1! +#17158000 +0! +#17158500 +1! +#17159000 +0! +#17159500 +1! +#17160000 +0! +#17160500 +1! +#17161000 +0! +#17161500 +1! +#17162000 +0! +#17162500 +1! +#17163000 +0! +#17163500 +1! +#17164000 +0! +#17164500 +1! +#17165000 +0! +#17165500 +1! +#17166000 +0! +#17166500 +1! +#17167000 +0! +#17167500 +1! +#17168000 +0! +#17168500 +1! +#17169000 +0! +#17169500 +1! +#17170000 +0! +#17170500 +1! +#17171000 +0! +#17171500 +1! +#17172000 +0! +#17172500 +1! +#17173000 +0! +#17173500 +1! +#17174000 +0! +#17174500 +1! +#17175000 +0! +#17175500 +1! +#17176000 +0! +#17176500 +1! +#17177000 +0! +#17177500 +1! +#17178000 +0! +#17178500 +1! +#17179000 +0! +#17179500 +1! +#17180000 +0! +#17180500 +1! +#17181000 +0! +#17181500 +1! +#17182000 +0! +#17182500 +1! +#17183000 +0! +#17183500 +1! +#17184000 +0! +#17184500 +1! +#17185000 +0! +#17185500 +1! +#17186000 +0! +#17186500 +1! +#17187000 +0! +#17187500 +1! +#17188000 +0! +#17188500 +1! +#17189000 +0! +#17189500 +1! +#17190000 +0! +#17190500 +1! +#17191000 +0! +#17191500 +1! +#17192000 +0! +#17192500 +1! +#17193000 +0! +#17193500 +1! +#17194000 +0! +#17194500 +1! +#17195000 +0! +#17195500 +1! +#17196000 +0! +#17196500 +1! +#17197000 +0! +#17197500 +1! +#17198000 +0! +#17198500 +1! +#17199000 +0! +#17199500 +1! +#17200000 +0! +#17200500 +1! +#17201000 +0! +#17201500 +1! +#17202000 +0! +#17202500 +1! +#17203000 +0! +#17203500 +1! +#17204000 +0! +#17204500 +1! +#17205000 +0! +#17205500 +1! +#17206000 +0! +#17206500 +1! +#17207000 +0! +#17207500 +1! +#17208000 +0! +#17208500 +1! +#17209000 +0! +#17209500 +1! +#17210000 +0! +#17210500 +1! +#17211000 +0! +#17211500 +1! +#17212000 +0! +#17212500 +1! +#17213000 +0! +#17213500 +1! +#17214000 +0! +#17214500 +1! +#17215000 +0! +#17215500 +1! +#17216000 +0! +#17216500 +1! +#17217000 +0! +#17217500 +1! +#17218000 +0! +#17218500 +1! +#17219000 +0! +#17219500 +1! +#17220000 +0! +#17220500 +1! +#17221000 +0! +#17221500 +1! +#17222000 +0! +#17222500 +1! +#17223000 +0! +#17223500 +1! +#17224000 +0! +#17224500 +1! +#17225000 +0! +#17225500 +1! +#17226000 +0! +#17226500 +1! +#17227000 +0! +#17227500 +1! +#17228000 +0! +#17228500 +1! +#17229000 +0! +#17229500 +1! +#17230000 +0! +#17230500 +1! +#17231000 +0! +#17231500 +1! +#17232000 +0! +#17232500 +1! +#17233000 +0! +#17233500 +1! +#17234000 +0! +#17234500 +1! +#17235000 +0! +#17235500 +1! +#17236000 +0! +#17236500 +1! +#17237000 +0! +#17237500 +1! +#17238000 +0! +#17238500 +1! +#17239000 +0! +#17239500 +1! +#17240000 +0! +#17240500 +1! +#17241000 +0! +#17241500 +1! +#17242000 +0! +#17242500 +1! +#17243000 +0! +#17243500 +1! +#17244000 +0! +#17244500 +1! +#17245000 +0! +#17245500 +1! +#17246000 +0! +#17246500 +1! +#17247000 +0! +#17247500 +1! +#17248000 +0! +#17248500 +1! +#17249000 +0! +#17249500 +1! +#17250000 +0! +#17250500 +1! +#17251000 +0! +#17251500 +1! +#17252000 +0! +#17252500 +1! +#17253000 +0! +#17253500 +1! +#17254000 +0! +#17254500 +1! +#17255000 +0! +#17255500 +1! +#17256000 +0! +#17256500 +1! +#17257000 +0! +#17257500 +1! +#17258000 +0! +#17258500 +1! +#17259000 +0! +#17259500 +1! +#17260000 +0! +#17260500 +1! +#17261000 +0! +#17261500 +1! +#17262000 +0! +#17262500 +1! +#17263000 +0! +#17263500 +1! +#17264000 +0! +#17264500 +1! +#17265000 +0! +#17265500 +1! +#17266000 +0! +#17266500 +1! +#17267000 +0! +#17267500 +1! +#17268000 +0! +#17268500 +1! +#17269000 +0! +#17269500 +1! +#17270000 +0! +#17270500 +1! +#17271000 +0! +#17271500 +1! +#17272000 +0! +#17272500 +1! +#17273000 +0! +#17273500 +1! +#17274000 +0! +#17274500 +1! +#17275000 +0! +#17275500 +1! +#17276000 +0! +#17276500 +1! +#17277000 +0! +#17277500 +1! +#17278000 +0! +#17278500 +1! +#17279000 +0! +#17279500 +1! +#17280000 +0! +#17280500 +1! +#17281000 +0! +#17281500 +1! +#17282000 +0! +#17282500 +1! +#17283000 +0! +#17283500 +1! +#17284000 +0! +#17284500 +1! +#17285000 +0! +#17285500 +1! +#17286000 +0! +#17286500 +1! +#17287000 +0! +#17287500 +1! +#17288000 +0! +#17288500 +1! +#17289000 +0! +#17289500 +1! +#17290000 +0! +#17290500 +1! +#17291000 +0! +#17291500 +1! +#17292000 +0! +#17292500 +1! +#17293000 +0! +#17293500 +1! +#17294000 +0! +#17294500 +1! +#17295000 +0! +#17295500 +1! +#17296000 +0! +#17296500 +1! +#17297000 +0! +#17297500 +1! +#17298000 +0! +#17298500 +1! +#17299000 +0! +#17299500 +1! +#17300000 +0! +#17300500 +1! +#17301000 +0! +#17301500 +1! +#17302000 +0! +#17302500 +1! +#17303000 +0! +#17303500 +1! +#17304000 +0! +#17304500 +1! +#17305000 +0! +#17305500 +1! +#17306000 +0! +#17306500 +1! +#17307000 +0! +#17307500 +1! +#17308000 +0! +#17308500 +1! +#17309000 +0! +#17309500 +1! +#17310000 +0! +#17310500 +1! +#17311000 +0! +#17311500 +1! +#17312000 +0! +#17312500 +1! +#17313000 +0! +#17313500 +1! +#17314000 +0! +#17314500 +1! +#17315000 +0! +#17315500 +1! +#17316000 +0! +#17316500 +1! +#17317000 +0! +#17317500 +1! +#17318000 +0! +#17318500 +1! +#17319000 +0! +#17319500 +1! +#17320000 +0! +#17320500 +1! +#17321000 +0! +#17321500 +1! +#17322000 +0! +#17322500 +1! +#17323000 +0! +#17323500 +1! +#17324000 +0! +#17324500 +1! +#17325000 +0! +#17325500 +1! +#17326000 +0! +#17326500 +1! +#17327000 +0! +#17327500 +1! +#17328000 +0! +#17328500 +1! +#17329000 +0! +#17329500 +1! +#17330000 +0! +#17330500 +1! +#17331000 +0! +#17331500 +1! +#17332000 +0! +#17332500 +1! +#17333000 +0! +#17333500 +1! +#17334000 +0! +#17334500 +1! +#17335000 +0! +#17335500 +1! +#17336000 +0! +#17336500 +1! +#17337000 +0! +#17337500 +1! +#17338000 +0! +#17338500 +1! +#17339000 +0! +#17339500 +1! +#17340000 +0! +#17340500 +1! +#17341000 +0! +#17341500 +1! +#17342000 +0! +#17342500 +1! +#17343000 +0! +#17343500 +1! +#17344000 +0! +#17344500 +1! +#17345000 +0! +#17345500 +1! +#17346000 +0! +#17346500 +1! +#17347000 +0! +#17347500 +1! +#17348000 +0! +#17348500 +1! +#17349000 +0! +#17349500 +1! +#17350000 +0! +#17350500 +1! +#17351000 +0! +#17351500 +1! +#17352000 +0! +#17352500 +1! +#17353000 +0! +#17353500 +1! +#17354000 +0! +#17354500 +1! +#17355000 +0! +#17355500 +1! +#17356000 +0! +#17356500 +1! +#17357000 +0! +#17357500 +1! +#17358000 +0! +#17358500 +1! +#17359000 +0! +#17359500 +1! +#17360000 +0! +#17360500 +1! +#17361000 +0! +#17361500 +1! +#17362000 +0! +#17362500 +1! +#17363000 +0! +#17363500 +1! +#17364000 +0! +#17364500 +1! +#17365000 +0! +#17365500 +1! +#17366000 +0! +#17366500 +1! +#17367000 +0! +#17367500 +1! +#17368000 +0! +#17368500 +1! +#17369000 +0! +#17369500 +1! +#17370000 +0! +#17370500 +1! +#17371000 +0! +#17371500 +1! +#17372000 +0! +#17372500 +1! +#17373000 +0! +#17373500 +1! +#17374000 +0! +#17374500 +1! +#17375000 +0! +#17375500 +1! +#17376000 +0! +#17376500 +1! +#17377000 +0! +#17377500 +1! +#17378000 +0! +#17378500 +1! +#17379000 +0! +#17379500 +1! +#17380000 +0! +#17380500 +1! +#17381000 +0! +#17381500 +1! +#17382000 +0! +#17382500 +1! +#17383000 +0! +#17383500 +1! +#17384000 +0! +#17384500 +1! +#17385000 +0! +#17385500 +1! +#17386000 +0! +#17386500 +1! +#17387000 +0! +#17387500 +1! +#17388000 +0! +#17388500 +1! +#17389000 +0! +#17389500 +1! +#17390000 +0! +#17390500 +1! +#17391000 +0! +#17391500 +1! +#17392000 +0! +#17392500 +1! +#17393000 +0! +#17393500 +1! +#17394000 +0! +#17394500 +1! +#17395000 +0! +#17395500 +1! +#17396000 +0! +#17396500 +1! +#17397000 +0! +#17397500 +1! +#17398000 +0! +#17398500 +1! +#17399000 +0! +#17399500 +1! +#17400000 +0! +#17400500 +1! +#17401000 +0! +#17401500 +1! +#17402000 +0! +#17402500 +1! +#17403000 +0! +#17403500 +1! +#17404000 +0! +#17404500 +1! +#17405000 +0! +#17405500 +1! +#17406000 +0! +#17406500 +1! +#17407000 +0! +#17407500 +1! +#17408000 +0! +#17408500 +1! +#17409000 +0! +#17409500 +1! +#17410000 +0! +#17410500 +1! +#17411000 +0! +#17411500 +1! +#17412000 +0! +#17412500 +1! +#17413000 +0! +#17413500 +1! +#17414000 +0! +#17414500 +1! +#17415000 +0! +#17415500 +1! +#17416000 +0! +#17416500 +1! +#17417000 +0! +#17417500 +1! +#17418000 +0! +#17418500 +1! +#17419000 +0! +#17419500 +1! +#17420000 +0! +#17420500 +1! +#17421000 +0! +#17421500 +1! +#17422000 +0! +#17422500 +1! +#17423000 +0! +#17423500 +1! +#17424000 +0! +#17424500 +1! +#17425000 +0! +#17425500 +1! +#17426000 +0! +#17426500 +1! +#17427000 +0! +#17427500 +1! +#17428000 +0! +#17428500 +1! +#17429000 +0! +#17429500 +1! +#17430000 +0! +#17430500 +1! +#17431000 +0! +#17431500 +1! +#17432000 +0! +#17432500 +1! +#17433000 +0! +#17433500 +1! +#17434000 +0! +#17434500 +1! +#17435000 +0! +#17435500 +1! +#17436000 +0! +#17436500 +1! +#17437000 +0! +#17437500 +1! +#17438000 +0! +#17438500 +1! +#17439000 +0! +#17439500 +1! +#17440000 +0! +#17440500 +1! +#17441000 +0! +#17441500 +1! +#17442000 +0! +#17442500 +1! +#17443000 +0! +#17443500 +1! +#17444000 +0! +#17444500 +1! +#17445000 +0! +#17445500 +1! +#17446000 +0! +#17446500 +1! +#17447000 +0! +#17447500 +1! +#17448000 +0! +#17448500 +1! +#17449000 +0! +#17449500 +1! +#17450000 +0! +#17450500 +1! +#17451000 +0! +#17451500 +1! +#17452000 +0! +#17452500 +1! +#17453000 +0! +#17453500 +1! +#17454000 +0! +#17454500 +1! +#17455000 +0! +#17455500 +1! +#17456000 +0! +#17456500 +1! +#17457000 +0! +#17457500 +1! +#17458000 +0! +#17458500 +1! +#17459000 +0! +#17459500 +1! +#17460000 +0! +#17460500 +1! +#17461000 +0! +#17461500 +1! +#17462000 +0! +#17462500 +1! +#17463000 +0! +#17463500 +1! +#17464000 +0! +#17464500 +1! +#17465000 +0! +#17465500 +1! +#17466000 +0! +#17466500 +1! +#17467000 +0! +#17467500 +1! +#17468000 +0! +#17468500 +1! +#17469000 +0! +#17469500 +1! +#17470000 +0! +#17470500 +1! +#17471000 +0! +#17471500 +1! +#17472000 +0! +#17472500 +1! +#17473000 +0! +#17473500 +1! +#17474000 +0! +#17474500 +1! +#17475000 +0! +#17475500 +1! +#17476000 +0! +#17476500 +1! +#17477000 +0! +#17477500 +1! +#17478000 +0! +#17478500 +1! +#17479000 +0! +#17479500 +1! +#17480000 +0! +#17480500 +1! +#17481000 +0! +#17481500 +1! +#17482000 +0! +#17482500 +1! +#17483000 +0! +#17483500 +1! +#17484000 +0! +#17484500 +1! +#17485000 +0! +#17485500 +1! +#17486000 +0! +#17486500 +1! +#17487000 +0! +#17487500 +1! +#17488000 +0! +#17488500 +1! +#17489000 +0! +#17489500 +1! +#17490000 +0! +#17490500 +1! +#17491000 +0! +#17491500 +1! +#17492000 +0! +#17492500 +1! +#17493000 +0! +#17493500 +1! +#17494000 +0! +#17494500 +1! +#17495000 +0! +#17495500 +1! +#17496000 +0! +#17496500 +1! +#17497000 +0! +#17497500 +1! +#17498000 +0! +#17498500 +1! +#17499000 +0! +#17499500 +1! +#17500000 +0! +#17500500 +1! +#17501000 +0! +#17501500 +1! +#17502000 +0! +#17502500 +1! +#17503000 +0! +#17503500 +1! +#17504000 +0! +#17504500 +1! +#17505000 +0! +#17505500 +1! +#17506000 +0! +#17506500 +1! +#17507000 +0! +#17507500 +1! +#17508000 +0! +#17508500 +1! +#17509000 +0! +#17509500 +1! +#17510000 +0! +#17510500 +1! +#17511000 +0! +#17511500 +1! +#17512000 +0! +#17512500 +1! +#17513000 +0! +#17513500 +1! +#17514000 +0! +#17514500 +1! +#17515000 +0! +#17515500 +1! +#17516000 +0! +#17516500 +1! +#17517000 +0! +#17517500 +1! +#17518000 +0! +#17518500 +1! +#17519000 +0! +#17519500 +1! +#17520000 +0! +#17520500 +1! +#17521000 +0! +#17521500 +1! +#17522000 +0! +#17522500 +1! +#17523000 +0! +#17523500 +1! +#17524000 +0! +#17524500 +1! +#17525000 +0! +#17525500 +1! +#17526000 +0! +#17526500 +1! +#17527000 +0! +#17527500 +1! +#17528000 +0! +#17528500 +1! +#17529000 +0! +#17529500 +1! +#17530000 +0! +#17530500 +1! +#17531000 +0! +#17531500 +1! +#17532000 +0! +#17532500 +1! +#17533000 +0! +#17533500 +1! +#17534000 +0! +#17534500 +1! +#17535000 +0! +#17535500 +1! +#17536000 +0! +#17536500 +1! +#17537000 +0! +#17537500 +1! +#17538000 +0! +#17538500 +1! +#17539000 +0! +#17539500 +1! +#17540000 +0! +#17540500 +1! +#17541000 +0! +#17541500 +1! +#17542000 +0! +#17542500 +1! +#17543000 +0! +#17543500 +1! +#17544000 +0! +#17544500 +1! +#17545000 +0! +#17545500 +1! +#17546000 +0! +#17546500 +1! +#17547000 +0! +#17547500 +1! +#17548000 +0! +#17548500 +1! +#17549000 +0! +#17549500 +1! +#17550000 +0! +#17550500 +1! +#17551000 +0! +#17551500 +1! +#17552000 +0! +#17552500 +1! +#17553000 +0! +#17553500 +1! +#17554000 +0! +#17554500 +1! +#17555000 +0! +#17555500 +1! +#17556000 +0! +#17556500 +1! +#17557000 +0! +#17557500 +1! +#17558000 +0! +#17558500 +1! +#17559000 +0! +#17559500 +1! +#17560000 +0! +#17560500 +1! +#17561000 +0! +#17561500 +1! +#17562000 +0! +#17562500 +1! +#17563000 +0! +#17563500 +1! +#17564000 +0! +#17564500 +1! +#17565000 +0! +#17565500 +1! +#17566000 +0! +#17566500 +1! +#17567000 +0! +#17567500 +1! +#17568000 +0! +#17568500 +1! +#17569000 +0! +#17569500 +1! +#17570000 +0! +#17570500 +1! +#17571000 +0! +#17571500 +1! +#17572000 +0! +#17572500 +1! +#17573000 +0! +#17573500 +1! +#17574000 +0! +#17574500 +1! +#17575000 +0! +#17575500 +1! +#17576000 +0! +#17576500 +1! +#17577000 +0! +#17577500 +1! +#17578000 +0! +#17578500 +1! +#17579000 +0! +#17579500 +1! +#17580000 +0! +#17580500 +1! +#17581000 +0! +#17581500 +1! +#17582000 +0! +#17582500 +1! +#17583000 +0! +#17583500 +1! +#17584000 +0! +#17584500 +1! +#17585000 +0! +#17585500 +1! +#17586000 +0! +#17586500 +1! +#17587000 +0! +#17587500 +1! +#17588000 +0! +#17588500 +1! +#17589000 +0! +#17589500 +1! +#17590000 +0! +#17590500 +1! +#17591000 +0! +#17591500 +1! +#17592000 +0! +#17592500 +1! +#17593000 +0! +#17593500 +1! +#17594000 +0! +#17594500 +1! +#17595000 +0! +#17595500 +1! +#17596000 +0! +#17596500 +1! +#17597000 +0! +#17597500 +1! +#17598000 +0! +#17598500 +1! +#17599000 +0! +#17599500 +1! +#17600000 +0! +#17600500 +1! +#17601000 +0! +#17601500 +1! +#17602000 +0! +#17602500 +1! +#17603000 +0! +#17603500 +1! +#17604000 +0! +#17604500 +1! +#17605000 +0! +#17605500 +1! +#17606000 +0! +#17606500 +1! +#17607000 +0! +#17607500 +1! +#17608000 +0! +#17608500 +1! +#17609000 +0! +#17609500 +1! +#17610000 +0! +#17610500 +1! +#17611000 +0! +#17611500 +1! +#17612000 +0! +#17612500 +1! +#17613000 +0! +#17613500 +1! +#17614000 +0! +#17614500 +1! +#17615000 +0! +#17615500 +1! +#17616000 +0! +#17616500 +1! +#17617000 +0! +#17617500 +1! +#17618000 +0! +#17618500 +1! +#17619000 +0! +#17619500 +1! +#17620000 +0! +#17620500 +1! +#17621000 +0! +#17621500 +1! +#17622000 +0! +#17622500 +1! +#17623000 +0! +#17623500 +1! +#17624000 +0! +#17624500 +1! +#17625000 +0! +#17625500 +1! +#17626000 +0! +#17626500 +1! +#17627000 +0! +#17627500 +1! +#17628000 +0! +#17628500 +1! +#17629000 +0! +#17629500 +1! +#17630000 +0! +#17630500 +1! +#17631000 +0! +#17631500 +1! +#17632000 +0! +#17632500 +1! +#17633000 +0! +#17633500 +1! +#17634000 +0! +#17634500 +1! +#17635000 +0! +#17635500 +1! +#17636000 +0! +#17636500 +1! +#17637000 +0! +#17637500 +1! +#17638000 +0! +#17638500 +1! +#17639000 +0! +#17639500 +1! +#17640000 +0! +#17640500 +1! +#17641000 +0! +#17641500 +1! +#17642000 +0! +#17642500 +1! +#17643000 +0! +#17643500 +1! +#17644000 +0! +#17644500 +1! +#17645000 +0! +#17645500 +1! +#17646000 +0! +#17646500 +1! +#17647000 +0! +#17647500 +1! +#17648000 +0! +#17648500 +1! +#17649000 +0! +#17649500 +1! +#17650000 +0! +#17650500 +1! +#17651000 +0! +#17651500 +1! +#17652000 +0! +#17652500 +1! +#17653000 +0! +#17653500 +1! +#17654000 +0! +#17654500 +1! +#17655000 +0! +#17655500 +1! +#17656000 +0! +#17656500 +1! +#17657000 +0! +#17657500 +1! +#17658000 +0! +#17658500 +1! +#17659000 +0! +#17659500 +1! +#17660000 +0! +#17660500 +1! +#17661000 +0! +#17661500 +1! +#17662000 +0! +#17662500 +1! +#17663000 +0! +#17663500 +1! +#17664000 +0! +#17664500 +1! +#17665000 +0! +#17665500 +1! +#17666000 +0! +#17666500 +1! +#17667000 +0! +#17667500 +1! +#17668000 +0! +#17668500 +1! +#17669000 +0! +#17669500 +1! +#17670000 +0! +#17670500 +1! +#17671000 +0! +#17671500 +1! +#17672000 +0! +#17672500 +1! +#17673000 +0! +#17673500 +1! +#17674000 +0! +#17674500 +1! +#17675000 +0! +#17675500 +1! +#17676000 +0! +#17676500 +1! +#17677000 +0! +#17677500 +1! +#17678000 +0! +#17678500 +1! +#17679000 +0! +#17679500 +1! +#17680000 +0! +#17680500 +1! +#17681000 +0! +#17681500 +1! +#17682000 +0! +#17682500 +1! +#17683000 +0! +#17683500 +1! +#17684000 +0! +#17684500 +1! +#17685000 +0! +#17685500 +1! +#17686000 +0! +#17686500 +1! +#17687000 +0! +#17687500 +1! +#17688000 +0! +#17688500 +1! +#17689000 +0! +#17689500 +1! +#17690000 +0! +#17690500 +1! +#17691000 +0! +#17691500 +1! +#17692000 +0! +#17692500 +1! +#17693000 +0! +#17693500 +1! +#17694000 +0! +#17694500 +1! +#17695000 +0! +#17695500 +1! +#17696000 +0! +#17696500 +1! +#17697000 +0! +#17697500 +1! +#17698000 +0! +#17698500 +1! +#17699000 +0! +#17699500 +1! +#17700000 +0! +#17700500 +1! +#17701000 +0! +#17701500 +1! +#17702000 +0! +#17702500 +1! +#17703000 +0! +#17703500 +1! +#17704000 +0! +#17704500 +1! +#17705000 +0! +#17705500 +1! +#17706000 +0! +#17706500 +1! +#17707000 +0! +#17707500 +1! +#17708000 +0! +#17708500 +1! +#17709000 +0! +#17709500 +1! +#17710000 +0! +#17710500 +1! +#17711000 +0! +#17711500 +1! +#17712000 +0! +#17712500 +1! +#17713000 +0! +#17713500 +1! +#17714000 +0! +#17714500 +1! +#17715000 +0! +#17715500 +1! +#17716000 +0! +#17716500 +1! +#17717000 +0! +#17717500 +1! +#17718000 +0! +#17718500 +1! +#17719000 +0! +#17719500 +1! +#17720000 +0! +#17720500 +1! +#17721000 +0! +#17721500 +1! +#17722000 +0! +#17722500 +1! +#17723000 +0! +#17723500 +1! +#17724000 +0! +#17724500 +1! +#17725000 +0! +#17725500 +1! +#17726000 +0! +#17726500 +1! +#17727000 +0! +#17727500 +1! +#17728000 +0! +#17728500 +1! +#17729000 +0! +#17729500 +1! +#17730000 +0! +#17730500 +1! +#17731000 +0! +#17731500 +1! +#17732000 +0! +#17732500 +1! +#17733000 +0! +#17733500 +1! +#17734000 +0! +#17734500 +1! +#17735000 +0! +#17735500 +1! +#17736000 +0! +#17736500 +1! +#17737000 +0! +#17737500 +1! +#17738000 +0! +#17738500 +1! +#17739000 +0! +#17739500 +1! +#17740000 +0! +#17740500 +1! +#17741000 +0! +#17741500 +1! +#17742000 +0! +#17742500 +1! +#17743000 +0! +#17743500 +1! +#17744000 +0! +#17744500 +1! +#17745000 +0! +#17745500 +1! +#17746000 +0! +#17746500 +1! +#17747000 +0! +#17747500 +1! +#17748000 +0! +#17748500 +1! +#17749000 +0! +#17749500 +1! +#17750000 +0! +#17750500 +1! +#17751000 +0! +#17751500 +1! +#17752000 +0! +#17752500 +1! +#17753000 +0! +#17753500 +1! +#17754000 +0! +#17754500 +1! +#17755000 +0! +#17755500 +1! +#17756000 +0! +#17756500 +1! +#17757000 +0! +#17757500 +1! +#17758000 +0! +#17758500 +1! +#17759000 +0! +#17759500 +1! +#17760000 +0! +#17760500 +1! +#17761000 +0! +#17761500 +1! +#17762000 +0! +#17762500 +1! +#17763000 +0! +#17763500 +1! +#17764000 +0! +#17764500 +1! +#17765000 +0! +#17765500 +1! +#17766000 +0! +#17766500 +1! +#17767000 +0! +#17767500 +1! +#17768000 +0! +#17768500 +1! +#17769000 +0! +#17769500 +1! +#17770000 +0! +#17770500 +1! +#17771000 +0! +#17771500 +1! +#17772000 +0! +#17772500 +1! +#17773000 +0! +#17773500 +1! +#17774000 +0! +#17774500 +1! +#17775000 +0! +#17775500 +1! +#17776000 +0! +#17776500 +1! +#17777000 +0! +#17777500 +1! +#17778000 +0! +#17778500 +1! +#17779000 +0! +#17779500 +1! +#17780000 +0! +#17780500 +1! +#17781000 +0! +#17781500 +1! +#17782000 +0! +#17782500 +1! +#17783000 +0! +#17783500 +1! +#17784000 +0! +#17784500 +1! +#17785000 +0! +#17785500 +1! +#17786000 +0! +#17786500 +1! +#17787000 +0! +#17787500 +1! +#17788000 +0! +#17788500 +1! +#17789000 +0! +#17789500 +1! +#17790000 +0! +#17790500 +1! +#17791000 +0! +#17791500 +1! +#17792000 +0! +#17792500 +1! +#17793000 +0! +#17793500 +1! +#17794000 +0! +#17794500 +1! +#17795000 +0! +#17795500 +1! +#17796000 +0! +#17796500 +1! +#17797000 +0! +#17797500 +1! +#17798000 +0! +#17798500 +1! +#17799000 +0! +#17799500 +1! +#17800000 +0! +#17800500 +1! +#17801000 +0! +#17801500 +1! +#17802000 +0! +#17802500 +1! +#17803000 +0! +#17803500 +1! +#17804000 +0! +#17804500 +1! +#17805000 +0! +#17805500 +1! +#17806000 +0! +#17806500 +1! +#17807000 +0! +#17807500 +1! +#17808000 +0! +#17808500 +1! +#17809000 +0! +#17809500 +1! +#17810000 +0! +#17810500 +1! +#17811000 +0! +#17811500 +1! +#17812000 +0! +#17812500 +1! +#17813000 +0! +#17813500 +1! +#17814000 +0! +#17814500 +1! +#17815000 +0! +#17815500 +1! +#17816000 +0! +#17816500 +1! +#17817000 +0! +#17817500 +1! +#17818000 +0! +#17818500 +1! +#17819000 +0! +#17819500 +1! +#17820000 +0! +#17820500 +1! +#17821000 +0! +#17821500 +1! +#17822000 +0! +#17822500 +1! +#17823000 +0! +#17823500 +1! +#17824000 +0! +#17824500 +1! +#17825000 +0! +#17825500 +1! +#17826000 +0! +#17826500 +1! +#17827000 +0! +#17827500 +1! +#17828000 +0! +#17828500 +1! +#17829000 +0! +#17829500 +1! +#17830000 +0! +#17830500 +1! +#17831000 +0! +#17831500 +1! +#17832000 +0! +#17832500 +1! +#17833000 +0! +#17833500 +1! +#17834000 +0! +#17834500 +1! +#17835000 +0! +#17835500 +1! +#17836000 +0! +#17836500 +1! +#17837000 +0! +#17837500 +1! +#17838000 +0! +#17838500 +1! +#17839000 +0! +#17839500 +1! +#17840000 +0! +#17840500 +1! +#17841000 +0! +#17841500 +1! +#17842000 +0! +#17842500 +1! +#17843000 +0! +#17843500 +1! +#17844000 +0! +#17844500 +1! +#17845000 +0! +#17845500 +1! +#17846000 +0! +#17846500 +1! +#17847000 +0! +#17847500 +1! +#17848000 +0! +#17848500 +1! +#17849000 +0! +#17849500 +1! +#17850000 +0! +#17850500 +1! +#17851000 +0! +#17851500 +1! +#17852000 +0! +#17852500 +1! +#17853000 +0! +#17853500 +1! +#17854000 +0! +#17854500 +1! +#17855000 +0! +#17855500 +1! +#17856000 +0! +#17856500 +1! +#17857000 +0! +#17857500 +1! +#17858000 +0! +#17858500 +1! +#17859000 +0! +#17859500 +1! +#17860000 +0! +#17860500 +1! +#17861000 +0! +#17861500 +1! +#17862000 +0! +#17862500 +1! +#17863000 +0! +#17863500 +1! +#17864000 +0! +#17864500 +1! +#17865000 +0! +#17865500 +1! +#17866000 +0! +#17866500 +1! +#17867000 +0! +#17867500 +1! +#17868000 +0! +#17868500 +1! +#17869000 +0! +#17869500 +1! +#17870000 +0! +#17870500 +1! +#17871000 +0! +#17871500 +1! +#17872000 +0! +#17872500 +1! +#17873000 +0! +#17873500 +1! +#17874000 +0! +#17874500 +1! +#17875000 +0! +#17875500 +1! +#17876000 +0! +#17876500 +1! +#17877000 +0! +#17877500 +1! +#17878000 +0! +#17878500 +1! +#17879000 +0! +#17879500 +1! +#17880000 +0! +#17880500 +1! +#17881000 +0! +#17881500 +1! +#17882000 +0! +#17882500 +1! +#17883000 +0! +#17883500 +1! +#17884000 +0! +#17884500 +1! +#17885000 +0! +#17885500 +1! +#17886000 +0! +#17886500 +1! +#17887000 +0! +#17887500 +1! +#17888000 +0! +#17888500 +1! +#17889000 +0! +#17889500 +1! +#17890000 +0! +#17890500 +1! +#17891000 +0! +#17891500 +1! +#17892000 +0! +#17892500 +1! +#17893000 +0! +#17893500 +1! +#17894000 +0! +#17894500 +1! +#17895000 +0! +#17895500 +1! +#17896000 +0! +#17896500 +1! +#17897000 +0! +#17897500 +1! +#17898000 +0! +#17898500 +1! +#17899000 +0! +#17899500 +1! +#17900000 +0! +#17900500 +1! +#17901000 +0! +#17901500 +1! +#17902000 +0! +#17902500 +1! +#17903000 +0! +#17903500 +1! +#17904000 +0! +#17904500 +1! +#17905000 +0! +#17905500 +1! +#17906000 +0! +#17906500 +1! +#17907000 +0! +#17907500 +1! +#17908000 +0! +#17908500 +1! +#17909000 +0! +#17909500 +1! +#17910000 +0! +#17910500 +1! +#17911000 +0! +#17911500 +1! +#17912000 +0! +#17912500 +1! +#17913000 +0! +#17913500 +1! +#17914000 +0! +#17914500 +1! +#17915000 +0! +#17915500 +1! +#17916000 +0! +#17916500 +1! +#17917000 +0! +#17917500 +1! +#17918000 +0! +#17918500 +1! +#17919000 +0! +#17919500 +1! +#17920000 +0! +#17920500 +1! +#17921000 +0! +#17921500 +1! +#17922000 +0! +#17922500 +1! +#17923000 +0! +#17923500 +1! +#17924000 +0! +#17924500 +1! +#17925000 +0! +#17925500 +1! +#17926000 +0! +#17926500 +1! +#17927000 +0! +#17927500 +1! +#17928000 +0! +#17928500 +1! +#17929000 +0! +#17929500 +1! +#17930000 +0! +#17930500 +1! +#17931000 +0! +#17931500 +1! +#17932000 +0! +#17932500 +1! +#17933000 +0! +#17933500 +1! +#17934000 +0! +#17934500 +1! +#17935000 +0! +#17935500 +1! +#17936000 +0! +#17936500 +1! +#17937000 +0! +#17937500 +1! +#17938000 +0! +#17938500 +1! +#17939000 +0! +#17939500 +1! +#17940000 +0! +#17940500 +1! +#17941000 +0! +#17941500 +1! +#17942000 +0! +#17942500 +1! +#17943000 +0! +#17943500 +1! +#17944000 +0! +#17944500 +1! +#17945000 +0! +#17945500 +1! +#17946000 +0! +#17946500 +1! +#17947000 +0! +#17947500 +1! +#17948000 +0! +#17948500 +1! +#17949000 +0! +#17949500 +1! +#17950000 +0! +#17950500 +1! +#17951000 +0! +#17951500 +1! +#17952000 +0! +#17952500 +1! +#17953000 +0! +#17953500 +1! +#17954000 +0! +#17954500 +1! +#17955000 +0! +#17955500 +1! +#17956000 +0! +#17956500 +1! +#17957000 +0! +#17957500 +1! +#17958000 +0! +#17958500 +1! +#17959000 +0! +#17959500 +1! +#17960000 +0! +#17960500 +1! +#17961000 +0! +#17961500 +1! +#17962000 +0! +#17962500 +1! +#17963000 +0! +#17963500 +1! +#17964000 +0! +#17964500 +1! +#17965000 +0! +#17965500 +1! +#17966000 +0! +#17966500 +1! +#17967000 +0! +#17967500 +1! +#17968000 +0! +#17968500 +1! +#17969000 +0! +#17969500 +1! +#17970000 +0! +#17970500 +1! +#17971000 +0! +#17971500 +1! +#17972000 +0! +#17972500 +1! +#17973000 +0! +#17973500 +1! +#17974000 +0! +#17974500 +1! +#17975000 +0! +#17975500 +1! +#17976000 +0! +#17976500 +1! +#17977000 +0! +#17977500 +1! +#17978000 +0! +#17978500 +1! +#17979000 +0! +#17979500 +1! +#17980000 +0! +#17980500 +1! +#17981000 +0! +#17981500 +1! +#17982000 +0! +#17982500 +1! +#17983000 +0! +#17983500 +1! +#17984000 +0! +#17984500 +1! +#17985000 +0! +#17985500 +1! +#17986000 +0! +#17986500 +1! +#17987000 +0! +#17987500 +1! +#17988000 +0! +#17988500 +1! +#17989000 +0! +#17989500 +1! +#17990000 +0! +#17990500 +1! +#17991000 +0! +#17991500 +1! +#17992000 +0! +#17992500 +1! +#17993000 +0! +#17993500 +1! +#17994000 +0! +#17994500 +1! +#17995000 +0! +#17995500 +1! +#17996000 +0! +#17996500 +1! +#17997000 +0! +#17997500 +1! +#17998000 +0! +#17998500 +1! +#17999000 +0! +#17999500 +1! +#18000000 +0! +#18000500 +1! +#18001000 +0! +#18001500 +1! +#18002000 +0! +#18002500 +1! +#18003000 +0! +#18003500 +1! +#18004000 +0! +#18004500 +1! +#18005000 +0! +#18005500 +1! +#18006000 +0! +#18006500 +1! +#18007000 +0! +#18007500 +1! +#18008000 +0! +#18008500 +1! +#18009000 +0! +#18009500 +1! +#18010000 +0! +#18010500 +1! +#18011000 +0! +#18011500 +1! +#18012000 +0! +#18012500 +1! +#18013000 +0! +#18013500 +1! +#18014000 +0! +#18014500 +1! +#18015000 +0! +#18015500 +1! +#18016000 +0! +#18016500 +1! +#18017000 +0! +#18017500 +1! +#18018000 +0! +#18018500 +1! +#18019000 +0! +#18019500 +1! +#18020000 +0! +#18020500 +1! +#18021000 +0! +#18021500 +1! +#18022000 +0! +#18022500 +1! +#18023000 +0! +#18023500 +1! +#18024000 +0! +#18024500 +1! +#18025000 +0! +#18025500 +1! +#18026000 +0! +#18026500 +1! +#18027000 +0! +#18027500 +1! +#18028000 +0! +#18028500 +1! +#18029000 +0! +#18029500 +1! +#18030000 +0! +#18030500 +1! +#18031000 +0! +#18031500 +1! +#18032000 +0! +#18032500 +1! +#18033000 +0! +#18033500 +1! +#18034000 +0! +#18034500 +1! +#18035000 +0! +#18035500 +1! +#18036000 +0! +#18036500 +1! +#18037000 +0! +#18037500 +1! +#18038000 +0! +#18038500 +1! +#18039000 +0! +#18039500 +1! +#18040000 +0! +#18040500 +1! +#18041000 +0! +#18041500 +1! +#18042000 +0! +#18042500 +1! +#18043000 +0! +#18043500 +1! +#18044000 +0! +#18044500 +1! +#18045000 +0! +#18045500 +1! +#18046000 +0! +#18046500 +1! +#18047000 +0! +#18047500 +1! +#18048000 +0! +#18048500 +1! +#18049000 +0! +#18049500 +1! +#18050000 +0! +#18050500 +1! +#18051000 +0! +#18051500 +1! +#18052000 +0! +#18052500 +1! +#18053000 +0! +#18053500 +1! +#18054000 +0! +#18054500 +1! +#18055000 +0! +#18055500 +1! +#18056000 +0! +#18056500 +1! +#18057000 +0! +#18057500 +1! +#18058000 +0! +#18058500 +1! +#18059000 +0! +#18059500 +1! +#18060000 +0! +#18060500 +1! +#18061000 +0! +#18061500 +1! +#18062000 +0! +#18062500 +1! +#18063000 +0! +#18063500 +1! +#18064000 +0! +#18064500 +1! +#18065000 +0! +#18065500 +1! +#18066000 +0! +#18066500 +1! +#18067000 +0! +#18067500 +1! +#18068000 +0! +#18068500 +1! +#18069000 +0! +#18069500 +1! +#18070000 +0! +#18070500 +1! +#18071000 +0! +#18071500 +1! +#18072000 +0! +#18072500 +1! +#18073000 +0! +#18073500 +1! +#18074000 +0! +#18074500 +1! +#18075000 +0! +#18075500 +1! +#18076000 +0! +#18076500 +1! +#18077000 +0! +#18077500 +1! +#18078000 +0! +#18078500 +1! +#18079000 +0! +#18079500 +1! +#18080000 +0! +#18080500 +1! +#18081000 +0! +#18081500 +1! +#18082000 +0! +#18082500 +1! +#18083000 +0! +#18083500 +1! +#18084000 +0! +#18084500 +1! +#18085000 +0! +#18085500 +1! +#18086000 +0! +#18086500 +1! +#18087000 +0! +#18087500 +1! +#18088000 +0! +#18088500 +1! +#18089000 +0! +#18089500 +1! +#18090000 +0! +#18090500 +1! +#18091000 +0! +#18091500 +1! +#18092000 +0! +#18092500 +1! +#18093000 +0! +#18093500 +1! +#18094000 +0! +#18094500 +1! +#18095000 +0! +#18095500 +1! +#18096000 +0! +#18096500 +1! +#18097000 +0! +#18097500 +1! +#18098000 +0! +#18098500 +1! +#18099000 +0! +#18099500 +1! +#18100000 +0! +#18100500 +1! +#18101000 +0! +#18101500 +1! +#18102000 +0! +#18102500 +1! +#18103000 +0! +#18103500 +1! +#18104000 +0! +#18104500 +1! +#18105000 +0! +#18105500 +1! +#18106000 +0! +#18106500 +1! +#18107000 +0! +#18107500 +1! +#18108000 +0! +#18108500 +1! +#18109000 +0! +#18109500 +1! +#18110000 +0! +#18110500 +1! +#18111000 +0! +#18111500 +1! +#18112000 +0! +#18112500 +1! +#18113000 +0! +#18113500 +1! +#18114000 +0! +#18114500 +1! +#18115000 +0! +#18115500 +1! +#18116000 +0! +#18116500 +1! +#18117000 +0! +#18117500 +1! +#18118000 +0! +#18118500 +1! +#18119000 +0! +#18119500 +1! +#18120000 +0! +#18120500 +1! +#18121000 +0! +#18121500 +1! +#18122000 +0! +#18122500 +1! +#18123000 +0! +#18123500 +1! +#18124000 +0! +#18124500 +1! +#18125000 +0! +#18125500 +1! +#18126000 +0! +#18126500 +1! +#18127000 +0! +#18127500 +1! +#18128000 +0! +#18128500 +1! +#18129000 +0! +#18129500 +1! +#18130000 +0! +#18130500 +1! +#18131000 +0! +#18131500 +1! +#18132000 +0! +#18132500 +1! +#18133000 +0! +#18133500 +1! +#18134000 +0! +#18134500 +1! +#18135000 +0! +#18135500 +1! +#18136000 +0! +#18136500 +1! +#18137000 +0! +#18137500 +1! +#18138000 +0! +#18138500 +1! +#18139000 +0! +#18139500 +1! +#18140000 +0! +#18140500 +1! +#18141000 +0! +#18141500 +1! +#18142000 +0! +#18142500 +1! +#18143000 +0! +#18143500 +1! +#18144000 +0! +#18144500 +1! +#18145000 +0! +#18145500 +1! +#18146000 +0! +#18146500 +1! +#18147000 +0! +#18147500 +1! +#18148000 +0! +#18148500 +1! +#18149000 +0! +#18149500 +1! +#18150000 +0! +#18150500 +1! +#18151000 +0! +#18151500 +1! +#18152000 +0! +#18152500 +1! +#18153000 +0! +#18153500 +1! +#18154000 +0! +#18154500 +1! +#18155000 +0! +#18155500 +1! +#18156000 +0! +#18156500 +1! +#18157000 +0! +#18157500 +1! +#18158000 +0! +#18158500 +1! +#18159000 +0! +#18159500 +1! +#18160000 +0! +#18160500 +1! +#18161000 +0! +#18161500 +1! +#18162000 +0! +#18162500 +1! +#18163000 +0! +#18163500 +1! +#18164000 +0! +#18164500 +1! +#18165000 +0! +#18165500 +1! +#18166000 +0! +#18166500 +1! +#18167000 +0! +#18167500 +1! +#18168000 +0! +#18168500 +1! +#18169000 +0! +#18169500 +1! +#18170000 +0! +#18170500 +1! +#18171000 +0! +#18171500 +1! +#18172000 +0! +#18172500 +1! +#18173000 +0! +#18173500 +1! +#18174000 +0! +#18174500 +1! +#18175000 +0! +#18175500 +1! +#18176000 +0! +#18176500 +1! +#18177000 +0! +#18177500 +1! +#18178000 +0! +#18178500 +1! +#18179000 +0! +#18179500 +1! +#18180000 +0! +#18180500 +1! +#18181000 +0! +#18181500 +1! +#18182000 +0! +#18182500 +1! +#18183000 +0! +#18183500 +1! +#18184000 +0! +#18184500 +1! +#18185000 +0! +#18185500 +1! +#18186000 +0! +#18186500 +1! +#18187000 +0! +#18187500 +1! +#18188000 +0! +#18188500 +1! +#18189000 +0! +#18189500 +1! +#18190000 +0! +#18190500 +1! +#18191000 +0! +#18191500 +1! +#18192000 +0! +#18192500 +1! +#18193000 +0! +#18193500 +1! +#18194000 +0! +#18194500 +1! +#18195000 +0! +#18195500 +1! +#18196000 +0! +#18196500 +1! +#18197000 +0! +#18197500 +1! +#18198000 +0! +#18198500 +1! +#18199000 +0! +#18199500 +1! +#18200000 +0! +#18200500 +1! +#18201000 +0! +#18201500 +1! +#18202000 +0! +#18202500 +1! +#18203000 +0! +#18203500 +1! +#18204000 +0! +#18204500 +1! +#18205000 +0! +#18205500 +1! +#18206000 +0! +#18206500 +1! +#18207000 +0! +#18207500 +1! +#18208000 +0! +#18208500 +1! +#18209000 +0! +#18209500 +1! +#18210000 +0! +#18210500 +1! +#18211000 +0! +#18211500 +1! +#18212000 +0! +#18212500 +1! +#18213000 +0! +#18213500 +1! +#18214000 +0! +#18214500 +1! +#18215000 +0! +#18215500 +1! +#18216000 +0! +#18216500 +1! +#18217000 +0! +#18217500 +1! +#18218000 +0! +#18218500 +1! +#18219000 +0! +#18219500 +1! +#18220000 +0! +#18220500 +1! +#18221000 +0! +#18221500 +1! +#18222000 +0! +#18222500 +1! +#18223000 +0! +#18223500 +1! +#18224000 +0! +#18224500 +1! +#18225000 +0! +#18225500 +1! +#18226000 +0! +#18226500 +1! +#18227000 +0! +#18227500 +1! +#18228000 +0! +#18228500 +1! +#18229000 +0! +#18229500 +1! +#18230000 +0! +#18230500 +1! +#18231000 +0! +#18231500 +1! +#18232000 +0! +#18232500 +1! +#18233000 +0! +#18233500 +1! +#18234000 +0! +#18234500 +1! +#18235000 +0! +#18235500 +1! +#18236000 +0! +#18236500 +1! +#18237000 +0! +#18237500 +1! +#18238000 +0! +#18238500 +1! +#18239000 +0! +#18239500 +1! +#18240000 +0! +#18240500 +1! +#18241000 +0! +#18241500 +1! +#18242000 +0! +#18242500 +1! +#18243000 +0! +#18243500 +1! +#18244000 +0! +#18244500 +1! +#18245000 +0! +#18245500 +1! +#18246000 +0! +#18246500 +1! +#18247000 +0! +#18247500 +1! +#18248000 +0! +#18248500 +1! +#18249000 +0! +#18249500 +1! +#18250000 +0! +#18250500 +1! +#18251000 +0! +#18251500 +1! +#18252000 +0! +#18252500 +1! +#18253000 +0! +#18253500 +1! +#18254000 +0! +#18254500 +1! +#18255000 +0! +#18255500 +1! +#18256000 +0! +#18256500 +1! +#18257000 +0! +#18257500 +1! +#18258000 +0! +#18258500 +1! +#18259000 +0! +#18259500 +1! +#18260000 +0! +#18260500 +1! +#18261000 +0! +#18261500 +1! +#18262000 +0! +#18262500 +1! +#18263000 +0! +#18263500 +1! +#18264000 +0! +#18264500 +1! +#18265000 +0! +#18265500 +1! +#18266000 +0! +#18266500 +1! +#18267000 +0! +#18267500 +1! +#18268000 +0! +#18268500 +1! +#18269000 +0! +#18269500 +1! +#18270000 +0! +#18270500 +1! +#18271000 +0! +#18271500 +1! +#18272000 +0! +#18272500 +1! +#18273000 +0! +#18273500 +1! +#18274000 +0! +#18274500 +1! +#18275000 +0! +#18275500 +1! +#18276000 +0! +#18276500 +1! +#18277000 +0! +#18277500 +1! +#18278000 +0! +#18278500 +1! +#18279000 +0! +#18279500 +1! +#18280000 +0! +#18280500 +1! +#18281000 +0! +#18281500 +1! +#18282000 +0! +#18282500 +1! +#18283000 +0! +#18283500 +1! +#18284000 +0! +#18284500 +1! +#18285000 +0! +#18285500 +1! +#18286000 +0! +#18286500 +1! +#18287000 +0! +#18287500 +1! +#18288000 +0! +#18288500 +1! +#18289000 +0! +#18289500 +1! +#18290000 +0! +#18290500 +1! +#18291000 +0! +#18291500 +1! +#18292000 +0! +#18292500 +1! +#18293000 +0! +#18293500 +1! +#18294000 +0! +#18294500 +1! +#18295000 +0! +#18295500 +1! +#18296000 +0! +#18296500 +1! +#18297000 +0! +#18297500 +1! +#18298000 +0! +#18298500 +1! +#18299000 +0! +#18299500 +1! +#18300000 +0! +#18300500 +1! +#18301000 +0! +#18301500 +1! +#18302000 +0! +#18302500 +1! +#18303000 +0! +#18303500 +1! +#18304000 +0! +#18304500 +1! +#18305000 +0! +#18305500 +1! +#18306000 +0! +#18306500 +1! +#18307000 +0! +#18307500 +1! +#18308000 +0! +#18308500 +1! +#18309000 +0! +#18309500 +1! +#18310000 +0! +#18310500 +1! +#18311000 +0! +#18311500 +1! +#18312000 +0! +#18312500 +1! +#18313000 +0! +#18313500 +1! +#18314000 +0! +#18314500 +1! +#18315000 +0! +#18315500 +1! +#18316000 +0! +#18316500 +1! +#18317000 +0! +#18317500 +1! +#18318000 +0! +#18318500 +1! +#18319000 +0! +#18319500 +1! +#18320000 +0! +#18320500 +1! +#18321000 +0! +#18321500 +1! +#18322000 +0! +#18322500 +1! +#18323000 +0! +#18323500 +1! +#18324000 +0! +#18324500 +1! +#18325000 +0! +#18325500 +1! +#18326000 +0! +#18326500 +1! +#18327000 +0! +#18327500 +1! +#18328000 +0! +#18328500 +1! +#18329000 +0! +#18329500 +1! +#18330000 +0! +#18330500 +1! +#18331000 +0! +#18331500 +1! +#18332000 +0! +#18332500 +1! +#18333000 +0! +#18333500 +1! +#18334000 +0! +#18334500 +1! +#18335000 +0! +#18335500 +1! +#18336000 +0! +#18336500 +1! +#18337000 +0! +#18337500 +1! +#18338000 +0! +#18338500 +1! +#18339000 +0! +#18339500 +1! +#18340000 +0! +#18340500 +1! +#18341000 +0! +#18341500 +1! +#18342000 +0! +#18342500 +1! +#18343000 +0! +#18343500 +1! +#18344000 +0! +#18344500 +1! +#18345000 +0! +#18345500 +1! +#18346000 +0! +#18346500 +1! +#18347000 +0! +#18347500 +1! +#18348000 +0! +#18348500 +1! +#18349000 +0! +#18349500 +1! +#18350000 +0! +#18350500 +1! +#18351000 +0! +#18351500 +1! +#18352000 +0! +#18352500 +1! +#18353000 +0! +#18353500 +1! +#18354000 +0! +#18354500 +1! +#18355000 +0! +#18355500 +1! +#18356000 +0! +#18356500 +1! +#18357000 +0! +#18357500 +1! +#18358000 +0! +#18358500 +1! +#18359000 +0! +#18359500 +1! +#18360000 +0! +#18360500 +1! +#18361000 +0! +#18361500 +1! +#18362000 +0! +#18362500 +1! +#18363000 +0! +#18363500 +1! +#18364000 +0! +#18364500 +1! +#18365000 +0! +#18365500 +1! +#18366000 +0! +#18366500 +1! +#18367000 +0! +#18367500 +1! +#18368000 +0! +#18368500 +1! +#18369000 +0! +#18369500 +1! +#18370000 +0! +#18370500 +1! +#18371000 +0! +#18371500 +1! +#18372000 +0! +#18372500 +1! +#18373000 +0! +#18373500 +1! +#18374000 +0! +#18374500 +1! +#18375000 +0! +#18375500 +1! +#18376000 +0! +#18376500 +1! +#18377000 +0! +#18377500 +1! +#18378000 +0! +#18378500 +1! +#18379000 +0! +#18379500 +1! +#18380000 +0! +#18380500 +1! +#18381000 +0! +#18381500 +1! +#18382000 +0! +#18382500 +1! +#18383000 +0! +#18383500 +1! +#18384000 +0! +#18384500 +1! +#18385000 +0! +#18385500 +1! +#18386000 +0! +#18386500 +1! +#18387000 +0! +#18387500 +1! +#18388000 +0! +#18388500 +1! +#18389000 +0! +#18389500 +1! +#18390000 +0! +#18390500 +1! +#18391000 +0! +#18391500 +1! +#18392000 +0! +#18392500 +1! +#18393000 +0! +#18393500 +1! +#18394000 +0! +#18394500 +1! +#18395000 +0! +#18395500 +1! +#18396000 +0! +#18396500 +1! +#18397000 +0! +#18397500 +1! +#18398000 +0! +#18398500 +1! +#18399000 +0! +#18399500 +1! +#18400000 +0! +#18400500 +1! +#18401000 +0! +#18401500 +1! +#18402000 +0! +#18402500 +1! +#18403000 +0! +#18403500 +1! +#18404000 +0! +#18404500 +1! +#18405000 +0! +#18405500 +1! +#18406000 +0! +#18406500 +1! +#18407000 +0! +#18407500 +1! +#18408000 +0! +#18408500 +1! +#18409000 +0! +#18409500 +1! +#18410000 +0! +#18410500 +1! +#18411000 +0! +#18411500 +1! +#18412000 +0! +#18412500 +1! +#18413000 +0! +#18413500 +1! +#18414000 +0! +#18414500 +1! +#18415000 +0! +#18415500 +1! +#18416000 +0! +#18416500 +1! +#18417000 +0! +#18417500 +1! +#18418000 +0! +#18418500 +1! +#18419000 +0! +#18419500 +1! +#18420000 +0! +#18420500 +1! +#18421000 +0! +#18421500 +1! +#18422000 +0! +#18422500 +1! +#18423000 +0! +#18423500 +1! +#18424000 +0! +#18424500 +1! +#18425000 +0! +#18425500 +1! +#18426000 +0! +#18426500 +1! +#18427000 +0! +#18427500 +1! +#18428000 +0! +#18428500 +1! +#18429000 +0! +#18429500 +1! +#18430000 +0! +#18430500 +1! +#18431000 +0! +#18431500 +1! +#18432000 +0! +#18432500 +1! +#18433000 +0! +#18433500 +1! +#18434000 +0! +#18434500 +1! +#18435000 +0! +#18435500 +1! +#18436000 +0! +#18436500 +1! +#18437000 +0! +#18437500 +1! +#18438000 +0! +#18438500 +1! +#18439000 +0! +#18439500 +1! +#18440000 +0! +#18440500 +1! +#18441000 +0! +#18441500 +1! +#18442000 +0! +#18442500 +1! +#18443000 +0! +#18443500 +1! +#18444000 +0! +#18444500 +1! +#18445000 +0! +#18445500 +1! +#18446000 +0! +#18446500 +1! +#18447000 +0! +#18447500 +1! +#18448000 +0! +#18448500 +1! +#18449000 +0! +#18449500 +1! +#18450000 +0! +#18450500 +1! +#18451000 +0! +#18451500 +1! +#18452000 +0! +#18452500 +1! +#18453000 +0! +#18453500 +1! +#18454000 +0! +#18454500 +1! +#18455000 +0! +#18455500 +1! +#18456000 +0! +#18456500 +1! +#18457000 +0! +#18457500 +1! +#18458000 +0! +#18458500 +1! +#18459000 +0! +#18459500 +1! +#18460000 +0! +#18460500 +1! +#18461000 +0! +#18461500 +1! +#18462000 +0! +#18462500 +1! +#18463000 +0! +#18463500 +1! +#18464000 +0! +#18464500 +1! +#18465000 +0! +#18465500 +1! +#18466000 +0! +#18466500 +1! +#18467000 +0! +#18467500 +1! +#18468000 +0! +#18468500 +1! +#18469000 +0! +#18469500 +1! +#18470000 +0! +#18470500 +1! +#18471000 +0! +#18471500 +1! +#18472000 +0! +#18472500 +1! +#18473000 +0! +#18473500 +1! +#18474000 +0! +#18474500 +1! +#18475000 +0! +#18475500 +1! +#18476000 +0! +#18476500 +1! +#18477000 +0! +#18477500 +1! +#18478000 +0! +#18478500 +1! +#18479000 +0! +#18479500 +1! +#18480000 +0! +#18480500 +1! +#18481000 +0! +#18481500 +1! +#18482000 +0! +#18482500 +1! +#18483000 +0! +#18483500 +1! +#18484000 +0! +#18484500 +1! +#18485000 +0! +#18485500 +1! +#18486000 +0! +#18486500 +1! +#18487000 +0! +#18487500 +1! +#18488000 +0! +#18488500 +1! +#18489000 +0! +#18489500 +1! +#18490000 +0! +#18490500 +1! +#18491000 +0! +#18491500 +1! +#18492000 +0! +#18492500 +1! +#18493000 +0! +#18493500 +1! +#18494000 +0! +#18494500 +1! +#18495000 +0! +#18495500 +1! +#18496000 +0! +#18496500 +1! +#18497000 +0! +#18497500 +1! +#18498000 +0! +#18498500 +1! +#18499000 +0! +#18499500 +1! +#18500000 +0! +#18500500 +1! +#18501000 +0! +#18501500 +1! +#18502000 +0! +#18502500 +1! +#18503000 +0! +#18503500 +1! +#18504000 +0! +#18504500 +1! +#18505000 +0! +#18505500 +1! +#18506000 +0! +#18506500 +1! +#18507000 +0! +#18507500 +1! +#18508000 +0! +#18508500 +1! +#18509000 +0! +#18509500 +1! +#18510000 +0! +#18510500 +1! +#18511000 +0! +#18511500 +1! +#18512000 +0! +#18512500 +1! +#18513000 +0! +#18513500 +1! +#18514000 +0! +#18514500 +1! +#18515000 +0! +#18515500 +1! +#18516000 +0! +#18516500 +1! +#18517000 +0! +#18517500 +1! +#18518000 +0! +#18518500 +1! +#18519000 +0! +#18519500 +1! +#18520000 +0! +#18520500 +1! +#18521000 +0! +#18521500 +1! +#18522000 +0! +#18522500 +1! +#18523000 +0! +#18523500 +1! +#18524000 +0! +#18524500 +1! +#18525000 +0! +#18525500 +1! +#18526000 +0! +#18526500 +1! +#18527000 +0! +#18527500 +1! +#18528000 +0! +#18528500 +1! +#18529000 +0! +#18529500 +1! +#18530000 +0! +#18530500 +1! +#18531000 +0! +#18531500 +1! +#18532000 +0! +#18532500 +1! +#18533000 +0! +#18533500 +1! +#18534000 +0! +#18534500 +1! +#18535000 +0! +#18535500 +1! +#18536000 +0! +#18536500 +1! +#18537000 +0! +#18537500 +1! +#18538000 +0! +#18538500 +1! +#18539000 +0! +#18539500 +1! +#18540000 +0! +#18540500 +1! +#18541000 +0! +#18541500 +1! +#18542000 +0! +#18542500 +1! +#18543000 +0! +#18543500 +1! +#18544000 +0! +#18544500 +1! +#18545000 +0! +#18545500 +1! +#18546000 +0! +#18546500 +1! +#18547000 +0! +#18547500 +1! +#18548000 +0! +#18548500 +1! +#18549000 +0! +#18549500 +1! +#18550000 +0! +#18550500 +1! +#18551000 +0! +#18551500 +1! +#18552000 +0! +#18552500 +1! +#18553000 +0! +#18553500 +1! +#18554000 +0! +#18554500 +1! +#18555000 +0! +#18555500 +1! +#18556000 +0! +#18556500 +1! +#18557000 +0! +#18557500 +1! +#18558000 +0! +#18558500 +1! +#18559000 +0! +#18559500 +1! +#18560000 +0! +#18560500 +1! +#18561000 +0! +#18561500 +1! +#18562000 +0! +#18562500 +1! +#18563000 +0! +#18563500 +1! +#18564000 +0! +#18564500 +1! +#18565000 +0! +#18565500 +1! +#18566000 +0! +#18566500 +1! +#18567000 +0! +#18567500 +1! +#18568000 +0! +#18568500 +1! +#18569000 +0! +#18569500 +1! +#18570000 +0! +#18570500 +1! +#18571000 +0! +#18571500 +1! +#18572000 +0! +#18572500 +1! +#18573000 +0! +#18573500 +1! +#18574000 +0! +#18574500 +1! +#18575000 +0! +#18575500 +1! +#18576000 +0! +#18576500 +1! +#18577000 +0! +#18577500 +1! +#18578000 +0! +#18578500 +1! +#18579000 +0! +#18579500 +1! +#18580000 +0! +#18580500 +1! +#18581000 +0! +#18581500 +1! +#18582000 +0! +#18582500 +1! +#18583000 +0! +#18583500 +1! +#18584000 +0! +#18584500 +1! +#18585000 +0! +#18585500 +1! +#18586000 +0! +#18586500 +1! +#18587000 +0! +#18587500 +1! +#18588000 +0! +#18588500 +1! +#18589000 +0! +#18589500 +1! +#18590000 +0! +#18590500 +1! +#18591000 +0! +#18591500 +1! +#18592000 +0! +#18592500 +1! +#18593000 +0! +#18593500 +1! +#18594000 +0! +#18594500 +1! +#18595000 +0! +#18595500 +1! +#18596000 +0! +#18596500 +1! +#18597000 +0! +#18597500 +1! +#18598000 +0! +#18598500 +1! +#18599000 +0! +#18599500 +1! +#18600000 +0! +#18600500 +1! +#18601000 +0! +#18601500 +1! +#18602000 +0! +#18602500 +1! +#18603000 +0! +#18603500 +1! +#18604000 +0! +#18604500 +1! +#18605000 +0! +#18605500 +1! +#18606000 +0! +#18606500 +1! +#18607000 +0! +#18607500 +1! +#18608000 +0! +#18608500 +1! +#18609000 +0! +#18609500 +1! +#18610000 +0! +#18610500 +1! +#18611000 +0! +#18611500 +1! +#18612000 +0! +#18612500 +1! +#18613000 +0! +#18613500 +1! +#18614000 +0! +#18614500 +1! +#18615000 +0! +#18615500 +1! +#18616000 +0! +#18616500 +1! +#18617000 +0! +#18617500 +1! +#18618000 +0! +#18618500 +1! +#18619000 +0! +#18619500 +1! +#18620000 +0! +#18620500 +1! +#18621000 +0! +#18621500 +1! +#18622000 +0! +#18622500 +1! +#18623000 +0! +#18623500 +1! +#18624000 +0! +#18624500 +1! +#18625000 +0! +#18625500 +1! +#18626000 +0! +#18626500 +1! +#18627000 +0! +#18627500 +1! +#18628000 +0! +#18628500 +1! +#18629000 +0! +#18629500 +1! +#18630000 +0! +#18630500 +1! +#18631000 +0! +#18631500 +1! +#18632000 +0! +#18632500 +1! +#18633000 +0! +#18633500 +1! +#18634000 +0! +#18634500 +1! +#18635000 +0! +#18635500 +1! +#18636000 +0! +#18636500 +1! +#18637000 +0! +#18637500 +1! +#18638000 +0! +#18638500 +1! +#18639000 +0! +#18639500 +1! +#18640000 +0! +#18640500 +1! +#18641000 +0! +#18641500 +1! +#18642000 +0! +#18642500 +1! +#18643000 +0! +#18643500 +1! +#18644000 +0! +#18644500 +1! +#18645000 +0! +#18645500 +1! +#18646000 +0! +#18646500 +1! +#18647000 +0! +#18647500 +1! +#18648000 +0! +#18648500 +1! +#18649000 +0! +#18649500 +1! +#18650000 +0! +#18650500 +1! +#18651000 +0! +#18651500 +1! +#18652000 +0! +#18652500 +1! +#18653000 +0! +#18653500 +1! +#18654000 +0! +#18654500 +1! +#18655000 +0! +#18655500 +1! +#18656000 +0! +#18656500 +1! +#18657000 +0! +#18657500 +1! +#18658000 +0! +#18658500 +1! +#18659000 +0! +#18659500 +1! +#18660000 +0! +#18660500 +1! +#18661000 +0! +#18661500 +1! +#18662000 +0! +#18662500 +1! +#18663000 +0! +#18663500 +1! +#18664000 +0! +#18664500 +1! +#18665000 +0! +#18665500 +1! +#18666000 +0! +#18666500 +1! +#18667000 +0! +#18667500 +1! +#18668000 +0! +#18668500 +1! +#18669000 +0! +#18669500 +1! +#18670000 +0! +#18670500 +1! +#18671000 +0! +#18671500 +1! +#18672000 +0! +#18672500 +1! +#18673000 +0! +#18673500 +1! +#18674000 +0! +#18674500 +1! +#18675000 +0! +#18675500 +1! +#18676000 +0! +#18676500 +1! +#18677000 +0! +#18677500 +1! +#18678000 +0! +#18678500 +1! +#18679000 +0! +#18679500 +1! +#18680000 +0! +#18680500 +1! +#18681000 +0! +#18681500 +1! +#18682000 +0! +#18682500 +1! +#18683000 +0! +#18683500 +1! +#18684000 +0! +#18684500 +1! +#18685000 +0! +#18685500 +1! +#18686000 +0! +#18686500 +1! +#18687000 +0! +#18687500 +1! +#18688000 +0! +#18688500 +1! +#18689000 +0! +#18689500 +1! +#18690000 +0! +#18690500 +1! +#18691000 +0! +#18691500 +1! +#18692000 +0! +#18692500 +1! +#18693000 +0! +#18693500 +1! +#18694000 +0! +#18694500 +1! +#18695000 +0! +#18695500 +1! +#18696000 +0! +#18696500 +1! +#18697000 +0! +#18697500 +1! +#18698000 +0! +#18698500 +1! +#18699000 +0! +#18699500 +1! +#18700000 +0! +#18700500 +1! +#18701000 +0! +#18701500 +1! +#18702000 +0! +#18702500 +1! +#18703000 +0! +#18703500 +1! +#18704000 +0! +#18704500 +1! +#18705000 +0! +#18705500 +1! +#18706000 +0! +#18706500 +1! +#18707000 +0! +#18707500 +1! +#18708000 +0! +#18708500 +1! +#18709000 +0! +#18709500 +1! +#18710000 +0! +#18710500 +1! +#18711000 +0! +#18711500 +1! +#18712000 +0! +#18712500 +1! +#18713000 +0! +#18713500 +1! +#18714000 +0! +#18714500 +1! +#18715000 +0! +#18715500 +1! +#18716000 +0! +#18716500 +1! +#18717000 +0! +#18717500 +1! +#18718000 +0! +#18718500 +1! +#18719000 +0! +#18719500 +1! +#18720000 +0! +#18720500 +1! +#18721000 +0! +#18721500 +1! +#18722000 +0! +#18722500 +1! +#18723000 +0! +#18723500 +1! +#18724000 +0! +#18724500 +1! +#18725000 +0! +#18725500 +1! +#18726000 +0! +#18726500 +1! +#18727000 +0! +#18727500 +1! +#18728000 +0! +#18728500 +1! +#18729000 +0! +#18729500 +1! +#18730000 +0! +#18730500 +1! +#18731000 +0! +#18731500 +1! +#18732000 +0! +#18732500 +1! +#18733000 +0! +#18733500 +1! +#18734000 +0! +#18734500 +1! +#18735000 +0! +#18735500 +1! +#18736000 +0! +#18736500 +1! +#18737000 +0! +#18737500 +1! +#18738000 +0! +#18738500 +1! +#18739000 +0! +#18739500 +1! +#18740000 +0! +#18740500 +1! +#18741000 +0! +#18741500 +1! +#18742000 +0! +#18742500 +1! +#18743000 +0! +#18743500 +1! +#18744000 +0! +#18744500 +1! +#18745000 +0! +#18745500 +1! +#18746000 +0! +#18746500 +1! +#18747000 +0! +#18747500 +1! +#18748000 +0! +#18748500 +1! +#18749000 +0! +#18749500 +1! +#18750000 +0! +#18750500 +1! +#18751000 +0! +#18751500 +1! +#18752000 +0! +#18752500 +1! +#18753000 +0! +#18753500 +1! +#18754000 +0! +#18754500 +1! +#18755000 +0! +#18755500 +1! +#18756000 +0! +#18756500 +1! +#18757000 +0! +#18757500 +1! +#18758000 +0! +#18758500 +1! +#18759000 +0! +#18759500 +1! +#18760000 +0! +#18760500 +1! +#18761000 +0! +#18761500 +1! +#18762000 +0! +#18762500 +1! +#18763000 +0! +#18763500 +1! +#18764000 +0! +#18764500 +1! +#18765000 +0! +#18765500 +1! +#18766000 +0! +#18766500 +1! +#18767000 +0! +#18767500 +1! +#18768000 +0! +#18768500 +1! +#18769000 +0! +#18769500 +1! +#18770000 +0! +#18770500 +1! +#18771000 +0! +#18771500 +1! +#18772000 +0! +#18772500 +1! +#18773000 +0! +#18773500 +1! +#18774000 +0! +#18774500 +1! +#18775000 +0! +#18775500 +1! +#18776000 +0! +#18776500 +1! +#18777000 +0! +#18777500 +1! +#18778000 +0! +#18778500 +1! +#18779000 +0! +#18779500 +1! +#18780000 +0! +#18780500 +1! +#18781000 +0! +#18781500 +1! +#18782000 +0! +#18782500 +1! +#18783000 +0! +#18783500 +1! +#18784000 +0! +#18784500 +1! +#18785000 +0! +#18785500 +1! +#18786000 +0! +#18786500 +1! +#18787000 +0! +#18787500 +1! +#18788000 +0! +#18788500 +1! +#18789000 +0! +#18789500 +1! +#18790000 +0! +#18790500 +1! +#18791000 +0! +#18791500 +1! +#18792000 +0! +#18792500 +1! +#18793000 +0! +#18793500 +1! +#18794000 +0! +#18794500 +1! +#18795000 +0! +#18795500 +1! +#18796000 +0! +#18796500 +1! +#18797000 +0! +#18797500 +1! +#18798000 +0! +#18798500 +1! +#18799000 +0! +#18799500 +1! +#18800000 +0! +#18800500 +1! +#18801000 +0! +#18801500 +1! +#18802000 +0! +#18802500 +1! +#18803000 +0! +#18803500 +1! +#18804000 +0! +#18804500 +1! +#18805000 +0! +#18805500 +1! +#18806000 +0! +#18806500 +1! +#18807000 +0! +#18807500 +1! +#18808000 +0! +#18808500 +1! +#18809000 +0! +#18809500 +1! +#18810000 +0! +#18810500 +1! +#18811000 +0! +#18811500 +1! +#18812000 +0! +#18812500 +1! +#18813000 +0! +#18813500 +1! +#18814000 +0! +#18814500 +1! +#18815000 +0! +#18815500 +1! +#18816000 +0! +#18816500 +1! +#18817000 +0! +#18817500 +1! +#18818000 +0! +#18818500 +1! +#18819000 +0! +#18819500 +1! +#18820000 +0! +#18820500 +1! +#18821000 +0! +#18821500 +1! +#18822000 +0! +#18822500 +1! +#18823000 +0! +#18823500 +1! +#18824000 +0! +#18824500 +1! +#18825000 +0! +#18825500 +1! +#18826000 +0! +#18826500 +1! +#18827000 +0! +#18827500 +1! +#18828000 +0! +#18828500 +1! +#18829000 +0! +#18829500 +1! +#18830000 +0! +#18830500 +1! +#18831000 +0! +#18831500 +1! +#18832000 +0! +#18832500 +1! +#18833000 +0! +#18833500 +1! +#18834000 +0! +#18834500 +1! +#18835000 +0! +#18835500 +1! +#18836000 +0! +#18836500 +1! +#18837000 +0! +#18837500 +1! +#18838000 +0! +#18838500 +1! +#18839000 +0! +#18839500 +1! +#18840000 +0! +#18840500 +1! +#18841000 +0! +#18841500 +1! +#18842000 +0! +#18842500 +1! +#18843000 +0! +#18843500 +1! +#18844000 +0! +#18844500 +1! +#18845000 +0! +#18845500 +1! +#18846000 +0! +#18846500 +1! +#18847000 +0! +#18847500 +1! +#18848000 +0! +#18848500 +1! +#18849000 +0! +#18849500 +1! +#18850000 +0! +#18850500 +1! +#18851000 +0! +#18851500 +1! +#18852000 +0! +#18852500 +1! +#18853000 +0! +#18853500 +1! +#18854000 +0! +#18854500 +1! +#18855000 +0! +#18855500 +1! +#18856000 +0! +#18856500 +1! +#18857000 +0! +#18857500 +1! +#18858000 +0! +#18858500 +1! +#18859000 +0! +#18859500 +1! +#18860000 +0! +#18860500 +1! +#18861000 +0! +#18861500 +1! +#18862000 +0! +#18862500 +1! +#18863000 +0! +#18863500 +1! +#18864000 +0! +#18864500 +1! +#18865000 +0! +#18865500 +1! +#18866000 +0! +#18866500 +1! +#18867000 +0! +#18867500 +1! +#18868000 +0! +#18868500 +1! +#18869000 +0! +#18869500 +1! +#18870000 +0! +#18870500 +1! +#18871000 +0! +#18871500 +1! +#18872000 +0! +#18872500 +1! +#18873000 +0! +#18873500 +1! +#18874000 +0! +#18874500 +1! +#18875000 +0! +#18875500 +1! +#18876000 +0! +#18876500 +1! +#18877000 +0! +#18877500 +1! +#18878000 +0! +#18878500 +1! +#18879000 +0! +#18879500 +1! +#18880000 +0! +#18880500 +1! +#18881000 +0! +#18881500 +1! +#18882000 +0! +#18882500 +1! +#18883000 +0! +#18883500 +1! +#18884000 +0! +#18884500 +1! +#18885000 +0! +#18885500 +1! +#18886000 +0! +#18886500 +1! +#18887000 +0! +#18887500 +1! +#18888000 +0! +#18888500 +1! +#18889000 +0! +#18889500 +1! +#18890000 +0! +#18890500 +1! +#18891000 +0! +#18891500 +1! +#18892000 +0! +#18892500 +1! +#18893000 +0! +#18893500 +1! +#18894000 +0! +#18894500 +1! +#18895000 +0! +#18895500 +1! +#18896000 +0! +#18896500 +1! +#18897000 +0! +#18897500 +1! +#18898000 +0! +#18898500 +1! +#18899000 +0! +#18899500 +1! +#18900000 +0! +#18900500 +1! +#18901000 +0! +#18901500 +1! +#18902000 +0! +#18902500 +1! +#18903000 +0! +#18903500 +1! +#18904000 +0! +#18904500 +1! +#18905000 +0! +#18905500 +1! +#18906000 +0! +#18906500 +1! +#18907000 +0! +#18907500 +1! +#18908000 +0! +#18908500 +1! +#18909000 +0! +#18909500 +1! +#18910000 +0! +#18910500 +1! +#18911000 +0! +#18911500 +1! +#18912000 +0! +#18912500 +1! +#18913000 +0! +#18913500 +1! +#18914000 +0! +#18914500 +1! +#18915000 +0! +#18915500 +1! +#18916000 +0! +#18916500 +1! +#18917000 +0! +#18917500 +1! +#18918000 +0! +#18918500 +1! +#18919000 +0! +#18919500 +1! +#18920000 +0! +#18920500 +1! +#18921000 +0! +#18921500 +1! +#18922000 +0! +#18922500 +1! +#18923000 +0! +#18923500 +1! +#18924000 +0! +#18924500 +1! +#18925000 +0! +#18925500 +1! +#18926000 +0! +#18926500 +1! +#18927000 +0! +#18927500 +1! +#18928000 +0! +#18928500 +1! +#18929000 +0! +#18929500 +1! +#18930000 +0! +#18930500 +1! +#18931000 +0! +#18931500 +1! +#18932000 +0! +#18932500 +1! +#18933000 +0! +#18933500 +1! +#18934000 +0! +#18934500 +1! +#18935000 +0! +#18935500 +1! +#18936000 +0! +#18936500 +1! +#18937000 +0! +#18937500 +1! +#18938000 +0! +#18938500 +1! +#18939000 +0! +#18939500 +1! +#18940000 +0! +#18940500 +1! +#18941000 +0! +#18941500 +1! +#18942000 +0! +#18942500 +1! +#18943000 +0! +#18943500 +1! +#18944000 +0! +#18944500 +1! +#18945000 +0! +#18945500 +1! +#18946000 +0! +#18946500 +1! +#18947000 +0! +#18947500 +1! +#18948000 +0! +#18948500 +1! +#18949000 +0! +#18949500 +1! +#18950000 +0! +#18950500 +1! +#18951000 +0! +#18951500 +1! +#18952000 +0! +#18952500 +1! +#18953000 +0! +#18953500 +1! +#18954000 +0! +#18954500 +1! +#18955000 +0! +#18955500 +1! +#18956000 +0! +#18956500 +1! +#18957000 +0! +#18957500 +1! +#18958000 +0! +#18958500 +1! +#18959000 +0! +#18959500 +1! +#18960000 +0! +#18960500 +1! +#18961000 +0! +#18961500 +1! +#18962000 +0! +#18962500 +1! +#18963000 +0! +#18963500 +1! +#18964000 +0! +#18964500 +1! +#18965000 +0! +#18965500 +1! +#18966000 +0! +#18966500 +1! +#18967000 +0! +#18967500 +1! +#18968000 +0! +#18968500 +1! +#18969000 +0! +#18969500 +1! +#18970000 +0! +#18970500 +1! +#18971000 +0! +#18971500 +1! +#18972000 +0! +#18972500 +1! +#18973000 +0! +#18973500 +1! +#18974000 +0! +#18974500 +1! +#18975000 +0! +#18975500 +1! +#18976000 +0! +#18976500 +1! +#18977000 +0! +#18977500 +1! +#18978000 +0! +#18978500 +1! +#18979000 +0! +#18979500 +1! +#18980000 +0! +#18980500 +1! +#18981000 +0! +#18981500 +1! +#18982000 +0! +#18982500 +1! +#18983000 +0! +#18983500 +1! +#18984000 +0! +#18984500 +1! +#18985000 +0! +#18985500 +1! +#18986000 +0! +#18986500 +1! +#18987000 +0! +#18987500 +1! +#18988000 +0! +#18988500 +1! +#18989000 +0! +#18989500 +1! +#18990000 +0! +#18990500 +1! +#18991000 +0! +#18991500 +1! +#18992000 +0! +#18992500 +1! +#18993000 +0! +#18993500 +1! +#18994000 +0! +#18994500 +1! +#18995000 +0! +#18995500 +1! +#18996000 +0! +#18996500 +1! +#18997000 +0! +#18997500 +1! +#18998000 +0! +#18998500 +1! +#18999000 +0! +#18999500 +1! +#19000000 +0! +#19000500 +1! +#19001000 +0! +#19001500 +1! +#19002000 +0! +#19002500 +1! +#19003000 +0! +#19003500 +1! +#19004000 +0! +#19004500 +1! +#19005000 +0! +#19005500 +1! +#19006000 +0! +#19006500 +1! +#19007000 +0! +#19007500 +1! +#19008000 +0! +#19008500 +1! +#19009000 +0! +#19009500 +1! +#19010000 +0! +#19010500 +1! +#19011000 +0! +#19011500 +1! +#19012000 +0! +#19012500 +1! +#19013000 +0! +#19013500 +1! +#19014000 +0! +#19014500 +1! +#19015000 +0! +#19015500 +1! +#19016000 +0! +#19016500 +1! +#19017000 +0! +#19017500 +1! +#19018000 +0! +#19018500 +1! +#19019000 +0! +#19019500 +1! +#19020000 +0! +#19020500 +1! +#19021000 +0! +#19021500 +1! +#19022000 +0! +#19022500 +1! +#19023000 +0! +#19023500 +1! +#19024000 +0! +#19024500 +1! +#19025000 +0! +#19025500 +1! +#19026000 +0! +#19026500 +1! +#19027000 +0! +#19027500 +1! +#19028000 +0! +#19028500 +1! +#19029000 +0! +#19029500 +1! +#19030000 +0! +#19030500 +1! +#19031000 +0! +#19031500 +1! +#19032000 +0! +#19032500 +1! +#19033000 +0! +#19033500 +1! +#19034000 +0! +#19034500 +1! +#19035000 +0! +#19035500 +1! +#19036000 +0! +#19036500 +1! +#19037000 +0! +#19037500 +1! +#19038000 +0! +#19038500 +1! +#19039000 +0! +#19039500 +1! +#19040000 +0! +#19040500 +1! +#19041000 +0! +#19041500 +1! +#19042000 +0! +#19042500 +1! +#19043000 +0! +#19043500 +1! +#19044000 +0! +#19044500 +1! +#19045000 +0! +#19045500 +1! +#19046000 +0! +#19046500 +1! +#19047000 +0! +#19047500 +1! +#19048000 +0! +#19048500 +1! +#19049000 +0! +#19049500 +1! +#19050000 +0! +#19050500 +1! +#19051000 +0! +#19051500 +1! +#19052000 +0! +#19052500 +1! +#19053000 +0! +#19053500 +1! +#19054000 +0! +#19054500 +1! +#19055000 +0! +#19055500 +1! +#19056000 +0! +#19056500 +1! +#19057000 +0! +#19057500 +1! +#19058000 +0! +#19058500 +1! +#19059000 +0! +#19059500 +1! +#19060000 +0! +#19060500 +1! +#19061000 +0! +#19061500 +1! +#19062000 +0! +#19062500 +1! +#19063000 +0! +#19063500 +1! +#19064000 +0! +#19064500 +1! +#19065000 +0! +#19065500 +1! +#19066000 +0! +#19066500 +1! +#19067000 +0! +#19067500 +1! +#19068000 +0! +#19068500 +1! +#19069000 +0! +#19069500 +1! +#19070000 +0! +#19070500 +1! +#19071000 +0! +#19071500 +1! +#19072000 +0! +#19072500 +1! +#19073000 +0! +#19073500 +1! +#19074000 +0! +#19074500 +1! +#19075000 +0! +#19075500 +1! +#19076000 +0! +#19076500 +1! +#19077000 +0! +#19077500 +1! +#19078000 +0! +#19078500 +1! +#19079000 +0! +#19079500 +1! +#19080000 +0! +#19080500 +1! +#19081000 +0! +#19081500 +1! +#19082000 +0! +#19082500 +1! +#19083000 +0! +#19083500 +1! +#19084000 +0! +#19084500 +1! +#19085000 +0! +#19085500 +1! +#19086000 +0! +#19086500 +1! +#19087000 +0! +#19087500 +1! +#19088000 +0! +#19088500 +1! +#19089000 +0! +#19089500 +1! +#19090000 +0! +#19090500 +1! +#19091000 +0! +#19091500 +1! +#19092000 +0! +#19092500 +1! +#19093000 +0! +#19093500 +1! +#19094000 +0! +#19094500 +1! +#19095000 +0! +#19095500 +1! +#19096000 +0! +#19096500 +1! +#19097000 +0! +#19097500 +1! +#19098000 +0! +#19098500 +1! +#19099000 +0! +#19099500 +1! +#19100000 +0! +#19100500 +1! +#19101000 +0! +#19101500 +1! +#19102000 +0! +#19102500 +1! +#19103000 +0! +#19103500 +1! +#19104000 +0! +#19104500 +1! +#19105000 +0! +#19105500 +1! +#19106000 +0! +#19106500 +1! +#19107000 +0! +#19107500 +1! +#19108000 +0! +#19108500 +1! +#19109000 +0! +#19109500 +1! +#19110000 +0! +#19110500 +1! +#19111000 +0! +#19111500 +1! +#19112000 +0! +#19112500 +1! +#19113000 +0! +#19113500 +1! +#19114000 +0! +#19114500 +1! +#19115000 +0! +#19115500 +1! +#19116000 +0! +#19116500 +1! +#19117000 +0! +#19117500 +1! +#19118000 +0! +#19118500 +1! +#19119000 +0! +#19119500 +1! +#19120000 +0! +#19120500 +1! +#19121000 +0! +#19121500 +1! +#19122000 +0! +#19122500 +1! +#19123000 +0! +#19123500 +1! +#19124000 +0! +#19124500 +1! +#19125000 +0! +#19125500 +1! +#19126000 +0! +#19126500 +1! +#19127000 +0! +#19127500 +1! +#19128000 +0! +#19128500 +1! +#19129000 +0! +#19129500 +1! +#19130000 +0! +#19130500 +1! +#19131000 +0! +#19131500 +1! +#19132000 +0! +#19132500 +1! +#19133000 +0! +#19133500 +1! +#19134000 +0! +#19134500 +1! +#19135000 +0! +#19135500 +1! +#19136000 +0! +#19136500 +1! +#19137000 +0! +#19137500 +1! +#19138000 +0! +#19138500 +1! +#19139000 +0! +#19139500 +1! +#19140000 +0! +#19140500 +1! +#19141000 +0! +#19141500 +1! +#19142000 +0! +#19142500 +1! +#19143000 +0! +#19143500 +1! +#19144000 +0! +#19144500 +1! +#19145000 +0! +#19145500 +1! +#19146000 +0! +#19146500 +1! +#19147000 +0! +#19147500 +1! +#19148000 +0! +#19148500 +1! +#19149000 +0! +#19149500 +1! +#19150000 +0! +#19150500 +1! +#19151000 +0! +#19151500 +1! +#19152000 +0! +#19152500 +1! +#19153000 +0! +#19153500 +1! +#19154000 +0! +#19154500 +1! +#19155000 +0! +#19155500 +1! +#19156000 +0! +#19156500 +1! +#19157000 +0! +#19157500 +1! +#19158000 +0! +#19158500 +1! +#19159000 +0! +#19159500 +1! +#19160000 +0! +#19160500 +1! +#19161000 +0! +#19161500 +1! +#19162000 +0! +#19162500 +1! +#19163000 +0! +#19163500 +1! +#19164000 +0! +#19164500 +1! +#19165000 +0! +#19165500 +1! +#19166000 +0! +#19166500 +1! +#19167000 +0! +#19167500 +1! +#19168000 +0! +#19168500 +1! +#19169000 +0! +#19169500 +1! +#19170000 +0! +#19170500 +1! +#19171000 +0! +#19171500 +1! +#19172000 +0! +#19172500 +1! +#19173000 +0! +#19173500 +1! +#19174000 +0! +#19174500 +1! +#19175000 +0! +#19175500 +1! +#19176000 +0! +#19176500 +1! +#19177000 +0! +#19177500 +1! +#19178000 +0! +#19178500 +1! +#19179000 +0! +#19179500 +1! +#19180000 +0! +#19180500 +1! +#19181000 +0! +#19181500 +1! +#19182000 +0! +#19182500 +1! +#19183000 +0! +#19183500 +1! +#19184000 +0! +#19184500 +1! +#19185000 +0! +#19185500 +1! +#19186000 +0! +#19186500 +1! +#19187000 +0! +#19187500 +1! +#19188000 +0! +#19188500 +1! +#19189000 +0! +#19189500 +1! +#19190000 +0! +#19190500 +1! +#19191000 +0! +#19191500 +1! +#19192000 +0! +#19192500 +1! +#19193000 +0! +#19193500 +1! +#19194000 +0! +#19194500 +1! +#19195000 +0! +#19195500 +1! +#19196000 +0! +#19196500 +1! +#19197000 +0! +#19197500 +1! +#19198000 +0! +#19198500 +1! +#19199000 +0! +#19199500 +1! +#19200000 +0! +#19200500 +1! +#19201000 +0! +#19201500 +1! +#19202000 +0! +#19202500 +1! +#19203000 +0! +#19203500 +1! +#19204000 +0! +#19204500 +1! +#19205000 +0! +#19205500 +1! +#19206000 +0! +#19206500 +1! +#19207000 +0! +#19207500 +1! +#19208000 +0! +#19208500 +1! +#19209000 +0! +#19209500 +1! +#19210000 +0! +#19210500 +1! +#19211000 +0! +#19211500 +1! +#19212000 +0! +#19212500 +1! +#19213000 +0! +#19213500 +1! +#19214000 +0! +#19214500 +1! +#19215000 +0! +#19215500 +1! +#19216000 +0! +#19216500 +1! +#19217000 +0! +#19217500 +1! +#19218000 +0! +#19218500 +1! +#19219000 +0! +#19219500 +1! +#19220000 +0! +#19220500 +1! +#19221000 +0! +#19221500 +1! +#19222000 +0! +#19222500 +1! +#19223000 +0! +#19223500 +1! +#19224000 +0! +#19224500 +1! +#19225000 +0! +#19225500 +1! +#19226000 +0! +#19226500 +1! +#19227000 +0! +#19227500 +1! +#19228000 +0! +#19228500 +1! +#19229000 +0! +#19229500 +1! +#19230000 +0! +#19230500 +1! +#19231000 +0! +#19231500 +1! +#19232000 +0! +#19232500 +1! +#19233000 +0! +#19233500 +1! +#19234000 +0! +#19234500 +1! +#19235000 +0! +#19235500 +1! +#19236000 +0! +#19236500 +1! +#19237000 +0! +#19237500 +1! +#19238000 +0! +#19238500 +1! +#19239000 +0! +#19239500 +1! +#19240000 +0! +#19240500 +1! +#19241000 +0! +#19241500 +1! +#19242000 +0! +#19242500 +1! +#19243000 +0! +#19243500 +1! +#19244000 +0! +#19244500 +1! +#19245000 +0! +#19245500 +1! +#19246000 +0! +#19246500 +1! +#19247000 +0! +#19247500 +1! +#19248000 +0! +#19248500 +1! +#19249000 +0! +#19249500 +1! +#19250000 +0! +#19250500 +1! +#19251000 +0! +#19251500 +1! +#19252000 +0! +#19252500 +1! +#19253000 +0! +#19253500 +1! +#19254000 +0! +#19254500 +1! +#19255000 +0! +#19255500 +1! +#19256000 +0! +#19256500 +1! +#19257000 +0! +#19257500 +1! +#19258000 +0! +#19258500 +1! +#19259000 +0! +#19259500 +1! +#19260000 +0! +#19260500 +1! +#19261000 +0! +#19261500 +1! +#19262000 +0! +#19262500 +1! +#19263000 +0! +#19263500 +1! +#19264000 +0! +#19264500 +1! +#19265000 +0! +#19265500 +1! +#19266000 +0! +#19266500 +1! +#19267000 +0! +#19267500 +1! +#19268000 +0! +#19268500 +1! +#19269000 +0! +#19269500 +1! +#19270000 +0! +#19270500 +1! +#19271000 +0! +#19271500 +1! +#19272000 +0! +#19272500 +1! +#19273000 +0! +#19273500 +1! +#19274000 +0! +#19274500 +1! +#19275000 +0! +#19275500 +1! +#19276000 +0! +#19276500 +1! +#19277000 +0! +#19277500 +1! +#19278000 +0! +#19278500 +1! +#19279000 +0! +#19279500 +1! +#19280000 +0! +#19280500 +1! +#19281000 +0! +#19281500 +1! +#19282000 +0! +#19282500 +1! +#19283000 +0! +#19283500 +1! +#19284000 +0! +#19284500 +1! +#19285000 +0! +#19285500 +1! +#19286000 +0! +#19286500 +1! +#19287000 +0! +#19287500 +1! +#19288000 +0! +#19288500 +1! +#19289000 +0! +#19289500 +1! +#19290000 +0! +#19290500 +1! +#19291000 +0! +#19291500 +1! +#19292000 +0! +#19292500 +1! +#19293000 +0! +#19293500 +1! +#19294000 +0! +#19294500 +1! +#19295000 +0! +#19295500 +1! +#19296000 +0! +#19296500 +1! +#19297000 +0! +#19297500 +1! +#19298000 +0! +#19298500 +1! +#19299000 +0! +#19299500 +1! +#19300000 +0! +#19300500 +1! +#19301000 +0! +#19301500 +1! +#19302000 +0! +#19302500 +1! +#19303000 +0! +#19303500 +1! +#19304000 +0! +#19304500 +1! +#19305000 +0! +#19305500 +1! +#19306000 +0! +#19306500 +1! +#19307000 +0! +#19307500 +1! +#19308000 +0! +#19308500 +1! +#19309000 +0! +#19309500 +1! +#19310000 +0! +#19310500 +1! +#19311000 +0! +#19311500 +1! +#19312000 +0! +#19312500 +1! +#19313000 +0! +#19313500 +1! +#19314000 +0! +#19314500 +1! +#19315000 +0! +#19315500 +1! +#19316000 +0! +#19316500 +1! +#19317000 +0! +#19317500 +1! +#19318000 +0! +#19318500 +1! +#19319000 +0! +#19319500 +1! +#19320000 +0! +#19320500 +1! +#19321000 +0! +#19321500 +1! +#19322000 +0! +#19322500 +1! +#19323000 +0! +#19323500 +1! +#19324000 +0! +#19324500 +1! +#19325000 +0! +#19325500 +1! +#19326000 +0! +#19326500 +1! +#19327000 +0! +#19327500 +1! +#19328000 +0! +#19328500 +1! +#19329000 +0! +#19329500 +1! +#19330000 +0! +#19330500 +1! +#19331000 +0! +#19331500 +1! +#19332000 +0! +#19332500 +1! +#19333000 +0! +#19333500 +1! +#19334000 +0! +#19334500 +1! +#19335000 +0! +#19335500 +1! +#19336000 +0! +#19336500 +1! +#19337000 +0! +#19337500 +1! +#19338000 +0! +#19338500 +1! +#19339000 +0! +#19339500 +1! +#19340000 +0! +#19340500 +1! +#19341000 +0! +#19341500 +1! +#19342000 +0! +#19342500 +1! +#19343000 +0! +#19343500 +1! +#19344000 +0! +#19344500 +1! +#19345000 +0! +#19345500 +1! +#19346000 +0! +#19346500 +1! +#19347000 +0! +#19347500 +1! +#19348000 +0! +#19348500 +1! +#19349000 +0! +#19349500 +1! +#19350000 +0! +#19350500 +1! +#19351000 +0! +#19351500 +1! +#19352000 +0! +#19352500 +1! +#19353000 +0! +#19353500 +1! +#19354000 +0! +#19354500 +1! +#19355000 +0! +#19355500 +1! +#19356000 +0! +#19356500 +1! +#19357000 +0! +#19357500 +1! +#19358000 +0! +#19358500 +1! +#19359000 +0! +#19359500 +1! +#19360000 +0! +#19360500 +1! +#19361000 +0! +#19361500 +1! +#19362000 +0! +#19362500 +1! +#19363000 +0! +#19363500 +1! +#19364000 +0! +#19364500 +1! +#19365000 +0! +#19365500 +1! +#19366000 +0! +#19366500 +1! +#19367000 +0! +#19367500 +1! +#19368000 +0! +#19368500 +1! +#19369000 +0! +#19369500 +1! +#19370000 +0! +#19370500 +1! +#19371000 +0! +#19371500 +1! +#19372000 +0! +#19372500 +1! +#19373000 +0! +#19373500 +1! +#19374000 +0! +#19374500 +1! +#19375000 +0! +#19375500 +1! +#19376000 +0! +#19376500 +1! +#19377000 +0! +#19377500 +1! +#19378000 +0! +#19378500 +1! +#19379000 +0! +#19379500 +1! +#19380000 +0! +#19380500 +1! +#19381000 +0! +#19381500 +1! +#19382000 +0! +#19382500 +1! +#19383000 +0! +#19383500 +1! +#19384000 +0! +#19384500 +1! +#19385000 +0! +#19385500 +1! +#19386000 +0! +#19386500 +1! +#19387000 +0! +#19387500 +1! +#19388000 +0! +#19388500 +1! +#19389000 +0! +#19389500 +1! +#19390000 +0! +#19390500 +1! +#19391000 +0! +#19391500 +1! +#19392000 +0! +#19392500 +1! +#19393000 +0! +#19393500 +1! +#19394000 +0! +#19394500 +1! +#19395000 +0! +#19395500 +1! +#19396000 +0! +#19396500 +1! +#19397000 +0! +#19397500 +1! +#19398000 +0! +#19398500 +1! +#19399000 +0! +#19399500 +1! +#19400000 +0! +#19400500 +1! +#19401000 +0! +#19401500 +1! +#19402000 +0! +#19402500 +1! +#19403000 +0! +#19403500 +1! +#19404000 +0! +#19404500 +1! +#19405000 +0! +#19405500 +1! +#19406000 +0! +#19406500 +1! +#19407000 +0! +#19407500 +1! +#19408000 +0! +#19408500 +1! +#19409000 +0! +#19409500 +1! +#19410000 +0! +#19410500 +1! +#19411000 +0! +#19411500 +1! +#19412000 +0! +#19412500 +1! +#19413000 +0! +#19413500 +1! +#19414000 +0! +#19414500 +1! +#19415000 +0! +#19415500 +1! +#19416000 +0! +#19416500 +1! +#19417000 +0! +#19417500 +1! +#19418000 +0! +#19418500 +1! +#19419000 +0! +#19419500 +1! +#19420000 +0! +#19420500 +1! +#19421000 +0! +#19421500 +1! +#19422000 +0! +#19422500 +1! +#19423000 +0! +#19423500 +1! +#19424000 +0! +#19424500 +1! +#19425000 +0! +#19425500 +1! +#19426000 +0! +#19426500 +1! +#19427000 +0! +#19427500 +1! +#19428000 +0! +#19428500 +1! +#19429000 +0! +#19429500 +1! +#19430000 +0! +#19430500 +1! +#19431000 +0! +#19431500 +1! +#19432000 +0! +#19432500 +1! +#19433000 +0! +#19433500 +1! +#19434000 +0! +#19434500 +1! +#19435000 +0! +#19435500 +1! +#19436000 +0! +#19436500 +1! +#19437000 +0! +#19437500 +1! +#19438000 +0! +#19438500 +1! +#19439000 +0! +#19439500 +1! +#19440000 +0! +#19440500 +1! +#19441000 +0! +#19441500 +1! +#19442000 +0! +#19442500 +1! +#19443000 +0! +#19443500 +1! +#19444000 +0! +#19444500 +1! +#19445000 +0! +#19445500 +1! +#19446000 +0! +#19446500 +1! +#19447000 +0! +#19447500 +1! +#19448000 +0! +#19448500 +1! +#19449000 +0! +#19449500 +1! +#19450000 +0! +#19450500 +1! +#19451000 +0! +#19451500 +1! +#19452000 +0! +#19452500 +1! +#19453000 +0! +#19453500 +1! +#19454000 +0! +#19454500 +1! +#19455000 +0! +#19455500 +1! +#19456000 +0! +#19456500 +1! +#19457000 +0! +#19457500 +1! +#19458000 +0! +#19458500 +1! +#19459000 +0! +#19459500 +1! +#19460000 +0! +#19460500 +1! +#19461000 +0! +#19461500 +1! +#19462000 +0! +#19462500 +1! +#19463000 +0! +#19463500 +1! +#19464000 +0! +#19464500 +1! +#19465000 +0! +#19465500 +1! +#19466000 +0! +#19466500 +1! +#19467000 +0! +#19467500 +1! +#19468000 +0! +#19468500 +1! +#19468600 +1E +1F +1G +b10100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b0 C +1H +#19469000 +0! +#19469500 +1! +#19469600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19469700 +1E +1F +1G +b10010000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1 C +1H +#19470000 +0! +#19470500 +1! +#19470600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19471000 +0! +#19471500 +1! +#19471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11 C +#19472000 +0! +#19472500 +1! +#19472600 +b11 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19473000 +0! +#19473500 +1! +#19473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19474000 +0! +#19474500 +1! +#19474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19475000 +0! +#19475500 +1! +#19475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19476000 +0! +#19476500 +1! +#19476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19477000 +0! +#19477500 +1! +#19477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19478000 +0! +#19478500 +1! +#19478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19479000 +0! +#19479500 +1! +#19479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19480000 +0! +#19480500 +1! +#19480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19481000 +0! +#19481500 +1! +#19481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19482000 +0! +#19482500 +1! +#19482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19483000 +0! +#19483500 +1! +#19483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19484000 +0! +#19484500 +1! +#19484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19485000 +0! +#19485500 +1! +#19485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19486000 +0! +#19486500 +1! +#19486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19487000 +0! +#19487500 +1! +#19487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19488000 +0! +#19488500 +1! +#19488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19489000 +0! +#19489500 +1! +#19489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19490000 +0! +#19490500 +1! +#19490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19491000 +0! +#19491500 +1! +#19491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19492000 +0! +#19492500 +1! +#19492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19493000 +0! +#19493500 +1! +#19493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19494000 +0! +#19494500 +1! +#19494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19495000 +0! +#19495500 +1! +#19495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19496000 +0! +#19496500 +1! +#19496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19497000 +0! +#19497500 +1! +#19497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19498000 +0! +#19498500 +1! +#19498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19499000 +0! +#19499500 +1! +#19499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19500000 +0! +#19500500 +1! +#19500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19501000 +0! +#19501500 +1! +#19501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19502000 +0! +#19502500 +1! +#19502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19503000 +0! +#19503500 +1! +#19503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19504000 +0! +#19504500 +1! +#19504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19505000 +0! +#19505500 +1! +#19505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19506000 +0! +#19506500 +1! +#19506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19507000 +0! +#19507500 +1! +#19507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19508000 +0! +#19508500 +1! +#19508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19509000 +0! +#19509500 +1! +#19509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19510000 +0! +#19510500 +1! +#19510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19511000 +0! +#19511500 +1! +#19511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19512000 +0! +#19512500 +1! +#19512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19513000 +0! +#19513500 +1! +#19513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19514000 +0! +#19514500 +1! +#19514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19515000 +0! +#19515500 +1! +#19515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19516000 +0! +#19516500 +1! +#19516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19517000 +0! +#19517500 +1! +#19517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19518000 +0! +#19518500 +1! +#19518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19519000 +0! +#19519500 +1! +#19519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19520000 +0! +#19520500 +1! +#19520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19521000 +0! +#19521500 +1! +#19521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19522000 +0! +#19522500 +1! +#19522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19523000 +0! +#19523500 +1! +#19523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19524000 +0! +#19524500 +1! +#19524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19525000 +0! +#19525500 +1! +#19525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19526000 +0! +#19526500 +1! +#19526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19527000 +0! +#19527500 +1! +#19527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19528000 +0! +#19528500 +1! +#19528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19529000 +0! +#19529500 +1! +#19529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19530000 +0! +#19530500 +1! +#19530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19531000 +0! +#19531500 +1! +#19531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19532000 +0! +#19532500 +1! +#19532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19533000 +0! +#19533500 +1! +#19533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19534000 +0! +#19534500 +1! +#19534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19535000 +0! +#19535500 +1! +#19535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19536000 +0! +#19536500 +1! +#19536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19537000 +0! +#19537500 +1! +#19537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19538000 +0! +#19538500 +1! +#19538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19539000 +0! +#19539500 +1! +#19539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19540000 +0! +#19540500 +1! +#19540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19541000 +0! +#19541500 +1! +#19541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19542000 +0! +#19542500 +1! +#19542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19543000 +0! +#19543500 +1! +#19543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19544000 +0! +#19544500 +1! +#19544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19545000 +0! +#19545500 +1! +#19545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19546000 +0! +#19546500 +1! +#19546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19547000 +0! +#19547500 +1! +#19547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19548000 +0! +#19548500 +1! +#19548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19549000 +0! +#19549500 +1! +#19549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19550000 +0! +#19550500 +1! +#19550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19551000 +0! +#19551500 +1! +#19551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19552000 +0! +#19552500 +1! +#19552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19553000 +0! +#19553500 +1! +#19553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19554000 +0! +#19554500 +1! +#19554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19555000 +0! +#19555500 +1! +#19555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19556000 +0! +#19556500 +1! +#19556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19557000 +0! +#19557500 +1! +#19557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19558000 +0! +#19558500 +1! +#19558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19559000 +0! +#19559500 +1! +#19559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19560000 +0! +#19560500 +1! +#19560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19561000 +0! +#19561500 +1! +#19561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19562000 +0! +#19562500 +1! +#19562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19563000 +0! +#19563500 +1! +#19563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19564000 +0! +#19564500 +1! +#19564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19565000 +0! +#19565500 +1! +#19565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19566000 +0! +#19566500 +1! +#19566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19567000 +0! +#19567500 +1! +#19567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19568000 +0! +#19568500 +1! +#19568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19569000 +0! +#19569500 +1! +#19569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19570000 +0! +#19570500 +1! +#19570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19571000 +0! +#19571500 +1! +#19571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19572000 +0! +#19572500 +1! +#19572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19573000 +0! +#19573500 +1! +#19573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19574000 +0! +#19574500 +1! +#19574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19575000 +0! +#19575500 +1! +#19575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19576000 +0! +#19576500 +1! +#19576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19577000 +0! +#19577500 +1! +#19577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19578000 +0! +#19578500 +1! +#19578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19579000 +0! +#19579500 +1! +#19579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19580000 +0! +#19580500 +1! +#19580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19581000 +0! +#19581500 +1! +#19581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19582000 +0! +#19582500 +1! +#19582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19583000 +0! +#19583500 +1! +#19583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19584000 +0! +#19584500 +1! +#19584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19585000 +0! +#19585500 +1! +#19585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19586000 +0! +#19586500 +1! +#19586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19587000 +0! +#19587500 +1! +#19587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19588000 +0! +#19588500 +1! +#19588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19589000 +0! +#19589500 +1! +#19589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19590000 +0! +#19590500 +1! +#19590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19591000 +0! +#19591500 +1! +#19591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19592000 +0! +#19592500 +1! +#19592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19593000 +0! +#19593500 +1! +#19593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19594000 +0! +#19594500 +1! +#19594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19595000 +0! +#19595500 +1! +#19595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19596000 +0! +#19596500 +1! +#19596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19597000 +0! +#19597500 +1! +#19597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19598000 +0! +#19598500 +1! +#19598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19599000 +0! +#19599500 +1! +#19599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19600000 +0! +#19600500 +1! +#19600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19601000 +0! +#19601500 +1! +#19601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19602000 +0! +#19602500 +1! +#19602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19603000 +0! +#19603500 +1! +#19603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19604000 +0! +#19604500 +1! +#19604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19605000 +0! +#19605500 +1! +#19605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19606000 +0! +#19606500 +1! +#19606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19607000 +0! +#19607500 +1! +#19607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19608000 +0! +#19608500 +1! +#19608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19609000 +0! +#19609500 +1! +#19609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19610000 +0! +#19610500 +1! +#19610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19611000 +0! +#19611500 +1! +#19611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19612000 +0! +#19612500 +1! +#19612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19613000 +0! +#19613500 +1! +#19613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19614000 +0! +#19614500 +1! +#19614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19615000 +0! +#19615500 +1! +#19615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19616000 +0! +#19616500 +1! +#19616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19617000 +0! +#19617500 +1! +#19617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19618000 +0! +#19618500 +1! +#19618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19619000 +0! +#19619500 +1! +#19619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19620000 +0! +#19620500 +1! +#19620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19621000 +0! +#19621500 +1! +#19621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19622000 +0! +#19622500 +1! +#19622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19623000 +0! +#19623500 +1! +#19623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19624000 +0! +#19624500 +1! +#19624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19625000 +0! +#19625500 +1! +#19625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19626000 +0! +#19626500 +1! +#19626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19627000 +0! +#19627500 +1! +#19627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19628000 +0! +#19628500 +1! +#19628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19629000 +0! +#19629500 +1! +#19629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19630000 +0! +#19630500 +1! +#19630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19631000 +0! +#19631500 +1! +#19631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19632000 +0! +#19632500 +1! +#19632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19633000 +0! +#19633500 +1! +#19633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19634000 +0! +#19634500 +1! +#19634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19635000 +0! +#19635500 +1! +#19635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19636000 +0! +#19636500 +1! +#19636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19637000 +0! +#19637500 +1! +#19637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19638000 +0! +#19638500 +1! +#19638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19639000 +0! +#19639500 +1! +#19639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19640000 +0! +#19640500 +1! +#19640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19641000 +0! +#19641500 +1! +#19641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19642000 +0! +#19642500 +1! +#19642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19643000 +0! +#19643500 +1! +#19643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19644000 +0! +#19644500 +1! +#19644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19645000 +0! +#19645500 +1! +#19645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19646000 +0! +#19646500 +1! +#19646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19647000 +0! +#19647500 +1! +#19647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19648000 +0! +#19648500 +1! +#19648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19649000 +0! +#19649500 +1! +#19649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19650000 +0! +#19650500 +1! +#19650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19651000 +0! +#19651500 +1! +#19651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19652000 +0! +#19652500 +1! +#19652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19653000 +0! +#19653500 +1! +#19653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19654000 +0! +#19654500 +1! +#19654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19655000 +0! +#19655500 +1! +#19655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19656000 +0! +#19656500 +1! +#19656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19657000 +0! +#19657500 +1! +#19657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19658000 +0! +#19658500 +1! +#19658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19659000 +0! +#19659500 +1! +#19659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19660000 +0! +#19660500 +1! +#19660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19661000 +0! +#19661500 +1! +#19661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19662000 +0! +#19662500 +1! +#19662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19663000 +0! +#19663500 +1! +#19663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#19663700 +1_ +#19664000 +0! +#19664500 +1! +#19664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19665000 +0! +#19665500 +1! +#19665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19666000 +0! +#19666500 +1! +b1000011 C +#19666600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19667000 +0! +#19667500 +1! +#19667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19668000 +0! +#19668500 +1! +#19668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19669000 +0! +#19669500 +1! +#19669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19670000 +0! +#19670500 +1! +#19670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19671000 +0! +#19671500 +1! +#19671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19672000 +0! +#19672500 +1! +#19672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19673000 +0! +#19673500 +1! +#19673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19674000 +0! +#19674500 +1! +#19674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19675000 +0! +#19675500 +1! +#19675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19676000 +0! +#19676500 +1! +#19676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19677000 +0! +#19677500 +1! +#19677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19678000 +0! +#19678500 +1! +#19678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19679000 +0! +#19679500 +1! +#19679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19680000 +0! +#19680500 +1! +#19680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19681000 +0! +#19681500 +1! +#19681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19682000 +0! +#19682500 +1! +#19682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19683000 +0! +#19683500 +1! +#19683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19684000 +0! +#19684500 +1! +#19684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19685000 +0! +#19685500 +1! +#19685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19686000 +0! +#19686500 +1! +#19686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19687000 +0! +#19687500 +1! +#19687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19688000 +0! +#19688500 +1! +#19688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19689000 +0! +#19689500 +1! +#19689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19690000 +0! +#19690500 +1! +#19690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19691000 +0! +#19691500 +1! +#19691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19692000 +0! +#19692500 +1! +#19692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19693000 +0! +#19693500 +1! +#19693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19694000 +0! +#19694500 +1! +#19694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19695000 +0! +#19695500 +1! +#19695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19696000 +0! +#19696500 +1! +#19696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19697000 +0! +#19697500 +1! +#19697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19698000 +0! +#19698500 +1! +#19698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19699000 +0! +#19699500 +1! +#19699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19700000 +0! +#19700500 +1! +#19700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19701000 +0! +#19701500 +1! +#19701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19702000 +0! +#19702500 +1! +#19702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19703000 +0! +#19703500 +1! +#19703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19704000 +0! +#19704500 +1! +#19704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19705000 +0! +#19705500 +1! +#19705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19706000 +0! +#19706500 +1! +#19706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19707000 +0! +#19707500 +1! +#19707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19708000 +0! +#19708500 +1! +#19708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19709000 +0! +#19709500 +1! +#19709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19710000 +0! +#19710500 +1! +#19710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19711000 +0! +#19711500 +1! +#19711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19712000 +0! +#19712500 +1! +#19712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19713000 +0! +#19713500 +1! +#19713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19714000 +0! +#19714500 +1! +#19714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#19715000 +0! +#19715500 +1! +#19715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19716000 +0! +#19716500 +1! +#19716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19717000 +0! +#19717500 +1! +#19717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19718000 +0! +#19718500 +1! +#19718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19719000 +0! +#19719500 +1! +#19719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19720000 +0! +#19720500 +1! +#19720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19721000 +0! +#19721500 +1! +#19721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19722000 +0! +#19722500 +1! +#19722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19723000 +0! +#19723500 +1! +#19723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19724000 +0! +#19724500 +1! +#19724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19725000 +0! +#19725500 +1! +#19725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19726000 +0! +#19726500 +1! +#19726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19727000 +0! +#19727500 +1! +#19727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19728000 +0! +#19728500 +1! +#19728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19729000 +0! +#19729500 +1! +#19729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19730000 +0! +#19730500 +1! +#19730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19731000 +0! +#19731500 +1! +#19731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19732000 +0! +#19732500 +1! +#19732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19733000 +0! +#19733500 +1! +#19733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19734000 +0! +#19734500 +1! +#19734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19735000 +0! +#19735500 +1! +#19735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19736000 +0! +#19736500 +1! +#19736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19737000 +0! +#19737500 +1! +#19737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19738000 +0! +#19738500 +1! +#19738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19739000 +0! +#19739500 +1! +#19739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19740000 +0! +#19740500 +1! +#19740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19741000 +0! +#19741500 +1! +#19741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19742000 +0! +#19742500 +1! +#19742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19743000 +0! +#19743500 +1! +#19743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19744000 +0! +#19744500 +1! +#19744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19745000 +0! +#19745500 +1! +#19745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19746000 +0! +#19746500 +1! +#19746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19747000 +0! +#19747500 +1! +#19747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19748000 +0! +#19748500 +1! +#19748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19749000 +0! +#19749500 +1! +#19749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19750000 +0! +#19750500 +1! +#19750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19751000 +0! +#19751500 +1! +#19751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19752000 +0! +#19752500 +1! +#19752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19753000 +0! +#19753500 +1! +#19753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19754000 +0! +#19754500 +1! +#19754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19755000 +0! +#19755500 +1! +#19755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19756000 +0! +#19756500 +1! +#19756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19757000 +0! +#19757500 +1! +#19757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19758000 +0! +#19758500 +1! +#19758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19759000 +0! +#19759500 +1! +#19759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19760000 +0! +#19760500 +1! +#19760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19761000 +0! +#19761500 +1! +#19761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19762000 +0! +#19762500 +1! +#19762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19763000 +0! +#19763500 +1! +#19763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19764000 +0! +#19764500 +1! +#19764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19765000 +0! +#19765500 +1! +#19765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19766000 +0! +#19766500 +1! +#19766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19767000 +0! +#19767500 +1! +#19767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19768000 +0! +#19768500 +1! +#19768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19769000 +0! +#19769500 +1! +#19769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19770000 +0! +#19770500 +1! +#19770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19771000 +0! +#19771500 +1! +#19771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19772000 +0! +#19772500 +1! +#19772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19773000 +0! +#19773500 +1! +#19773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19774000 +0! +#19774500 +1! +#19774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19775000 +0! +#19775500 +1! +#19775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19776000 +0! +#19776500 +1! +#19776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19777000 +0! +#19777500 +1! +#19777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19778000 +0! +#19778500 +1! +#19778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19779000 +0! +#19779500 +1! +#19779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19780000 +0! +#19780500 +1! +#19780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19781000 +0! +#19781500 +1! +#19781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19782000 +0! +#19782500 +1! +#19782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19783000 +0! +#19783500 +1! +#19783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19784000 +0! +#19784500 +1! +#19784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19785000 +0! +#19785500 +1! +#19785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19786000 +0! +#19786500 +1! +#19786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19787000 +0! +#19787500 +1! +#19787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19788000 +0! +#19788500 +1! +#19788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19789000 +0! +#19789500 +1! +#19789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19790000 +0! +#19790500 +1! +#19790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19791000 +0! +#19791500 +1! +#19791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19792000 +0! +#19792500 +1! +#19792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19793000 +0! +#19793500 +1! +#19793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19794000 +0! +#19794500 +1! +#19794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19795000 +0! +#19795500 +1! +#19795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19796000 +0! +#19796500 +1! +#19796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19797000 +0! +#19797500 +1! +#19797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19798000 +0! +#19798500 +1! +#19798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19799000 +0! +#19799500 +1! +#19799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19800000 +0! +#19800500 +1! +#19800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19801000 +0! +#19801500 +1! +#19801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19802000 +0! +#19802500 +1! +#19802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19803000 +0! +#19803500 +1! +#19803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19804000 +0! +#19804500 +1! +#19804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19805000 +0! +#19805500 +1! +#19805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19806000 +0! +#19806500 +1! +#19806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19807000 +0! +#19807500 +1! +#19807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19808000 +0! +#19808500 +1! +#19808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19809000 +0! +#19809500 +1! +#19809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19810000 +0! +#19810500 +1! +#19810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19811000 +0! +#19811500 +1! +#19811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19812000 +0! +#19812500 +1! +#19812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19813000 +0! +#19813500 +1! +#19813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19814000 +0! +#19814500 +1! +#19814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19815000 +0! +#19815500 +1! +#19815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19816000 +0! +#19816500 +1! +#19816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#19816700 +0a +#19817000 +0! +#19817500 +1! +#19817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19818000 +0! +#19818500 +1! +#19818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19819000 +0! +#19819500 +1! +#19819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19820000 +0! +#19820500 +1! +#19820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19821000 +0! +#19821500 +1! +#19821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19822000 +0! +#19822500 +1! +#19822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19823000 +0! +#19823500 +1! +#19823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19824000 +0! +#19824500 +1! +#19824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19825000 +0! +#19825500 +1! +#19825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19826000 +0! +#19826500 +1! +#19826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19827000 +0! +#19827500 +1! +#19827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19828000 +0! +#19828500 +1! +#19828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19829000 +0! +#19829500 +1! +#19829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19830000 +0! +#19830500 +1! +#19830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19831000 +0! +#19831500 +1! +#19831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19832000 +0! +#19832500 +1! +#19832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19833000 +0! +#19833500 +1! +#19833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19834000 +0! +#19834500 +1! +#19834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19835000 +0! +#19835500 +1! +#19835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19836000 +0! +#19836500 +1! +#19836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19837000 +0! +#19837500 +1! +#19837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19838000 +0! +#19838500 +1! +#19838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19839000 +0! +#19839500 +1! +#19839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19840000 +0! +#19840500 +1! +#19840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19841000 +0! +#19841500 +1! +#19841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19842000 +0! +#19842500 +1! +#19842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19843000 +0! +#19843500 +1! +#19843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19844000 +0! +#19844500 +1! +#19844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19845000 +0! +#19845500 +1! +#19845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19846000 +0! +#19846500 +1! +#19846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19847000 +0! +#19847500 +1! +#19847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19848000 +0! +#19848500 +1! +#19848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19849000 +0! +#19849500 +1! +#19849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19850000 +0! +#19850500 +1! +#19850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19851000 +0! +#19851500 +1! +#19851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19852000 +0! +#19852500 +1! +#19852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19853000 +0! +#19853500 +1! +#19853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19854000 +0! +#19854500 +1! +#19854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19855000 +0! +#19855500 +1! +#19855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19856000 +0! +#19856500 +1! +#19856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19857000 +0! +#19857500 +1! +#19857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19858000 +0! +#19858500 +1! +#19858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19859000 +0! +#19859500 +1! +#19859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19860000 +0! +#19860500 +1! +#19860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19861000 +0! +#19861500 +1! +#19861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19862000 +0! +#19862500 +1! +#19862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19863000 +0! +#19863500 +1! +#19863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19864000 +0! +#19864500 +1! +#19864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19865000 +0! +#19865500 +1! +#19865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19866000 +0! +#19866500 +1! +#19866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19867000 +0! +#19867500 +1! +#19867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#19867700 +1` +b11010001 c +#19868000 +0! +#19868500 +1! +#19868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19869000 +0! +#19869500 +1! +#19869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19870000 +0! +#19870500 +1! +#19870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19871000 +0! +#19871500 +1! +#19871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19872000 +0! +#19872500 +1! +#19872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19873000 +0! +#19873500 +1! +#19873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19874000 +0! +#19874500 +1! +#19874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19875000 +0! +#19875500 +1! +#19875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19876000 +0! +#19876500 +1! +#19876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19877000 +0! +#19877500 +1! +#19877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19878000 +0! +#19878500 +1! +#19878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19879000 +0! +#19879500 +1! +#19879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19880000 +0! +#19880500 +1! +#19880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19881000 +0! +#19881500 +1! +#19881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19882000 +0! +#19882500 +1! +#19882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19883000 +0! +#19883500 +1! +#19883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19884000 +0! +#19884500 +1! +#19884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19885000 +0! +#19885500 +1! +#19885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19886000 +0! +#19886500 +1! +#19886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19887000 +0! +#19887500 +1! +#19887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19888000 +0! +#19888500 +1! +#19888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19889000 +0! +#19889500 +1! +#19889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19890000 +0! +#19890500 +1! +#19890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19891000 +0! +#19891500 +1! +#19891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19892000 +0! +#19892500 +1! +#19892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19893000 +0! +#19893500 +1! +#19893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19894000 +0! +#19894500 +1! +#19894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19895000 +0! +#19895500 +1! +#19895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19896000 +0! +#19896500 +1! +#19896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19897000 +0! +#19897500 +1! +#19897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19898000 +0! +#19898500 +1! +#19898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19899000 +0! +#19899500 +1! +#19899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19900000 +0! +#19900500 +1! +#19900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19901000 +0! +#19901500 +1! +#19901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19902000 +0! +#19902500 +1! +#19902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19903000 +0! +#19903500 +1! +#19903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19904000 +0! +#19904500 +1! +#19904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19905000 +0! +#19905500 +1! +#19905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19906000 +0! +#19906500 +1! +#19906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19907000 +0! +#19907500 +1! +#19907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19908000 +0! +#19908500 +1! +#19908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19909000 +0! +#19909500 +1! +#19909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19910000 +0! +#19910500 +1! +#19910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19911000 +0! +#19911500 +1! +#19911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19912000 +0! +#19912500 +1! +#19912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19913000 +0! +#19913500 +1! +#19913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19914000 +0! +#19914500 +1! +#19914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19915000 +0! +#19915500 +1! +#19915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19916000 +0! +#19916500 +1! +#19916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19917000 +0! +#19917500 +1! +#19917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19918000 +0! +#19918500 +1! +#19918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19919000 +0! +#19919500 +1! +#19919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19920000 +0! +#19920500 +1! +#19920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19921000 +0! +#19921500 +1! +#19921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19922000 +0! +#19922500 +1! +#19922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19923000 +0! +#19923500 +1! +#19923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19924000 +0! +#19924500 +1! +#19924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19925000 +0! +#19925500 +1! +#19925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19926000 +0! +#19926500 +1! +#19926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19927000 +0! +#19927500 +1! +#19927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19928000 +0! +#19928500 +1! +#19928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19929000 +0! +#19929500 +1! +#19929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19930000 +0! +#19930500 +1! +#19930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19931000 +0! +#19931500 +1! +#19931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19932000 +0! +#19932500 +1! +#19932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19933000 +0! +#19933500 +1! +#19933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19934000 +0! +#19934500 +1! +#19934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19935000 +0! +#19935500 +1! +#19935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19936000 +0! +#19936500 +1! +#19936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19937000 +0! +#19937500 +1! +#19937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19938000 +0! +#19938500 +1! +#19938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19939000 +0! +#19939500 +1! +#19939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19940000 +0! +#19940500 +1! +#19940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19941000 +0! +#19941500 +1! +#19941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19942000 +0! +#19942500 +1! +#19942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19943000 +0! +#19943500 +1! +#19943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19944000 +0! +#19944500 +1! +#19944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19945000 +0! +#19945500 +1! +#19945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19946000 +0! +#19946500 +1! +#19946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19947000 +0! +#19947500 +1! +#19947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19948000 +0! +#19948500 +1! +#19948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19949000 +0! +#19949500 +1! +#19949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19950000 +0! +#19950500 +1! +#19950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19951000 +0! +#19951500 +1! +#19951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19952000 +0! +#19952500 +1! +#19952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19953000 +0! +#19953500 +1! +#19953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19954000 +0! +#19954500 +1! +#19954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19955000 +0! +#19955500 +1! +#19955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19956000 +0! +#19956500 +1! +#19956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19957000 +0! +#19957500 +1! +#19957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19958000 +0! +#19958500 +1! +#19958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19959000 +0! +#19959500 +1! +#19959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19960000 +0! +#19960500 +1! +#19960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19961000 +0! +#19961500 +1! +#19961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19962000 +0! +#19962500 +1! +#19962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19963000 +0! +#19963500 +1! +#19963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19964000 +0! +#19964500 +1! +#19964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19965000 +0! +#19965500 +1! +#19965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19966000 +0! +#19966500 +1! +#19966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19967000 +0! +#19967500 +1! +#19967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19968000 +0! +#19968500 +1! +#19968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19969000 +0! +#19969500 +1! +#19969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#19969700 +0i +#19970000 +0! +#19970500 +1! +#19970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19971000 +0! +#19971500 +1! +#19971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19972000 +0! +#19972500 +1! +#19972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19973000 +0! +#19973500 +1! +#19973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19974000 +0! +#19974500 +1! +#19974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19975000 +0! +#19975500 +1! +#19975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19976000 +0! +#19976500 +1! +#19976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19977000 +0! +#19977500 +1! +#19977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19978000 +0! +#19978500 +1! +#19978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19979000 +0! +#19979500 +1! +#19979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19980000 +0! +#19980500 +1! +#19980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19981000 +0! +#19981500 +1! +#19981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19982000 +0! +#19982500 +1! +#19982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19983000 +0! +#19983500 +1! +#19983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19984000 +0! +#19984500 +1! +#19984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19985000 +0! +#19985500 +1! +#19985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19986000 +0! +#19986500 +1! +#19986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19987000 +0! +#19987500 +1! +#19987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19988000 +0! +#19988500 +1! +#19988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19989000 +0! +#19989500 +1! +#19989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19990000 +0! +#19990500 +1! +#19990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19991000 +0! +#19991500 +1! +#19991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19992000 +0! +#19992500 +1! +#19992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19993000 +0! +#19993500 +1! +#19993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19994000 +0! +#19994500 +1! +#19994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19995000 +0! +#19995500 +1! +#19995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19996000 +0! +#19996500 +1! +#19996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19997000 +0! +#19997500 +1! +#19997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#19998000 +0! +#19998500 +1! +#19998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#19999000 +0! +#19999500 +1! +#19999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20000000 +0! +#20000500 +1! +#20000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20001000 +0! +#20001500 +1! +#20001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20002000 +0! +#20002500 +1! +#20002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20003000 +0! +#20003500 +1! +#20003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20004000 +0! +#20004500 +1! +#20004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20005000 +0! +#20005500 +1! +#20005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20006000 +0! +#20006500 +1! +#20006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20007000 +0! +#20007500 +1! +#20007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20008000 +0! +#20008500 +1! +#20008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20009000 +0! +#20009500 +1! +#20009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20010000 +0! +#20010500 +1! +#20010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20011000 +0! +#20011500 +1! +#20011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20012000 +0! +#20012500 +1! +#20012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20013000 +0! +#20013500 +1! +#20013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20014000 +0! +#20014500 +1! +#20014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20015000 +0! +#20015500 +1! +#20015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20016000 +0! +#20016500 +1! +#20016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20017000 +0! +#20017500 +1! +#20017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20018000 +0! +#20018500 +1! +#20018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20019000 +0! +#20019500 +1! +#20019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20020000 +0! +#20020500 +1! +#20020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20021000 +0! +#20021500 +1! +#20021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20022000 +0! +#20022500 +1! +#20022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20023000 +0! +#20023500 +1! +#20023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20024000 +0! +#20024500 +1! +#20024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20025000 +0! +#20025500 +1! +#20025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20026000 +0! +#20026500 +1! +#20026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20027000 +0! +#20027500 +1! +#20027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20028000 +0! +#20028500 +1! +#20028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20029000 +0! +#20029500 +1! +#20029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20030000 +0! +#20030500 +1! +#20030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20031000 +0! +#20031500 +1! +#20031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20032000 +0! +#20032500 +1! +#20032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20033000 +0! +#20033500 +1! +#20033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20034000 +0! +#20034500 +1! +#20034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20035000 +0! +#20035500 +1! +#20035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20036000 +0! +#20036500 +1! +#20036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20037000 +0! +#20037500 +1! +#20037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20038000 +0! +#20038500 +1! +#20038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20039000 +0! +#20039500 +1! +#20039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20040000 +0! +#20040500 +1! +#20040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20041000 +0! +#20041500 +1! +#20041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20042000 +0! +#20042500 +1! +#20042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20043000 +0! +#20043500 +1! +#20043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20044000 +0! +#20044500 +1! +#20044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20045000 +0! +#20045500 +1! +#20045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20046000 +0! +#20046500 +1! +#20046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20047000 +0! +#20047500 +1! +#20047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20048000 +0! +#20048500 +1! +#20048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20049000 +0! +#20049500 +1! +#20049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20050000 +0! +#20050500 +1! +#20050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20051000 +0! +#20051500 +1! +#20051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20052000 +0! +#20052500 +1! +#20052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20053000 +0! +#20053500 +1! +#20053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20054000 +0! +#20054500 +1! +#20054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20055000 +0! +#20055500 +1! +#20055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20056000 +0! +#20056500 +1! +#20056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20057000 +0! +#20057500 +1! +#20057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20058000 +0! +#20058500 +1! +#20058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20059000 +0! +#20059500 +1! +#20059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20060000 +0! +#20060500 +1! +#20060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20061000 +0! +#20061500 +1! +#20061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20062000 +0! +#20062500 +1! +#20062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20063000 +0! +#20063500 +1! +#20063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20064000 +0! +#20064500 +1! +#20064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20065000 +0! +#20065500 +1! +#20065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20066000 +0! +#20066500 +1! +#20066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20067000 +0! +#20067500 +1! +#20067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20068000 +0! +#20068500 +1! +#20068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20069000 +0! +#20069500 +1! +#20069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20070000 +0! +#20070500 +1! +#20070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20071000 +0! +#20071500 +1! +#20071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#20071700 +0_ +0f +#20072000 +0! +#20072500 +1! +#20072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20073000 +0! +#20073500 +1! +#20073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20074000 +0! +#20074500 +1! +#20074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20075000 +0! +#20075500 +1! +#20075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20076000 +0! +#20076500 +1! +#20076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20077000 +0! +#20077500 +1! +#20077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20078000 +0! +#20078500 +1! +#20078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20079000 +0! +#20079500 +1! +#20079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20080000 +0! +#20080500 +1! +#20080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20081000 +0! +#20081500 +1! +#20081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20082000 +0! +#20082500 +1! +#20082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20083000 +0! +#20083500 +1! +#20083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20084000 +0! +#20084500 +1! +#20084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20085000 +0! +#20085500 +1! +#20085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20086000 +0! +#20086500 +1! +#20086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20087000 +0! +#20087500 +1! +#20087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20088000 +0! +#20088500 +1! +#20088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20089000 +0! +#20089500 +1! +#20089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20090000 +0! +#20090500 +1! +#20090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20091000 +0! +#20091500 +1! +#20091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20092000 +0! +#20092500 +1! +#20092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20093000 +0! +#20093500 +1! +#20093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20094000 +0! +#20094500 +1! +#20094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20095000 +0! +#20095500 +1! +#20095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20096000 +0! +#20096500 +1! +#20096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20097000 +0! +#20097500 +1! +#20097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20098000 +0! +#20098500 +1! +#20098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20099000 +0! +#20099500 +1! +#20099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20100000 +0! +#20100500 +1! +#20100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20101000 +0! +#20101500 +1! +#20101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20102000 +0! +#20102500 +1! +#20102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20103000 +0! +#20103500 +1! +#20103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20104000 +0! +#20104500 +1! +#20104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20105000 +0! +#20105500 +1! +#20105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20106000 +0! +#20106500 +1! +#20106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20107000 +0! +#20107500 +1! +#20107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20108000 +0! +#20108500 +1! +#20108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20109000 +0! +#20109500 +1! +#20109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20110000 +0! +#20110500 +1! +#20110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20111000 +0! +#20111500 +1! +#20111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20112000 +0! +#20112500 +1! +#20112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20113000 +0! +#20113500 +1! +#20113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20114000 +0! +#20114500 +1! +#20114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20115000 +0! +#20115500 +1! +#20115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20116000 +0! +#20116500 +1! +#20116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20117000 +0! +#20117500 +1! +#20117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20118000 +0! +#20118500 +1! +#20118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20119000 +0! +#20119500 +1! +#20119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20120000 +0! +#20120500 +1! +#20120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20121000 +0! +#20121500 +1! +#20121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20122000 +0! +#20122500 +1! +#20122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#20122700 +0` +b110 g +b10100010 c +#20123000 +0! +#20123500 +1! +#20123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20124000 +0! +#20124500 +1! +#20124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20125000 +0! +#20125500 +1! +#20125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20126000 +0! +#20126500 +1! +#20126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20127000 +0! +#20127500 +1! +#20127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20128000 +0! +#20128500 +1! +#20128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20129000 +0! +#20129500 +1! +#20129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20130000 +0! +#20130500 +1! +#20130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20131000 +0! +#20131500 +1! +#20131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20132000 +0! +#20132500 +1! +#20132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20133000 +0! +#20133500 +1! +#20133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20134000 +0! +#20134500 +1! +#20134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20135000 +0! +#20135500 +1! +#20135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20136000 +0! +#20136500 +1! +#20136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20137000 +0! +#20137500 +1! +#20137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20138000 +0! +#20138500 +1! +#20138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20139000 +0! +#20139500 +1! +#20139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20140000 +0! +#20140500 +1! +#20140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20141000 +0! +#20141500 +1! +#20141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20142000 +0! +#20142500 +1! +#20142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20143000 +0! +#20143500 +1! +#20143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20144000 +0! +#20144500 +1! +#20144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20145000 +0! +#20145500 +1! +#20145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20146000 +0! +#20146500 +1! +#20146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20147000 +0! +#20147500 +1! +#20147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20148000 +0! +#20148500 +1! +#20148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20149000 +0! +#20149500 +1! +#20149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20150000 +0! +#20150500 +1! +#20150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20151000 +0! +#20151500 +1! +#20151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20152000 +0! +#20152500 +1! +#20152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20153000 +0! +#20153500 +1! +#20153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20154000 +0! +#20154500 +1! +#20154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20155000 +0! +#20155500 +1! +#20155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20156000 +0! +#20156500 +1! +#20156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20157000 +0! +#20157500 +1! +#20157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20158000 +0! +#20158500 +1! +#20158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20159000 +0! +#20159500 +1! +#20159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20160000 +0! +#20160500 +1! +#20160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20161000 +0! +#20161500 +1! +#20161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20162000 +0! +#20162500 +1! +#20162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20163000 +0! +#20163500 +1! +#20163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20164000 +0! +#20164500 +1! +#20164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20165000 +0! +#20165500 +1! +#20165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20166000 +0! +#20166500 +1! +#20166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20167000 +0! +#20167500 +1! +#20167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20168000 +0! +#20168500 +1! +#20168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20169000 +0! +#20169500 +1! +#20169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20170000 +0! +#20170500 +1! +#20170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20171000 +0! +#20171500 +1! +#20171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20172000 +0! +#20172500 +1! +#20172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20173000 +0! +#20173500 +1! +#20173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20174000 +0! +#20174500 +1! +#20174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20175000 +0! +#20175500 +1! +#20175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20176000 +0! +#20176500 +1! +#20176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20177000 +0! +#20177500 +1! +#20177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20178000 +0! +#20178500 +1! +#20178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20179000 +0! +#20179500 +1! +#20179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20180000 +0! +#20180500 +1! +#20180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20181000 +0! +#20181500 +1! +#20181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20182000 +0! +#20182500 +1! +#20182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20183000 +0! +#20183500 +1! +#20183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20184000 +0! +#20184500 +1! +#20184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20185000 +0! +#20185500 +1! +#20185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20186000 +0! +#20186500 +1! +#20186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20187000 +0! +#20187500 +1! +#20187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20188000 +0! +#20188500 +1! +#20188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20189000 +0! +#20189500 +1! +#20189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20190000 +0! +#20190500 +1! +#20190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20191000 +0! +#20191500 +1! +#20191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20192000 +0! +#20192500 +1! +#20192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20193000 +0! +#20193500 +1! +#20193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20194000 +0! +#20194500 +1! +#20194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20195000 +0! +#20195500 +1! +#20195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20196000 +0! +#20196500 +1! +#20196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20197000 +0! +#20197500 +1! +#20197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20198000 +0! +#20198500 +1! +#20198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20199000 +0! +#20199500 +1! +#20199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20200000 +0! +#20200500 +1! +#20200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20201000 +0! +#20201500 +1! +#20201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20202000 +0! +#20202500 +1! +#20202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20203000 +0! +#20203500 +1! +#20203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20204000 +0! +#20204500 +1! +#20204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20205000 +0! +#20205500 +1! +#20205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20206000 +0! +#20206500 +1! +#20206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20207000 +0! +#20207500 +1! +#20207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20208000 +0! +#20208500 +1! +#20208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20209000 +0! +#20209500 +1! +#20209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20210000 +0! +#20210500 +1! +#20210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20211000 +0! +#20211500 +1! +#20211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20212000 +0! +#20212500 +1! +#20212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20213000 +0! +#20213500 +1! +#20213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20214000 +0! +#20214500 +1! +#20214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20215000 +0! +#20215500 +1! +#20215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20216000 +0! +#20216500 +1! +#20216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20217000 +0! +#20217500 +1! +#20217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20218000 +0! +#20218500 +1! +#20218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20219000 +0! +#20219500 +1! +#20219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20220000 +0! +#20220500 +1! +#20220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20221000 +0! +#20221500 +1! +#20221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20222000 +0! +#20222500 +1! +#20222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20223000 +0! +#20223500 +1! +#20223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20224000 +0! +#20224500 +1! +#20224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#20225000 +0! +#20225500 +1! +#20225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20226000 +0! +#20226500 +1! +#20226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20227000 +0! +#20227500 +1! +#20227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20228000 +0! +#20228500 +1! +#20228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20229000 +0! +#20229500 +1! +#20229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20230000 +0! +#20230500 +1! +#20230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20231000 +0! +#20231500 +1! +#20231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20232000 +0! +#20232500 +1! +#20232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20233000 +0! +#20233500 +1! +#20233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20234000 +0! +#20234500 +1! +#20234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20235000 +0! +#20235500 +1! +#20235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20236000 +0! +#20236500 +1! +#20236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20237000 +0! +#20237500 +1! +#20237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20238000 +0! +#20238500 +1! +#20238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20239000 +0! +#20239500 +1! +#20239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20240000 +0! +#20240500 +1! +#20240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20241000 +0! +#20241500 +1! +#20241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20242000 +0! +#20242500 +1! +#20242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20243000 +0! +#20243500 +1! +#20243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20244000 +0! +#20244500 +1! +#20244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20245000 +0! +#20245500 +1! +#20245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20246000 +0! +#20246500 +1! +#20246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20247000 +0! +#20247500 +1! +#20247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20248000 +0! +#20248500 +1! +#20248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20249000 +0! +#20249500 +1! +#20249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20250000 +0! +#20250500 +1! +#20250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20251000 +0! +#20251500 +1! +#20251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20252000 +0! +#20252500 +1! +#20252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20253000 +0! +#20253500 +1! +#20253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20254000 +0! +#20254500 +1! +#20254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20255000 +0! +#20255500 +1! +#20255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20256000 +0! +#20256500 +1! +#20256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20257000 +0! +#20257500 +1! +#20257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20258000 +0! +#20258500 +1! +#20258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20259000 +0! +#20259500 +1! +#20259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20260000 +0! +#20260500 +1! +#20260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20261000 +0! +#20261500 +1! +#20261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20262000 +0! +#20262500 +1! +#20262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20263000 +0! +#20263500 +1! +#20263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20264000 +0! +#20264500 +1! +#20264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20265000 +0! +#20265500 +1! +#20265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20266000 +0! +#20266500 +1! +#20266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20267000 +0! +#20267500 +1! +#20267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20268000 +0! +#20268500 +1! +#20268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20269000 +0! +#20269500 +1! +#20269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20270000 +0! +#20270500 +1! +#20270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20271000 +0! +#20271500 +1! +#20271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20272000 +0! +#20272500 +1! +#20272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20273000 +0! +#20273500 +1! +#20273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20274000 +0! +#20274500 +1! +#20274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20275000 +0! +#20275500 +1! +#20275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20276000 +0! +#20276500 +1! +#20276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20277000 +0! +#20277500 +1! +#20277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20278000 +0! +#20278500 +1! +#20278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20279000 +0! +#20279500 +1! +#20279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20280000 +0! +#20280500 +1! +#20280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20281000 +0! +#20281500 +1! +#20281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20282000 +0! +#20282500 +1! +#20282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20283000 +0! +#20283500 +1! +#20283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20284000 +0! +#20284500 +1! +#20284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20285000 +0! +#20285500 +1! +#20285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20286000 +0! +#20286500 +1! +#20286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20287000 +0! +#20287500 +1! +#20287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20288000 +0! +#20288500 +1! +#20288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20289000 +0! +#20289500 +1! +#20289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20290000 +0! +#20290500 +1! +#20290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20291000 +0! +#20291500 +1! +#20291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20292000 +0! +#20292500 +1! +#20292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20293000 +0! +#20293500 +1! +#20293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20294000 +0! +#20294500 +1! +#20294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20295000 +0! +#20295500 +1! +#20295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20296000 +0! +#20296500 +1! +#20296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20297000 +0! +#20297500 +1! +#20297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20298000 +0! +#20298500 +1! +#20298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20299000 +0! +#20299500 +1! +#20299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20300000 +0! +#20300500 +1! +#20300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20301000 +0! +#20301500 +1! +#20301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20302000 +0! +#20302500 +1! +#20302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20303000 +0! +#20303500 +1! +#20303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20304000 +0! +#20304500 +1! +#20304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20305000 +0! +#20305500 +1! +#20305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20306000 +0! +#20306500 +1! +#20306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20307000 +0! +#20307500 +1! +#20307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20308000 +0! +#20308500 +1! +#20308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20309000 +0! +#20309500 +1! +#20309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20310000 +0! +#20310500 +1! +#20310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20311000 +0! +#20311500 +1! +#20311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20312000 +0! +#20312500 +1! +#20312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20313000 +0! +#20313500 +1! +#20313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20314000 +0! +#20314500 +1! +#20314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20315000 +0! +#20315500 +1! +#20315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20316000 +0! +#20316500 +1! +#20316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20317000 +0! +#20317500 +1! +#20317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20318000 +0! +#20318500 +1! +#20318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20319000 +0! +#20319500 +1! +#20319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20320000 +0! +#20320500 +1! +#20320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20321000 +0! +#20321500 +1! +#20321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20322000 +0! +#20322500 +1! +#20322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20323000 +0! +#20323500 +1! +#20323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20324000 +0! +#20324500 +1! +#20324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20325000 +0! +#20325500 +1! +#20325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20326000 +0! +#20326500 +1! +#20326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#20327000 +0! +#20327500 +1! +#20327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20328000 +0! +#20328500 +1! +#20328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20329000 +0! +#20329500 +1! +#20329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20330000 +0! +#20330500 +1! +#20330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20331000 +0! +#20331500 +1! +#20331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20332000 +0! +#20332500 +1! +#20332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20333000 +0! +#20333500 +1! +#20333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20334000 +0! +#20334500 +1! +#20334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20335000 +0! +#20335500 +1! +#20335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20336000 +0! +#20336500 +1! +#20336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20337000 +0! +#20337500 +1! +#20337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20338000 +0! +#20338500 +1! +#20338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20339000 +0! +#20339500 +1! +#20339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20340000 +0! +#20340500 +1! +#20340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20341000 +0! +#20341500 +1! +#20341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20342000 +0! +#20342500 +1! +#20342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20343000 +0! +#20343500 +1! +#20343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20344000 +0! +#20344500 +1! +#20344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20345000 +0! +#20345500 +1! +#20345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20346000 +0! +#20346500 +1! +#20346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20347000 +0! +#20347500 +1! +#20347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20348000 +0! +#20348500 +1! +#20348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20349000 +0! +#20349500 +1! +#20349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20350000 +0! +#20350500 +1! +#20350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20351000 +0! +#20351500 +1! +#20351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20352000 +0! +#20352500 +1! +#20352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20353000 +0! +#20353500 +1! +#20353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20354000 +0! +#20354500 +1! +#20354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20355000 +0! +#20355500 +1! +#20355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20356000 +0! +#20356500 +1! +#20356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20357000 +0! +#20357500 +1! +#20357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20358000 +0! +#20358500 +1! +#20358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20359000 +0! +#20359500 +1! +#20359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20360000 +0! +#20360500 +1! +#20360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20361000 +0! +#20361500 +1! +#20361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20362000 +0! +#20362500 +1! +#20362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20363000 +0! +#20363500 +1! +#20363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20364000 +0! +#20364500 +1! +#20364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20365000 +0! +#20365500 +1! +#20365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20366000 +0! +#20366500 +1! +#20366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20367000 +0! +#20367500 +1! +#20367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20368000 +0! +#20368500 +1! +#20368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20369000 +0! +#20369500 +1! +#20369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20370000 +0! +#20370500 +1! +#20370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20371000 +0! +#20371500 +1! +#20371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20372000 +0! +#20372500 +1! +#20372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20373000 +0! +#20373500 +1! +#20373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20374000 +0! +#20374500 +1! +#20374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20375000 +0! +#20375500 +1! +#20375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20376000 +0! +#20376500 +1! +#20376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20377000 +0! +#20377500 +1! +#20377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#20377700 +b101 g +b1000101 c +#20378000 +0! +#20378500 +1! +#20378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20379000 +0! +#20379500 +1! +#20379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20380000 +0! +#20380500 +1! +#20380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20381000 +0! +#20381500 +1! +#20381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20382000 +0! +#20382500 +1! +#20382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20383000 +0! +#20383500 +1! +#20383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20384000 +0! +#20384500 +1! +#20384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20385000 +0! +#20385500 +1! +#20385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20386000 +0! +#20386500 +1! +#20386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20387000 +0! +#20387500 +1! +#20387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20388000 +0! +#20388500 +1! +#20388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20389000 +0! +#20389500 +1! +#20389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20390000 +0! +#20390500 +1! +#20390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20391000 +0! +#20391500 +1! +#20391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20392000 +0! +#20392500 +1! +#20392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20393000 +0! +#20393500 +1! +#20393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20394000 +0! +#20394500 +1! +#20394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20395000 +0! +#20395500 +1! +#20395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20396000 +0! +#20396500 +1! +#20396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20397000 +0! +#20397500 +1! +#20397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20398000 +0! +#20398500 +1! +#20398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20399000 +0! +#20399500 +1! +#20399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20400000 +0! +#20400500 +1! +#20400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20401000 +0! +#20401500 +1! +#20401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20402000 +0! +#20402500 +1! +#20402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20403000 +0! +#20403500 +1! +#20403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20404000 +0! +#20404500 +1! +#20404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20405000 +0! +#20405500 +1! +#20405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20406000 +0! +#20406500 +1! +#20406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20407000 +0! +#20407500 +1! +#20407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20408000 +0! +#20408500 +1! +#20408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20409000 +0! +#20409500 +1! +#20409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20410000 +0! +#20410500 +1! +#20410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20411000 +0! +#20411500 +1! +#20411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20412000 +0! +#20412500 +1! +#20412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20413000 +0! +#20413500 +1! +#20413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20414000 +0! +#20414500 +1! +#20414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20415000 +0! +#20415500 +1! +#20415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20416000 +0! +#20416500 +1! +#20416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20417000 +0! +#20417500 +1! +#20417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20418000 +0! +#20418500 +1! +#20418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20419000 +0! +#20419500 +1! +#20419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20420000 +0! +#20420500 +1! +#20420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20421000 +0! +#20421500 +1! +#20421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20422000 +0! +#20422500 +1! +#20422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20423000 +0! +#20423500 +1! +#20423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20424000 +0! +#20424500 +1! +#20424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20425000 +0! +#20425500 +1! +#20425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20426000 +0! +#20426500 +1! +#20426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20427000 +0! +#20427500 +1! +#20427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20428000 +0! +#20428500 +1! +#20428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20429000 +0! +#20429500 +1! +#20429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20430000 +0! +#20430500 +1! +#20430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20431000 +0! +#20431500 +1! +#20431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20432000 +0! +#20432500 +1! +#20432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20433000 +0! +#20433500 +1! +#20433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20434000 +0! +#20434500 +1! +#20434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20435000 +0! +#20435500 +1! +#20435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20436000 +0! +#20436500 +1! +#20436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20437000 +0! +#20437500 +1! +#20437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20438000 +0! +#20438500 +1! +#20438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20439000 +0! +#20439500 +1! +#20439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20440000 +0! +#20440500 +1! +#20440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20441000 +0! +#20441500 +1! +#20441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20442000 +0! +#20442500 +1! +#20442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20443000 +0! +#20443500 +1! +#20443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20444000 +0! +#20444500 +1! +#20444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20445000 +0! +#20445500 +1! +#20445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20446000 +0! +#20446500 +1! +#20446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20447000 +0! +#20447500 +1! +#20447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20448000 +0! +#20448500 +1! +#20448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20449000 +0! +#20449500 +1! +#20449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20450000 +0! +#20450500 +1! +#20450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20451000 +0! +#20451500 +1! +#20451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20452000 +0! +#20452500 +1! +#20452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20453000 +0! +#20453500 +1! +#20453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20454000 +0! +#20454500 +1! +#20454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20455000 +0! +#20455500 +1! +#20455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20456000 +0! +#20456500 +1! +#20456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20457000 +0! +#20457500 +1! +#20457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20458000 +0! +#20458500 +1! +#20458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20459000 +0! +#20459500 +1! +#20459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20460000 +0! +#20460500 +1! +#20460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20461000 +0! +#20461500 +1! +#20461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20462000 +0! +#20462500 +1! +#20462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20463000 +0! +#20463500 +1! +#20463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20464000 +0! +#20464500 +1! +#20464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20465000 +0! +#20465500 +1! +#20465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20466000 +0! +#20466500 +1! +#20466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20467000 +0! +#20467500 +1! +#20467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20468000 +0! +#20468500 +1! +#20468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20469000 +0! +#20469500 +1! +#20469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20470000 +0! +#20470500 +1! +#20470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20471000 +0! +#20471500 +1! +#20471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20472000 +0! +#20472500 +1! +#20472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20473000 +0! +#20473500 +1! +#20473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20474000 +0! +#20474500 +1! +#20474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20475000 +0! +#20475500 +1! +#20475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20476000 +0! +#20476500 +1! +#20476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20477000 +0! +#20477500 +1! +#20477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20478000 +0! +#20478500 +1! +#20478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20479000 +0! +#20479500 +1! +#20479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#20480000 +0! +#20480500 +1! +#20480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20481000 +0! +#20481500 +1! +#20481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20482000 +0! +#20482500 +1! +#20482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20483000 +0! +#20483500 +1! +#20483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20484000 +0! +#20484500 +1! +#20484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20485000 +0! +#20485500 +1! +#20485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20486000 +0! +#20486500 +1! +#20486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20487000 +0! +#20487500 +1! +#20487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20488000 +0! +#20488500 +1! +#20488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20489000 +0! +#20489500 +1! +#20489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20490000 +0! +#20490500 +1! +#20490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20491000 +0! +#20491500 +1! +#20491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20492000 +0! +#20492500 +1! +#20492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20493000 +0! +#20493500 +1! +#20493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20494000 +0! +#20494500 +1! +#20494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20495000 +0! +#20495500 +1! +#20495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20496000 +0! +#20496500 +1! +#20496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20497000 +0! +#20497500 +1! +#20497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20498000 +0! +#20498500 +1! +#20498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20499000 +0! +#20499500 +1! +#20499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20500000 +0! +#20500500 +1! +#20500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20501000 +0! +#20501500 +1! +#20501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20502000 +0! +#20502500 +1! +#20502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20503000 +0! +#20503500 +1! +#20503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20504000 +0! +#20504500 +1! +#20504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20505000 +0! +#20505500 +1! +#20505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20506000 +0! +#20506500 +1! +#20506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20507000 +0! +#20507500 +1! +#20507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20508000 +0! +#20508500 +1! +#20508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20509000 +0! +#20509500 +1! +#20509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20510000 +0! +#20510500 +1! +#20510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20511000 +0! +#20511500 +1! +#20511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20512000 +0! +#20512500 +1! +#20512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20513000 +0! +#20513500 +1! +#20513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20514000 +0! +#20514500 +1! +#20514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20515000 +0! +#20515500 +1! +#20515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20516000 +0! +#20516500 +1! +#20516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20517000 +0! +#20517500 +1! +#20517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20518000 +0! +#20518500 +1! +#20518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20519000 +0! +#20519500 +1! +#20519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20520000 +0! +#20520500 +1! +#20520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20521000 +0! +#20521500 +1! +#20521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20522000 +0! +#20522500 +1! +#20522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20523000 +0! +#20523500 +1! +#20523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20524000 +0! +#20524500 +1! +#20524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20525000 +0! +#20525500 +1! +#20525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20526000 +0! +#20526500 +1! +#20526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20527000 +0! +#20527500 +1! +#20527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20528000 +0! +#20528500 +1! +#20528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20529000 +0! +#20529500 +1! +#20529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20530000 +0! +#20530500 +1! +#20530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20531000 +0! +#20531500 +1! +#20531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20532000 +0! +#20532500 +1! +#20532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20533000 +0! +#20533500 +1! +#20533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20534000 +0! +#20534500 +1! +#20534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20535000 +0! +#20535500 +1! +#20535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20536000 +0! +#20536500 +1! +#20536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20537000 +0! +#20537500 +1! +#20537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20538000 +0! +#20538500 +1! +#20538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20539000 +0! +#20539500 +1! +#20539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20540000 +0! +#20540500 +1! +#20540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20541000 +0! +#20541500 +1! +#20541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20542000 +0! +#20542500 +1! +#20542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20543000 +0! +#20543500 +1! +#20543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20544000 +0! +#20544500 +1! +#20544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20545000 +0! +#20545500 +1! +#20545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20546000 +0! +#20546500 +1! +#20546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20547000 +0! +#20547500 +1! +#20547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20548000 +0! +#20548500 +1! +#20548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20549000 +0! +#20549500 +1! +#20549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20550000 +0! +#20550500 +1! +#20550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20551000 +0! +#20551500 +1! +#20551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20552000 +0! +#20552500 +1! +#20552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20553000 +0! +#20553500 +1! +#20553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20554000 +0! +#20554500 +1! +#20554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20555000 +0! +#20555500 +1! +#20555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20556000 +0! +#20556500 +1! +#20556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20557000 +0! +#20557500 +1! +#20557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20558000 +0! +#20558500 +1! +#20558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20559000 +0! +#20559500 +1! +#20559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20560000 +0! +#20560500 +1! +#20560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20561000 +0! +#20561500 +1! +#20561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20562000 +0! +#20562500 +1! +#20562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20563000 +0! +#20563500 +1! +#20563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20564000 +0! +#20564500 +1! +#20564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20565000 +0! +#20565500 +1! +#20565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20566000 +0! +#20566500 +1! +#20566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20567000 +0! +#20567500 +1! +#20567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20568000 +0! +#20568500 +1! +#20568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20569000 +0! +#20569500 +1! +#20569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20570000 +0! +#20570500 +1! +#20570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20571000 +0! +#20571500 +1! +#20571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20572000 +0! +#20572500 +1! +#20572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20573000 +0! +#20573500 +1! +#20573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20574000 +0! +#20574500 +1! +#20574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20575000 +0! +#20575500 +1! +#20575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20576000 +0! +#20576500 +1! +#20576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20577000 +0! +#20577500 +1! +#20577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20578000 +0! +#20578500 +1! +#20578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20579000 +0! +#20579500 +1! +#20579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20580000 +0! +#20580500 +1! +#20580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20581000 +0! +#20581500 +1! +#20581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#20582000 +0! +#20582500 +1! +#20582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20583000 +0! +#20583500 +1! +#20583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20584000 +0! +#20584500 +1! +#20584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20585000 +0! +#20585500 +1! +#20585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20586000 +0! +#20586500 +1! +#20586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20587000 +0! +#20587500 +1! +#20587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20588000 +0! +#20588500 +1! +#20588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20589000 +0! +#20589500 +1! +#20589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20590000 +0! +#20590500 +1! +#20590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20591000 +0! +#20591500 +1! +#20591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20592000 +0! +#20592500 +1! +#20592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20593000 +0! +#20593500 +1! +#20593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20594000 +0! +#20594500 +1! +#20594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20595000 +0! +#20595500 +1! +#20595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20596000 +0! +#20596500 +1! +#20596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20597000 +0! +#20597500 +1! +#20597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20598000 +0! +#20598500 +1! +#20598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20599000 +0! +#20599500 +1! +#20599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20600000 +0! +#20600500 +1! +#20600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20601000 +0! +#20601500 +1! +#20601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20602000 +0! +#20602500 +1! +#20602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20603000 +0! +#20603500 +1! +#20603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20604000 +0! +#20604500 +1! +#20604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20605000 +0! +#20605500 +1! +#20605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20606000 +0! +#20606500 +1! +#20606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20607000 +0! +#20607500 +1! +#20607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20608000 +0! +#20608500 +1! +#20608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20609000 +0! +#20609500 +1! +#20609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20610000 +0! +#20610500 +1! +#20610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20611000 +0! +#20611500 +1! +#20611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20612000 +0! +#20612500 +1! +#20612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20613000 +0! +#20613500 +1! +#20613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20614000 +0! +#20614500 +1! +#20614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20615000 +0! +#20615500 +1! +#20615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20616000 +0! +#20616500 +1! +#20616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20617000 +0! +#20617500 +1! +#20617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20618000 +0! +#20618500 +1! +#20618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20619000 +0! +#20619500 +1! +#20619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20620000 +0! +#20620500 +1! +#20620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20621000 +0! +#20621500 +1! +#20621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20622000 +0! +#20622500 +1! +#20622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20623000 +0! +#20623500 +1! +#20623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20624000 +0! +#20624500 +1! +#20624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20625000 +0! +#20625500 +1! +#20625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20626000 +0! +#20626500 +1! +#20626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20627000 +0! +#20627500 +1! +#20627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20628000 +0! +#20628500 +1! +#20628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20629000 +0! +#20629500 +1! +#20629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20630000 +0! +#20630500 +1! +#20630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20631000 +0! +#20631500 +1! +#20631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20632000 +0! +#20632500 +1! +#20632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#20632700 +b100 g +b10001010 c +#20633000 +0! +#20633500 +1! +#20633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20634000 +0! +#20634500 +1! +#20634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20635000 +0! +#20635500 +1! +#20635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20636000 +0! +#20636500 +1! +#20636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20637000 +0! +#20637500 +1! +#20637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20638000 +0! +#20638500 +1! +#20638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20639000 +0! +#20639500 +1! +#20639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20640000 +0! +#20640500 +1! +#20640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20641000 +0! +#20641500 +1! +#20641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20642000 +0! +#20642500 +1! +#20642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20643000 +0! +#20643500 +1! +#20643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20644000 +0! +#20644500 +1! +#20644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20645000 +0! +#20645500 +1! +#20645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20646000 +0! +#20646500 +1! +#20646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20647000 +0! +#20647500 +1! +#20647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20648000 +0! +#20648500 +1! +#20648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20649000 +0! +#20649500 +1! +#20649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20650000 +0! +#20650500 +1! +#20650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20651000 +0! +#20651500 +1! +#20651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20652000 +0! +#20652500 +1! +#20652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20653000 +0! +#20653500 +1! +#20653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20654000 +0! +#20654500 +1! +#20654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20655000 +0! +#20655500 +1! +#20655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20656000 +0! +#20656500 +1! +#20656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20657000 +0! +#20657500 +1! +#20657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20658000 +0! +#20658500 +1! +#20658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20659000 +0! +#20659500 +1! +#20659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20660000 +0! +#20660500 +1! +#20660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20661000 +0! +#20661500 +1! +#20661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20662000 +0! +#20662500 +1! +#20662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20663000 +0! +#20663500 +1! +#20663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20664000 +0! +#20664500 +1! +#20664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20665000 +0! +#20665500 +1! +#20665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20666000 +0! +#20666500 +1! +#20666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20667000 +0! +#20667500 +1! +#20667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20668000 +0! +#20668500 +1! +#20668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20669000 +0! +#20669500 +1! +#20669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20670000 +0! +#20670500 +1! +#20670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20671000 +0! +#20671500 +1! +#20671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20672000 +0! +#20672500 +1! +#20672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20673000 +0! +#20673500 +1! +#20673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20674000 +0! +#20674500 +1! +#20674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20675000 +0! +#20675500 +1! +#20675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20676000 +0! +#20676500 +1! +#20676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20677000 +0! +#20677500 +1! +#20677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20678000 +0! +#20678500 +1! +#20678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20679000 +0! +#20679500 +1! +#20679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20680000 +0! +#20680500 +1! +#20680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20681000 +0! +#20681500 +1! +#20681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20682000 +0! +#20682500 +1! +#20682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20683000 +0! +#20683500 +1! +#20683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20684000 +0! +#20684500 +1! +#20684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20685000 +0! +#20685500 +1! +#20685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20686000 +0! +#20686500 +1! +#20686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20687000 +0! +#20687500 +1! +#20687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20688000 +0! +#20688500 +1! +#20688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20689000 +0! +#20689500 +1! +#20689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20690000 +0! +#20690500 +1! +#20690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20691000 +0! +#20691500 +1! +#20691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20692000 +0! +#20692500 +1! +#20692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20693000 +0! +#20693500 +1! +#20693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20694000 +0! +#20694500 +1! +#20694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20695000 +0! +#20695500 +1! +#20695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20696000 +0! +#20696500 +1! +#20696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20697000 +0! +#20697500 +1! +#20697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20698000 +0! +#20698500 +1! +#20698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20699000 +0! +#20699500 +1! +#20699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20700000 +0! +#20700500 +1! +#20700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20701000 +0! +#20701500 +1! +#20701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20702000 +0! +#20702500 +1! +#20702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20703000 +0! +#20703500 +1! +#20703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20704000 +0! +#20704500 +1! +#20704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20705000 +0! +#20705500 +1! +#20705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20706000 +0! +#20706500 +1! +#20706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20707000 +0! +#20707500 +1! +#20707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20708000 +0! +#20708500 +1! +#20708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20709000 +0! +#20709500 +1! +#20709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20710000 +0! +#20710500 +1! +#20710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20711000 +0! +#20711500 +1! +#20711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20712000 +0! +#20712500 +1! +#20712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20713000 +0! +#20713500 +1! +#20713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20714000 +0! +#20714500 +1! +#20714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20715000 +0! +#20715500 +1! +#20715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20716000 +0! +#20716500 +1! +#20716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20717000 +0! +#20717500 +1! +#20717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20718000 +0! +#20718500 +1! +#20718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20719000 +0! +#20719500 +1! +#20719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20720000 +0! +#20720500 +1! +#20720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20721000 +0! +#20721500 +1! +#20721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20722000 +0! +#20722500 +1! +#20722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20723000 +0! +#20723500 +1! +#20723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20724000 +0! +#20724500 +1! +#20724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20725000 +0! +#20725500 +1! +#20725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20726000 +0! +#20726500 +1! +#20726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20727000 +0! +#20727500 +1! +#20727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20728000 +0! +#20728500 +1! +#20728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20729000 +0! +#20729500 +1! +#20729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20730000 +0! +#20730500 +1! +#20730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20731000 +0! +#20731500 +1! +#20731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20732000 +0! +#20732500 +1! +#20732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20733000 +0! +#20733500 +1! +#20733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20734000 +0! +#20734500 +1! +#20734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#20735000 +0! +#20735500 +1! +#20735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20736000 +0! +#20736500 +1! +#20736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20737000 +0! +#20737500 +1! +#20737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20738000 +0! +#20738500 +1! +#20738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20739000 +0! +#20739500 +1! +#20739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20740000 +0! +#20740500 +1! +#20740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20741000 +0! +#20741500 +1! +#20741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20742000 +0! +#20742500 +1! +#20742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20743000 +0! +#20743500 +1! +#20743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20744000 +0! +#20744500 +1! +#20744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20745000 +0! +#20745500 +1! +#20745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20746000 +0! +#20746500 +1! +#20746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20747000 +0! +#20747500 +1! +#20747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20748000 +0! +#20748500 +1! +#20748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20749000 +0! +#20749500 +1! +#20749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20750000 +0! +#20750500 +1! +#20750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20751000 +0! +#20751500 +1! +#20751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20752000 +0! +#20752500 +1! +#20752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20753000 +0! +#20753500 +1! +#20753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20754000 +0! +#20754500 +1! +#20754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20755000 +0! +#20755500 +1! +#20755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20756000 +0! +#20756500 +1! +#20756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20757000 +0! +#20757500 +1! +#20757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20758000 +0! +#20758500 +1! +#20758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20759000 +0! +#20759500 +1! +#20759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20760000 +0! +#20760500 +1! +#20760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20761000 +0! +#20761500 +1! +#20761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20762000 +0! +#20762500 +1! +#20762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20763000 +0! +#20763500 +1! +#20763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20764000 +0! +#20764500 +1! +#20764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20765000 +0! +#20765500 +1! +#20765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20766000 +0! +#20766500 +1! +#20766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20767000 +0! +#20767500 +1! +#20767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20768000 +0! +#20768500 +1! +#20768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20769000 +0! +#20769500 +1! +#20769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20770000 +0! +#20770500 +1! +#20770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20771000 +0! +#20771500 +1! +#20771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20772000 +0! +#20772500 +1! +#20772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20773000 +0! +#20773500 +1! +#20773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20774000 +0! +#20774500 +1! +#20774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20775000 +0! +#20775500 +1! +#20775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20776000 +0! +#20776500 +1! +#20776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20777000 +0! +#20777500 +1! +#20777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20778000 +0! +#20778500 +1! +#20778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20779000 +0! +#20779500 +1! +#20779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20780000 +0! +#20780500 +1! +#20780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20781000 +0! +#20781500 +1! +#20781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20782000 +0! +#20782500 +1! +#20782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20783000 +0! +#20783500 +1! +#20783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20784000 +0! +#20784500 +1! +#20784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20785000 +0! +#20785500 +1! +#20785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20786000 +0! +#20786500 +1! +#20786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20787000 +0! +#20787500 +1! +#20787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20788000 +0! +#20788500 +1! +#20788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20789000 +0! +#20789500 +1! +#20789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20790000 +0! +#20790500 +1! +#20790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20791000 +0! +#20791500 +1! +#20791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20792000 +0! +#20792500 +1! +#20792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20793000 +0! +#20793500 +1! +#20793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20794000 +0! +#20794500 +1! +#20794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20795000 +0! +#20795500 +1! +#20795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20796000 +0! +#20796500 +1! +#20796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20797000 +0! +#20797500 +1! +#20797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20798000 +0! +#20798500 +1! +#20798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20799000 +0! +#20799500 +1! +#20799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20800000 +0! +#20800500 +1! +#20800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20801000 +0! +#20801500 +1! +#20801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20802000 +0! +#20802500 +1! +#20802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20803000 +0! +#20803500 +1! +#20803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20804000 +0! +#20804500 +1! +#20804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20805000 +0! +#20805500 +1! +#20805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20806000 +0! +#20806500 +1! +#20806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20807000 +0! +#20807500 +1! +#20807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20808000 +0! +#20808500 +1! +#20808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20809000 +0! +#20809500 +1! +#20809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20810000 +0! +#20810500 +1! +#20810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20811000 +0! +#20811500 +1! +#20811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20812000 +0! +#20812500 +1! +#20812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20813000 +0! +#20813500 +1! +#20813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20814000 +0! +#20814500 +1! +#20814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20815000 +0! +#20815500 +1! +#20815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20816000 +0! +#20816500 +1! +#20816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20817000 +0! +#20817500 +1! +#20817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20818000 +0! +#20818500 +1! +#20818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20819000 +0! +#20819500 +1! +#20819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20820000 +0! +#20820500 +1! +#20820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20821000 +0! +#20821500 +1! +#20821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20822000 +0! +#20822500 +1! +#20822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20823000 +0! +#20823500 +1! +#20823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20824000 +0! +#20824500 +1! +#20824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20825000 +0! +#20825500 +1! +#20825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20826000 +0! +#20826500 +1! +#20826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20827000 +0! +#20827500 +1! +#20827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20828000 +0! +#20828500 +1! +#20828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20829000 +0! +#20829500 +1! +#20829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20830000 +0! +#20830500 +1! +#20830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20831000 +0! +#20831500 +1! +#20831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20832000 +0! +#20832500 +1! +#20832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20833000 +0! +#20833500 +1! +#20833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20834000 +0! +#20834500 +1! +#20834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20835000 +0! +#20835500 +1! +#20835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20836000 +0! +#20836500 +1! +#20836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20837000 +0! +#20837500 +1! +#20837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20838000 +0! +#20838500 +1! +#20838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20839000 +0! +#20839500 +1! +#20839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20840000 +0! +#20840500 +1! +#20840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20841000 +0! +#20841500 +1! +#20841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20842000 +0! +#20842500 +1! +#20842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20843000 +0! +#20843500 +1! +#20843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20844000 +0! +#20844500 +1! +#20844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20845000 +0! +#20845500 +1! +#20845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20846000 +0! +#20846500 +1! +#20846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20847000 +0! +#20847500 +1! +#20847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20848000 +0! +#20848500 +1! +#20848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20849000 +0! +#20849500 +1! +#20849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20850000 +0! +#20850500 +1! +#20850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20851000 +0! +#20851500 +1! +#20851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20852000 +0! +#20852500 +1! +#20852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20853000 +0! +#20853500 +1! +#20853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20854000 +0! +#20854500 +1! +#20854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20855000 +0! +#20855500 +1! +#20855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20856000 +0! +#20856500 +1! +#20856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20857000 +0! +#20857500 +1! +#20857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20858000 +0! +#20858500 +1! +#20858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20859000 +0! +#20859500 +1! +#20859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20860000 +0! +#20860500 +1! +#20860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20861000 +0! +#20861500 +1! +#20861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20862000 +0! +#20862500 +1! +#20862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20863000 +0! +#20863500 +1! +#20863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20864000 +0! +#20864500 +1! +#20864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20865000 +0! +#20865500 +1! +#20865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20866000 +0! +#20866500 +1! +#20866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20867000 +0! +#20867500 +1! +#20867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20868000 +0! +#20868500 +1! +#20868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20869000 +0! +#20869500 +1! +#20869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20870000 +0! +#20870500 +1! +#20870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20871000 +0! +#20871500 +1! +#20871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20872000 +0! +#20872500 +1! +#20872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20873000 +0! +#20873500 +1! +#20873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20874000 +0! +#20874500 +1! +#20874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20875000 +0! +#20875500 +1! +#20875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20876000 +0! +#20876500 +1! +#20876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20877000 +0! +#20877500 +1! +#20877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20878000 +0! +#20878500 +1! +#20878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20879000 +0! +#20879500 +1! +#20879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20880000 +0! +#20880500 +1! +#20880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20881000 +0! +#20881500 +1! +#20881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20882000 +0! +#20882500 +1! +#20882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20883000 +0! +#20883500 +1! +#20883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20884000 +0! +#20884500 +1! +#20884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20885000 +0! +#20885500 +1! +#20885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20886000 +0! +#20886500 +1! +#20886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20887000 +0! +#20887500 +1! +#20887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#20887700 +b11 g +b10100 c +#20888000 +0! +#20888500 +1! +#20888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20889000 +0! +#20889500 +1! +#20889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20890000 +0! +#20890500 +1! +#20890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20891000 +0! +#20891500 +1! +#20891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20892000 +0! +#20892500 +1! +#20892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20893000 +0! +#20893500 +1! +#20893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20894000 +0! +#20894500 +1! +#20894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20895000 +0! +#20895500 +1! +#20895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20896000 +0! +#20896500 +1! +#20896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20897000 +0! +#20897500 +1! +#20897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20898000 +0! +#20898500 +1! +#20898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20899000 +0! +#20899500 +1! +#20899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20900000 +0! +#20900500 +1! +#20900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20901000 +0! +#20901500 +1! +#20901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20902000 +0! +#20902500 +1! +#20902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20903000 +0! +#20903500 +1! +#20903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20904000 +0! +#20904500 +1! +#20904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20905000 +0! +#20905500 +1! +#20905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20906000 +0! +#20906500 +1! +#20906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20907000 +0! +#20907500 +1! +#20907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20908000 +0! +#20908500 +1! +#20908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20909000 +0! +#20909500 +1! +#20909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20910000 +0! +#20910500 +1! +#20910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20911000 +0! +#20911500 +1! +#20911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20912000 +0! +#20912500 +1! +#20912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20913000 +0! +#20913500 +1! +#20913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20914000 +0! +#20914500 +1! +#20914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20915000 +0! +#20915500 +1! +#20915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20916000 +0! +#20916500 +1! +#20916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20917000 +0! +#20917500 +1! +#20917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20918000 +0! +#20918500 +1! +#20918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20919000 +0! +#20919500 +1! +#20919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20920000 +0! +#20920500 +1! +#20920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20921000 +0! +#20921500 +1! +#20921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20922000 +0! +#20922500 +1! +#20922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20923000 +0! +#20923500 +1! +#20923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20924000 +0! +#20924500 +1! +#20924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20925000 +0! +#20925500 +1! +#20925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20926000 +0! +#20926500 +1! +#20926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20927000 +0! +#20927500 +1! +#20927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20928000 +0! +#20928500 +1! +#20928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20929000 +0! +#20929500 +1! +#20929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20930000 +0! +#20930500 +1! +#20930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20931000 +0! +#20931500 +1! +#20931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20932000 +0! +#20932500 +1! +#20932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20933000 +0! +#20933500 +1! +#20933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20934000 +0! +#20934500 +1! +#20934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20935000 +0! +#20935500 +1! +#20935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20936000 +0! +#20936500 +1! +#20936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20937000 +0! +#20937500 +1! +#20937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20938000 +0! +#20938500 +1! +#20938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20939000 +0! +#20939500 +1! +#20939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20940000 +0! +#20940500 +1! +#20940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20941000 +0! +#20941500 +1! +#20941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20942000 +0! +#20942500 +1! +#20942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20943000 +0! +#20943500 +1! +#20943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20944000 +0! +#20944500 +1! +#20944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20945000 +0! +#20945500 +1! +#20945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20946000 +0! +#20946500 +1! +#20946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20947000 +0! +#20947500 +1! +#20947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20948000 +0! +#20948500 +1! +#20948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20949000 +0! +#20949500 +1! +#20949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20950000 +0! +#20950500 +1! +#20950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20951000 +0! +#20951500 +1! +#20951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20952000 +0! +#20952500 +1! +#20952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20953000 +0! +#20953500 +1! +#20953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20954000 +0! +#20954500 +1! +#20954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20955000 +0! +#20955500 +1! +#20955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20956000 +0! +#20956500 +1! +#20956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20957000 +0! +#20957500 +1! +#20957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20958000 +0! +#20958500 +1! +#20958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20959000 +0! +#20959500 +1! +#20959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20960000 +0! +#20960500 +1! +#20960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20961000 +0! +#20961500 +1! +#20961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20962000 +0! +#20962500 +1! +#20962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20963000 +0! +#20963500 +1! +#20963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20964000 +0! +#20964500 +1! +#20964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20965000 +0! +#20965500 +1! +#20965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20966000 +0! +#20966500 +1! +#20966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20967000 +0! +#20967500 +1! +#20967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20968000 +0! +#20968500 +1! +#20968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20969000 +0! +#20969500 +1! +#20969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20970000 +0! +#20970500 +1! +#20970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20971000 +0! +#20971500 +1! +#20971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20972000 +0! +#20972500 +1! +#20972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20973000 +0! +#20973500 +1! +#20973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20974000 +0! +#20974500 +1! +#20974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20975000 +0! +#20975500 +1! +#20975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20976000 +0! +#20976500 +1! +#20976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20977000 +0! +#20977500 +1! +#20977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20978000 +0! +#20978500 +1! +#20978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20979000 +0! +#20979500 +1! +#20979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20980000 +0! +#20980500 +1! +#20980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20981000 +0! +#20981500 +1! +#20981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20982000 +0! +#20982500 +1! +#20982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20983000 +0! +#20983500 +1! +#20983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20984000 +0! +#20984500 +1! +#20984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20985000 +0! +#20985500 +1! +#20985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20986000 +0! +#20986500 +1! +#20986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20987000 +0! +#20987500 +1! +#20987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20988000 +0! +#20988500 +1! +#20988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20989000 +0! +#20989500 +1! +#20989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#20990000 +0! +#20990500 +1! +#20990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20991000 +0! +#20991500 +1! +#20991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20992000 +0! +#20992500 +1! +#20992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20993000 +0! +#20993500 +1! +#20993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20994000 +0! +#20994500 +1! +#20994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20995000 +0! +#20995500 +1! +#20995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20996000 +0! +#20996500 +1! +#20996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20997000 +0! +#20997500 +1! +#20997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#20998000 +0! +#20998500 +1! +#20998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#20999000 +0! +#20999500 +1! +#20999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21000000 +0! +#21000500 +1! +#21000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21001000 +0! +#21001500 +1! +#21001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21002000 +0! +#21002500 +1! +#21002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21003000 +0! +#21003500 +1! +#21003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21004000 +0! +#21004500 +1! +#21004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21005000 +0! +#21005500 +1! +#21005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21006000 +0! +#21006500 +1! +#21006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21007000 +0! +#21007500 +1! +#21007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21008000 +0! +#21008500 +1! +#21008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21009000 +0! +#21009500 +1! +#21009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21010000 +0! +#21010500 +1! +#21010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21011000 +0! +#21011500 +1! +#21011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21012000 +0! +#21012500 +1! +#21012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21013000 +0! +#21013500 +1! +#21013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21014000 +0! +#21014500 +1! +#21014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21015000 +0! +#21015500 +1! +#21015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21016000 +0! +#21016500 +1! +#21016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21017000 +0! +#21017500 +1! +#21017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21018000 +0! +#21018500 +1! +#21018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21019000 +0! +#21019500 +1! +#21019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21020000 +0! +#21020500 +1! +#21020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21021000 +0! +#21021500 +1! +#21021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21022000 +0! +#21022500 +1! +#21022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21023000 +0! +#21023500 +1! +#21023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21024000 +0! +#21024500 +1! +#21024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21025000 +0! +#21025500 +1! +#21025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21026000 +0! +#21026500 +1! +#21026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21027000 +0! +#21027500 +1! +#21027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21028000 +0! +#21028500 +1! +#21028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21029000 +0! +#21029500 +1! +#21029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21030000 +0! +#21030500 +1! +#21030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21031000 +0! +#21031500 +1! +#21031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21032000 +0! +#21032500 +1! +#21032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21033000 +0! +#21033500 +1! +#21033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21034000 +0! +#21034500 +1! +#21034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21035000 +0! +#21035500 +1! +#21035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21036000 +0! +#21036500 +1! +#21036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21037000 +0! +#21037500 +1! +#21037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21038000 +0! +#21038500 +1! +#21038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21039000 +0! +#21039500 +1! +#21039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21040000 +0! +#21040500 +1! +#21040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21041000 +0! +#21041500 +1! +#21041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21042000 +0! +#21042500 +1! +#21042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21043000 +0! +#21043500 +1! +#21043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21044000 +0! +#21044500 +1! +#21044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21045000 +0! +#21045500 +1! +#21045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21046000 +0! +#21046500 +1! +#21046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21047000 +0! +#21047500 +1! +#21047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21048000 +0! +#21048500 +1! +#21048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21049000 +0! +#21049500 +1! +#21049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21050000 +0! +#21050500 +1! +#21050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21051000 +0! +#21051500 +1! +#21051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21052000 +0! +#21052500 +1! +#21052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21053000 +0! +#21053500 +1! +#21053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21054000 +0! +#21054500 +1! +#21054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21055000 +0! +#21055500 +1! +#21055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21056000 +0! +#21056500 +1! +#21056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21057000 +0! +#21057500 +1! +#21057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21058000 +0! +#21058500 +1! +#21058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21059000 +0! +#21059500 +1! +#21059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21060000 +0! +#21060500 +1! +#21060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21061000 +0! +#21061500 +1! +#21061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21062000 +0! +#21062500 +1! +#21062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21063000 +0! +#21063500 +1! +#21063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21064000 +0! +#21064500 +1! +#21064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21065000 +0! +#21065500 +1! +#21065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21066000 +0! +#21066500 +1! +#21066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21067000 +0! +#21067500 +1! +#21067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21068000 +0! +#21068500 +1! +#21068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21069000 +0! +#21069500 +1! +#21069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21070000 +0! +#21070500 +1! +#21070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21071000 +0! +#21071500 +1! +#21071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21072000 +0! +#21072500 +1! +#21072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21073000 +0! +#21073500 +1! +#21073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21074000 +0! +#21074500 +1! +#21074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21075000 +0! +#21075500 +1! +#21075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21076000 +0! +#21076500 +1! +#21076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21077000 +0! +#21077500 +1! +#21077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21078000 +0! +#21078500 +1! +#21078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21079000 +0! +#21079500 +1! +#21079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21080000 +0! +#21080500 +1! +#21080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21081000 +0! +#21081500 +1! +#21081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21082000 +0! +#21082500 +1! +#21082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21083000 +0! +#21083500 +1! +#21083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21084000 +0! +#21084500 +1! +#21084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21085000 +0! +#21085500 +1! +#21085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21086000 +0! +#21086500 +1! +#21086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21087000 +0! +#21087500 +1! +#21087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21088000 +0! +#21088500 +1! +#21088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21089000 +0! +#21089500 +1! +#21089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21090000 +0! +#21090500 +1! +#21090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21091000 +0! +#21091500 +1! +#21091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21092000 +0! +#21092500 +1! +#21092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21093000 +0! +#21093500 +1! +#21093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21094000 +0! +#21094500 +1! +#21094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21095000 +0! +#21095500 +1! +#21095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21096000 +0! +#21096500 +1! +#21096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21097000 +0! +#21097500 +1! +#21097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21098000 +0! +#21098500 +1! +#21098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21099000 +0! +#21099500 +1! +#21099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21100000 +0! +#21100500 +1! +#21100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21101000 +0! +#21101500 +1! +#21101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21102000 +0! +#21102500 +1! +#21102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21103000 +0! +#21103500 +1! +#21103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21104000 +0! +#21104500 +1! +#21104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21105000 +0! +#21105500 +1! +#21105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21106000 +0! +#21106500 +1! +#21106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21107000 +0! +#21107500 +1! +#21107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21108000 +0! +#21108500 +1! +#21108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21109000 +0! +#21109500 +1! +#21109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21110000 +0! +#21110500 +1! +#21110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21111000 +0! +#21111500 +1! +#21111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21112000 +0! +#21112500 +1! +#21112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21113000 +0! +#21113500 +1! +#21113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21114000 +0! +#21114500 +1! +#21114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21115000 +0! +#21115500 +1! +#21115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21116000 +0! +#21116500 +1! +#21116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21117000 +0! +#21117500 +1! +#21117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21118000 +0! +#21118500 +1! +#21118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21119000 +0! +#21119500 +1! +#21119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21120000 +0! +#21120500 +1! +#21120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21121000 +0! +#21121500 +1! +#21121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21122000 +0! +#21122500 +1! +#21122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21123000 +0! +#21123500 +1! +#21123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21124000 +0! +#21124500 +1! +#21124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21125000 +0! +#21125500 +1! +#21125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21126000 +0! +#21126500 +1! +#21126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21127000 +0! +#21127500 +1! +#21127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21128000 +0! +#21128500 +1! +#21128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21129000 +0! +#21129500 +1! +#21129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21130000 +0! +#21130500 +1! +#21130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21131000 +0! +#21131500 +1! +#21131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21132000 +0! +#21132500 +1! +#21132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21133000 +0! +#21133500 +1! +#21133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21134000 +0! +#21134500 +1! +#21134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21135000 +0! +#21135500 +1! +#21135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21136000 +0! +#21136500 +1! +#21136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21137000 +0! +#21137500 +1! +#21137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21138000 +0! +#21138500 +1! +#21138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21139000 +0! +#21139500 +1! +#21139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21140000 +0! +#21140500 +1! +#21140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21141000 +0! +#21141500 +1! +#21141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21142000 +0! +#21142500 +1! +#21142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#21142700 +b10 g +b101000 c +#21143000 +0! +#21143500 +1! +#21143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21144000 +0! +#21144500 +1! +#21144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21145000 +0! +#21145500 +1! +#21145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21146000 +0! +#21146500 +1! +#21146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21147000 +0! +#21147500 +1! +#21147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21148000 +0! +#21148500 +1! +#21148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21149000 +0! +#21149500 +1! +#21149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21150000 +0! +#21150500 +1! +#21150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21151000 +0! +#21151500 +1! +#21151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21152000 +0! +#21152500 +1! +#21152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21153000 +0! +#21153500 +1! +#21153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21154000 +0! +#21154500 +1! +#21154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21155000 +0! +#21155500 +1! +#21155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21156000 +0! +#21156500 +1! +#21156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21157000 +0! +#21157500 +1! +#21157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21158000 +0! +#21158500 +1! +#21158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21159000 +0! +#21159500 +1! +#21159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21160000 +0! +#21160500 +1! +#21160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21161000 +0! +#21161500 +1! +#21161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21162000 +0! +#21162500 +1! +#21162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21163000 +0! +#21163500 +1! +#21163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21164000 +0! +#21164500 +1! +#21164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21165000 +0! +#21165500 +1! +#21165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21166000 +0! +#21166500 +1! +#21166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21167000 +0! +#21167500 +1! +#21167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21168000 +0! +#21168500 +1! +#21168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21169000 +0! +#21169500 +1! +#21169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21170000 +0! +#21170500 +1! +#21170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21171000 +0! +#21171500 +1! +#21171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21172000 +0! +#21172500 +1! +#21172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21173000 +0! +#21173500 +1! +#21173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21174000 +0! +#21174500 +1! +#21174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21175000 +0! +#21175500 +1! +#21175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21176000 +0! +#21176500 +1! +#21176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21177000 +0! +#21177500 +1! +#21177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21178000 +0! +#21178500 +1! +#21178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21179000 +0! +#21179500 +1! +#21179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21180000 +0! +#21180500 +1! +#21180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21181000 +0! +#21181500 +1! +#21181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21182000 +0! +#21182500 +1! +#21182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21183000 +0! +#21183500 +1! +#21183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21184000 +0! +#21184500 +1! +#21184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21185000 +0! +#21185500 +1! +#21185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21186000 +0! +#21186500 +1! +#21186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21187000 +0! +#21187500 +1! +#21187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21188000 +0! +#21188500 +1! +#21188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21189000 +0! +#21189500 +1! +#21189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21190000 +0! +#21190500 +1! +#21190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21191000 +0! +#21191500 +1! +#21191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21192000 +0! +#21192500 +1! +#21192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21193000 +0! +#21193500 +1! +#21193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21194000 +0! +#21194500 +1! +#21194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21195000 +0! +#21195500 +1! +#21195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21196000 +0! +#21196500 +1! +#21196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21197000 +0! +#21197500 +1! +#21197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21198000 +0! +#21198500 +1! +#21198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21199000 +0! +#21199500 +1! +#21199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21200000 +0! +#21200500 +1! +#21200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21201000 +0! +#21201500 +1! +#21201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21202000 +0! +#21202500 +1! +#21202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21203000 +0! +#21203500 +1! +#21203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21204000 +0! +#21204500 +1! +#21204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21205000 +0! +#21205500 +1! +#21205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21206000 +0! +#21206500 +1! +#21206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21207000 +0! +#21207500 +1! +#21207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21208000 +0! +#21208500 +1! +#21208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21209000 +0! +#21209500 +1! +#21209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21210000 +0! +#21210500 +1! +#21210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21211000 +0! +#21211500 +1! +#21211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21212000 +0! +#21212500 +1! +#21212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21213000 +0! +#21213500 +1! +#21213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21214000 +0! +#21214500 +1! +#21214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21215000 +0! +#21215500 +1! +#21215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21216000 +0! +#21216500 +1! +#21216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21217000 +0! +#21217500 +1! +#21217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21218000 +0! +#21218500 +1! +#21218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21219000 +0! +#21219500 +1! +#21219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21220000 +0! +#21220500 +1! +#21220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21221000 +0! +#21221500 +1! +#21221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21222000 +0! +#21222500 +1! +#21222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21223000 +0! +#21223500 +1! +#21223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21224000 +0! +#21224500 +1! +#21224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21225000 +0! +#21225500 +1! +#21225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21226000 +0! +#21226500 +1! +#21226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21227000 +0! +#21227500 +1! +#21227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21228000 +0! +#21228500 +1! +#21228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21229000 +0! +#21229500 +1! +#21229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21230000 +0! +#21230500 +1! +#21230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21231000 +0! +#21231500 +1! +#21231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21232000 +0! +#21232500 +1! +#21232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21233000 +0! +#21233500 +1! +#21233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21234000 +0! +#21234500 +1! +#21234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21235000 +0! +#21235500 +1! +#21235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21236000 +0! +#21236500 +1! +#21236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21237000 +0! +#21237500 +1! +#21237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21238000 +0! +#21238500 +1! +#21238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21239000 +0! +#21239500 +1! +#21239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21240000 +0! +#21240500 +1! +#21240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21241000 +0! +#21241500 +1! +#21241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21242000 +0! +#21242500 +1! +#21242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21243000 +0! +#21243500 +1! +#21243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21244000 +0! +#21244500 +1! +#21244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#21245000 +0! +#21245500 +1! +#21245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21246000 +0! +#21246500 +1! +#21246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21247000 +0! +#21247500 +1! +#21247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21248000 +0! +#21248500 +1! +#21248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21249000 +0! +#21249500 +1! +#21249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21250000 +0! +#21250500 +1! +#21250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21251000 +0! +#21251500 +1! +#21251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21252000 +0! +#21252500 +1! +#21252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21253000 +0! +#21253500 +1! +#21253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21254000 +0! +#21254500 +1! +#21254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21255000 +0! +#21255500 +1! +#21255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21256000 +0! +#21256500 +1! +#21256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21257000 +0! +#21257500 +1! +#21257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21258000 +0! +#21258500 +1! +#21258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21259000 +0! +#21259500 +1! +#21259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21260000 +0! +#21260500 +1! +#21260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21261000 +0! +#21261500 +1! +#21261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21262000 +0! +#21262500 +1! +#21262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21263000 +0! +#21263500 +1! +#21263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21264000 +0! +#21264500 +1! +#21264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21265000 +0! +#21265500 +1! +#21265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21266000 +0! +#21266500 +1! +#21266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21267000 +0! +#21267500 +1! +#21267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21268000 +0! +#21268500 +1! +#21268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21269000 +0! +#21269500 +1! +#21269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21270000 +0! +#21270500 +1! +#21270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21271000 +0! +#21271500 +1! +#21271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21272000 +0! +#21272500 +1! +#21272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21273000 +0! +#21273500 +1! +#21273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21274000 +0! +#21274500 +1! +#21274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21275000 +0! +#21275500 +1! +#21275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21276000 +0! +#21276500 +1! +#21276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21277000 +0! +#21277500 +1! +#21277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21278000 +0! +#21278500 +1! +#21278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21279000 +0! +#21279500 +1! +#21279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21280000 +0! +#21280500 +1! +#21280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21281000 +0! +#21281500 +1! +#21281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21282000 +0! +#21282500 +1! +#21282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21283000 +0! +#21283500 +1! +#21283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21284000 +0! +#21284500 +1! +#21284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21285000 +0! +#21285500 +1! +#21285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21286000 +0! +#21286500 +1! +#21286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21287000 +0! +#21287500 +1! +#21287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21288000 +0! +#21288500 +1! +#21288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21289000 +0! +#21289500 +1! +#21289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21290000 +0! +#21290500 +1! +#21290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21291000 +0! +#21291500 +1! +#21291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21292000 +0! +#21292500 +1! +#21292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21293000 +0! +#21293500 +1! +#21293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21294000 +0! +#21294500 +1! +#21294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21295000 +0! +#21295500 +1! +#21295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21296000 +0! +#21296500 +1! +#21296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21297000 +0! +#21297500 +1! +#21297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21298000 +0! +#21298500 +1! +#21298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21299000 +0! +#21299500 +1! +#21299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21300000 +0! +#21300500 +1! +#21300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21301000 +0! +#21301500 +1! +#21301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21302000 +0! +#21302500 +1! +#21302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21303000 +0! +#21303500 +1! +#21303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21304000 +0! +#21304500 +1! +#21304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21305000 +0! +#21305500 +1! +#21305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21306000 +0! +#21306500 +1! +#21306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21307000 +0! +#21307500 +1! +#21307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21308000 +0! +#21308500 +1! +#21308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21309000 +0! +#21309500 +1! +#21309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21310000 +0! +#21310500 +1! +#21310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21311000 +0! +#21311500 +1! +#21311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21312000 +0! +#21312500 +1! +#21312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21313000 +0! +#21313500 +1! +#21313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21314000 +0! +#21314500 +1! +#21314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21315000 +0! +#21315500 +1! +#21315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21316000 +0! +#21316500 +1! +#21316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21317000 +0! +#21317500 +1! +#21317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21318000 +0! +#21318500 +1! +#21318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21319000 +0! +#21319500 +1! +#21319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21320000 +0! +#21320500 +1! +#21320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21321000 +0! +#21321500 +1! +#21321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21322000 +0! +#21322500 +1! +#21322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21323000 +0! +#21323500 +1! +#21323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21324000 +0! +#21324500 +1! +#21324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21325000 +0! +#21325500 +1! +#21325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21326000 +0! +#21326500 +1! +#21326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21327000 +0! +#21327500 +1! +#21327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21328000 +0! +#21328500 +1! +#21328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21329000 +0! +#21329500 +1! +#21329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21330000 +0! +#21330500 +1! +#21330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21331000 +0! +#21331500 +1! +#21331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21332000 +0! +#21332500 +1! +#21332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21333000 +0! +#21333500 +1! +#21333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21334000 +0! +#21334500 +1! +#21334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21335000 +0! +#21335500 +1! +#21335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21336000 +0! +#21336500 +1! +#21336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21337000 +0! +#21337500 +1! +#21337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21338000 +0! +#21338500 +1! +#21338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21339000 +0! +#21339500 +1! +#21339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21340000 +0! +#21340500 +1! +#21340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21341000 +0! +#21341500 +1! +#21341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21342000 +0! +#21342500 +1! +#21342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21343000 +0! +#21343500 +1! +#21343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21344000 +0! +#21344500 +1! +#21344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21345000 +0! +#21345500 +1! +#21345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21346000 +0! +#21346500 +1! +#21346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21347000 +0! +#21347500 +1! +#21347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21348000 +0! +#21348500 +1! +#21348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21349000 +0! +#21349500 +1! +#21349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21350000 +0! +#21350500 +1! +#21350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21351000 +0! +#21351500 +1! +#21351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21352000 +0! +#21352500 +1! +#21352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21353000 +0! +#21353500 +1! +#21353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21354000 +0! +#21354500 +1! +#21354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21355000 +0! +#21355500 +1! +#21355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21356000 +0! +#21356500 +1! +#21356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21357000 +0! +#21357500 +1! +#21357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21358000 +0! +#21358500 +1! +#21358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21359000 +0! +#21359500 +1! +#21359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21360000 +0! +#21360500 +1! +#21360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21361000 +0! +#21361500 +1! +#21361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21362000 +0! +#21362500 +1! +#21362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21363000 +0! +#21363500 +1! +#21363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21364000 +0! +#21364500 +1! +#21364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21365000 +0! +#21365500 +1! +#21365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21366000 +0! +#21366500 +1! +#21366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21367000 +0! +#21367500 +1! +#21367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21368000 +0! +#21368500 +1! +#21368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21369000 +0! +#21369500 +1! +#21369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21370000 +0! +#21370500 +1! +#21370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21371000 +0! +#21371500 +1! +#21371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21372000 +0! +#21372500 +1! +#21372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21373000 +0! +#21373500 +1! +#21373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21374000 +0! +#21374500 +1! +#21374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21375000 +0! +#21375500 +1! +#21375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21376000 +0! +#21376500 +1! +#21376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21377000 +0! +#21377500 +1! +#21377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21378000 +0! +#21378500 +1! +#21378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21379000 +0! +#21379500 +1! +#21379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21380000 +0! +#21380500 +1! +#21380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21381000 +0! +#21381500 +1! +#21381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21382000 +0! +#21382500 +1! +#21382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21383000 +0! +#21383500 +1! +#21383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21384000 +0! +#21384500 +1! +#21384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21385000 +0! +#21385500 +1! +#21385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21386000 +0! +#21386500 +1! +#21386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21387000 +0! +#21387500 +1! +#21387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21388000 +0! +#21388500 +1! +#21388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21389000 +0! +#21389500 +1! +#21389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21390000 +0! +#21390500 +1! +#21390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21391000 +0! +#21391500 +1! +#21391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21392000 +0! +#21392500 +1! +#21392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21393000 +0! +#21393500 +1! +#21393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21394000 +0! +#21394500 +1! +#21394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21395000 +0! +#21395500 +1! +#21395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21396000 +0! +#21396500 +1! +#21396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21397000 +0! +#21397500 +1! +#21397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#21397700 +b1 g +b1010000 c +#21398000 +0! +#21398500 +1! +#21398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21399000 +0! +#21399500 +1! +#21399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21400000 +0! +#21400500 +1! +#21400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21401000 +0! +#21401500 +1! +#21401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21402000 +0! +#21402500 +1! +#21402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21403000 +0! +#21403500 +1! +#21403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21404000 +0! +#21404500 +1! +#21404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21405000 +0! +#21405500 +1! +#21405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21406000 +0! +#21406500 +1! +#21406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21407000 +0! +#21407500 +1! +#21407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21408000 +0! +#21408500 +1! +#21408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21409000 +0! +#21409500 +1! +#21409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21410000 +0! +#21410500 +1! +#21410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21411000 +0! +#21411500 +1! +#21411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21412000 +0! +#21412500 +1! +#21412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21413000 +0! +#21413500 +1! +#21413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21414000 +0! +#21414500 +1! +#21414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21415000 +0! +#21415500 +1! +#21415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21416000 +0! +#21416500 +1! +#21416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21417000 +0! +#21417500 +1! +#21417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21418000 +0! +#21418500 +1! +#21418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21419000 +0! +#21419500 +1! +#21419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21420000 +0! +#21420500 +1! +#21420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21421000 +0! +#21421500 +1! +#21421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21422000 +0! +#21422500 +1! +#21422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21423000 +0! +#21423500 +1! +#21423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21424000 +0! +#21424500 +1! +#21424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21425000 +0! +#21425500 +1! +#21425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21426000 +0! +#21426500 +1! +#21426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21427000 +0! +#21427500 +1! +#21427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21428000 +0! +#21428500 +1! +#21428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21429000 +0! +#21429500 +1! +#21429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21430000 +0! +#21430500 +1! +#21430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21431000 +0! +#21431500 +1! +#21431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21432000 +0! +#21432500 +1! +#21432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21433000 +0! +#21433500 +1! +#21433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21434000 +0! +#21434500 +1! +#21434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21435000 +0! +#21435500 +1! +#21435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21436000 +0! +#21436500 +1! +#21436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21437000 +0! +#21437500 +1! +#21437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21438000 +0! +#21438500 +1! +#21438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21439000 +0! +#21439500 +1! +#21439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21440000 +0! +#21440500 +1! +#21440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21441000 +0! +#21441500 +1! +#21441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21442000 +0! +#21442500 +1! +#21442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21443000 +0! +#21443500 +1! +#21443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21444000 +0! +#21444500 +1! +#21444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21445000 +0! +#21445500 +1! +#21445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21446000 +0! +#21446500 +1! +#21446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21447000 +0! +#21447500 +1! +#21447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21448000 +0! +#21448500 +1! +#21448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21449000 +0! +#21449500 +1! +#21449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21450000 +0! +#21450500 +1! +#21450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21451000 +0! +#21451500 +1! +#21451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21452000 +0! +#21452500 +1! +#21452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21453000 +0! +#21453500 +1! +#21453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21454000 +0! +#21454500 +1! +#21454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21455000 +0! +#21455500 +1! +#21455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21456000 +0! +#21456500 +1! +#21456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21457000 +0! +#21457500 +1! +#21457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21458000 +0! +#21458500 +1! +#21458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21459000 +0! +#21459500 +1! +#21459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21460000 +0! +#21460500 +1! +#21460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21461000 +0! +#21461500 +1! +#21461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21462000 +0! +#21462500 +1! +#21462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21463000 +0! +#21463500 +1! +#21463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21464000 +0! +#21464500 +1! +#21464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21465000 +0! +#21465500 +1! +#21465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21466000 +0! +#21466500 +1! +#21466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21467000 +0! +#21467500 +1! +#21467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21468000 +0! +#21468500 +1! +#21468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21469000 +0! +#21469500 +1! +#21469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21470000 +0! +#21470500 +1! +#21470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21471000 +0! +#21471500 +1! +#21471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21472000 +0! +#21472500 +1! +#21472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21473000 +0! +#21473500 +1! +#21473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21474000 +0! +#21474500 +1! +#21474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21475000 +0! +#21475500 +1! +#21475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21476000 +0! +#21476500 +1! +#21476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21477000 +0! +#21477500 +1! +#21477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21478000 +0! +#21478500 +1! +#21478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21479000 +0! +#21479500 +1! +#21479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21480000 +0! +#21480500 +1! +#21480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21481000 +0! +#21481500 +1! +#21481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21482000 +0! +#21482500 +1! +#21482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21483000 +0! +#21483500 +1! +#21483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21484000 +0! +#21484500 +1! +#21484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21485000 +0! +#21485500 +1! +#21485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21486000 +0! +#21486500 +1! +#21486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21487000 +0! +#21487500 +1! +#21487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21488000 +0! +#21488500 +1! +#21488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21489000 +0! +#21489500 +1! +#21489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21490000 +0! +#21490500 +1! +#21490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21491000 +0! +#21491500 +1! +#21491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21492000 +0! +#21492500 +1! +#21492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21493000 +0! +#21493500 +1! +#21493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21494000 +0! +#21494500 +1! +#21494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21495000 +0! +#21495500 +1! +#21495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21496000 +0! +#21496500 +1! +#21496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21497000 +0! +#21497500 +1! +#21497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21498000 +0! +#21498500 +1! +#21498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21499000 +0! +#21499500 +1! +#21499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#21500000 +0! +#21500500 +1! +#21500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21501000 +0! +#21501500 +1! +#21501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21502000 +0! +#21502500 +1! +#21502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21503000 +0! +#21503500 +1! +#21503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21504000 +0! +#21504500 +1! +#21504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21505000 +0! +#21505500 +1! +#21505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21506000 +0! +#21506500 +1! +#21506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21507000 +0! +#21507500 +1! +#21507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21508000 +0! +#21508500 +1! +#21508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21509000 +0! +#21509500 +1! +#21509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21510000 +0! +#21510500 +1! +#21510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21511000 +0! +#21511500 +1! +#21511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21512000 +0! +#21512500 +1! +#21512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21513000 +0! +#21513500 +1! +#21513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21514000 +0! +#21514500 +1! +#21514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21515000 +0! +#21515500 +1! +#21515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21516000 +0! +#21516500 +1! +#21516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21517000 +0! +#21517500 +1! +#21517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21518000 +0! +#21518500 +1! +#21518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21519000 +0! +#21519500 +1! +#21519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21520000 +0! +#21520500 +1! +#21520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21521000 +0! +#21521500 +1! +#21521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21522000 +0! +#21522500 +1! +#21522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21523000 +0! +#21523500 +1! +#21523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21524000 +0! +#21524500 +1! +#21524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21525000 +0! +#21525500 +1! +#21525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21526000 +0! +#21526500 +1! +#21526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21527000 +0! +#21527500 +1! +#21527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21528000 +0! +#21528500 +1! +#21528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21529000 +0! +#21529500 +1! +#21529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21530000 +0! +#21530500 +1! +#21530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21531000 +0! +#21531500 +1! +#21531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21532000 +0! +#21532500 +1! +#21532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21533000 +0! +#21533500 +1! +#21533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21534000 +0! +#21534500 +1! +#21534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21535000 +0! +#21535500 +1! +#21535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21536000 +0! +#21536500 +1! +#21536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21537000 +0! +#21537500 +1! +#21537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21538000 +0! +#21538500 +1! +#21538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21539000 +0! +#21539500 +1! +#21539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21540000 +0! +#21540500 +1! +#21540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21541000 +0! +#21541500 +1! +#21541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21542000 +0! +#21542500 +1! +#21542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21543000 +0! +#21543500 +1! +#21543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21544000 +0! +#21544500 +1! +#21544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21545000 +0! +#21545500 +1! +#21545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21546000 +0! +#21546500 +1! +#21546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21547000 +0! +#21547500 +1! +#21547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21548000 +0! +#21548500 +1! +#21548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21549000 +0! +#21549500 +1! +#21549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21550000 +0! +#21550500 +1! +#21550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21551000 +0! +#21551500 +1! +#21551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21552000 +0! +#21552500 +1! +#21552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21553000 +0! +#21553500 +1! +#21553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21554000 +0! +#21554500 +1! +#21554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21555000 +0! +#21555500 +1! +#21555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21556000 +0! +#21556500 +1! +#21556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21557000 +0! +#21557500 +1! +#21557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21558000 +0! +#21558500 +1! +#21558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21559000 +0! +#21559500 +1! +#21559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21560000 +0! +#21560500 +1! +#21560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21561000 +0! +#21561500 +1! +#21561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21562000 +0! +#21562500 +1! +#21562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21563000 +0! +#21563500 +1! +#21563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21564000 +0! +#21564500 +1! +#21564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21565000 +0! +#21565500 +1! +#21565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21566000 +0! +#21566500 +1! +#21566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21567000 +0! +#21567500 +1! +#21567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21568000 +0! +#21568500 +1! +#21568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21569000 +0! +#21569500 +1! +#21569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21570000 +0! +#21570500 +1! +#21570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21571000 +0! +#21571500 +1! +#21571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21572000 +0! +#21572500 +1! +#21572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21573000 +0! +#21573500 +1! +#21573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21574000 +0! +#21574500 +1! +#21574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21575000 +0! +#21575500 +1! +#21575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21576000 +0! +#21576500 +1! +#21576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21577000 +0! +#21577500 +1! +#21577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21578000 +0! +#21578500 +1! +#21578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21579000 +0! +#21579500 +1! +#21579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21580000 +0! +#21580500 +1! +#21580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21581000 +0! +#21581500 +1! +#21581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21582000 +0! +#21582500 +1! +#21582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21583000 +0! +#21583500 +1! +#21583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21584000 +0! +#21584500 +1! +#21584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21585000 +0! +#21585500 +1! +#21585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21586000 +0! +#21586500 +1! +#21586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21587000 +0! +#21587500 +1! +#21587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21588000 +0! +#21588500 +1! +#21588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21589000 +0! +#21589500 +1! +#21589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21590000 +0! +#21590500 +1! +#21590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21591000 +0! +#21591500 +1! +#21591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21592000 +0! +#21592500 +1! +#21592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21593000 +0! +#21593500 +1! +#21593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21594000 +0! +#21594500 +1! +#21594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21595000 +0! +#21595500 +1! +#21595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21596000 +0! +#21596500 +1! +#21596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21597000 +0! +#21597500 +1! +#21597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21598000 +0! +#21598500 +1! +#21598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21599000 +0! +#21599500 +1! +#21599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21600000 +0! +#21600500 +1! +#21600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21601000 +0! +#21601500 +1! +#21601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21602000 +0! +#21602500 +1! +#21602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21603000 +0! +#21603500 +1! +#21603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21604000 +0! +#21604500 +1! +#21604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21605000 +0! +#21605500 +1! +#21605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21606000 +0! +#21606500 +1! +#21606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21607000 +0! +#21607500 +1! +#21607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21608000 +0! +#21608500 +1! +#21608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21609000 +0! +#21609500 +1! +#21609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21610000 +0! +#21610500 +1! +#21610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21611000 +0! +#21611500 +1! +#21611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21612000 +0! +#21612500 +1! +#21612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21613000 +0! +#21613500 +1! +#21613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21614000 +0! +#21614500 +1! +#21614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21615000 +0! +#21615500 +1! +#21615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21616000 +0! +#21616500 +1! +#21616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21617000 +0! +#21617500 +1! +#21617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21618000 +0! +#21618500 +1! +#21618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21619000 +0! +#21619500 +1! +#21619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21620000 +0! +#21620500 +1! +#21620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21621000 +0! +#21621500 +1! +#21621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21622000 +0! +#21622500 +1! +#21622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21623000 +0! +#21623500 +1! +#21623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21624000 +0! +#21624500 +1! +#21624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21625000 +0! +#21625500 +1! +#21625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21626000 +0! +#21626500 +1! +#21626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21627000 +0! +#21627500 +1! +#21627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21628000 +0! +#21628500 +1! +#21628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21629000 +0! +#21629500 +1! +#21629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21630000 +0! +#21630500 +1! +#21630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21631000 +0! +#21631500 +1! +#21631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21632000 +0! +#21632500 +1! +#21632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21633000 +0! +#21633500 +1! +#21633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21634000 +0! +#21634500 +1! +#21634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21635000 +0! +#21635500 +1! +#21635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21636000 +0! +#21636500 +1! +#21636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21637000 +0! +#21637500 +1! +#21637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21638000 +0! +#21638500 +1! +#21638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21639000 +0! +#21639500 +1! +#21639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21640000 +0! +#21640500 +1! +#21640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21641000 +0! +#21641500 +1! +#21641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21642000 +0! +#21642500 +1! +#21642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21643000 +0! +#21643500 +1! +#21643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21644000 +0! +#21644500 +1! +#21644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21645000 +0! +#21645500 +1! +#21645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21646000 +0! +#21646500 +1! +#21646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21647000 +0! +#21647500 +1! +#21647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21648000 +0! +#21648500 +1! +#21648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21649000 +0! +#21649500 +1! +#21649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21650000 +0! +#21650500 +1! +#21650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21651000 +0! +#21651500 +1! +#21651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21652000 +0! +#21652500 +1! +#21652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#21652700 +b0 g +b10100000 c +1e +1h +#21653000 +0! +#21653500 +1! +#21653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21654000 +0! +#21654500 +1! +#21654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21655000 +0! +#21655500 +1! +#21655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21656000 +0! +#21656500 +1! +#21656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21657000 +0! +#21657500 +1! +#21657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21658000 +0! +#21658500 +1! +#21658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21659000 +0! +#21659500 +1! +#21659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21660000 +0! +#21660500 +1! +#21660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21661000 +0! +#21661500 +1! +#21661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21662000 +0! +#21662500 +1! +#21662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21663000 +0! +#21663500 +1! +#21663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21664000 +0! +#21664500 +1! +#21664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21665000 +0! +#21665500 +1! +#21665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21666000 +0! +#21666500 +1! +#21666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21667000 +0! +#21667500 +1! +#21667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21668000 +0! +#21668500 +1! +#21668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21669000 +0! +#21669500 +1! +#21669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21670000 +0! +#21670500 +1! +#21670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21671000 +0! +#21671500 +1! +#21671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21672000 +0! +#21672500 +1! +#21672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21673000 +0! +#21673500 +1! +#21673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21674000 +0! +#21674500 +1! +#21674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21675000 +0! +#21675500 +1! +#21675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21676000 +0! +#21676500 +1! +#21676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21677000 +0! +#21677500 +1! +#21677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21678000 +0! +#21678500 +1! +#21678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21679000 +0! +#21679500 +1! +#21679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21680000 +0! +#21680500 +1! +#21680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21681000 +0! +#21681500 +1! +#21681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21682000 +0! +#21682500 +1! +#21682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21683000 +0! +#21683500 +1! +#21683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21684000 +0! +#21684500 +1! +#21684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21685000 +0! +#21685500 +1! +#21685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21686000 +0! +#21686500 +1! +#21686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21687000 +0! +#21687500 +1! +#21687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21688000 +0! +#21688500 +1! +#21688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21689000 +0! +#21689500 +1! +#21689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21690000 +0! +#21690500 +1! +#21690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21691000 +0! +#21691500 +1! +#21691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21692000 +0! +#21692500 +1! +#21692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21693000 +0! +#21693500 +1! +#21693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21694000 +0! +#21694500 +1! +#21694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21695000 +0! +#21695500 +1! +#21695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21696000 +0! +#21696500 +1! +#21696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21697000 +0! +#21697500 +1! +#21697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21698000 +0! +#21698500 +1! +#21698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21699000 +0! +#21699500 +1! +#21699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21700000 +0! +#21700500 +1! +#21700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21701000 +0! +#21701500 +1! +#21701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21702000 +0! +#21702500 +1! +#21702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21703000 +0! +#21703500 +1! +#21703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21704000 +0! +#21704500 +1! +#21704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21705000 +0! +#21705500 +1! +#21705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21706000 +0! +#21706500 +1! +#21706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21707000 +0! +#21707500 +1! +#21707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21708000 +0! +#21708500 +1! +#21708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21709000 +0! +#21709500 +1! +#21709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21710000 +0! +#21710500 +1! +#21710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21711000 +0! +#21711500 +1! +#21711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21712000 +0! +#21712500 +1! +#21712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21713000 +0! +#21713500 +1! +#21713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21714000 +0! +#21714500 +1! +#21714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21715000 +0! +#21715500 +1! +#21715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21716000 +0! +#21716500 +1! +#21716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21717000 +0! +#21717500 +1! +#21717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21718000 +0! +#21718500 +1! +#21718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21719000 +0! +#21719500 +1! +#21719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21720000 +0! +#21720500 +1! +#21720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21721000 +0! +#21721500 +1! +#21721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21722000 +0! +#21722500 +1! +#21722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21723000 +0! +#21723500 +1! +#21723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21724000 +0! +#21724500 +1! +#21724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21725000 +0! +#21725500 +1! +#21725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21726000 +0! +#21726500 +1! +#21726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21727000 +0! +#21727500 +1! +#21727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21728000 +0! +#21728500 +1! +#21728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21729000 +0! +#21729500 +1! +#21729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21730000 +0! +#21730500 +1! +#21730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21731000 +0! +#21731500 +1! +#21731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21732000 +0! +#21732500 +1! +#21732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21733000 +0! +#21733500 +1! +#21733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21734000 +0! +#21734500 +1! +#21734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21735000 +0! +#21735500 +1! +#21735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21736000 +0! +#21736500 +1! +#21736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21737000 +0! +#21737500 +1! +#21737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21738000 +0! +#21738500 +1! +#21738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21739000 +0! +#21739500 +1! +#21739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21740000 +0! +#21740500 +1! +#21740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21741000 +0! +#21741500 +1! +#21741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21742000 +0! +#21742500 +1! +#21742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21743000 +0! +#21743500 +1! +#21743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21744000 +0! +#21744500 +1! +#21744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21745000 +0! +#21745500 +1! +#21745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21746000 +0! +#21746500 +1! +#21746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21747000 +0! +#21747500 +1! +#21747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21748000 +0! +#21748500 +1! +#21748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21749000 +0! +#21749500 +1! +#21749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21750000 +0! +#21750500 +1! +#21750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21751000 +0! +#21751500 +1! +#21751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21752000 +0! +#21752500 +1! +#21752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21753000 +0! +#21753500 +1! +#21753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21754000 +0! +#21754500 +1! +#21754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#21754700 +b1 q +0j +#21755000 +0! +#21755500 +1! +#21755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21756000 +0! +#21756500 +1! +#21756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21757000 +0! +#21757500 +1! +#21757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21758000 +0! +#21758500 +1! +#21758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21759000 +0! +#21759500 +1! +#21759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21760000 +0! +#21760500 +1! +#21760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21761000 +0! +#21761500 +1! +#21761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21762000 +0! +#21762500 +1! +#21762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21763000 +0! +#21763500 +1! +#21763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21764000 +0! +#21764500 +1! +#21764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21765000 +0! +#21765500 +1! +#21765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21766000 +0! +#21766500 +1! +#21766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21767000 +0! +#21767500 +1! +#21767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21768000 +0! +#21768500 +1! +#21768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21769000 +0! +#21769500 +1! +#21769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21770000 +0! +#21770500 +1! +#21770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21771000 +0! +#21771500 +1! +#21771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21772000 +0! +#21772500 +1! +#21772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21773000 +0! +#21773500 +1! +#21773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21774000 +0! +#21774500 +1! +#21774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21775000 +0! +#21775500 +1! +#21775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21776000 +0! +#21776500 +1! +#21776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21777000 +0! +#21777500 +1! +#21777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21778000 +0! +#21778500 +1! +#21778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21779000 +0! +#21779500 +1! +#21779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21780000 +0! +#21780500 +1! +#21780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21781000 +0! +#21781500 +1! +#21781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21782000 +0! +#21782500 +1! +#21782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21783000 +0! +#21783500 +1! +#21783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21784000 +0! +#21784500 +1! +#21784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21785000 +0! +#21785500 +1! +#21785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21786000 +0! +#21786500 +1! +#21786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21787000 +0! +#21787500 +1! +#21787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21788000 +0! +#21788500 +1! +#21788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21789000 +0! +#21789500 +1! +#21789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21790000 +0! +#21790500 +1! +#21790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21791000 +0! +#21791500 +1! +#21791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21792000 +0! +#21792500 +1! +#21792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21793000 +0! +#21793500 +1! +#21793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21794000 +0! +#21794500 +1! +#21794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21795000 +0! +#21795500 +1! +#21795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21796000 +0! +#21796500 +1! +#21796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21797000 +0! +#21797500 +1! +#21797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21798000 +0! +#21798500 +1! +#21798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21799000 +0! +#21799500 +1! +#21799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21800000 +0! +#21800500 +1! +#21800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21801000 +0! +#21801500 +1! +#21801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21802000 +0! +#21802500 +1! +#21802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21803000 +0! +#21803500 +1! +#21803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21804000 +0! +#21804500 +1! +#21804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21805000 +0! +#21805500 +1! +#21805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21806000 +0! +#21806500 +1! +#21806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21807000 +0! +#21807500 +1! +#21807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21808000 +0! +#21808500 +1! +#21808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21809000 +0! +#21809500 +1! +#21809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21810000 +0! +#21810500 +1! +#21810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21811000 +0! +#21811500 +1! +#21811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21812000 +0! +#21812500 +1! +#21812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21813000 +0! +#21813500 +1! +#21813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21814000 +0! +#21814500 +1! +#21814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21815000 +0! +#21815500 +1! +#21815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21816000 +0! +#21816500 +1! +#21816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21817000 +0! +#21817500 +1! +#21817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21818000 +0! +#21818500 +1! +#21818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21819000 +0! +#21819500 +1! +#21819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21820000 +0! +#21820500 +1! +#21820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21821000 +0! +#21821500 +1! +#21821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21822000 +0! +#21822500 +1! +#21822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21823000 +0! +#21823500 +1! +#21823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21824000 +0! +#21824500 +1! +#21824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21825000 +0! +#21825500 +1! +#21825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21826000 +0! +#21826500 +1! +#21826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21827000 +0! +#21827500 +1! +#21827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21828000 +0! +#21828500 +1! +#21828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21829000 +0! +#21829500 +1! +#21829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21830000 +0! +#21830500 +1! +#21830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21831000 +0! +#21831500 +1! +#21831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21832000 +0! +#21832500 +1! +#21832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21833000 +0! +#21833500 +1! +#21833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21834000 +0! +#21834500 +1! +#21834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21835000 +0! +#21835500 +1! +#21835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21836000 +0! +#21836500 +1! +#21836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21837000 +0! +#21837500 +1! +#21837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21838000 +0! +#21838500 +1! +#21838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21839000 +0! +#21839500 +1! +#21839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21840000 +0! +#21840500 +1! +#21840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21841000 +0! +#21841500 +1! +#21841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21842000 +0! +#21842500 +1! +#21842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21843000 +0! +#21843500 +1! +#21843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21844000 +0! +#21844500 +1! +#21844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21845000 +0! +#21845500 +1! +#21845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21846000 +0! +#21846500 +1! +#21846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21847000 +0! +#21847500 +1! +#21847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21848000 +0! +#21848500 +1! +#21848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21849000 +0! +#21849500 +1! +#21849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21850000 +0! +#21850500 +1! +#21850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21851000 +0! +#21851500 +1! +#21851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21852000 +0! +#21852500 +1! +#21852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21853000 +0! +#21853500 +1! +#21853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21854000 +0! +#21854500 +1! +#21854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21855000 +0! +#21855500 +1! +#21855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21856000 +0! +#21856500 +1! +#21856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +#21857000 +0! +#21857500 +1! +#21857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21858000 +0! +#21858500 +1! +#21858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21859000 +0! +#21859500 +1! +#21859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21860000 +0! +#21860500 +1! +#21860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21861000 +0! +#21861500 +1! +#21861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21862000 +0! +#21862500 +1! +#21862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21863000 +0! +#21863500 +1! +#21863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21864000 +0! +#21864500 +1! +#21864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21865000 +0! +#21865500 +1! +#21865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21866000 +0! +#21866500 +1! +#21866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21867000 +0! +#21867500 +1! +#21867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21868000 +0! +#21868500 +1! +#21868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21869000 +0! +#21869500 +1! +#21869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21870000 +0! +#21870500 +1! +#21870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21871000 +0! +#21871500 +1! +#21871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21872000 +0! +#21872500 +1! +#21872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21873000 +0! +#21873500 +1! +#21873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21874000 +0! +#21874500 +1! +#21874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21875000 +0! +#21875500 +1! +#21875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21876000 +0! +#21876500 +1! +#21876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21877000 +0! +#21877500 +1! +#21877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21878000 +0! +#21878500 +1! +#21878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21879000 +0! +#21879500 +1! +#21879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21880000 +0! +#21880500 +1! +#21880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21881000 +0! +#21881500 +1! +#21881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21882000 +0! +#21882500 +1! +#21882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21883000 +0! +#21883500 +1! +#21883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21884000 +0! +#21884500 +1! +#21884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21885000 +0! +#21885500 +1! +#21885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21886000 +0! +#21886500 +1! +#21886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21887000 +0! +#21887500 +1! +#21887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21888000 +0! +#21888500 +1! +#21888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21889000 +0! +#21889500 +1! +#21889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21890000 +0! +#21890500 +1! +#21890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21891000 +0! +#21891500 +1! +#21891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21892000 +0! +#21892500 +1! +#21892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21893000 +0! +#21893500 +1! +#21893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21894000 +0! +#21894500 +1! +#21894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21895000 +0! +#21895500 +1! +#21895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21896000 +0! +#21896500 +1! +#21896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21897000 +0! +#21897500 +1! +#21897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21898000 +0! +#21898500 +1! +#21898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21899000 +0! +#21899500 +1! +#21899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21900000 +0! +#21900500 +1! +#21900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21901000 +0! +#21901500 +1! +#21901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21902000 +0! +#21902500 +1! +#21902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21903000 +0! +#21903500 +1! +#21903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21904000 +0! +#21904500 +1! +#21904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21905000 +0! +#21905500 +1! +#21905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21906000 +0! +#21906500 +1! +#21906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21907000 +0! +#21907500 +1! +#21907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#21907700 +b111 g +b1000000 c +0e +0h +#21908000 +0! +#21908500 +1! +#21908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21909000 +0! +#21909500 +1! +#21909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21910000 +0! +#21910500 +1! +#21910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21911000 +0! +#21911500 +1! +#21911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21912000 +0! +#21912500 +1! +#21912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21913000 +0! +#21913500 +1! +#21913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21914000 +0! +#21914500 +1! +#21914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21915000 +0! +#21915500 +1! +#21915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21916000 +0! +#21916500 +1! +#21916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21917000 +0! +#21917500 +1! +#21917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21918000 +0! +#21918500 +1! +#21918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21919000 +0! +#21919500 +1! +#21919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21920000 +0! +#21920500 +1! +#21920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21921000 +0! +#21921500 +1! +#21921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21922000 +0! +#21922500 +1! +#21922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21923000 +0! +#21923500 +1! +#21923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21924000 +0! +#21924500 +1! +#21924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21925000 +0! +#21925500 +1! +#21925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21926000 +0! +#21926500 +1! +#21926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21927000 +0! +#21927500 +1! +#21927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21928000 +0! +#21928500 +1! +#21928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21929000 +0! +#21929500 +1! +#21929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21930000 +0! +#21930500 +1! +#21930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21931000 +0! +#21931500 +1! +#21931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21932000 +0! +#21932500 +1! +#21932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21933000 +0! +#21933500 +1! +#21933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21934000 +0! +#21934500 +1! +#21934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21935000 +0! +#21935500 +1! +#21935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21936000 +0! +#21936500 +1! +#21936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21937000 +0! +#21937500 +1! +#21937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21938000 +0! +#21938500 +1! +#21938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21939000 +0! +#21939500 +1! +#21939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21940000 +0! +#21940500 +1! +#21940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21941000 +0! +#21941500 +1! +#21941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21942000 +0! +#21942500 +1! +#21942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21943000 +0! +#21943500 +1! +#21943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21944000 +0! +#21944500 +1! +#21944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21945000 +0! +#21945500 +1! +#21945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21946000 +0! +#21946500 +1! +#21946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21947000 +0! +#21947500 +1! +#21947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21948000 +0! +#21948500 +1! +#21948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21949000 +0! +#21949500 +1! +#21949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21950000 +0! +#21950500 +1! +#21950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21951000 +0! +#21951500 +1! +#21951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21952000 +0! +#21952500 +1! +#21952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21953000 +0! +#21953500 +1! +#21953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21954000 +0! +#21954500 +1! +#21954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21955000 +0! +#21955500 +1! +#21955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21956000 +0! +#21956500 +1! +#21956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21957000 +0! +#21957500 +1! +#21957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21958000 +0! +#21958500 +1! +#21958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21959000 +0! +#21959500 +1! +#21959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21960000 +0! +#21960500 +1! +#21960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21961000 +0! +#21961500 +1! +#21961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21962000 +0! +#21962500 +1! +#21962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21963000 +0! +#21963500 +1! +#21963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21964000 +0! +#21964500 +1! +#21964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21965000 +0! +#21965500 +1! +#21965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21966000 +0! +#21966500 +1! +#21966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21967000 +0! +#21967500 +1! +#21967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21968000 +0! +#21968500 +1! +#21968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21969000 +0! +#21969500 +1! +#21969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21970000 +0! +#21970500 +1! +#21970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21971000 +0! +#21971500 +1! +#21971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21972000 +0! +#21972500 +1! +#21972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21973000 +0! +#21973500 +1! +#21973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21974000 +0! +#21974500 +1! +#21974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21975000 +0! +#21975500 +1! +#21975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21976000 +0! +#21976500 +1! +#21976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21977000 +0! +#21977500 +1! +#21977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21978000 +0! +#21978500 +1! +#21978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21979000 +0! +#21979500 +1! +#21979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21980000 +0! +#21980500 +1! +#21980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21981000 +0! +#21981500 +1! +#21981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21982000 +0! +#21982500 +1! +#21982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21983000 +0! +#21983500 +1! +#21983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21984000 +0! +#21984500 +1! +#21984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21985000 +0! +#21985500 +1! +#21985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21986000 +0! +#21986500 +1! +#21986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21987000 +0! +#21987500 +1! +#21987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21988000 +0! +#21988500 +1! +#21988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21989000 +0! +#21989500 +1! +#21989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21990000 +0! +#21990500 +1! +#21990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21991000 +0! +#21991500 +1! +#21991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21992000 +0! +#21992500 +1! +#21992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21993000 +0! +#21993500 +1! +#21993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21994000 +0! +#21994500 +1! +#21994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21995000 +0! +#21995500 +1! +#21995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21996000 +0! +#21996500 +1! +#21996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21997000 +0! +#21997500 +1! +#21997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#21998000 +0! +#21998500 +1! +#21998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#21999000 +0! +#21999500 +1! +#21999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22000000 +0! +#22000500 +1! +#22000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22001000 +0! +#22001500 +1! +#22001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22002000 +0! +#22002500 +1! +#22002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22003000 +0! +#22003500 +1! +#22003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22004000 +0! +#22004500 +1! +#22004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22005000 +0! +#22005500 +1! +#22005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22006000 +0! +#22006500 +1! +#22006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22007000 +0! +#22007500 +1! +#22007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22008000 +0! +#22008500 +1! +#22008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22009000 +0! +#22009500 +1! +#22009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#22009700 +1j +b10 q +1i +1O +#22010000 +0! +#22010500 +1! +#22010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22011000 +0! +#22011500 +1! +#22011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22012000 +0! +#22012500 +1! +#22012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22013000 +0! +#22013500 +1! +#22013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#22014000 +0! +#22014500 +1! +#22014600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22015000 +0! +#22015500 +1! +#22015600 +1E +1F +1G +b1 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b0 C +1H +#22016000 +0! +#22016500 +1! +#22016600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22016700 +1E +1F +1G +b10000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#22017000 +0! +#22017500 +1! +#22017600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22018000 +0! +#22018500 +1! +#22018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#22019000 +0! +#22019500 +1! +#22019600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22020000 +0! +#22020500 +1! +#22020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22021000 +0! +#22021500 +1! +#22021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22022000 +0! +#22022500 +1! +#22022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22023000 +0! +#22023500 +1! +#22023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22024000 +0! +#22024500 +1! +#22024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22025000 +0! +#22025500 +1! +#22025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22026000 +0! +#22026500 +1! +#22026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22027000 +0! +#22027500 +1! +#22027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22028000 +0! +#22028500 +1! +#22028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22029000 +0! +#22029500 +1! +#22029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22030000 +0! +#22030500 +1! +#22030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22031000 +0! +#22031500 +1! +#22031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22032000 +0! +#22032500 +1! +#22032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22033000 +0! +#22033500 +1! +#22033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22034000 +0! +#22034500 +1! +#22034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22035000 +0! +#22035500 +1! +#22035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22036000 +0! +#22036500 +1! +#22036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22037000 +0! +#22037500 +1! +#22037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22038000 +0! +#22038500 +1! +#22038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22039000 +0! +#22039500 +1! +#22039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22040000 +0! +#22040500 +1! +#22040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22041000 +0! +#22041500 +1! +#22041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22042000 +0! +#22042500 +1! +#22042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22043000 +0! +#22043500 +1! +#22043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22044000 +0! +#22044500 +1! +#22044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22045000 +0! +#22045500 +1! +#22045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22046000 +0! +#22046500 +1! +#22046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22047000 +0! +#22047500 +1! +#22047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22048000 +0! +#22048500 +1! +#22048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22049000 +0! +#22049500 +1! +#22049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22050000 +0! +#22050500 +1! +#22050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22051000 +0! +#22051500 +1! +#22051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22052000 +0! +#22052500 +1! +#22052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22053000 +0! +#22053500 +1! +#22053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22054000 +0! +#22054500 +1! +#22054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22055000 +0! +#22055500 +1! +#22055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22056000 +0! +#22056500 +1! +#22056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22057000 +0! +#22057500 +1! +#22057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22058000 +0! +#22058500 +1! +#22058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22059000 +0! +#22059500 +1! +#22059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22060000 +0! +#22060500 +1! +#22060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22061000 +0! +#22061500 +1! +#22061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22062000 +0! +#22062500 +1! +#22062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22063000 +0! +#22063500 +1! +#22063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22064000 +0! +#22064500 +1! +#22064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22065000 +0! +#22065500 +1! +#22065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22066000 +0! +#22066500 +1! +#22066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22067000 +0! +#22067500 +1! +#22067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22068000 +0! +#22068500 +1! +#22068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22069000 +0! +#22069500 +1! +#22069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22070000 +0! +#22070500 +1! +#22070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22071000 +0! +#22071500 +1! +#22071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22072000 +0! +#22072500 +1! +#22072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22073000 +0! +#22073500 +1! +#22073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22074000 +0! +#22074500 +1! +#22074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22075000 +0! +#22075500 +1! +#22075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22076000 +0! +#22076500 +1! +#22076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22077000 +0! +#22077500 +1! +#22077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22078000 +0! +#22078500 +1! +#22078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22079000 +0! +#22079500 +1! +#22079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22080000 +0! +#22080500 +1! +#22080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22081000 +0! +#22081500 +1! +#22081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22082000 +0! +#22082500 +1! +#22082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22083000 +0! +#22083500 +1! +#22083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22084000 +0! +#22084500 +1! +#22084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22085000 +0! +#22085500 +1! +#22085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22086000 +0! +#22086500 +1! +#22086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22087000 +0! +#22087500 +1! +#22087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22088000 +0! +#22088500 +1! +#22088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22089000 +0! +#22089500 +1! +#22089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22090000 +0! +#22090500 +1! +#22090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22091000 +0! +#22091500 +1! +#22091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22092000 +0! +#22092500 +1! +#22092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22093000 +0! +#22093500 +1! +#22093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22094000 +0! +#22094500 +1! +#22094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22095000 +0! +#22095500 +1! +#22095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22096000 +0! +#22096500 +1! +#22096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22097000 +0! +#22097500 +1! +#22097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22098000 +0! +#22098500 +1! +#22098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22099000 +0! +#22099500 +1! +#22099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22100000 +0! +#22100500 +1! +#22100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22101000 +0! +#22101500 +1! +#22101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22102000 +0! +#22102500 +1! +#22102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22103000 +0! +#22103500 +1! +#22103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22104000 +0! +#22104500 +1! +#22104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22105000 +0! +#22105500 +1! +#22105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22106000 +0! +#22106500 +1! +#22106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22107000 +0! +#22107500 +1! +#22107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22108000 +0! +#22108500 +1! +#22108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22109000 +0! +#22109500 +1! +#22109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22110000 +0! +#22110500 +1! +#22110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22111000 +0! +#22111500 +1! +#22111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#22112000 +0! +#22112500 +1! +#22112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22113000 +0! +#22113500 +1! +#22113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22114000 +0! +#22114500 +1! +#22114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22115000 +0! +#22115500 +1! +#22115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22116000 +0! +#22116500 +1! +#22116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22117000 +0! +#22117500 +1! +#22117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22118000 +0! +#22118500 +1! +#22118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22119000 +0! +#22119500 +1! +#22119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22120000 +0! +#22120500 +1! +#22120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22121000 +0! +#22121500 +1! +#22121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22122000 +0! +#22122500 +1! +#22122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22123000 +0! +#22123500 +1! +#22123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22124000 +0! +#22124500 +1! +#22124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22125000 +0! +#22125500 +1! +#22125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22126000 +0! +#22126500 +1! +#22126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22127000 +0! +#22127500 +1! +#22127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22128000 +0! +#22128500 +1! +#22128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22129000 +0! +#22129500 +1! +#22129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22130000 +0! +#22130500 +1! +#22130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22131000 +0! +#22131500 +1! +#22131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22132000 +0! +#22132500 +1! +#22132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22133000 +0! +#22133500 +1! +#22133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22134000 +0! +#22134500 +1! +#22134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22135000 +0! +#22135500 +1! +#22135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22136000 +0! +#22136500 +1! +#22136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22137000 +0! +#22137500 +1! +#22137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22138000 +0! +#22138500 +1! +#22138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22139000 +0! +#22139500 +1! +#22139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22140000 +0! +#22140500 +1! +#22140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22141000 +0! +#22141500 +1! +#22141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22142000 +0! +#22142500 +1! +#22142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22143000 +0! +#22143500 +1! +#22143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22144000 +0! +#22144500 +1! +#22144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22145000 +0! +#22145500 +1! +#22145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22146000 +0! +#22146500 +1! +#22146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22147000 +0! +#22147500 +1! +#22147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22148000 +0! +#22148500 +1! +#22148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22149000 +0! +#22149500 +1! +#22149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22150000 +0! +#22150500 +1! +#22150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22151000 +0! +#22151500 +1! +#22151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22152000 +0! +#22152500 +1! +#22152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22153000 +0! +#22153500 +1! +#22153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22154000 +0! +#22154500 +1! +#22154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22155000 +0! +#22155500 +1! +#22155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22156000 +0! +#22156500 +1! +#22156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22157000 +0! +#22157500 +1! +#22157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22158000 +0! +#22158500 +1! +#22158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22159000 +0! +#22159500 +1! +#22159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22160000 +0! +#22160500 +1! +#22160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22161000 +0! +#22161500 +1! +#22161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22162000 +0! +#22162500 +1! +#22162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#22162700 +b10000000 c +#22163000 +0! +#22163500 +1! +#22163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22164000 +0! +#22164500 +1! +#22164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22165000 +0! +#22165500 +1! +#22165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22166000 +0! +#22166500 +1! +#22166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22167000 +0! +#22167500 +1! +#22167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22168000 +0! +#22168500 +1! +#22168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22169000 +0! +#22169500 +1! +#22169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22170000 +0! +#22170500 +1! +#22170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22171000 +0! +#22171500 +1! +#22171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22172000 +0! +#22172500 +1! +#22172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22173000 +0! +#22173500 +1! +#22173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22174000 +0! +#22174500 +1! +#22174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22175000 +0! +#22175500 +1! +#22175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22176000 +0! +#22176500 +1! +#22176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22177000 +0! +#22177500 +1! +#22177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22178000 +0! +#22178500 +1! +#22178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22179000 +0! +#22179500 +1! +#22179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22180000 +0! +#22180500 +1! +#22180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22181000 +0! +#22181500 +1! +#22181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22182000 +0! +#22182500 +1! +#22182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22183000 +0! +#22183500 +1! +#22183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22184000 +0! +#22184500 +1! +#22184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22185000 +0! +#22185500 +1! +#22185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22186000 +0! +#22186500 +1! +#22186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22187000 +0! +#22187500 +1! +#22187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22188000 +0! +#22188500 +1! +#22188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22189000 +0! +#22189500 +1! +#22189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22190000 +0! +#22190500 +1! +#22190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22191000 +0! +#22191500 +1! +#22191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22192000 +0! +#22192500 +1! +#22192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22193000 +0! +#22193500 +1! +#22193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22194000 +0! +#22194500 +1! +#22194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22195000 +0! +#22195500 +1! +#22195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22196000 +0! +#22196500 +1! +#22196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22197000 +0! +#22197500 +1! +#22197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22198000 +0! +#22198500 +1! +#22198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22199000 +0! +#22199500 +1! +#22199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22200000 +0! +#22200500 +1! +#22200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22201000 +0! +#22201500 +1! +#22201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22202000 +0! +#22202500 +1! +#22202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22203000 +0! +#22203500 +1! +#22203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22204000 +0! +#22204500 +1! +#22204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22205000 +0! +#22205500 +1! +#22205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22206000 +0! +#22206500 +1! +#22206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22207000 +0! +#22207500 +1! +#22207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22208000 +0! +#22208500 +1! +#22208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22209000 +0! +#22209500 +1! +#22209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22210000 +0! +#22210500 +1! +#22210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22211000 +0! +#22211500 +1! +#22211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22212000 +0! +#22212500 +1! +#22212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22213000 +0! +#22213500 +1! +#22213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22214000 +0! +#22214500 +1! +#22214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22215000 +0! +#22215500 +1! +#22215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22216000 +0! +#22216500 +1! +#22216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22217000 +0! +#22217500 +1! +#22217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22218000 +0! +#22218500 +1! +#22218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22219000 +0! +#22219500 +1! +#22219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22220000 +0! +#22220500 +1! +#22220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22221000 +0! +#22221500 +1! +#22221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22222000 +0! +#22222500 +1! +#22222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22223000 +0! +#22223500 +1! +#22223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22224000 +0! +#22224500 +1! +#22224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22225000 +0! +#22225500 +1! +#22225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22226000 +0! +#22226500 +1! +#22226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22227000 +0! +#22227500 +1! +#22227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22228000 +0! +#22228500 +1! +#22228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22229000 +0! +#22229500 +1! +#22229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22230000 +0! +#22230500 +1! +#22230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22231000 +0! +#22231500 +1! +#22231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22232000 +0! +#22232500 +1! +#22232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22233000 +0! +#22233500 +1! +#22233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22234000 +0! +#22234500 +1! +#22234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22235000 +0! +#22235500 +1! +#22235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22236000 +0! +#22236500 +1! +#22236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22237000 +0! +#22237500 +1! +#22237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22238000 +0! +#22238500 +1! +#22238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22239000 +0! +#22239500 +1! +#22239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22240000 +0! +#22240500 +1! +#22240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22241000 +0! +#22241500 +1! +#22241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22242000 +0! +#22242500 +1! +#22242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22243000 +0! +#22243500 +1! +#22243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22244000 +0! +#22244500 +1! +#22244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22245000 +0! +#22245500 +1! +#22245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22246000 +0! +#22246500 +1! +#22246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22247000 +0! +#22247500 +1! +#22247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22248000 +0! +#22248500 +1! +#22248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22249000 +0! +#22249500 +1! +#22249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22250000 +0! +#22250500 +1! +#22250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22251000 +0! +#22251500 +1! +#22251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22252000 +0! +#22252500 +1! +#22252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22253000 +0! +#22253500 +1! +#22253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22254000 +0! +#22254500 +1! +#22254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22255000 +0! +#22255500 +1! +#22255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22256000 +0! +#22256500 +1! +#22256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22257000 +0! +#22257500 +1! +#22257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22258000 +0! +#22258500 +1! +#22258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22259000 +0! +#22259500 +1! +#22259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22260000 +0! +#22260500 +1! +#22260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22261000 +0! +#22261500 +1! +#22261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22262000 +0! +#22262500 +1! +#22262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22263000 +0! +#22263500 +1! +#22263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22264000 +0! +#22264500 +1! +#22264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#22264700 +0i +#22265000 +0! +#22265500 +1! +#22265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22266000 +0! +#22266500 +1! +#22266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22267000 +0! +#22267500 +1! +#22267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22268000 +0! +#22268500 +1! +#22268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22269000 +0! +#22269500 +1! +#22269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22270000 +0! +#22270500 +1! +#22270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22271000 +0! +#22271500 +1! +#22271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22272000 +0! +#22272500 +1! +#22272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22273000 +0! +#22273500 +1! +#22273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22274000 +0! +#22274500 +1! +#22274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22275000 +0! +#22275500 +1! +#22275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22276000 +0! +#22276500 +1! +#22276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22277000 +0! +#22277500 +1! +#22277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22278000 +0! +#22278500 +1! +#22278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22279000 +0! +#22279500 +1! +#22279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22280000 +0! +#22280500 +1! +#22280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22281000 +0! +#22281500 +1! +#22281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22282000 +0! +#22282500 +1! +#22282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22283000 +0! +#22283500 +1! +#22283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22284000 +0! +#22284500 +1! +#22284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22285000 +0! +#22285500 +1! +#22285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22286000 +0! +#22286500 +1! +#22286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22287000 +0! +#22287500 +1! +#22287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22288000 +0! +#22288500 +1! +#22288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22289000 +0! +#22289500 +1! +#22289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22290000 +0! +#22290500 +1! +#22290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22291000 +0! +#22291500 +1! +#22291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22292000 +0! +#22292500 +1! +#22292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22293000 +0! +#22293500 +1! +#22293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22294000 +0! +#22294500 +1! +#22294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22295000 +0! +#22295500 +1! +#22295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22296000 +0! +#22296500 +1! +#22296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22297000 +0! +#22297500 +1! +#22297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22298000 +0! +#22298500 +1! +#22298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22299000 +0! +#22299500 +1! +#22299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22300000 +0! +#22300500 +1! +#22300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22301000 +0! +#22301500 +1! +#22301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22302000 +0! +#22302500 +1! +#22302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22303000 +0! +#22303500 +1! +#22303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22304000 +0! +#22304500 +1! +#22304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22305000 +0! +#22305500 +1! +#22305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22306000 +0! +#22306500 +1! +#22306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22307000 +0! +#22307500 +1! +#22307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22308000 +0! +#22308500 +1! +#22308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22309000 +0! +#22309500 +1! +#22309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22310000 +0! +#22310500 +1! +#22310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22311000 +0! +#22311500 +1! +#22311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22312000 +0! +#22312500 +1! +#22312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22313000 +0! +#22313500 +1! +#22313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22314000 +0! +#22314500 +1! +#22314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22315000 +0! +#22315500 +1! +#22315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22316000 +0! +#22316500 +1! +#22316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22317000 +0! +#22317500 +1! +#22317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22318000 +0! +#22318500 +1! +#22318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22319000 +0! +#22319500 +1! +#22319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22320000 +0! +#22320500 +1! +#22320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22321000 +0! +#22321500 +1! +#22321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22322000 +0! +#22322500 +1! +#22322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22323000 +0! +#22323500 +1! +#22323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22324000 +0! +#22324500 +1! +#22324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22325000 +0! +#22325500 +1! +#22325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22326000 +0! +#22326500 +1! +#22326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22327000 +0! +#22327500 +1! +#22327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22328000 +0! +#22328500 +1! +#22328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22329000 +0! +#22329500 +1! +#22329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22330000 +0! +#22330500 +1! +#22330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22331000 +0! +#22331500 +1! +#22331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22332000 +0! +#22332500 +1! +#22332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22333000 +0! +#22333500 +1! +#22333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22334000 +0! +#22334500 +1! +#22334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22335000 +0! +#22335500 +1! +#22335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22336000 +0! +#22336500 +1! +#22336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22337000 +0! +#22337500 +1! +#22337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22338000 +0! +#22338500 +1! +#22338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22339000 +0! +#22339500 +1! +#22339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22340000 +0! +#22340500 +1! +#22340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22341000 +0! +#22341500 +1! +#22341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22342000 +0! +#22342500 +1! +#22342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22343000 +0! +#22343500 +1! +#22343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22344000 +0! +#22344500 +1! +#22344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22345000 +0! +#22345500 +1! +#22345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22346000 +0! +#22346500 +1! +#22346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22347000 +0! +#22347500 +1! +#22347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22348000 +0! +#22348500 +1! +#22348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22349000 +0! +#22349500 +1! +#22349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22350000 +0! +#22350500 +1! +#22350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22351000 +0! +#22351500 +1! +#22351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22352000 +0! +#22352500 +1! +#22352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22353000 +0! +#22353500 +1! +#22353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22354000 +0! +#22354500 +1! +#22354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22355000 +0! +#22355500 +1! +#22355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22356000 +0! +#22356500 +1! +#22356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22357000 +0! +#22357500 +1! +#22357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22358000 +0! +#22358500 +1! +#22358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22359000 +0! +#22359500 +1! +#22359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22360000 +0! +#22360500 +1! +#22360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22361000 +0! +#22361500 +1! +#22361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22362000 +0! +#22362500 +1! +#22362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22363000 +0! +#22363500 +1! +#22363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22364000 +0! +#22364500 +1! +#22364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22365000 +0! +#22365500 +1! +#22365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22366000 +0! +#22366500 +1! +#22366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22367000 +0! +#22367500 +1! +#22367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22368000 +0! +#22368500 +1! +#22368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22369000 +0! +#22369500 +1! +#22369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22370000 +0! +#22370500 +1! +#22370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22371000 +0! +#22371500 +1! +#22371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22372000 +0! +#22372500 +1! +#22372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22373000 +0! +#22373500 +1! +#22373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22374000 +0! +#22374500 +1! +#22374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22375000 +0! +#22375500 +1! +#22375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22376000 +0! +#22376500 +1! +#22376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22377000 +0! +#22377500 +1! +#22377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22378000 +0! +#22378500 +1! +#22378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22379000 +0! +#22379500 +1! +#22379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22380000 +0! +#22380500 +1! +#22380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22381000 +0! +#22381500 +1! +#22381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22382000 +0! +#22382500 +1! +#22382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22383000 +0! +#22383500 +1! +#22383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22384000 +0! +#22384500 +1! +#22384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22385000 +0! +#22385500 +1! +#22385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22386000 +0! +#22386500 +1! +#22386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22387000 +0! +#22387500 +1! +#22387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22388000 +0! +#22388500 +1! +#22388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22389000 +0! +#22389500 +1! +#22389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22390000 +0! +#22390500 +1! +#22390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22391000 +0! +#22391500 +1! +#22391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22392000 +0! +#22392500 +1! +#22392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22393000 +0! +#22393500 +1! +#22393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22394000 +0! +#22394500 +1! +#22394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22395000 +0! +#22395500 +1! +#22395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22396000 +0! +#22396500 +1! +#22396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22397000 +0! +#22397500 +1! +#22397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22398000 +0! +#22398500 +1! +#22398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22399000 +0! +#22399500 +1! +#22399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22400000 +0! +#22400500 +1! +#22400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22401000 +0! +#22401500 +1! +#22401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22402000 +0! +#22402500 +1! +#22402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22403000 +0! +#22403500 +1! +#22403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22404000 +0! +#22404500 +1! +#22404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22405000 +0! +#22405500 +1! +#22405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22406000 +0! +#22406500 +1! +#22406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22407000 +0! +#22407500 +1! +#22407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22408000 +0! +#22408500 +1! +#22408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22409000 +0! +#22409500 +1! +#22409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22410000 +0! +#22410500 +1! +#22410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22411000 +0! +#22411500 +1! +#22411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22412000 +0! +#22412500 +1! +#22412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22413000 +0! +#22413500 +1! +#22413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22414000 +0! +#22414500 +1! +#22414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22415000 +0! +#22415500 +1! +#22415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22416000 +0! +#22416500 +1! +#22416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22417000 +0! +#22417500 +1! +#22417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#22417700 +b110 g +b0 c +#22418000 +0! +#22418500 +1! +#22418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22419000 +0! +#22419500 +1! +#22419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22420000 +0! +#22420500 +1! +#22420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22421000 +0! +#22421500 +1! +#22421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22422000 +0! +#22422500 +1! +#22422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22423000 +0! +#22423500 +1! +#22423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22424000 +0! +#22424500 +1! +#22424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22425000 +0! +#22425500 +1! +#22425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22426000 +0! +#22426500 +1! +#22426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22427000 +0! +#22427500 +1! +#22427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22428000 +0! +#22428500 +1! +#22428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22429000 +0! +#22429500 +1! +#22429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22430000 +0! +#22430500 +1! +#22430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22431000 +0! +#22431500 +1! +#22431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22432000 +0! +#22432500 +1! +#22432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22433000 +0! +#22433500 +1! +#22433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22434000 +0! +#22434500 +1! +#22434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22435000 +0! +#22435500 +1! +#22435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22436000 +0! +#22436500 +1! +#22436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22437000 +0! +#22437500 +1! +#22437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22438000 +0! +#22438500 +1! +#22438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22439000 +0! +#22439500 +1! +#22439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22440000 +0! +#22440500 +1! +#22440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22441000 +0! +#22441500 +1! +#22441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22442000 +0! +#22442500 +1! +#22442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22443000 +0! +#22443500 +1! +#22443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22444000 +0! +#22444500 +1! +#22444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22445000 +0! +#22445500 +1! +#22445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22446000 +0! +#22446500 +1! +#22446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22447000 +0! +#22447500 +1! +#22447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22448000 +0! +#22448500 +1! +#22448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22449000 +0! +#22449500 +1! +#22449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22450000 +0! +#22450500 +1! +#22450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22451000 +0! +#22451500 +1! +#22451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22452000 +0! +#22452500 +1! +#22452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22453000 +0! +#22453500 +1! +#22453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22454000 +0! +#22454500 +1! +#22454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22455000 +0! +#22455500 +1! +#22455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22456000 +0! +#22456500 +1! +#22456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22457000 +0! +#22457500 +1! +#22457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22458000 +0! +#22458500 +1! +#22458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22459000 +0! +#22459500 +1! +#22459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22460000 +0! +#22460500 +1! +#22460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22461000 +0! +#22461500 +1! +#22461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22462000 +0! +#22462500 +1! +#22462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22463000 +0! +#22463500 +1! +#22463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22464000 +0! +#22464500 +1! +#22464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22465000 +0! +#22465500 +1! +#22465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22466000 +0! +#22466500 +1! +#22466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22467000 +0! +#22467500 +1! +#22467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22468000 +0! +#22468500 +1! +#22468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22469000 +0! +#22469500 +1! +#22469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22470000 +0! +#22470500 +1! +#22470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22471000 +0! +#22471500 +1! +#22471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22472000 +0! +#22472500 +1! +#22472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22473000 +0! +#22473500 +1! +#22473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22474000 +0! +#22474500 +1! +#22474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22475000 +0! +#22475500 +1! +#22475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22476000 +0! +#22476500 +1! +#22476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22477000 +0! +#22477500 +1! +#22477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22478000 +0! +#22478500 +1! +#22478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22479000 +0! +#22479500 +1! +#22479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22480000 +0! +#22480500 +1! +#22480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22481000 +0! +#22481500 +1! +#22481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22482000 +0! +#22482500 +1! +#22482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22483000 +0! +#22483500 +1! +#22483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22484000 +0! +#22484500 +1! +#22484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22485000 +0! +#22485500 +1! +#22485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22486000 +0! +#22486500 +1! +#22486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22487000 +0! +#22487500 +1! +#22487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22488000 +0! +#22488500 +1! +#22488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22489000 +0! +#22489500 +1! +#22489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22490000 +0! +#22490500 +1! +#22490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22491000 +0! +#22491500 +1! +#22491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22492000 +0! +#22492500 +1! +#22492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22493000 +0! +#22493500 +1! +#22493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22494000 +0! +#22494500 +1! +#22494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22495000 +0! +#22495500 +1! +#22495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22496000 +0! +#22496500 +1! +#22496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22497000 +0! +#22497500 +1! +#22497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22498000 +0! +#22498500 +1! +#22498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22499000 +0! +#22499500 +1! +#22499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22500000 +0! +#22500500 +1! +#22500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22501000 +0! +#22501500 +1! +#22501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22502000 +0! +#22502500 +1! +#22502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22503000 +0! +#22503500 +1! +#22503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22504000 +0! +#22504500 +1! +#22504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22505000 +0! +#22505500 +1! +#22505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22506000 +0! +#22506500 +1! +#22506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22507000 +0! +#22507500 +1! +#22507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22508000 +0! +#22508500 +1! +#22508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22509000 +0! +#22509500 +1! +#22509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22510000 +0! +#22510500 +1! +#22510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22511000 +0! +#22511500 +1! +#22511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22512000 +0! +#22512500 +1! +#22512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22513000 +0! +#22513500 +1! +#22513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22514000 +0! +#22514500 +1! +#22514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22515000 +0! +#22515500 +1! +#22515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22516000 +0! +#22516500 +1! +#22516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22517000 +0! +#22517500 +1! +#22517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22518000 +0! +#22518500 +1! +#22518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22519000 +0! +#22519500 +1! +#22519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#22520000 +0! +#22520500 +1! +#22520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22521000 +0! +#22521500 +1! +#22521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22522000 +0! +#22522500 +1! +#22522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22523000 +0! +#22523500 +1! +#22523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22524000 +0! +#22524500 +1! +#22524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22525000 +0! +#22525500 +1! +#22525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22526000 +0! +#22526500 +1! +#22526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22527000 +0! +#22527500 +1! +#22527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22528000 +0! +#22528500 +1! +#22528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22529000 +0! +#22529500 +1! +#22529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22530000 +0! +#22530500 +1! +#22530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22531000 +0! +#22531500 +1! +#22531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22532000 +0! +#22532500 +1! +#22532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22533000 +0! +#22533500 +1! +#22533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22534000 +0! +#22534500 +1! +#22534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22535000 +0! +#22535500 +1! +#22535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22536000 +0! +#22536500 +1! +#22536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22537000 +0! +#22537500 +1! +#22537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22538000 +0! +#22538500 +1! +#22538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22539000 +0! +#22539500 +1! +#22539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22540000 +0! +#22540500 +1! +#22540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22541000 +0! +#22541500 +1! +#22541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22542000 +0! +#22542500 +1! +#22542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22543000 +0! +#22543500 +1! +#22543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22544000 +0! +#22544500 +1! +#22544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22545000 +0! +#22545500 +1! +#22545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22546000 +0! +#22546500 +1! +#22546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22547000 +0! +#22547500 +1! +#22547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22548000 +0! +#22548500 +1! +#22548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22549000 +0! +#22549500 +1! +#22549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22550000 +0! +#22550500 +1! +#22550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22551000 +0! +#22551500 +1! +#22551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22552000 +0! +#22552500 +1! +#22552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22553000 +0! +#22553500 +1! +#22553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22554000 +0! +#22554500 +1! +#22554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22555000 +0! +#22555500 +1! +#22555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22556000 +0! +#22556500 +1! +#22556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22557000 +0! +#22557500 +1! +#22557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22558000 +0! +#22558500 +1! +#22558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22559000 +0! +#22559500 +1! +#22559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22560000 +0! +#22560500 +1! +#22560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22561000 +0! +#22561500 +1! +#22561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22562000 +0! +#22562500 +1! +#22562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22563000 +0! +#22563500 +1! +#22563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22564000 +0! +#22564500 +1! +#22564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22565000 +0! +#22565500 +1! +#22565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22566000 +0! +#22566500 +1! +#22566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22567000 +0! +#22567500 +1! +#22567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22568000 +0! +#22568500 +1! +#22568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22569000 +0! +#22569500 +1! +#22569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22570000 +0! +#22570500 +1! +#22570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22571000 +0! +#22571500 +1! +#22571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22572000 +0! +#22572500 +1! +#22572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22573000 +0! +#22573500 +1! +#22573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22574000 +0! +#22574500 +1! +#22574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22575000 +0! +#22575500 +1! +#22575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22576000 +0! +#22576500 +1! +#22576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22577000 +0! +#22577500 +1! +#22577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22578000 +0! +#22578500 +1! +#22578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22579000 +0! +#22579500 +1! +#22579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22580000 +0! +#22580500 +1! +#22580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22581000 +0! +#22581500 +1! +#22581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22582000 +0! +#22582500 +1! +#22582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22583000 +0! +#22583500 +1! +#22583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22584000 +0! +#22584500 +1! +#22584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22585000 +0! +#22585500 +1! +#22585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22586000 +0! +#22586500 +1! +#22586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22587000 +0! +#22587500 +1! +#22587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22588000 +0! +#22588500 +1! +#22588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22589000 +0! +#22589500 +1! +#22589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22590000 +0! +#22590500 +1! +#22590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22591000 +0! +#22591500 +1! +#22591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22592000 +0! +#22592500 +1! +#22592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22593000 +0! +#22593500 +1! +#22593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22594000 +0! +#22594500 +1! +#22594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22595000 +0! +#22595500 +1! +#22595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22596000 +0! +#22596500 +1! +#22596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22597000 +0! +#22597500 +1! +#22597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22598000 +0! +#22598500 +1! +#22598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22599000 +0! +#22599500 +1! +#22599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22600000 +0! +#22600500 +1! +#22600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22601000 +0! +#22601500 +1! +#22601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22602000 +0! +#22602500 +1! +#22602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22603000 +0! +#22603500 +1! +#22603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22604000 +0! +#22604500 +1! +#22604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22605000 +0! +#22605500 +1! +#22605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22606000 +0! +#22606500 +1! +#22606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22607000 +0! +#22607500 +1! +#22607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22608000 +0! +#22608500 +1! +#22608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22609000 +0! +#22609500 +1! +#22609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22610000 +0! +#22610500 +1! +#22610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22611000 +0! +#22611500 +1! +#22611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22612000 +0! +#22612500 +1! +#22612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22613000 +0! +#22613500 +1! +#22613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22614000 +0! +#22614500 +1! +#22614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22615000 +0! +#22615500 +1! +#22615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22616000 +0! +#22616500 +1! +#22616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22617000 +0! +#22617500 +1! +#22617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22618000 +0! +#22618500 +1! +#22618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22619000 +0! +#22619500 +1! +#22619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22620000 +0! +#22620500 +1! +#22620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22621000 +0! +#22621500 +1! +#22621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22622000 +0! +#22622500 +1! +#22622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22623000 +0! +#22623500 +1! +#22623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22624000 +0! +#22624500 +1! +#22624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22625000 +0! +#22625500 +1! +#22625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22626000 +0! +#22626500 +1! +#22626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22627000 +0! +#22627500 +1! +#22627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22628000 +0! +#22628500 +1! +#22628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22629000 +0! +#22629500 +1! +#22629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22630000 +0! +#22630500 +1! +#22630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22631000 +0! +#22631500 +1! +#22631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22632000 +0! +#22632500 +1! +#22632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22633000 +0! +#22633500 +1! +#22633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22634000 +0! +#22634500 +1! +#22634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22635000 +0! +#22635500 +1! +#22635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22636000 +0! +#22636500 +1! +#22636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22637000 +0! +#22637500 +1! +#22637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22638000 +0! +#22638500 +1! +#22638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22639000 +0! +#22639500 +1! +#22639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22640000 +0! +#22640500 +1! +#22640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22641000 +0! +#22641500 +1! +#22641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22642000 +0! +#22642500 +1! +#22642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22643000 +0! +#22643500 +1! +#22643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22644000 +0! +#22644500 +1! +#22644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22645000 +0! +#22645500 +1! +#22645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22646000 +0! +#22646500 +1! +#22646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22647000 +0! +#22647500 +1! +#22647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22648000 +0! +#22648500 +1! +#22648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22649000 +0! +#22649500 +1! +#22649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22650000 +0! +#22650500 +1! +#22650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22651000 +0! +#22651500 +1! +#22651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22652000 +0! +#22652500 +1! +#22652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22653000 +0! +#22653500 +1! +#22653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22654000 +0! +#22654500 +1! +#22654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22655000 +0! +#22655500 +1! +#22655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22656000 +0! +#22656500 +1! +#22656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22657000 +0! +#22657500 +1! +#22657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22658000 +0! +#22658500 +1! +#22658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22659000 +0! +#22659500 +1! +#22659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22660000 +0! +#22660500 +1! +#22660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22661000 +0! +#22661500 +1! +#22661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22662000 +0! +#22662500 +1! +#22662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22663000 +0! +#22663500 +1! +#22663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22664000 +0! +#22664500 +1! +#22664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22665000 +0! +#22665500 +1! +#22665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22666000 +0! +#22666500 +1! +#22666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22667000 +0! +#22667500 +1! +#22667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22668000 +0! +#22668500 +1! +#22668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22669000 +0! +#22669500 +1! +#22669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22670000 +0! +#22670500 +1! +#22670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22671000 +0! +#22671500 +1! +#22671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22672000 +0! +#22672500 +1! +#22672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#22672700 +b101 g +#22673000 +0! +#22673500 +1! +#22673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22674000 +0! +#22674500 +1! +#22674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22675000 +0! +#22675500 +1! +#22675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22676000 +0! +#22676500 +1! +#22676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22677000 +0! +#22677500 +1! +#22677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22678000 +0! +#22678500 +1! +#22678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22679000 +0! +#22679500 +1! +#22679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22680000 +0! +#22680500 +1! +#22680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22681000 +0! +#22681500 +1! +#22681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22682000 +0! +#22682500 +1! +#22682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22683000 +0! +#22683500 +1! +#22683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22684000 +0! +#22684500 +1! +#22684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22685000 +0! +#22685500 +1! +#22685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22686000 +0! +#22686500 +1! +#22686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22687000 +0! +#22687500 +1! +#22687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22688000 +0! +#22688500 +1! +#22688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22689000 +0! +#22689500 +1! +#22689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22690000 +0! +#22690500 +1! +#22690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22691000 +0! +#22691500 +1! +#22691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22692000 +0! +#22692500 +1! +#22692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22693000 +0! +#22693500 +1! +#22693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22694000 +0! +#22694500 +1! +#22694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22695000 +0! +#22695500 +1! +#22695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22696000 +0! +#22696500 +1! +#22696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22697000 +0! +#22697500 +1! +#22697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22698000 +0! +#22698500 +1! +#22698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22699000 +0! +#22699500 +1! +#22699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22700000 +0! +#22700500 +1! +#22700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22701000 +0! +#22701500 +1! +#22701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22702000 +0! +#22702500 +1! +#22702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22703000 +0! +#22703500 +1! +#22703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22704000 +0! +#22704500 +1! +#22704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22705000 +0! +#22705500 +1! +#22705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22706000 +0! +#22706500 +1! +#22706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22707000 +0! +#22707500 +1! +#22707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22708000 +0! +#22708500 +1! +#22708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22709000 +0! +#22709500 +1! +#22709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22710000 +0! +#22710500 +1! +#22710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22711000 +0! +#22711500 +1! +#22711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22712000 +0! +#22712500 +1! +#22712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22713000 +0! +#22713500 +1! +#22713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22714000 +0! +#22714500 +1! +#22714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22715000 +0! +#22715500 +1! +#22715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22716000 +0! +#22716500 +1! +#22716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22717000 +0! +#22717500 +1! +#22717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22718000 +0! +#22718500 +1! +#22718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22719000 +0! +#22719500 +1! +#22719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22720000 +0! +#22720500 +1! +#22720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22721000 +0! +#22721500 +1! +#22721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22722000 +0! +#22722500 +1! +#22722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22723000 +0! +#22723500 +1! +#22723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22724000 +0! +#22724500 +1! +#22724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22725000 +0! +#22725500 +1! +#22725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22726000 +0! +#22726500 +1! +#22726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22727000 +0! +#22727500 +1! +#22727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22728000 +0! +#22728500 +1! +#22728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22729000 +0! +#22729500 +1! +#22729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22730000 +0! +#22730500 +1! +#22730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22731000 +0! +#22731500 +1! +#22731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22732000 +0! +#22732500 +1! +#22732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22733000 +0! +#22733500 +1! +#22733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22734000 +0! +#22734500 +1! +#22734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22735000 +0! +#22735500 +1! +#22735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22736000 +0! +#22736500 +1! +#22736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22737000 +0! +#22737500 +1! +#22737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22738000 +0! +#22738500 +1! +#22738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22739000 +0! +#22739500 +1! +#22739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22740000 +0! +#22740500 +1! +#22740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22741000 +0! +#22741500 +1! +#22741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22742000 +0! +#22742500 +1! +#22742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22743000 +0! +#22743500 +1! +#22743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22744000 +0! +#22744500 +1! +#22744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22745000 +0! +#22745500 +1! +#22745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22746000 +0! +#22746500 +1! +#22746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22747000 +0! +#22747500 +1! +#22747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22748000 +0! +#22748500 +1! +#22748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22749000 +0! +#22749500 +1! +#22749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22750000 +0! +#22750500 +1! +#22750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22751000 +0! +#22751500 +1! +#22751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22752000 +0! +#22752500 +1! +#22752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22753000 +0! +#22753500 +1! +#22753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22754000 +0! +#22754500 +1! +#22754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22755000 +0! +#22755500 +1! +#22755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22756000 +0! +#22756500 +1! +#22756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22757000 +0! +#22757500 +1! +#22757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22758000 +0! +#22758500 +1! +#22758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22759000 +0! +#22759500 +1! +#22759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22760000 +0! +#22760500 +1! +#22760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22761000 +0! +#22761500 +1! +#22761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22762000 +0! +#22762500 +1! +#22762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22763000 +0! +#22763500 +1! +#22763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22764000 +0! +#22764500 +1! +#22764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22765000 +0! +#22765500 +1! +#22765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22766000 +0! +#22766500 +1! +#22766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22767000 +0! +#22767500 +1! +#22767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22768000 +0! +#22768500 +1! +#22768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22769000 +0! +#22769500 +1! +#22769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22770000 +0! +#22770500 +1! +#22770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22771000 +0! +#22771500 +1! +#22771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22772000 +0! +#22772500 +1! +#22772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22773000 +0! +#22773500 +1! +#22773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22774000 +0! +#22774500 +1! +#22774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#22775000 +0! +#22775500 +1! +#22775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22776000 +0! +#22776500 +1! +#22776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22777000 +0! +#22777500 +1! +#22777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22778000 +0! +#22778500 +1! +#22778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22779000 +0! +#22779500 +1! +#22779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22780000 +0! +#22780500 +1! +#22780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22781000 +0! +#22781500 +1! +#22781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22782000 +0! +#22782500 +1! +#22782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22783000 +0! +#22783500 +1! +#22783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22784000 +0! +#22784500 +1! +#22784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22785000 +0! +#22785500 +1! +#22785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22786000 +0! +#22786500 +1! +#22786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22787000 +0! +#22787500 +1! +#22787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22788000 +0! +#22788500 +1! +#22788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22789000 +0! +#22789500 +1! +#22789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22790000 +0! +#22790500 +1! +#22790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22791000 +0! +#22791500 +1! +#22791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22792000 +0! +#22792500 +1! +#22792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22793000 +0! +#22793500 +1! +#22793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22794000 +0! +#22794500 +1! +#22794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22795000 +0! +#22795500 +1! +#22795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22796000 +0! +#22796500 +1! +#22796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22797000 +0! +#22797500 +1! +#22797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22798000 +0! +#22798500 +1! +#22798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22799000 +0! +#22799500 +1! +#22799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22800000 +0! +#22800500 +1! +#22800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22801000 +0! +#22801500 +1! +#22801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22802000 +0! +#22802500 +1! +#22802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22803000 +0! +#22803500 +1! +#22803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22804000 +0! +#22804500 +1! +#22804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22805000 +0! +#22805500 +1! +#22805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22806000 +0! +#22806500 +1! +#22806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22807000 +0! +#22807500 +1! +#22807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22808000 +0! +#22808500 +1! +#22808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22809000 +0! +#22809500 +1! +#22809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22810000 +0! +#22810500 +1! +#22810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22811000 +0! +#22811500 +1! +#22811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22812000 +0! +#22812500 +1! +#22812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22813000 +0! +#22813500 +1! +#22813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22814000 +0! +#22814500 +1! +#22814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22815000 +0! +#22815500 +1! +#22815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22816000 +0! +#22816500 +1! +#22816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22817000 +0! +#22817500 +1! +#22817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22818000 +0! +#22818500 +1! +#22818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22819000 +0! +#22819500 +1! +#22819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22820000 +0! +#22820500 +1! +#22820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22821000 +0! +#22821500 +1! +#22821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22822000 +0! +#22822500 +1! +#22822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22823000 +0! +#22823500 +1! +#22823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22824000 +0! +#22824500 +1! +#22824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22825000 +0! +#22825500 +1! +#22825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22826000 +0! +#22826500 +1! +#22826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22827000 +0! +#22827500 +1! +#22827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22828000 +0! +#22828500 +1! +#22828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22829000 +0! +#22829500 +1! +#22829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22830000 +0! +#22830500 +1! +#22830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22831000 +0! +#22831500 +1! +#22831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22832000 +0! +#22832500 +1! +#22832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22833000 +0! +#22833500 +1! +#22833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22834000 +0! +#22834500 +1! +#22834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22835000 +0! +#22835500 +1! +#22835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22836000 +0! +#22836500 +1! +#22836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22837000 +0! +#22837500 +1! +#22837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22838000 +0! +#22838500 +1! +#22838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22839000 +0! +#22839500 +1! +#22839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22840000 +0! +#22840500 +1! +#22840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22841000 +0! +#22841500 +1! +#22841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22842000 +0! +#22842500 +1! +#22842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22843000 +0! +#22843500 +1! +#22843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22844000 +0! +#22844500 +1! +#22844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22845000 +0! +#22845500 +1! +#22845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22846000 +0! +#22846500 +1! +#22846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22847000 +0! +#22847500 +1! +#22847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22848000 +0! +#22848500 +1! +#22848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22849000 +0! +#22849500 +1! +#22849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22850000 +0! +#22850500 +1! +#22850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22851000 +0! +#22851500 +1! +#22851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22852000 +0! +#22852500 +1! +#22852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22853000 +0! +#22853500 +1! +#22853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22854000 +0! +#22854500 +1! +#22854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22855000 +0! +#22855500 +1! +#22855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22856000 +0! +#22856500 +1! +#22856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22857000 +0! +#22857500 +1! +#22857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22858000 +0! +#22858500 +1! +#22858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22859000 +0! +#22859500 +1! +#22859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22860000 +0! +#22860500 +1! +#22860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22861000 +0! +#22861500 +1! +#22861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22862000 +0! +#22862500 +1! +#22862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22863000 +0! +#22863500 +1! +#22863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22864000 +0! +#22864500 +1! +#22864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22865000 +0! +#22865500 +1! +#22865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22866000 +0! +#22866500 +1! +#22866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22867000 +0! +#22867500 +1! +#22867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22868000 +0! +#22868500 +1! +#22868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22869000 +0! +#22869500 +1! +#22869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22870000 +0! +#22870500 +1! +#22870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22871000 +0! +#22871500 +1! +#22871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22872000 +0! +#22872500 +1! +#22872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22873000 +0! +#22873500 +1! +#22873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22874000 +0! +#22874500 +1! +#22874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22875000 +0! +#22875500 +1! +#22875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22876000 +0! +#22876500 +1! +#22876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22877000 +0! +#22877500 +1! +#22877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22878000 +0! +#22878500 +1! +#22878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22879000 +0! +#22879500 +1! +#22879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22880000 +0! +#22880500 +1! +#22880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22881000 +0! +#22881500 +1! +#22881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22882000 +0! +#22882500 +1! +#22882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22883000 +0! +#22883500 +1! +#22883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22884000 +0! +#22884500 +1! +#22884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22885000 +0! +#22885500 +1! +#22885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22886000 +0! +#22886500 +1! +#22886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22887000 +0! +#22887500 +1! +#22887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22888000 +0! +#22888500 +1! +#22888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22889000 +0! +#22889500 +1! +#22889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22890000 +0! +#22890500 +1! +#22890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22891000 +0! +#22891500 +1! +#22891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22892000 +0! +#22892500 +1! +#22892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22893000 +0! +#22893500 +1! +#22893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22894000 +0! +#22894500 +1! +#22894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22895000 +0! +#22895500 +1! +#22895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22896000 +0! +#22896500 +1! +#22896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22897000 +0! +#22897500 +1! +#22897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22898000 +0! +#22898500 +1! +#22898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22899000 +0! +#22899500 +1! +#22899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22900000 +0! +#22900500 +1! +#22900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22901000 +0! +#22901500 +1! +#22901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22902000 +0! +#22902500 +1! +#22902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22903000 +0! +#22903500 +1! +#22903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22904000 +0! +#22904500 +1! +#22904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22905000 +0! +#22905500 +1! +#22905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22906000 +0! +#22906500 +1! +#22906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22907000 +0! +#22907500 +1! +#22907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22908000 +0! +#22908500 +1! +#22908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22909000 +0! +#22909500 +1! +#22909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22910000 +0! +#22910500 +1! +#22910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22911000 +0! +#22911500 +1! +#22911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22912000 +0! +#22912500 +1! +#22912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22913000 +0! +#22913500 +1! +#22913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22914000 +0! +#22914500 +1! +#22914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22915000 +0! +#22915500 +1! +#22915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22916000 +0! +#22916500 +1! +#22916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22917000 +0! +#22917500 +1! +#22917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22918000 +0! +#22918500 +1! +#22918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22919000 +0! +#22919500 +1! +#22919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22920000 +0! +#22920500 +1! +#22920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22921000 +0! +#22921500 +1! +#22921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22922000 +0! +#22922500 +1! +#22922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22923000 +0! +#22923500 +1! +#22923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22924000 +0! +#22924500 +1! +#22924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22925000 +0! +#22925500 +1! +#22925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22926000 +0! +#22926500 +1! +#22926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22927000 +0! +#22927500 +1! +#22927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#22927700 +b100 g +#22928000 +0! +#22928500 +1! +#22928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22929000 +0! +#22929500 +1! +#22929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22930000 +0! +#22930500 +1! +#22930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22931000 +0! +#22931500 +1! +#22931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22932000 +0! +#22932500 +1! +#22932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22933000 +0! +#22933500 +1! +#22933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22934000 +0! +#22934500 +1! +#22934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22935000 +0! +#22935500 +1! +#22935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22936000 +0! +#22936500 +1! +#22936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22937000 +0! +#22937500 +1! +#22937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22938000 +0! +#22938500 +1! +#22938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22939000 +0! +#22939500 +1! +#22939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22940000 +0! +#22940500 +1! +#22940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22941000 +0! +#22941500 +1! +#22941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22942000 +0! +#22942500 +1! +#22942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22943000 +0! +#22943500 +1! +#22943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22944000 +0! +#22944500 +1! +#22944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22945000 +0! +#22945500 +1! +#22945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22946000 +0! +#22946500 +1! +#22946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22947000 +0! +#22947500 +1! +#22947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22948000 +0! +#22948500 +1! +#22948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22949000 +0! +#22949500 +1! +#22949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22950000 +0! +#22950500 +1! +#22950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22951000 +0! +#22951500 +1! +#22951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22952000 +0! +#22952500 +1! +#22952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22953000 +0! +#22953500 +1! +#22953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22954000 +0! +#22954500 +1! +#22954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22955000 +0! +#22955500 +1! +#22955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22956000 +0! +#22956500 +1! +#22956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22957000 +0! +#22957500 +1! +#22957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22958000 +0! +#22958500 +1! +#22958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22959000 +0! +#22959500 +1! +#22959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22960000 +0! +#22960500 +1! +#22960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22961000 +0! +#22961500 +1! +#22961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22962000 +0! +#22962500 +1! +#22962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22963000 +0! +#22963500 +1! +#22963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22964000 +0! +#22964500 +1! +#22964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22965000 +0! +#22965500 +1! +#22965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22966000 +0! +#22966500 +1! +#22966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22967000 +0! +#22967500 +1! +#22967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22968000 +0! +#22968500 +1! +#22968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22969000 +0! +#22969500 +1! +#22969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22970000 +0! +#22970500 +1! +#22970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22971000 +0! +#22971500 +1! +#22971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22972000 +0! +#22972500 +1! +#22972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22973000 +0! +#22973500 +1! +#22973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22974000 +0! +#22974500 +1! +#22974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22975000 +0! +#22975500 +1! +#22975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22976000 +0! +#22976500 +1! +#22976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22977000 +0! +#22977500 +1! +#22977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22978000 +0! +#22978500 +1! +#22978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22979000 +0! +#22979500 +1! +#22979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22980000 +0! +#22980500 +1! +#22980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22981000 +0! +#22981500 +1! +#22981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22982000 +0! +#22982500 +1! +#22982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22983000 +0! +#22983500 +1! +#22983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22984000 +0! +#22984500 +1! +#22984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22985000 +0! +#22985500 +1! +#22985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22986000 +0! +#22986500 +1! +#22986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22987000 +0! +#22987500 +1! +#22987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22988000 +0! +#22988500 +1! +#22988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22989000 +0! +#22989500 +1! +#22989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22990000 +0! +#22990500 +1! +#22990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22991000 +0! +#22991500 +1! +#22991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22992000 +0! +#22992500 +1! +#22992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22993000 +0! +#22993500 +1! +#22993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22994000 +0! +#22994500 +1! +#22994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22995000 +0! +#22995500 +1! +#22995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22996000 +0! +#22996500 +1! +#22996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22997000 +0! +#22997500 +1! +#22997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#22998000 +0! +#22998500 +1! +#22998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#22999000 +0! +#22999500 +1! +#22999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23000000 +0! +#23000500 +1! +#23000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23001000 +0! +#23001500 +1! +#23001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23002000 +0! +#23002500 +1! +#23002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23003000 +0! +#23003500 +1! +#23003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23004000 +0! +#23004500 +1! +#23004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23005000 +0! +#23005500 +1! +#23005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23006000 +0! +#23006500 +1! +#23006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23007000 +0! +#23007500 +1! +#23007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23008000 +0! +#23008500 +1! +#23008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23009000 +0! +#23009500 +1! +#23009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23010000 +0! +#23010500 +1! +#23010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23011000 +0! +#23011500 +1! +#23011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23012000 +0! +#23012500 +1! +#23012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23013000 +0! +#23013500 +1! +#23013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23014000 +0! +#23014500 +1! +#23014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23015000 +0! +#23015500 +1! +#23015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23016000 +0! +#23016500 +1! +#23016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23017000 +0! +#23017500 +1! +#23017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23018000 +0! +#23018500 +1! +#23018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23019000 +0! +#23019500 +1! +#23019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23020000 +0! +#23020500 +1! +#23020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23021000 +0! +#23021500 +1! +#23021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23022000 +0! +#23022500 +1! +#23022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23023000 +0! +#23023500 +1! +#23023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23024000 +0! +#23024500 +1! +#23024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23025000 +0! +#23025500 +1! +#23025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23026000 +0! +#23026500 +1! +#23026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23027000 +0! +#23027500 +1! +#23027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23028000 +0! +#23028500 +1! +#23028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23029000 +0! +#23029500 +1! +#23029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#23030000 +0! +#23030500 +1! +#23030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23031000 +0! +#23031500 +1! +#23031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23032000 +0! +#23032500 +1! +#23032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23033000 +0! +#23033500 +1! +#23033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23034000 +0! +#23034500 +1! +#23034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23035000 +0! +#23035500 +1! +#23035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23036000 +0! +#23036500 +1! +#23036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23037000 +0! +#23037500 +1! +#23037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23038000 +0! +#23038500 +1! +#23038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23039000 +0! +#23039500 +1! +#23039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23040000 +0! +#23040500 +1! +#23040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23041000 +0! +#23041500 +1! +#23041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23042000 +0! +#23042500 +1! +#23042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23043000 +0! +#23043500 +1! +#23043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23044000 +0! +#23044500 +1! +#23044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23045000 +0! +#23045500 +1! +#23045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23046000 +0! +#23046500 +1! +#23046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23047000 +0! +#23047500 +1! +#23047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23048000 +0! +#23048500 +1! +#23048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23049000 +0! +#23049500 +1! +#23049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23050000 +0! +#23050500 +1! +#23050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23051000 +0! +#23051500 +1! +#23051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23052000 +0! +#23052500 +1! +#23052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23053000 +0! +#23053500 +1! +#23053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23054000 +0! +#23054500 +1! +#23054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23055000 +0! +#23055500 +1! +#23055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23056000 +0! +#23056500 +1! +#23056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23057000 +0! +#23057500 +1! +#23057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23058000 +0! +#23058500 +1! +#23058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23059000 +0! +#23059500 +1! +#23059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23060000 +0! +#23060500 +1! +#23060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23061000 +0! +#23061500 +1! +#23061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23062000 +0! +#23062500 +1! +#23062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23063000 +0! +#23063500 +1! +#23063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23064000 +0! +#23064500 +1! +#23064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23065000 +0! +#23065500 +1! +#23065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23066000 +0! +#23066500 +1! +#23066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23067000 +0! +#23067500 +1! +#23067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23068000 +0! +#23068500 +1! +#23068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23069000 +0! +#23069500 +1! +#23069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23070000 +0! +#23070500 +1! +#23070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23071000 +0! +#23071500 +1! +#23071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23072000 +0! +#23072500 +1! +#23072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23073000 +0! +#23073500 +1! +#23073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23074000 +0! +#23074500 +1! +#23074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23075000 +0! +#23075500 +1! +#23075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23076000 +0! +#23076500 +1! +#23076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23077000 +0! +#23077500 +1! +#23077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23078000 +0! +#23078500 +1! +#23078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23079000 +0! +#23079500 +1! +#23079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23080000 +0! +#23080500 +1! +#23080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23081000 +0! +#23081500 +1! +#23081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23082000 +0! +#23082500 +1! +#23082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23083000 +0! +#23083500 +1! +#23083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23084000 +0! +#23084500 +1! +#23084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23085000 +0! +#23085500 +1! +#23085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23086000 +0! +#23086500 +1! +#23086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23087000 +0! +#23087500 +1! +#23087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23088000 +0! +#23088500 +1! +#23088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23089000 +0! +#23089500 +1! +#23089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23090000 +0! +#23090500 +1! +#23090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23091000 +0! +#23091500 +1! +#23091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23092000 +0! +#23092500 +1! +#23092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23093000 +0! +#23093500 +1! +#23093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23094000 +0! +#23094500 +1! +#23094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23095000 +0! +#23095500 +1! +#23095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23096000 +0! +#23096500 +1! +#23096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23097000 +0! +#23097500 +1! +#23097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23098000 +0! +#23098500 +1! +#23098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23099000 +0! +#23099500 +1! +#23099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23100000 +0! +#23100500 +1! +#23100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23101000 +0! +#23101500 +1! +#23101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23102000 +0! +#23102500 +1! +#23102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23103000 +0! +#23103500 +1! +#23103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23104000 +0! +#23104500 +1! +#23104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23105000 +0! +#23105500 +1! +#23105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23106000 +0! +#23106500 +1! +#23106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23107000 +0! +#23107500 +1! +#23107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23108000 +0! +#23108500 +1! +#23108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23109000 +0! +#23109500 +1! +#23109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23110000 +0! +#23110500 +1! +#23110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23111000 +0! +#23111500 +1! +#23111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23112000 +0! +#23112500 +1! +#23112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23113000 +0! +#23113500 +1! +#23113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23114000 +0! +#23114500 +1! +#23114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23115000 +0! +#23115500 +1! +#23115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23116000 +0! +#23116500 +1! +#23116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23117000 +0! +#23117500 +1! +#23117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23118000 +0! +#23118500 +1! +#23118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23119000 +0! +#23119500 +1! +#23119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23120000 +0! +#23120500 +1! +#23120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23121000 +0! +#23121500 +1! +#23121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23122000 +0! +#23122500 +1! +#23122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23123000 +0! +#23123500 +1! +#23123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23124000 +0! +#23124500 +1! +#23124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23125000 +0! +#23125500 +1! +#23125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23126000 +0! +#23126500 +1! +#23126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23127000 +0! +#23127500 +1! +#23127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23128000 +0! +#23128500 +1! +#23128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23129000 +0! +#23129500 +1! +#23129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23130000 +0! +#23130500 +1! +#23130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23131000 +0! +#23131500 +1! +#23131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23132000 +0! +#23132500 +1! +#23132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23133000 +0! +#23133500 +1! +#23133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23134000 +0! +#23134500 +1! +#23134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23135000 +0! +#23135500 +1! +#23135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23136000 +0! +#23136500 +1! +#23136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23137000 +0! +#23137500 +1! +#23137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23138000 +0! +#23138500 +1! +#23138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23139000 +0! +#23139500 +1! +#23139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23140000 +0! +#23140500 +1! +#23140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23141000 +0! +#23141500 +1! +#23141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23142000 +0! +#23142500 +1! +#23142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23143000 +0! +#23143500 +1! +#23143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23144000 +0! +#23144500 +1! +#23144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23145000 +0! +#23145500 +1! +#23145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23146000 +0! +#23146500 +1! +#23146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23147000 +0! +#23147500 +1! +#23147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23148000 +0! +#23148500 +1! +#23148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23149000 +0! +#23149500 +1! +#23149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23150000 +0! +#23150500 +1! +#23150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23151000 +0! +#23151500 +1! +#23151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23152000 +0! +#23152500 +1! +#23152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23153000 +0! +#23153500 +1! +#23153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23154000 +0! +#23154500 +1! +#23154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23155000 +0! +#23155500 +1! +#23155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23156000 +0! +#23156500 +1! +#23156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23157000 +0! +#23157500 +1! +#23157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23158000 +0! +#23158500 +1! +#23158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23159000 +0! +#23159500 +1! +#23159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23160000 +0! +#23160500 +1! +#23160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23161000 +0! +#23161500 +1! +#23161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23162000 +0! +#23162500 +1! +#23162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23163000 +0! +#23163500 +1! +#23163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23164000 +0! +#23164500 +1! +#23164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23165000 +0! +#23165500 +1! +#23165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23166000 +0! +#23166500 +1! +#23166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23167000 +0! +#23167500 +1! +#23167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23168000 +0! +#23168500 +1! +#23168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23169000 +0! +#23169500 +1! +#23169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23170000 +0! +#23170500 +1! +#23170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23171000 +0! +#23171500 +1! +#23171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23172000 +0! +#23172500 +1! +#23172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23173000 +0! +#23173500 +1! +#23173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23174000 +0! +#23174500 +1! +#23174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23175000 +0! +#23175500 +1! +#23175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23176000 +0! +#23176500 +1! +#23176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23177000 +0! +#23177500 +1! +#23177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23178000 +0! +#23178500 +1! +#23178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23179000 +0! +#23179500 +1! +#23179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23180000 +0! +#23180500 +1! +#23180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23181000 +0! +#23181500 +1! +#23181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23182000 +0! +#23182500 +1! +#23182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#23182700 +b11 g +#23183000 +0! +#23183500 +1! +#23183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23184000 +0! +#23184500 +1! +#23184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23185000 +0! +#23185500 +1! +#23185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23186000 +0! +#23186500 +1! +#23186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23187000 +0! +#23187500 +1! +#23187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23188000 +0! +#23188500 +1! +#23188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23189000 +0! +#23189500 +1! +#23189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23190000 +0! +#23190500 +1! +#23190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23191000 +0! +#23191500 +1! +#23191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23192000 +0! +#23192500 +1! +#23192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23193000 +0! +#23193500 +1! +#23193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23194000 +0! +#23194500 +1! +#23194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23195000 +0! +#23195500 +1! +#23195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23196000 +0! +#23196500 +1! +#23196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23197000 +0! +#23197500 +1! +#23197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23198000 +0! +#23198500 +1! +#23198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23199000 +0! +#23199500 +1! +#23199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23200000 +0! +#23200500 +1! +#23200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23201000 +0! +#23201500 +1! +#23201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23202000 +0! +#23202500 +1! +#23202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23203000 +0! +#23203500 +1! +#23203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23204000 +0! +#23204500 +1! +#23204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23205000 +0! +#23205500 +1! +#23205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23206000 +0! +#23206500 +1! +#23206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23207000 +0! +#23207500 +1! +#23207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23208000 +0! +#23208500 +1! +#23208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23209000 +0! +#23209500 +1! +#23209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23210000 +0! +#23210500 +1! +#23210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23211000 +0! +#23211500 +1! +#23211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23212000 +0! +#23212500 +1! +#23212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23213000 +0! +#23213500 +1! +#23213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23214000 +0! +#23214500 +1! +#23214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23215000 +0! +#23215500 +1! +#23215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23216000 +0! +#23216500 +1! +#23216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23217000 +0! +#23217500 +1! +#23217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23218000 +0! +#23218500 +1! +#23218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23219000 +0! +#23219500 +1! +#23219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23220000 +0! +#23220500 +1! +#23220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23221000 +0! +#23221500 +1! +#23221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23222000 +0! +#23222500 +1! +#23222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23223000 +0! +#23223500 +1! +#23223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23224000 +0! +#23224500 +1! +#23224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23225000 +0! +#23225500 +1! +#23225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23226000 +0! +#23226500 +1! +#23226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23227000 +0! +#23227500 +1! +#23227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23228000 +0! +#23228500 +1! +#23228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23229000 +0! +#23229500 +1! +#23229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23230000 +0! +#23230500 +1! +#23230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23231000 +0! +#23231500 +1! +#23231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23232000 +0! +#23232500 +1! +#23232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23233000 +0! +#23233500 +1! +#23233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23234000 +0! +#23234500 +1! +#23234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23235000 +0! +#23235500 +1! +#23235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23236000 +0! +#23236500 +1! +#23236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23237000 +0! +#23237500 +1! +#23237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23238000 +0! +#23238500 +1! +#23238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23239000 +0! +#23239500 +1! +#23239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23240000 +0! +#23240500 +1! +#23240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23241000 +0! +#23241500 +1! +#23241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23242000 +0! +#23242500 +1! +#23242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23243000 +0! +#23243500 +1! +#23243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23244000 +0! +#23244500 +1! +#23244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23245000 +0! +#23245500 +1! +#23245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23246000 +0! +#23246500 +1! +#23246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23247000 +0! +#23247500 +1! +#23247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23248000 +0! +#23248500 +1! +#23248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23249000 +0! +#23249500 +1! +#23249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23250000 +0! +#23250500 +1! +#23250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23251000 +0! +#23251500 +1! +#23251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23252000 +0! +#23252500 +1! +#23252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23253000 +0! +#23253500 +1! +#23253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23254000 +0! +#23254500 +1! +#23254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23255000 +0! +#23255500 +1! +#23255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23256000 +0! +#23256500 +1! +#23256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23257000 +0! +#23257500 +1! +#23257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23258000 +0! +#23258500 +1! +#23258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23259000 +0! +#23259500 +1! +#23259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23260000 +0! +#23260500 +1! +#23260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23261000 +0! +#23261500 +1! +#23261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23262000 +0! +#23262500 +1! +#23262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23263000 +0! +#23263500 +1! +#23263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23264000 +0! +#23264500 +1! +#23264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23265000 +0! +#23265500 +1! +#23265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23266000 +0! +#23266500 +1! +#23266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23267000 +0! +#23267500 +1! +#23267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23268000 +0! +#23268500 +1! +#23268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23269000 +0! +#23269500 +1! +#23269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23270000 +0! +#23270500 +1! +#23270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23271000 +0! +#23271500 +1! +#23271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23272000 +0! +#23272500 +1! +#23272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23273000 +0! +#23273500 +1! +#23273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23274000 +0! +#23274500 +1! +#23274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23275000 +0! +#23275500 +1! +#23275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23276000 +0! +#23276500 +1! +#23276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23277000 +0! +#23277500 +1! +#23277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23278000 +0! +#23278500 +1! +#23278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23279000 +0! +#23279500 +1! +#23279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23280000 +0! +#23280500 +1! +#23280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23281000 +0! +#23281500 +1! +#23281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23282000 +0! +#23282500 +1! +#23282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23283000 +0! +#23283500 +1! +#23283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23284000 +0! +#23284500 +1! +#23284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#23285000 +0! +#23285500 +1! +#23285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23286000 +0! +#23286500 +1! +#23286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23287000 +0! +#23287500 +1! +#23287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23288000 +0! +#23288500 +1! +#23288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23289000 +0! +#23289500 +1! +#23289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23290000 +0! +#23290500 +1! +#23290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23291000 +0! +#23291500 +1! +#23291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23292000 +0! +#23292500 +1! +#23292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23293000 +0! +#23293500 +1! +#23293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23294000 +0! +#23294500 +1! +#23294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23295000 +0! +#23295500 +1! +#23295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23296000 +0! +#23296500 +1! +#23296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23297000 +0! +#23297500 +1! +#23297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23298000 +0! +#23298500 +1! +#23298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23299000 +0! +#23299500 +1! +#23299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23300000 +0! +#23300500 +1! +#23300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23301000 +0! +#23301500 +1! +#23301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23302000 +0! +#23302500 +1! +#23302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23303000 +0! +#23303500 +1! +#23303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23304000 +0! +#23304500 +1! +#23304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23305000 +0! +#23305500 +1! +#23305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23306000 +0! +#23306500 +1! +#23306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23307000 +0! +#23307500 +1! +#23307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23308000 +0! +#23308500 +1! +#23308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23309000 +0! +#23309500 +1! +#23309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23310000 +0! +#23310500 +1! +#23310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23311000 +0! +#23311500 +1! +#23311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23312000 +0! +#23312500 +1! +#23312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23313000 +0! +#23313500 +1! +#23313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23314000 +0! +#23314500 +1! +#23314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23315000 +0! +#23315500 +1! +#23315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23316000 +0! +#23316500 +1! +#23316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23317000 +0! +#23317500 +1! +#23317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23318000 +0! +#23318500 +1! +#23318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23319000 +0! +#23319500 +1! +#23319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23320000 +0! +#23320500 +1! +#23320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23321000 +0! +#23321500 +1! +#23321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23322000 +0! +#23322500 +1! +#23322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23323000 +0! +#23323500 +1! +#23323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23324000 +0! +#23324500 +1! +#23324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23325000 +0! +#23325500 +1! +#23325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23326000 +0! +#23326500 +1! +#23326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23327000 +0! +#23327500 +1! +#23327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23328000 +0! +#23328500 +1! +#23328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23329000 +0! +#23329500 +1! +#23329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23330000 +0! +#23330500 +1! +#23330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23331000 +0! +#23331500 +1! +#23331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23332000 +0! +#23332500 +1! +#23332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23333000 +0! +#23333500 +1! +#23333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23334000 +0! +#23334500 +1! +#23334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23335000 +0! +#23335500 +1! +#23335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23336000 +0! +#23336500 +1! +#23336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23337000 +0! +#23337500 +1! +#23337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23338000 +0! +#23338500 +1! +#23338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23339000 +0! +#23339500 +1! +#23339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23340000 +0! +#23340500 +1! +#23340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23341000 +0! +#23341500 +1! +#23341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23342000 +0! +#23342500 +1! +#23342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23343000 +0! +#23343500 +1! +#23343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23344000 +0! +#23344500 +1! +#23344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23345000 +0! +#23345500 +1! +#23345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23346000 +0! +#23346500 +1! +#23346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23347000 +0! +#23347500 +1! +#23347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23348000 +0! +#23348500 +1! +#23348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23349000 +0! +#23349500 +1! +#23349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23350000 +0! +#23350500 +1! +#23350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23351000 +0! +#23351500 +1! +#23351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23352000 +0! +#23352500 +1! +#23352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23353000 +0! +#23353500 +1! +#23353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23354000 +0! +#23354500 +1! +#23354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23355000 +0! +#23355500 +1! +#23355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23356000 +0! +#23356500 +1! +#23356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23357000 +0! +#23357500 +1! +#23357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23358000 +0! +#23358500 +1! +#23358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23359000 +0! +#23359500 +1! +#23359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23360000 +0! +#23360500 +1! +#23360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23361000 +0! +#23361500 +1! +#23361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23362000 +0! +#23362500 +1! +#23362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23363000 +0! +#23363500 +1! +#23363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23364000 +0! +#23364500 +1! +#23364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23365000 +0! +#23365500 +1! +#23365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23366000 +0! +#23366500 +1! +#23366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23367000 +0! +#23367500 +1! +#23367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23368000 +0! +#23368500 +1! +#23368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23369000 +0! +#23369500 +1! +#23369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23370000 +0! +#23370500 +1! +#23370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23371000 +0! +#23371500 +1! +#23371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23372000 +0! +#23372500 +1! +#23372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23373000 +0! +#23373500 +1! +#23373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23374000 +0! +#23374500 +1! +#23374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23375000 +0! +#23375500 +1! +#23375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23376000 +0! +#23376500 +1! +#23376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23377000 +0! +#23377500 +1! +#23377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23378000 +0! +#23378500 +1! +#23378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23379000 +0! +#23379500 +1! +#23379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23380000 +0! +#23380500 +1! +#23380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23381000 +0! +#23381500 +1! +#23381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23382000 +0! +#23382500 +1! +#23382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23383000 +0! +#23383500 +1! +#23383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23384000 +0! +#23384500 +1! +#23384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23385000 +0! +#23385500 +1! +#23385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23386000 +0! +#23386500 +1! +#23386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23387000 +0! +#23387500 +1! +#23387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23388000 +0! +#23388500 +1! +#23388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23389000 +0! +#23389500 +1! +#23389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23390000 +0! +#23390500 +1! +#23390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23391000 +0! +#23391500 +1! +#23391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23392000 +0! +#23392500 +1! +#23392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23393000 +0! +#23393500 +1! +#23393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23394000 +0! +#23394500 +1! +#23394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23395000 +0! +#23395500 +1! +#23395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23396000 +0! +#23396500 +1! +#23396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23397000 +0! +#23397500 +1! +#23397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23398000 +0! +#23398500 +1! +#23398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23399000 +0! +#23399500 +1! +#23399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23400000 +0! +#23400500 +1! +#23400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23401000 +0! +#23401500 +1! +#23401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23402000 +0! +#23402500 +1! +#23402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23403000 +0! +#23403500 +1! +#23403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23404000 +0! +#23404500 +1! +#23404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23405000 +0! +#23405500 +1! +#23405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23406000 +0! +#23406500 +1! +#23406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23407000 +0! +#23407500 +1! +#23407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23408000 +0! +#23408500 +1! +#23408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23409000 +0! +#23409500 +1! +#23409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23410000 +0! +#23410500 +1! +#23410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23411000 +0! +#23411500 +1! +#23411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23412000 +0! +#23412500 +1! +#23412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23413000 +0! +#23413500 +1! +#23413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23414000 +0! +#23414500 +1! +#23414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23415000 +0! +#23415500 +1! +#23415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23416000 +0! +#23416500 +1! +#23416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23417000 +0! +#23417500 +1! +#23417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23418000 +0! +#23418500 +1! +#23418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23419000 +0! +#23419500 +1! +#23419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23420000 +0! +#23420500 +1! +#23420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23421000 +0! +#23421500 +1! +#23421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23422000 +0! +#23422500 +1! +#23422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23423000 +0! +#23423500 +1! +#23423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23424000 +0! +#23424500 +1! +#23424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23425000 +0! +#23425500 +1! +#23425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23426000 +0! +#23426500 +1! +#23426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23427000 +0! +#23427500 +1! +#23427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23428000 +0! +#23428500 +1! +#23428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23429000 +0! +#23429500 +1! +#23429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23430000 +0! +#23430500 +1! +#23430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23431000 +0! +#23431500 +1! +#23431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23432000 +0! +#23432500 +1! +#23432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23433000 +0! +#23433500 +1! +#23433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23434000 +0! +#23434500 +1! +#23434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23435000 +0! +#23435500 +1! +#23435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23436000 +0! +#23436500 +1! +#23436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23437000 +0! +#23437500 +1! +#23437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#23437700 +b10 g +#23438000 +0! +#23438500 +1! +#23438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23439000 +0! +#23439500 +1! +#23439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23440000 +0! +#23440500 +1! +#23440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23441000 +0! +#23441500 +1! +#23441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23442000 +0! +#23442500 +1! +#23442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23443000 +0! +#23443500 +1! +#23443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23444000 +0! +#23444500 +1! +#23444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23445000 +0! +#23445500 +1! +#23445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23446000 +0! +#23446500 +1! +#23446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23447000 +0! +#23447500 +1! +#23447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23448000 +0! +#23448500 +1! +#23448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23449000 +0! +#23449500 +1! +#23449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23450000 +0! +#23450500 +1! +#23450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23451000 +0! +#23451500 +1! +#23451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23452000 +0! +#23452500 +1! +#23452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23453000 +0! +#23453500 +1! +#23453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23454000 +0! +#23454500 +1! +#23454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23455000 +0! +#23455500 +1! +#23455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23456000 +0! +#23456500 +1! +#23456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23457000 +0! +#23457500 +1! +#23457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23458000 +0! +#23458500 +1! +#23458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23459000 +0! +#23459500 +1! +#23459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23460000 +0! +#23460500 +1! +#23460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23461000 +0! +#23461500 +1! +#23461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23462000 +0! +#23462500 +1! +#23462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23463000 +0! +#23463500 +1! +#23463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23464000 +0! +#23464500 +1! +#23464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23465000 +0! +#23465500 +1! +#23465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23466000 +0! +#23466500 +1! +#23466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23467000 +0! +#23467500 +1! +#23467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23468000 +0! +#23468500 +1! +#23468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23469000 +0! +#23469500 +1! +#23469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23470000 +0! +#23470500 +1! +#23470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23471000 +0! +#23471500 +1! +#23471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23472000 +0! +#23472500 +1! +#23472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23473000 +0! +#23473500 +1! +#23473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23474000 +0! +#23474500 +1! +#23474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23475000 +0! +#23475500 +1! +#23475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23476000 +0! +#23476500 +1! +#23476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23477000 +0! +#23477500 +1! +#23477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23478000 +0! +#23478500 +1! +#23478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23479000 +0! +#23479500 +1! +#23479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23480000 +0! +#23480500 +1! +#23480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23481000 +0! +#23481500 +1! +#23481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23482000 +0! +#23482500 +1! +#23482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23483000 +0! +#23483500 +1! +#23483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23484000 +0! +#23484500 +1! +#23484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23485000 +0! +#23485500 +1! +#23485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23486000 +0! +#23486500 +1! +#23486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23487000 +0! +#23487500 +1! +#23487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23488000 +0! +#23488500 +1! +#23488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23489000 +0! +#23489500 +1! +#23489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23490000 +0! +#23490500 +1! +#23490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23491000 +0! +#23491500 +1! +#23491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23492000 +0! +#23492500 +1! +#23492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23493000 +0! +#23493500 +1! +#23493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23494000 +0! +#23494500 +1! +#23494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23495000 +0! +#23495500 +1! +#23495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23496000 +0! +#23496500 +1! +#23496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23497000 +0! +#23497500 +1! +#23497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23498000 +0! +#23498500 +1! +#23498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23499000 +0! +#23499500 +1! +#23499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23500000 +0! +#23500500 +1! +#23500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23501000 +0! +#23501500 +1! +#23501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23502000 +0! +#23502500 +1! +#23502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23503000 +0! +#23503500 +1! +#23503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23504000 +0! +#23504500 +1! +#23504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23505000 +0! +#23505500 +1! +#23505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23506000 +0! +#23506500 +1! +#23506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23507000 +0! +#23507500 +1! +#23507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23508000 +0! +#23508500 +1! +#23508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23509000 +0! +#23509500 +1! +#23509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23510000 +0! +#23510500 +1! +#23510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23511000 +0! +#23511500 +1! +#23511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23512000 +0! +#23512500 +1! +#23512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23513000 +0! +#23513500 +1! +#23513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23514000 +0! +#23514500 +1! +#23514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23515000 +0! +#23515500 +1! +#23515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23516000 +0! +#23516500 +1! +#23516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23517000 +0! +#23517500 +1! +#23517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23518000 +0! +#23518500 +1! +#23518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23519000 +0! +#23519500 +1! +#23519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23520000 +0! +#23520500 +1! +#23520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23521000 +0! +#23521500 +1! +#23521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23522000 +0! +#23522500 +1! +#23522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23523000 +0! +#23523500 +1! +#23523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23524000 +0! +#23524500 +1! +#23524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23525000 +0! +#23525500 +1! +#23525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23526000 +0! +#23526500 +1! +#23526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23527000 +0! +#23527500 +1! +#23527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23528000 +0! +#23528500 +1! +#23528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23529000 +0! +#23529500 +1! +#23529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23530000 +0! +#23530500 +1! +#23530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23531000 +0! +#23531500 +1! +#23531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23532000 +0! +#23532500 +1! +#23532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23533000 +0! +#23533500 +1! +#23533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23534000 +0! +#23534500 +1! +#23534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23535000 +0! +#23535500 +1! +#23535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23536000 +0! +#23536500 +1! +#23536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23537000 +0! +#23537500 +1! +#23537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23538000 +0! +#23538500 +1! +#23538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23539000 +0! +#23539500 +1! +#23539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#23540000 +0! +#23540500 +1! +#23540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23541000 +0! +#23541500 +1! +#23541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23542000 +0! +#23542500 +1! +#23542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23543000 +0! +#23543500 +1! +#23543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23544000 +0! +#23544500 +1! +#23544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23545000 +0! +#23545500 +1! +#23545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23546000 +0! +#23546500 +1! +#23546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23547000 +0! +#23547500 +1! +#23547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23548000 +0! +#23548500 +1! +#23548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23549000 +0! +#23549500 +1! +#23549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23550000 +0! +#23550500 +1! +#23550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23551000 +0! +#23551500 +1! +#23551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23552000 +0! +#23552500 +1! +#23552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23553000 +0! +#23553500 +1! +#23553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23554000 +0! +#23554500 +1! +#23554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23555000 +0! +#23555500 +1! +#23555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23556000 +0! +#23556500 +1! +#23556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23557000 +0! +#23557500 +1! +#23557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23558000 +0! +#23558500 +1! +#23558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23559000 +0! +#23559500 +1! +#23559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23560000 +0! +#23560500 +1! +#23560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23561000 +0! +#23561500 +1! +#23561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23562000 +0! +#23562500 +1! +#23562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23563000 +0! +#23563500 +1! +#23563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23564000 +0! +#23564500 +1! +#23564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23565000 +0! +#23565500 +1! +#23565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23566000 +0! +#23566500 +1! +#23566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23567000 +0! +#23567500 +1! +#23567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23568000 +0! +#23568500 +1! +#23568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23569000 +0! +#23569500 +1! +#23569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23570000 +0! +#23570500 +1! +#23570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23571000 +0! +#23571500 +1! +#23571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23572000 +0! +#23572500 +1! +#23572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23573000 +0! +#23573500 +1! +#23573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23574000 +0! +#23574500 +1! +#23574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23575000 +0! +#23575500 +1! +#23575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23576000 +0! +#23576500 +1! +#23576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23577000 +0! +#23577500 +1! +#23577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23578000 +0! +#23578500 +1! +#23578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23579000 +0! +#23579500 +1! +#23579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23580000 +0! +#23580500 +1! +#23580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23581000 +0! +#23581500 +1! +#23581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23582000 +0! +#23582500 +1! +#23582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23583000 +0! +#23583500 +1! +#23583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23584000 +0! +#23584500 +1! +#23584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23585000 +0! +#23585500 +1! +#23585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23586000 +0! +#23586500 +1! +#23586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23587000 +0! +#23587500 +1! +#23587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23588000 +0! +#23588500 +1! +#23588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23589000 +0! +#23589500 +1! +#23589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23590000 +0! +#23590500 +1! +#23590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23591000 +0! +#23591500 +1! +#23591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23592000 +0! +#23592500 +1! +#23592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23593000 +0! +#23593500 +1! +#23593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23594000 +0! +#23594500 +1! +#23594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23595000 +0! +#23595500 +1! +#23595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23596000 +0! +#23596500 +1! +#23596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23597000 +0! +#23597500 +1! +#23597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23598000 +0! +#23598500 +1! +#23598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23599000 +0! +#23599500 +1! +#23599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23600000 +0! +#23600500 +1! +#23600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23601000 +0! +#23601500 +1! +#23601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23602000 +0! +#23602500 +1! +#23602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23603000 +0! +#23603500 +1! +#23603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23604000 +0! +#23604500 +1! +#23604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23605000 +0! +#23605500 +1! +#23605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23606000 +0! +#23606500 +1! +#23606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23607000 +0! +#23607500 +1! +#23607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23608000 +0! +#23608500 +1! +#23608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23609000 +0! +#23609500 +1! +#23609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23610000 +0! +#23610500 +1! +#23610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23611000 +0! +#23611500 +1! +#23611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23612000 +0! +#23612500 +1! +#23612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23613000 +0! +#23613500 +1! +#23613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23614000 +0! +#23614500 +1! +#23614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23615000 +0! +#23615500 +1! +#23615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23616000 +0! +#23616500 +1! +#23616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23617000 +0! +#23617500 +1! +#23617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23618000 +0! +#23618500 +1! +#23618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23619000 +0! +#23619500 +1! +#23619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23620000 +0! +#23620500 +1! +#23620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23621000 +0! +#23621500 +1! +#23621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23622000 +0! +#23622500 +1! +#23622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23623000 +0! +#23623500 +1! +#23623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23624000 +0! +#23624500 +1! +#23624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23625000 +0! +#23625500 +1! +#23625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23626000 +0! +#23626500 +1! +#23626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23627000 +0! +#23627500 +1! +#23627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23628000 +0! +#23628500 +1! +#23628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23629000 +0! +#23629500 +1! +#23629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23630000 +0! +#23630500 +1! +#23630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23631000 +0! +#23631500 +1! +#23631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23632000 +0! +#23632500 +1! +#23632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23633000 +0! +#23633500 +1! +#23633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23634000 +0! +#23634500 +1! +#23634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23635000 +0! +#23635500 +1! +#23635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23636000 +0! +#23636500 +1! +#23636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23637000 +0! +#23637500 +1! +#23637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23638000 +0! +#23638500 +1! +#23638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23639000 +0! +#23639500 +1! +#23639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23640000 +0! +#23640500 +1! +#23640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23641000 +0! +#23641500 +1! +#23641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23642000 +0! +#23642500 +1! +#23642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23643000 +0! +#23643500 +1! +#23643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23644000 +0! +#23644500 +1! +#23644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23645000 +0! +#23645500 +1! +#23645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23646000 +0! +#23646500 +1! +#23646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23647000 +0! +#23647500 +1! +#23647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23648000 +0! +#23648500 +1! +#23648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23649000 +0! +#23649500 +1! +#23649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23650000 +0! +#23650500 +1! +#23650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23651000 +0! +#23651500 +1! +#23651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23652000 +0! +#23652500 +1! +#23652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23653000 +0! +#23653500 +1! +#23653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23654000 +0! +#23654500 +1! +#23654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23655000 +0! +#23655500 +1! +#23655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23656000 +0! +#23656500 +1! +#23656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23657000 +0! +#23657500 +1! +#23657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23658000 +0! +#23658500 +1! +#23658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23659000 +0! +#23659500 +1! +#23659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23660000 +0! +#23660500 +1! +#23660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23661000 +0! +#23661500 +1! +#23661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23662000 +0! +#23662500 +1! +#23662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23663000 +0! +#23663500 +1! +#23663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23664000 +0! +#23664500 +1! +#23664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23665000 +0! +#23665500 +1! +#23665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23666000 +0! +#23666500 +1! +#23666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23667000 +0! +#23667500 +1! +#23667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23668000 +0! +#23668500 +1! +#23668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23669000 +0! +#23669500 +1! +#23669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23670000 +0! +#23670500 +1! +#23670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23671000 +0! +#23671500 +1! +#23671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23672000 +0! +#23672500 +1! +#23672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23673000 +0! +#23673500 +1! +#23673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23674000 +0! +#23674500 +1! +#23674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23675000 +0! +#23675500 +1! +#23675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23676000 +0! +#23676500 +1! +#23676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23677000 +0! +#23677500 +1! +#23677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23678000 +0! +#23678500 +1! +#23678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23679000 +0! +#23679500 +1! +#23679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23680000 +0! +#23680500 +1! +#23680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23681000 +0! +#23681500 +1! +#23681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23682000 +0! +#23682500 +1! +#23682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23683000 +0! +#23683500 +1! +#23683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23684000 +0! +#23684500 +1! +#23684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23685000 +0! +#23685500 +1! +#23685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23686000 +0! +#23686500 +1! +#23686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23687000 +0! +#23687500 +1! +#23687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23688000 +0! +#23688500 +1! +#23688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23689000 +0! +#23689500 +1! +#23689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23690000 +0! +#23690500 +1! +#23690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23691000 +0! +#23691500 +1! +#23691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23692000 +0! +#23692500 +1! +#23692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#23692700 +b1 g +#23693000 +0! +#23693500 +1! +#23693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23694000 +0! +#23694500 +1! +#23694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23695000 +0! +#23695500 +1! +#23695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23696000 +0! +#23696500 +1! +#23696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23697000 +0! +#23697500 +1! +#23697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23698000 +0! +#23698500 +1! +#23698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23699000 +0! +#23699500 +1! +#23699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23700000 +0! +#23700500 +1! +#23700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23701000 +0! +#23701500 +1! +#23701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23702000 +0! +#23702500 +1! +#23702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23703000 +0! +#23703500 +1! +#23703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23704000 +0! +#23704500 +1! +#23704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23705000 +0! +#23705500 +1! +#23705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23706000 +0! +#23706500 +1! +#23706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23707000 +0! +#23707500 +1! +#23707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23708000 +0! +#23708500 +1! +#23708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23709000 +0! +#23709500 +1! +#23709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23710000 +0! +#23710500 +1! +#23710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23711000 +0! +#23711500 +1! +#23711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23712000 +0! +#23712500 +1! +#23712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23713000 +0! +#23713500 +1! +#23713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23714000 +0! +#23714500 +1! +#23714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23715000 +0! +#23715500 +1! +#23715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23716000 +0! +#23716500 +1! +#23716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23717000 +0! +#23717500 +1! +#23717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23718000 +0! +#23718500 +1! +#23718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23719000 +0! +#23719500 +1! +#23719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23720000 +0! +#23720500 +1! +#23720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23721000 +0! +#23721500 +1! +#23721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23722000 +0! +#23722500 +1! +#23722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23723000 +0! +#23723500 +1! +#23723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23724000 +0! +#23724500 +1! +#23724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23725000 +0! +#23725500 +1! +#23725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23726000 +0! +#23726500 +1! +#23726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23727000 +0! +#23727500 +1! +#23727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23728000 +0! +#23728500 +1! +#23728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23729000 +0! +#23729500 +1! +#23729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23730000 +0! +#23730500 +1! +#23730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23731000 +0! +#23731500 +1! +#23731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23732000 +0! +#23732500 +1! +#23732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23733000 +0! +#23733500 +1! +#23733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23734000 +0! +#23734500 +1! +#23734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23735000 +0! +#23735500 +1! +#23735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23736000 +0! +#23736500 +1! +#23736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23737000 +0! +#23737500 +1! +#23737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23738000 +0! +#23738500 +1! +#23738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23739000 +0! +#23739500 +1! +#23739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23740000 +0! +#23740500 +1! +#23740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23741000 +0! +#23741500 +1! +#23741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23742000 +0! +#23742500 +1! +#23742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23743000 +0! +#23743500 +1! +#23743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23744000 +0! +#23744500 +1! +#23744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23745000 +0! +#23745500 +1! +#23745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23746000 +0! +#23746500 +1! +#23746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23747000 +0! +#23747500 +1! +#23747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23748000 +0! +#23748500 +1! +#23748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23749000 +0! +#23749500 +1! +#23749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23750000 +0! +#23750500 +1! +#23750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23751000 +0! +#23751500 +1! +#23751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23752000 +0! +#23752500 +1! +#23752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23753000 +0! +#23753500 +1! +#23753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23754000 +0! +#23754500 +1! +#23754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23755000 +0! +#23755500 +1! +#23755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23756000 +0! +#23756500 +1! +#23756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23757000 +0! +#23757500 +1! +#23757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23758000 +0! +#23758500 +1! +#23758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23759000 +0! +#23759500 +1! +#23759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23760000 +0! +#23760500 +1! +#23760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23761000 +0! +#23761500 +1! +#23761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23762000 +0! +#23762500 +1! +#23762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23763000 +0! +#23763500 +1! +#23763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23764000 +0! +#23764500 +1! +#23764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23765000 +0! +#23765500 +1! +#23765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23766000 +0! +#23766500 +1! +#23766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23767000 +0! +#23767500 +1! +#23767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23768000 +0! +#23768500 +1! +#23768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23769000 +0! +#23769500 +1! +#23769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23770000 +0! +#23770500 +1! +#23770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23771000 +0! +#23771500 +1! +#23771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23772000 +0! +#23772500 +1! +#23772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23773000 +0! +#23773500 +1! +#23773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23774000 +0! +#23774500 +1! +#23774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23775000 +0! +#23775500 +1! +#23775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23776000 +0! +#23776500 +1! +#23776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23777000 +0! +#23777500 +1! +#23777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23778000 +0! +#23778500 +1! +#23778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23779000 +0! +#23779500 +1! +#23779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23780000 +0! +#23780500 +1! +#23780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23781000 +0! +#23781500 +1! +#23781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23782000 +0! +#23782500 +1! +#23782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23783000 +0! +#23783500 +1! +#23783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23784000 +0! +#23784500 +1! +#23784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23785000 +0! +#23785500 +1! +#23785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23786000 +0! +#23786500 +1! +#23786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23787000 +0! +#23787500 +1! +#23787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23788000 +0! +#23788500 +1! +#23788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23789000 +0! +#23789500 +1! +#23789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23790000 +0! +#23790500 +1! +#23790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23791000 +0! +#23791500 +1! +#23791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23792000 +0! +#23792500 +1! +#23792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23793000 +0! +#23793500 +1! +#23793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23794000 +0! +#23794500 +1! +#23794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#23795000 +0! +#23795500 +1! +#23795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23796000 +0! +#23796500 +1! +#23796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23797000 +0! +#23797500 +1! +#23797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23798000 +0! +#23798500 +1! +#23798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23799000 +0! +#23799500 +1! +#23799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23800000 +0! +#23800500 +1! +#23800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23801000 +0! +#23801500 +1! +#23801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23802000 +0! +#23802500 +1! +#23802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23803000 +0! +#23803500 +1! +#23803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23804000 +0! +#23804500 +1! +#23804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23805000 +0! +#23805500 +1! +#23805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23806000 +0! +#23806500 +1! +#23806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23807000 +0! +#23807500 +1! +#23807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23808000 +0! +#23808500 +1! +#23808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23809000 +0! +#23809500 +1! +#23809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23810000 +0! +#23810500 +1! +#23810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23811000 +0! +#23811500 +1! +#23811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23812000 +0! +#23812500 +1! +#23812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23813000 +0! +#23813500 +1! +#23813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23814000 +0! +#23814500 +1! +#23814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23815000 +0! +#23815500 +1! +#23815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23816000 +0! +#23816500 +1! +#23816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23817000 +0! +#23817500 +1! +#23817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23818000 +0! +#23818500 +1! +#23818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23819000 +0! +#23819500 +1! +#23819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23820000 +0! +#23820500 +1! +#23820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23821000 +0! +#23821500 +1! +#23821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23822000 +0! +#23822500 +1! +#23822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23823000 +0! +#23823500 +1! +#23823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23824000 +0! +#23824500 +1! +#23824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23825000 +0! +#23825500 +1! +#23825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23826000 +0! +#23826500 +1! +#23826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23827000 +0! +#23827500 +1! +#23827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23828000 +0! +#23828500 +1! +#23828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23829000 +0! +#23829500 +1! +#23829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23830000 +0! +#23830500 +1! +#23830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23831000 +0! +#23831500 +1! +#23831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23832000 +0! +#23832500 +1! +#23832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23833000 +0! +#23833500 +1! +#23833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23834000 +0! +#23834500 +1! +#23834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23835000 +0! +#23835500 +1! +#23835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23836000 +0! +#23836500 +1! +#23836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23837000 +0! +#23837500 +1! +#23837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23838000 +0! +#23838500 +1! +#23838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23839000 +0! +#23839500 +1! +#23839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23840000 +0! +#23840500 +1! +#23840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23841000 +0! +#23841500 +1! +#23841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23842000 +0! +#23842500 +1! +#23842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23843000 +0! +#23843500 +1! +#23843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23844000 +0! +#23844500 +1! +#23844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23845000 +0! +#23845500 +1! +#23845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23846000 +0! +#23846500 +1! +#23846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23847000 +0! +#23847500 +1! +#23847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23848000 +0! +#23848500 +1! +#23848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23849000 +0! +#23849500 +1! +#23849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23850000 +0! +#23850500 +1! +#23850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23851000 +0! +#23851500 +1! +#23851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23852000 +0! +#23852500 +1! +#23852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23853000 +0! +#23853500 +1! +#23853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23854000 +0! +#23854500 +1! +#23854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23855000 +0! +#23855500 +1! +#23855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23856000 +0! +#23856500 +1! +#23856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23857000 +0! +#23857500 +1! +#23857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23858000 +0! +#23858500 +1! +#23858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23859000 +0! +#23859500 +1! +#23859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23860000 +0! +#23860500 +1! +#23860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23861000 +0! +#23861500 +1! +#23861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23862000 +0! +#23862500 +1! +#23862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23863000 +0! +#23863500 +1! +#23863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23864000 +0! +#23864500 +1! +#23864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23865000 +0! +#23865500 +1! +#23865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23866000 +0! +#23866500 +1! +#23866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23867000 +0! +#23867500 +1! +#23867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23868000 +0! +#23868500 +1! +#23868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23869000 +0! +#23869500 +1! +#23869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23870000 +0! +#23870500 +1! +#23870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23871000 +0! +#23871500 +1! +#23871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23872000 +0! +#23872500 +1! +#23872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23873000 +0! +#23873500 +1! +#23873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23874000 +0! +#23874500 +1! +#23874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23875000 +0! +#23875500 +1! +#23875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23876000 +0! +#23876500 +1! +#23876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23877000 +0! +#23877500 +1! +#23877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23878000 +0! +#23878500 +1! +#23878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23879000 +0! +#23879500 +1! +#23879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23880000 +0! +#23880500 +1! +#23880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23881000 +0! +#23881500 +1! +#23881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23882000 +0! +#23882500 +1! +#23882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23883000 +0! +#23883500 +1! +#23883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23884000 +0! +#23884500 +1! +#23884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23885000 +0! +#23885500 +1! +#23885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23886000 +0! +#23886500 +1! +#23886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23887000 +0! +#23887500 +1! +#23887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23888000 +0! +#23888500 +1! +#23888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23889000 +0! +#23889500 +1! +#23889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23890000 +0! +#23890500 +1! +#23890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23891000 +0! +#23891500 +1! +#23891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23892000 +0! +#23892500 +1! +#23892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23893000 +0! +#23893500 +1! +#23893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23894000 +0! +#23894500 +1! +#23894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23895000 +0! +#23895500 +1! +#23895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23896000 +0! +#23896500 +1! +#23896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#23897000 +0! +#23897500 +1! +#23897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23898000 +0! +#23898500 +1! +#23898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23899000 +0! +#23899500 +1! +#23899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23900000 +0! +#23900500 +1! +#23900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23901000 +0! +#23901500 +1! +#23901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23902000 +0! +#23902500 +1! +#23902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23903000 +0! +#23903500 +1! +#23903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23904000 +0! +#23904500 +1! +#23904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23905000 +0! +#23905500 +1! +#23905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23906000 +0! +#23906500 +1! +#23906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23907000 +0! +#23907500 +1! +#23907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23908000 +0! +#23908500 +1! +#23908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23909000 +0! +#23909500 +1! +#23909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23910000 +0! +#23910500 +1! +#23910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23911000 +0! +#23911500 +1! +#23911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23912000 +0! +#23912500 +1! +#23912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23913000 +0! +#23913500 +1! +#23913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23914000 +0! +#23914500 +1! +#23914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23915000 +0! +#23915500 +1! +#23915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23916000 +0! +#23916500 +1! +#23916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23917000 +0! +#23917500 +1! +#23917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23918000 +0! +#23918500 +1! +#23918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23919000 +0! +#23919500 +1! +#23919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23920000 +0! +#23920500 +1! +#23920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23921000 +0! +#23921500 +1! +#23921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23922000 +0! +#23922500 +1! +#23922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23923000 +0! +#23923500 +1! +#23923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23924000 +0! +#23924500 +1! +#23924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23925000 +0! +#23925500 +1! +#23925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23926000 +0! +#23926500 +1! +#23926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23927000 +0! +#23927500 +1! +#23927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23928000 +0! +#23928500 +1! +#23928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23929000 +0! +#23929500 +1! +#23929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23930000 +0! +#23930500 +1! +#23930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23931000 +0! +#23931500 +1! +#23931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23932000 +0! +#23932500 +1! +#23932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23933000 +0! +#23933500 +1! +#23933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23934000 +0! +#23934500 +1! +#23934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23935000 +0! +#23935500 +1! +#23935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23936000 +0! +#23936500 +1! +#23936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23937000 +0! +#23937500 +1! +#23937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23938000 +0! +#23938500 +1! +#23938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23939000 +0! +#23939500 +1! +#23939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23940000 +0! +#23940500 +1! +#23940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23941000 +0! +#23941500 +1! +#23941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23942000 +0! +#23942500 +1! +#23942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23943000 +0! +#23943500 +1! +#23943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23944000 +0! +#23944500 +1! +#23944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23945000 +0! +#23945500 +1! +#23945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23946000 +0! +#23946500 +1! +#23946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23947000 +0! +#23947500 +1! +#23947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#23947700 +b0 g +b1 c +1h +#23948000 +0! +#23948500 +1! +#23948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23949000 +0! +#23949500 +1! +#23949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23950000 +0! +#23950500 +1! +#23950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23951000 +0! +#23951500 +1! +#23951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23952000 +0! +#23952500 +1! +#23952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23953000 +0! +#23953500 +1! +#23953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23954000 +0! +#23954500 +1! +#23954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23955000 +0! +#23955500 +1! +#23955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23956000 +0! +#23956500 +1! +#23956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23957000 +0! +#23957500 +1! +#23957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23958000 +0! +#23958500 +1! +#23958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23959000 +0! +#23959500 +1! +#23959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23960000 +0! +#23960500 +1! +#23960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23961000 +0! +#23961500 +1! +#23961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23962000 +0! +#23962500 +1! +#23962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23963000 +0! +#23963500 +1! +#23963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23964000 +0! +#23964500 +1! +#23964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23965000 +0! +#23965500 +1! +#23965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23966000 +0! +#23966500 +1! +#23966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23967000 +0! +#23967500 +1! +#23967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23968000 +0! +#23968500 +1! +#23968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23969000 +0! +#23969500 +1! +#23969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23970000 +0! +#23970500 +1! +#23970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23971000 +0! +#23971500 +1! +#23971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23972000 +0! +#23972500 +1! +#23972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23973000 +0! +#23973500 +1! +#23973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23974000 +0! +#23974500 +1! +#23974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23975000 +0! +#23975500 +1! +#23975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23976000 +0! +#23976500 +1! +#23976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23977000 +0! +#23977500 +1! +#23977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23978000 +0! +#23978500 +1! +#23978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23979000 +0! +#23979500 +1! +#23979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23980000 +0! +#23980500 +1! +#23980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23981000 +0! +#23981500 +1! +#23981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23982000 +0! +#23982500 +1! +#23982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23983000 +0! +#23983500 +1! +#23983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23984000 +0! +#23984500 +1! +#23984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23985000 +0! +#23985500 +1! +#23985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23986000 +0! +#23986500 +1! +#23986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23987000 +0! +#23987500 +1! +#23987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23988000 +0! +#23988500 +1! +#23988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23989000 +0! +#23989500 +1! +#23989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23990000 +0! +#23990500 +1! +#23990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23991000 +0! +#23991500 +1! +#23991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23992000 +0! +#23992500 +1! +#23992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23993000 +0! +#23993500 +1! +#23993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23994000 +0! +#23994500 +1! +#23994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23995000 +0! +#23995500 +1! +#23995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23996000 +0! +#23996500 +1! +#23996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23997000 +0! +#23997500 +1! +#23997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#23998000 +0! +#23998500 +1! +#23998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#23999000 +0! +#23999500 +1! +#23999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24000000 +0! +#24000500 +1! +#24000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24001000 +0! +#24001500 +1! +#24001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24002000 +0! +#24002500 +1! +#24002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24003000 +0! +#24003500 +1! +#24003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24004000 +0! +#24004500 +1! +#24004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24005000 +0! +#24005500 +1! +#24005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24006000 +0! +#24006500 +1! +#24006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24007000 +0! +#24007500 +1! +#24007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24008000 +0! +#24008500 +1! +#24008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24009000 +0! +#24009500 +1! +#24009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24010000 +0! +#24010500 +1! +#24010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24011000 +0! +#24011500 +1! +#24011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24012000 +0! +#24012500 +1! +#24012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24013000 +0! +#24013500 +1! +#24013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24014000 +0! +#24014500 +1! +#24014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24015000 +0! +#24015500 +1! +#24015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24016000 +0! +#24016500 +1! +#24016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24017000 +0! +#24017500 +1! +#24017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24018000 +0! +#24018500 +1! +#24018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24019000 +0! +#24019500 +1! +#24019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24020000 +0! +#24020500 +1! +#24020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24021000 +0! +#24021500 +1! +#24021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24022000 +0! +#24022500 +1! +#24022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24023000 +0! +#24023500 +1! +#24023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24024000 +0! +#24024500 +1! +#24024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24025000 +0! +#24025500 +1! +#24025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24026000 +0! +#24026500 +1! +#24026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24027000 +0! +#24027500 +1! +#24027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24028000 +0! +#24028500 +1! +#24028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24029000 +0! +#24029500 +1! +#24029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24030000 +0! +#24030500 +1! +#24030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24031000 +0! +#24031500 +1! +#24031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24032000 +0! +#24032500 +1! +#24032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24033000 +0! +#24033500 +1! +#24033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24034000 +0! +#24034500 +1! +#24034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24035000 +0! +#24035500 +1! +#24035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24036000 +0! +#24036500 +1! +#24036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24037000 +0! +#24037500 +1! +#24037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24038000 +0! +#24038500 +1! +#24038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24039000 +0! +#24039500 +1! +#24039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24040000 +0! +#24040500 +1! +#24040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24041000 +0! +#24041500 +1! +#24041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24042000 +0! +#24042500 +1! +#24042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24043000 +0! +#24043500 +1! +#24043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24044000 +0! +#24044500 +1! +#24044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24045000 +0! +#24045500 +1! +#24045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24046000 +0! +#24046500 +1! +#24046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24047000 +0! +#24047500 +1! +#24047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24048000 +0! +#24048500 +1! +#24048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24049000 +0! +#24049500 +1! +#24049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#24049700 +b11 q +b1 ] +0j +0O +#24050000 +0! +#24050500 +1! +#24050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24051000 +0! +#24051500 +1! +#24051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24052000 +0! +#24052500 +1! +#24052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24053000 +0! +#24053500 +1! +#24053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24054000 +0! +#24054500 +1! +#24054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24055000 +0! +#24055500 +1! +#24055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24056000 +0! +#24056500 +1! +#24056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24057000 +0! +#24057500 +1! +#24057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24058000 +0! +#24058500 +1! +#24058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24059000 +0! +#24059500 +1! +#24059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24060000 +0! +#24060500 +1! +#24060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24061000 +0! +#24061500 +1! +#24061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24062000 +0! +#24062500 +1! +#24062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24063000 +0! +#24063500 +1! +#24063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24064000 +0! +#24064500 +1! +#24064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24065000 +0! +#24065500 +1! +#24065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24066000 +0! +#24066500 +1! +#24066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24067000 +0! +#24067500 +1! +#24067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24068000 +0! +#24068500 +1! +#24068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24069000 +0! +#24069500 +1! +#24069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24070000 +0! +#24070500 +1! +#24070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24071000 +0! +#24071500 +1! +#24071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24072000 +0! +#24072500 +1! +#24072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24073000 +0! +#24073500 +1! +#24073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24074000 +0! +#24074500 +1! +#24074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24075000 +0! +#24075500 +1! +#24075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24076000 +0! +#24076500 +1! +#24076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24077000 +0! +#24077500 +1! +#24077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24078000 +0! +#24078500 +1! +#24078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24079000 +0! +#24079500 +1! +#24079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24080000 +0! +#24080500 +1! +#24080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24081000 +0! +#24081500 +1! +#24081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24082000 +0! +#24082500 +1! +#24082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24083000 +0! +#24083500 +1! +#24083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24084000 +0! +#24084500 +1! +#24084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24085000 +0! +#24085500 +1! +#24085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24086000 +0! +#24086500 +1! +#24086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24087000 +0! +#24087500 +1! +#24087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24088000 +0! +#24088500 +1! +#24088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24089000 +0! +#24089500 +1! +#24089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24090000 +0! +#24090500 +1! +#24090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24091000 +0! +#24091500 +1! +#24091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24092000 +0! +#24092500 +1! +#24092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24093000 +0! +#24093500 +1! +#24093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24094000 +0! +#24094500 +1! +#24094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24095000 +0! +#24095500 +1! +#24095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24096000 +0! +#24096500 +1! +#24096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24097000 +0! +#24097500 +1! +#24097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24098000 +0! +#24098500 +1! +#24098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24099000 +0! +#24099500 +1! +#24099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24100000 +0! +#24100500 +1! +#24100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24101000 +0! +#24101500 +1! +#24101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24102000 +0! +#24102500 +1! +#24102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24103000 +0! +#24103500 +1! +#24103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24104000 +0! +#24104500 +1! +#24104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24105000 +0! +#24105500 +1! +#24105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24106000 +0! +#24106500 +1! +#24106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24107000 +0! +#24107500 +1! +#24107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24108000 +0! +#24108500 +1! +#24108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24109000 +0! +#24109500 +1! +#24109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24110000 +0! +#24110500 +1! +#24110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24111000 +0! +#24111500 +1! +#24111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24112000 +0! +#24112500 +1! +#24112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24113000 +0! +#24113500 +1! +#24113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24114000 +0! +#24114500 +1! +#24114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24115000 +0! +#24115500 +1! +#24115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24116000 +0! +#24116500 +1! +#24116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24117000 +0! +#24117500 +1! +#24117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24118000 +0! +#24118500 +1! +#24118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24119000 +0! +#24119500 +1! +#24119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24120000 +0! +#24120500 +1! +#24120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24121000 +0! +#24121500 +1! +#24121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24122000 +0! +#24122500 +1! +#24122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24123000 +0! +#24123500 +1! +#24123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24124000 +0! +#24124500 +1! +#24124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24125000 +0! +#24125500 +1! +#24125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24126000 +0! +#24126500 +1! +#24126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24127000 +0! +#24127500 +1! +#24127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24128000 +0! +#24128500 +1! +#24128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24129000 +0! +#24129500 +1! +#24129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24130000 +0! +#24130500 +1! +#24130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24131000 +0! +#24131500 +1! +#24131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24132000 +0! +#24132500 +1! +#24132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24133000 +0! +#24133500 +1! +#24133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24134000 +0! +#24134500 +1! +#24134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24135000 +0! +#24135500 +1! +#24135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24136000 +0! +#24136500 +1! +#24136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24137000 +0! +#24137500 +1! +#24137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24138000 +0! +#24138500 +1! +#24138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24139000 +0! +#24139500 +1! +#24139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24140000 +0! +#24140500 +1! +#24140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24141000 +0! +#24141500 +1! +#24141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24142000 +0! +#24142500 +1! +#24142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24143000 +0! +#24143500 +1! +#24143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24144000 +0! +#24144500 +1! +#24144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24145000 +0! +#24145500 +1! +#24145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24146000 +0! +#24146500 +1! +#24146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24147000 +0! +#24147500 +1! +#24147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24148000 +0! +#24148500 +1! +#24148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24149000 +0! +#24149500 +1! +#24149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24150000 +0! +#24150500 +1! +#24150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24151000 +0! +#24151500 +1! +#24151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24152000 +0! +#24152500 +1! +#24152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24153000 +0! +#24153500 +1! +#24153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24154000 +0! +#24154500 +1! +#24154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24155000 +0! +#24155500 +1! +#24155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24156000 +0! +#24156500 +1! +#24156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24157000 +0! +#24157500 +1! +#24157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24158000 +0! +#24158500 +1! +#24158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24159000 +0! +#24159500 +1! +#24159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24160000 +0! +#24160500 +1! +#24160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24161000 +0! +#24161500 +1! +#24161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24162000 +0! +#24162500 +1! +#24162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24163000 +0! +#24163500 +1! +#24163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24164000 +0! +#24164500 +1! +#24164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24165000 +0! +#24165500 +1! +#24165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24166000 +0! +#24166500 +1! +#24166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24167000 +0! +#24167500 +1! +#24167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24168000 +0! +#24168500 +1! +#24168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24169000 +0! +#24169500 +1! +#24169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24170000 +0! +#24170500 +1! +#24170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24171000 +0! +#24171500 +1! +#24171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24172000 +0! +#24172500 +1! +#24172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24173000 +0! +#24173500 +1! +#24173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24174000 +0! +#24174500 +1! +#24174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24175000 +0! +#24175500 +1! +#24175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24176000 +0! +#24176500 +1! +#24176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24177000 +0! +#24177500 +1! +#24177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24178000 +0! +#24178500 +1! +#24178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24179000 +0! +#24179500 +1! +#24179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24180000 +0! +#24180500 +1! +#24180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24181000 +0! +#24181500 +1! +#24181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24182000 +0! +#24182500 +1! +#24182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24183000 +0! +#24183500 +1! +#24183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24184000 +0! +#24184500 +1! +#24184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24185000 +0! +#24185500 +1! +#24185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24186000 +0! +#24186500 +1! +#24186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24187000 +0! +#24187500 +1! +#24187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24188000 +0! +#24188500 +1! +#24188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24189000 +0! +#24189500 +1! +#24189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24190000 +0! +#24190500 +1! +#24190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24191000 +0! +#24191500 +1! +#24191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24192000 +0! +#24192500 +1! +#24192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24193000 +0! +#24193500 +1! +#24193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24194000 +0! +#24194500 +1! +#24194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24195000 +0! +#24195500 +1! +#24195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24196000 +0! +#24196500 +1! +#24196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24197000 +0! +#24197500 +1! +#24197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24198000 +0! +#24198500 +1! +#24198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24199000 +0! +#24199500 +1! +#24199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24200000 +0! +#24200500 +1! +#24200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24201000 +0! +#24201500 +1! +#24201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24202000 +0! +#24202500 +1! +#24202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#24202700 +b111 g +b10 c +0h +#24203000 +0! +#24203500 +1! +#24203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24204000 +0! +#24204500 +1! +#24204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24205000 +0! +#24205500 +1! +#24205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24206000 +0! +#24206500 +1! +#24206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24207000 +0! +#24207500 +1! +#24207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24208000 +0! +#24208500 +1! +#24208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24209000 +0! +#24209500 +1! +#24209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24210000 +0! +#24210500 +1! +#24210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24211000 +0! +#24211500 +1! +#24211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24212000 +0! +#24212500 +1! +#24212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24213000 +0! +#24213500 +1! +#24213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24214000 +0! +#24214500 +1! +#24214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24215000 +0! +#24215500 +1! +#24215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24216000 +0! +#24216500 +1! +#24216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24217000 +0! +#24217500 +1! +#24217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24218000 +0! +#24218500 +1! +#24218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24219000 +0! +#24219500 +1! +#24219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24220000 +0! +#24220500 +1! +#24220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24221000 +0! +#24221500 +1! +#24221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24222000 +0! +#24222500 +1! +#24222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24223000 +0! +#24223500 +1! +#24223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24224000 +0! +#24224500 +1! +#24224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24225000 +0! +#24225500 +1! +#24225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24226000 +0! +#24226500 +1! +#24226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24227000 +0! +#24227500 +1! +#24227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24228000 +0! +#24228500 +1! +#24228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24229000 +0! +#24229500 +1! +#24229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24230000 +0! +#24230500 +1! +#24230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24231000 +0! +#24231500 +1! +#24231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24232000 +0! +#24232500 +1! +#24232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24233000 +0! +#24233500 +1! +#24233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24234000 +0! +#24234500 +1! +#24234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24235000 +0! +#24235500 +1! +#24235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24236000 +0! +#24236500 +1! +#24236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24237000 +0! +#24237500 +1! +#24237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24238000 +0! +#24238500 +1! +#24238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24239000 +0! +#24239500 +1! +#24239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24240000 +0! +#24240500 +1! +#24240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24241000 +0! +#24241500 +1! +#24241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24242000 +0! +#24242500 +1! +#24242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24243000 +0! +#24243500 +1! +#24243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24244000 +0! +#24244500 +1! +#24244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24245000 +0! +#24245500 +1! +#24245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24246000 +0! +#24246500 +1! +#24246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24247000 +0! +#24247500 +1! +#24247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24248000 +0! +#24248500 +1! +#24248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24249000 +0! +#24249500 +1! +#24249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24250000 +0! +#24250500 +1! +#24250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24251000 +0! +#24251500 +1! +#24251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24252000 +0! +#24252500 +1! +#24252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24253000 +0! +#24253500 +1! +#24253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24254000 +0! +#24254500 +1! +#24254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24255000 +0! +#24255500 +1! +#24255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24256000 +0! +#24256500 +1! +#24256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24257000 +0! +#24257500 +1! +#24257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24258000 +0! +#24258500 +1! +#24258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24259000 +0! +#24259500 +1! +#24259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24260000 +0! +#24260500 +1! +#24260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24261000 +0! +#24261500 +1! +#24261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24262000 +0! +#24262500 +1! +#24262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24263000 +0! +#24263500 +1! +#24263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24264000 +0! +#24264500 +1! +#24264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24265000 +0! +#24265500 +1! +#24265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24266000 +0! +#24266500 +1! +#24266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24267000 +0! +#24267500 +1! +#24267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24268000 +0! +#24268500 +1! +#24268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24269000 +0! +#24269500 +1! +#24269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24270000 +0! +#24270500 +1! +#24270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24271000 +0! +#24271500 +1! +#24271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24272000 +0! +#24272500 +1! +#24272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24273000 +0! +#24273500 +1! +#24273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24274000 +0! +#24274500 +1! +#24274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24275000 +0! +#24275500 +1! +#24275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24276000 +0! +#24276500 +1! +#24276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24277000 +0! +#24277500 +1! +#24277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24278000 +0! +#24278500 +1! +#24278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24279000 +0! +#24279500 +1! +#24279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24280000 +0! +#24280500 +1! +#24280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24281000 +0! +#24281500 +1! +#24281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24282000 +0! +#24282500 +1! +#24282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24283000 +0! +#24283500 +1! +#24283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24284000 +0! +#24284500 +1! +#24284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24285000 +0! +#24285500 +1! +#24285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24286000 +0! +#24286500 +1! +#24286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24287000 +0! +#24287500 +1! +#24287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24288000 +0! +#24288500 +1! +#24288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24289000 +0! +#24289500 +1! +#24289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24290000 +0! +#24290500 +1! +#24290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24291000 +0! +#24291500 +1! +#24291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24292000 +0! +#24292500 +1! +#24292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24293000 +0! +#24293500 +1! +#24293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24294000 +0! +#24294500 +1! +#24294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24295000 +0! +#24295500 +1! +#24295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24296000 +0! +#24296500 +1! +#24296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24297000 +0! +#24297500 +1! +#24297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24298000 +0! +#24298500 +1! +#24298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24299000 +0! +#24299500 +1! +#24299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24300000 +0! +#24300500 +1! +#24300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24301000 +0! +#24301500 +1! +#24301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24302000 +0! +#24302500 +1! +#24302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24303000 +0! +#24303500 +1! +#24303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24304000 +0! +#24304500 +1! +#24304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#24304700 +1j +b100 q +1i +1O +#24305000 +0! +#24305500 +1! +#24305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24306000 +0! +#24306500 +1! +#24306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24307000 +0! +#24307500 +1! +#24307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24308000 +0! +#24308500 +1! +#24308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#24309000 +0! +#24309500 +1! +#24309600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24310000 +0! +#24310500 +1! +#24310600 +1E +1F +1G +b10100001 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b10000000 C +1H +#24311000 +0! +#24311500 +1! +#24311600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24311700 +1E +1F +1G +b10010000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#24312000 +0! +#24312500 +1! +#24312600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24313000 +0! +#24313500 +1! +#24313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#24314000 +0! +#24314500 +1! +#24314600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24315000 +0! +#24315500 +1! +#24315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24316000 +0! +#24316500 +1! +#24316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24317000 +0! +#24317500 +1! +#24317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24318000 +0! +#24318500 +1! +#24318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24319000 +0! +#24319500 +1! +#24319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24320000 +0! +#24320500 +1! +#24320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24321000 +0! +#24321500 +1! +#24321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24322000 +0! +#24322500 +1! +#24322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24323000 +0! +#24323500 +1! +#24323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24324000 +0! +#24324500 +1! +#24324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24325000 +0! +#24325500 +1! +#24325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24326000 +0! +#24326500 +1! +#24326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24327000 +0! +#24327500 +1! +#24327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24328000 +0! +#24328500 +1! +#24328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24329000 +0! +#24329500 +1! +#24329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24330000 +0! +#24330500 +1! +#24330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24331000 +0! +#24331500 +1! +#24331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24332000 +0! +#24332500 +1! +#24332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24333000 +0! +#24333500 +1! +#24333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24334000 +0! +#24334500 +1! +#24334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24335000 +0! +#24335500 +1! +#24335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24336000 +0! +#24336500 +1! +#24336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24337000 +0! +#24337500 +1! +#24337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24338000 +0! +#24338500 +1! +#24338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24339000 +0! +#24339500 +1! +#24339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24340000 +0! +#24340500 +1! +#24340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24341000 +0! +#24341500 +1! +#24341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24342000 +0! +#24342500 +1! +#24342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24343000 +0! +#24343500 +1! +#24343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24344000 +0! +#24344500 +1! +#24344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24345000 +0! +#24345500 +1! +#24345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24346000 +0! +#24346500 +1! +#24346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24347000 +0! +#24347500 +1! +#24347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24348000 +0! +#24348500 +1! +#24348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24349000 +0! +#24349500 +1! +#24349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24350000 +0! +#24350500 +1! +#24350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24351000 +0! +#24351500 +1! +#24351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24352000 +0! +#24352500 +1! +#24352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24353000 +0! +#24353500 +1! +#24353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24354000 +0! +#24354500 +1! +#24354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24355000 +0! +#24355500 +1! +#24355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24356000 +0! +#24356500 +1! +#24356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24357000 +0! +#24357500 +1! +#24357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24358000 +0! +#24358500 +1! +#24358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24359000 +0! +#24359500 +1! +#24359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24360000 +0! +#24360500 +1! +#24360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24361000 +0! +#24361500 +1! +#24361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24362000 +0! +#24362500 +1! +#24362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24363000 +0! +#24363500 +1! +#24363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24364000 +0! +#24364500 +1! +#24364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24365000 +0! +#24365500 +1! +#24365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24366000 +0! +#24366500 +1! +#24366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24367000 +0! +#24367500 +1! +#24367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24368000 +0! +#24368500 +1! +#24368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24369000 +0! +#24369500 +1! +#24369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24370000 +0! +#24370500 +1! +#24370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24371000 +0! +#24371500 +1! +#24371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24372000 +0! +#24372500 +1! +#24372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24373000 +0! +#24373500 +1! +#24373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24374000 +0! +#24374500 +1! +#24374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24375000 +0! +#24375500 +1! +#24375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24376000 +0! +#24376500 +1! +#24376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24377000 +0! +#24377500 +1! +#24377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24378000 +0! +#24378500 +1! +#24378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24379000 +0! +#24379500 +1! +#24379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24380000 +0! +#24380500 +1! +#24380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24381000 +0! +#24381500 +1! +#24381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24382000 +0! +#24382500 +1! +#24382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24383000 +0! +#24383500 +1! +#24383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24384000 +0! +#24384500 +1! +#24384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24385000 +0! +#24385500 +1! +#24385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24386000 +0! +#24386500 +1! +#24386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24387000 +0! +#24387500 +1! +#24387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24388000 +0! +#24388500 +1! +#24388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24389000 +0! +#24389500 +1! +#24389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24390000 +0! +#24390500 +1! +#24390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24391000 +0! +#24391500 +1! +#24391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24392000 +0! +#24392500 +1! +#24392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24393000 +0! +#24393500 +1! +#24393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24394000 +0! +#24394500 +1! +#24394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24395000 +0! +#24395500 +1! +#24395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24396000 +0! +#24396500 +1! +#24396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24397000 +0! +#24397500 +1! +#24397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24398000 +0! +#24398500 +1! +#24398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24399000 +0! +#24399500 +1! +#24399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24400000 +0! +#24400500 +1! +#24400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24401000 +0! +#24401500 +1! +#24401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24402000 +0! +#24402500 +1! +#24402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24403000 +0! +#24403500 +1! +#24403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24404000 +0! +#24404500 +1! +#24404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24405000 +0! +#24405500 +1! +#24405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24406000 +0! +#24406500 +1! +#24406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24407000 +0! +#24407500 +1! +#24407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24408000 +0! +#24408500 +1! +#24408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24409000 +0! +#24409500 +1! +#24409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24410000 +0! +#24410500 +1! +#24410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24411000 +0! +#24411500 +1! +#24411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24412000 +0! +#24412500 +1! +#24412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24413000 +0! +#24413500 +1! +#24413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24414000 +0! +#24414500 +1! +#24414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24415000 +0! +#24415500 +1! +#24415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24416000 +0! +#24416500 +1! +#24416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24417000 +0! +#24417500 +1! +#24417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24418000 +0! +#24418500 +1! +#24418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24419000 +0! +#24419500 +1! +#24419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24420000 +0! +#24420500 +1! +#24420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24421000 +0! +#24421500 +1! +#24421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24422000 +0! +#24422500 +1! +#24422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24423000 +0! +#24423500 +1! +#24423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24424000 +0! +#24424500 +1! +#24424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24425000 +0! +#24425500 +1! +#24425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24426000 +0! +#24426500 +1! +#24426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24427000 +0! +#24427500 +1! +#24427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24428000 +0! +#24428500 +1! +#24428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24429000 +0! +#24429500 +1! +#24429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24430000 +0! +#24430500 +1! +#24430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24431000 +0! +#24431500 +1! +#24431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24432000 +0! +#24432500 +1! +#24432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24433000 +0! +#24433500 +1! +#24433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24434000 +0! +#24434500 +1! +#24434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24435000 +0! +#24435500 +1! +#24435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24436000 +0! +#24436500 +1! +#24436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24437000 +0! +#24437500 +1! +#24437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24438000 +0! +#24438500 +1! +#24438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24439000 +0! +#24439500 +1! +#24439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24440000 +0! +#24440500 +1! +#24440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24441000 +0! +#24441500 +1! +#24441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24442000 +0! +#24442500 +1! +#24442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24443000 +0! +#24443500 +1! +#24443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24444000 +0! +#24444500 +1! +#24444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24445000 +0! +#24445500 +1! +#24445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24446000 +0! +#24446500 +1! +#24446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24447000 +0! +#24447500 +1! +#24447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24448000 +0! +#24448500 +1! +#24448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24449000 +0! +#24449500 +1! +#24449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24450000 +0! +#24450500 +1! +#24450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24451000 +0! +#24451500 +1! +#24451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24452000 +0! +#24452500 +1! +#24452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24453000 +0! +#24453500 +1! +#24453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24454000 +0! +#24454500 +1! +#24454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24455000 +0! +#24455500 +1! +#24455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24456000 +0! +#24456500 +1! +#24456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24457000 +0! +#24457500 +1! +#24457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#24457700 +b101 c +#24458000 +0! +#24458500 +1! +#24458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24459000 +0! +#24459500 +1! +#24459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24460000 +0! +#24460500 +1! +#24460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24461000 +0! +#24461500 +1! +#24461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24462000 +0! +#24462500 +1! +#24462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24463000 +0! +#24463500 +1! +#24463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24464000 +0! +#24464500 +1! +#24464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24465000 +0! +#24465500 +1! +#24465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24466000 +0! +#24466500 +1! +#24466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24467000 +0! +#24467500 +1! +#24467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24468000 +0! +#24468500 +1! +#24468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24469000 +0! +#24469500 +1! +#24469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24470000 +0! +#24470500 +1! +#24470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24471000 +0! +#24471500 +1! +#24471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24472000 +0! +#24472500 +1! +#24472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24473000 +0! +#24473500 +1! +#24473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24474000 +0! +#24474500 +1! +#24474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24475000 +0! +#24475500 +1! +#24475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24476000 +0! +#24476500 +1! +#24476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24477000 +0! +#24477500 +1! +#24477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24478000 +0! +#24478500 +1! +#24478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24479000 +0! +#24479500 +1! +#24479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24480000 +0! +#24480500 +1! +#24480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24481000 +0! +#24481500 +1! +#24481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24482000 +0! +#24482500 +1! +#24482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24483000 +0! +#24483500 +1! +#24483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24484000 +0! +#24484500 +1! +#24484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24485000 +0! +#24485500 +1! +#24485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24486000 +0! +#24486500 +1! +#24486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24487000 +0! +#24487500 +1! +#24487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24488000 +0! +#24488500 +1! +#24488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24489000 +0! +#24489500 +1! +#24489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24490000 +0! +#24490500 +1! +#24490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24491000 +0! +#24491500 +1! +#24491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24492000 +0! +#24492500 +1! +#24492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24493000 +0! +#24493500 +1! +#24493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24494000 +0! +#24494500 +1! +#24494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24495000 +0! +#24495500 +1! +#24495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24496000 +0! +#24496500 +1! +#24496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24497000 +0! +#24497500 +1! +#24497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24498000 +0! +#24498500 +1! +#24498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24499000 +0! +#24499500 +1! +#24499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24500000 +0! +#24500500 +1! +#24500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24501000 +0! +#24501500 +1! +#24501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24502000 +0! +#24502500 +1! +#24502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24503000 +0! +#24503500 +1! +#24503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24504000 +0! +#24504500 +1! +#24504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24505000 +0! +#24505500 +1! +#24505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24506000 +0! +#24506500 +1! +#24506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24507000 +0! +#24507500 +1! +#24507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24508000 +0! +#24508500 +1! +#24508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#24508700 +1_ +1f +#24509000 +0! +#24509500 +1! +#24509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24510000 +0! +#24510500 +1! +#24510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24511000 +0! +#24511500 +1! +#24511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24512000 +0! +#24512500 +1! +#24512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24513000 +0! +#24513500 +1! +#24513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24514000 +0! +#24514500 +1! +#24514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24515000 +0! +#24515500 +1! +#24515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24516000 +0! +#24516500 +1! +#24516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24517000 +0! +#24517500 +1! +#24517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24518000 +0! +#24518500 +1! +#24518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24519000 +0! +#24519500 +1! +#24519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24520000 +0! +#24520500 +1! +#24520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24521000 +0! +#24521500 +1! +#24521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24522000 +0! +#24522500 +1! +#24522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24523000 +0! +#24523500 +1! +#24523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24524000 +0! +#24524500 +1! +#24524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24525000 +0! +#24525500 +1! +#24525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24526000 +0! +#24526500 +1! +#24526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24527000 +0! +#24527500 +1! +#24527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24528000 +0! +#24528500 +1! +#24528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24529000 +0! +#24529500 +1! +#24529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24530000 +0! +#24530500 +1! +#24530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24531000 +0! +#24531500 +1! +#24531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24532000 +0! +#24532500 +1! +#24532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24533000 +0! +#24533500 +1! +#24533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24534000 +0! +#24534500 +1! +#24534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24535000 +0! +#24535500 +1! +#24535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24536000 +0! +#24536500 +1! +#24536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24537000 +0! +#24537500 +1! +#24537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24538000 +0! +#24538500 +1! +#24538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24539000 +0! +#24539500 +1! +#24539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24540000 +0! +#24540500 +1! +#24540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24541000 +0! +#24541500 +1! +#24541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24542000 +0! +#24542500 +1! +#24542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24543000 +0! +#24543500 +1! +#24543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24544000 +0! +#24544500 +1! +#24544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24545000 +0! +#24545500 +1! +#24545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24546000 +0! +#24546500 +1! +#24546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24547000 +0! +#24547500 +1! +#24547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24548000 +0! +#24548500 +1! +#24548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24549000 +0! +#24549500 +1! +#24549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24550000 +0! +#24550500 +1! +#24550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24551000 +0! +#24551500 +1! +#24551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24552000 +0! +#24552500 +1! +#24552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24553000 +0! +#24553500 +1! +#24553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24554000 +0! +#24554500 +1! +#24554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24555000 +0! +#24555500 +1! +#24555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24556000 +0! +#24556500 +1! +#24556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24557000 +0! +#24557500 +1! +#24557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24558000 +0! +#24558500 +1! +#24558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24559000 +0! +#24559500 +1! +#24559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#24559700 +b0 q +#24560000 +0! +#24560500 +1! +#24560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24561000 +0! +#24561500 +1! +#24561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24562000 +0! +#24562500 +1! +#24562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24563000 +0! +#24563500 +1! +#24563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24564000 +0! +#24564500 +1! +#24564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24565000 +0! +#24565500 +1! +#24565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24566000 +0! +#24566500 +1! +#24566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24567000 +0! +#24567500 +1! +#24567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24568000 +0! +#24568500 +1! +#24568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24569000 +0! +#24569500 +1! +#24569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24570000 +0! +#24570500 +1! +#24570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24571000 +0! +#24571500 +1! +#24571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24572000 +0! +#24572500 +1! +#24572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24573000 +0! +#24573500 +1! +#24573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24574000 +0! +#24574500 +1! +#24574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24575000 +0! +#24575500 +1! +#24575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24576000 +0! +#24576500 +1! +#24576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24577000 +0! +#24577500 +1! +#24577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24578000 +0! +#24578500 +1! +#24578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24579000 +0! +#24579500 +1! +#24579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24580000 +0! +#24580500 +1! +#24580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24581000 +0! +#24581500 +1! +#24581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24582000 +0! +#24582500 +1! +#24582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24583000 +0! +#24583500 +1! +#24583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24584000 +0! +#24584500 +1! +#24584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24585000 +0! +#24585500 +1! +#24585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24586000 +0! +#24586500 +1! +#24586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24587000 +0! +#24587500 +1! +#24587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24588000 +0! +#24588500 +1! +#24588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24589000 +0! +#24589500 +1! +#24589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24590000 +0! +#24590500 +1! +#24590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24591000 +0! +#24591500 +1! +#24591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24592000 +0! +#24592500 +1! +#24592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24593000 +0! +#24593500 +1! +#24593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24594000 +0! +#24594500 +1! +#24594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24595000 +0! +#24595500 +1! +#24595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24596000 +0! +#24596500 +1! +#24596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24597000 +0! +#24597500 +1! +#24597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24598000 +0! +#24598500 +1! +#24598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24599000 +0! +#24599500 +1! +#24599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24600000 +0! +#24600500 +1! +#24600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24601000 +0! +#24601500 +1! +#24601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24602000 +0! +#24602500 +1! +#24602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24603000 +0! +#24603500 +1! +#24603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24604000 +0! +#24604500 +1! +#24604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24605000 +0! +#24605500 +1! +#24605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24606000 +0! +#24606500 +1! +#24606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24607000 +0! +#24607500 +1! +#24607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24608000 +0! +#24608500 +1! +#24608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24609000 +0! +#24609500 +1! +#24609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24610000 +0! +#24610500 +1! +#24610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24611000 +0! +#24611500 +1! +#24611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24612000 +0! +#24612500 +1! +#24612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24613000 +0! +#24613500 +1! +#24613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24614000 +0! +#24614500 +1! +#24614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24615000 +0! +#24615500 +1! +#24615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24616000 +0! +#24616500 +1! +#24616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24617000 +0! +#24617500 +1! +#24617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24618000 +0! +#24618500 +1! +#24618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24619000 +0! +#24619500 +1! +#24619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24620000 +0! +#24620500 +1! +#24620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24621000 +0! +#24621500 +1! +#24621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24622000 +0! +#24622500 +1! +#24622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24623000 +0! +#24623500 +1! +#24623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24624000 +0! +#24624500 +1! +#24624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24625000 +0! +#24625500 +1! +#24625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24626000 +0! +#24626500 +1! +#24626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24627000 +0! +#24627500 +1! +#24627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24628000 +0! +#24628500 +1! +#24628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24629000 +0! +#24629500 +1! +#24629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24630000 +0! +#24630500 +1! +#24630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24631000 +0! +#24631500 +1! +#24631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24632000 +0! +#24632500 +1! +#24632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24633000 +0! +#24633500 +1! +#24633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24634000 +0! +#24634500 +1! +#24634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24635000 +0! +#24635500 +1! +#24635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24636000 +0! +#24636500 +1! +#24636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24637000 +0! +#24637500 +1! +#24637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24638000 +0! +#24638500 +1! +#24638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24639000 +0! +#24639500 +1! +#24639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24640000 +0! +#24640500 +1! +#24640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24641000 +0! +#24641500 +1! +#24641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24642000 +0! +#24642500 +1! +#24642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24643000 +0! +#24643500 +1! +#24643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24644000 +0! +#24644500 +1! +#24644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24645000 +0! +#24645500 +1! +#24645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24646000 +0! +#24646500 +1! +#24646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24647000 +0! +#24647500 +1! +#24647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24648000 +0! +#24648500 +1! +#24648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24649000 +0! +#24649500 +1! +#24649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24650000 +0! +#24650500 +1! +#24650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24651000 +0! +#24651500 +1! +#24651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24652000 +0! +#24652500 +1! +#24652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24653000 +0! +#24653500 +1! +#24653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24654000 +0! +#24654500 +1! +#24654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24655000 +0! +#24655500 +1! +#24655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24656000 +0! +#24656500 +1! +#24656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24657000 +0! +#24657500 +1! +#24657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24658000 +0! +#24658500 +1! +#24658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24659000 +0! +#24659500 +1! +#24659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24660000 +0! +#24660500 +1! +#24660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24661000 +0! +#24661500 +1! +#24661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#24662000 +0! +#24662500 +1! +#24662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24663000 +0! +#24663500 +1! +#24663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24664000 +0! +#24664500 +1! +#24664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24665000 +0! +#24665500 +1! +#24665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24666000 +0! +#24666500 +1! +#24666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24667000 +0! +#24667500 +1! +#24667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24668000 +0! +#24668500 +1! +#24668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24669000 +0! +#24669500 +1! +#24669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24670000 +0! +#24670500 +1! +#24670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24671000 +0! +#24671500 +1! +#24671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24672000 +0! +#24672500 +1! +#24672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24673000 +0! +#24673500 +1! +#24673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24674000 +0! +#24674500 +1! +#24674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24675000 +0! +#24675500 +1! +#24675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24676000 +0! +#24676500 +1! +#24676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24677000 +0! +#24677500 +1! +#24677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24678000 +0! +#24678500 +1! +#24678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24679000 +0! +#24679500 +1! +#24679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24680000 +0! +#24680500 +1! +#24680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24681000 +0! +#24681500 +1! +#24681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24682000 +0! +#24682500 +1! +#24682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24683000 +0! +#24683500 +1! +#24683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24684000 +0! +#24684500 +1! +#24684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24685000 +0! +#24685500 +1! +#24685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24686000 +0! +#24686500 +1! +#24686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24687000 +0! +#24687500 +1! +#24687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24688000 +0! +#24688500 +1! +#24688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24689000 +0! +#24689500 +1! +#24689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24690000 +0! +#24690500 +1! +#24690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24691000 +0! +#24691500 +1! +#24691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24692000 +0! +#24692500 +1! +#24692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24693000 +0! +#24693500 +1! +#24693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24694000 +0! +#24694500 +1! +#24694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24695000 +0! +#24695500 +1! +#24695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24696000 +0! +#24696500 +1! +#24696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24697000 +0! +#24697500 +1! +#24697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24698000 +0! +#24698500 +1! +#24698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24699000 +0! +#24699500 +1! +#24699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24700000 +0! +#24700500 +1! +#24700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24701000 +0! +#24701500 +1! +#24701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24702000 +0! +#24702500 +1! +#24702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24703000 +0! +#24703500 +1! +#24703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24704000 +0! +#24704500 +1! +#24704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24705000 +0! +#24705500 +1! +#24705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24706000 +0! +#24706500 +1! +#24706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24707000 +0! +#24707500 +1! +#24707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24708000 +0! +#24708500 +1! +#24708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24709000 +0! +#24709500 +1! +#24709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24710000 +0! +#24710500 +1! +#24710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24711000 +0! +#24711500 +1! +#24711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24712000 +0! +#24712500 +1! +#24712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#24712700 +1` +b1011 c +#24713000 +0! +#24713500 +1! +#24713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24714000 +0! +#24714500 +1! +#24714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24715000 +0! +#24715500 +1! +#24715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24716000 +0! +#24716500 +1! +#24716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24717000 +0! +#24717500 +1! +#24717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24718000 +0! +#24718500 +1! +#24718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24719000 +0! +#24719500 +1! +#24719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24720000 +0! +#24720500 +1! +#24720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24721000 +0! +#24721500 +1! +#24721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24722000 +0! +#24722500 +1! +#24722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24723000 +0! +#24723500 +1! +#24723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24724000 +0! +#24724500 +1! +#24724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24725000 +0! +#24725500 +1! +#24725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24726000 +0! +#24726500 +1! +#24726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24727000 +0! +#24727500 +1! +#24727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24728000 +0! +#24728500 +1! +#24728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24729000 +0! +#24729500 +1! +#24729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24730000 +0! +#24730500 +1! +#24730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24731000 +0! +#24731500 +1! +#24731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24732000 +0! +#24732500 +1! +#24732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24733000 +0! +#24733500 +1! +#24733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24734000 +0! +#24734500 +1! +#24734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24735000 +0! +#24735500 +1! +#24735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24736000 +0! +#24736500 +1! +#24736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24737000 +0! +#24737500 +1! +#24737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24738000 +0! +#24738500 +1! +#24738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24739000 +0! +#24739500 +1! +#24739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24740000 +0! +#24740500 +1! +#24740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24741000 +0! +#24741500 +1! +#24741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24742000 +0! +#24742500 +1! +#24742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24743000 +0! +#24743500 +1! +#24743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24744000 +0! +#24744500 +1! +#24744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24745000 +0! +#24745500 +1! +#24745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24746000 +0! +#24746500 +1! +#24746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24747000 +0! +#24747500 +1! +#24747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24748000 +0! +#24748500 +1! +#24748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24749000 +0! +#24749500 +1! +#24749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24750000 +0! +#24750500 +1! +#24750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24751000 +0! +#24751500 +1! +#24751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24752000 +0! +#24752500 +1! +#24752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24753000 +0! +#24753500 +1! +#24753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24754000 +0! +#24754500 +1! +#24754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24755000 +0! +#24755500 +1! +#24755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24756000 +0! +#24756500 +1! +#24756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24757000 +0! +#24757500 +1! +#24757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24758000 +0! +#24758500 +1! +#24758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24759000 +0! +#24759500 +1! +#24759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24760000 +0! +#24760500 +1! +#24760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24761000 +0! +#24761500 +1! +#24761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24762000 +0! +#24762500 +1! +#24762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24763000 +0! +#24763500 +1! +#24763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24764000 +0! +#24764500 +1! +#24764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24765000 +0! +#24765500 +1! +#24765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24766000 +0! +#24766500 +1! +#24766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24767000 +0! +#24767500 +1! +#24767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24768000 +0! +#24768500 +1! +#24768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24769000 +0! +#24769500 +1! +#24769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24770000 +0! +#24770500 +1! +#24770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24771000 +0! +#24771500 +1! +#24771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24772000 +0! +#24772500 +1! +#24772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24773000 +0! +#24773500 +1! +#24773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24774000 +0! +#24774500 +1! +#24774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24775000 +0! +#24775500 +1! +#24775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24776000 +0! +#24776500 +1! +#24776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24777000 +0! +#24777500 +1! +#24777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24778000 +0! +#24778500 +1! +#24778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24779000 +0! +#24779500 +1! +#24779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24780000 +0! +#24780500 +1! +#24780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24781000 +0! +#24781500 +1! +#24781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24782000 +0! +#24782500 +1! +#24782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24783000 +0! +#24783500 +1! +#24783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24784000 +0! +#24784500 +1! +#24784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24785000 +0! +#24785500 +1! +#24785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24786000 +0! +#24786500 +1! +#24786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24787000 +0! +#24787500 +1! +#24787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24788000 +0! +#24788500 +1! +#24788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24789000 +0! +#24789500 +1! +#24789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24790000 +0! +#24790500 +1! +#24790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24791000 +0! +#24791500 +1! +#24791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24792000 +0! +#24792500 +1! +#24792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24793000 +0! +#24793500 +1! +#24793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24794000 +0! +#24794500 +1! +#24794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24795000 +0! +#24795500 +1! +#24795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24796000 +0! +#24796500 +1! +#24796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24797000 +0! +#24797500 +1! +#24797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24798000 +0! +#24798500 +1! +#24798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24799000 +0! +#24799500 +1! +#24799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24800000 +0! +#24800500 +1! +#24800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24801000 +0! +#24801500 +1! +#24801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24802000 +0! +#24802500 +1! +#24802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24803000 +0! +#24803500 +1! +#24803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24804000 +0! +#24804500 +1! +#24804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24805000 +0! +#24805500 +1! +#24805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24806000 +0! +#24806500 +1! +#24806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24807000 +0! +#24807500 +1! +#24807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24808000 +0! +#24808500 +1! +#24808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24809000 +0! +#24809500 +1! +#24809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24810000 +0! +#24810500 +1! +#24810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24811000 +0! +#24811500 +1! +#24811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24812000 +0! +#24812500 +1! +#24812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24813000 +0! +#24813500 +1! +#24813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24814000 +0! +#24814500 +1! +#24814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#24814700 +0i +#24815000 +0! +#24815500 +1! +#24815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24816000 +0! +#24816500 +1! +#24816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24817000 +0! +#24817500 +1! +#24817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24818000 +0! +#24818500 +1! +#24818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24819000 +0! +#24819500 +1! +#24819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24820000 +0! +#24820500 +1! +#24820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24821000 +0! +#24821500 +1! +#24821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24822000 +0! +#24822500 +1! +#24822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24823000 +0! +#24823500 +1! +#24823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24824000 +0! +#24824500 +1! +#24824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24825000 +0! +#24825500 +1! +#24825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24826000 +0! +#24826500 +1! +#24826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24827000 +0! +#24827500 +1! +#24827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24828000 +0! +#24828500 +1! +#24828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24829000 +0! +#24829500 +1! +#24829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24830000 +0! +#24830500 +1! +#24830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24831000 +0! +#24831500 +1! +#24831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24832000 +0! +#24832500 +1! +#24832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24833000 +0! +#24833500 +1! +#24833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24834000 +0! +#24834500 +1! +#24834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24835000 +0! +#24835500 +1! +#24835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24836000 +0! +#24836500 +1! +#24836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24837000 +0! +#24837500 +1! +#24837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24838000 +0! +#24838500 +1! +#24838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24839000 +0! +#24839500 +1! +#24839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24840000 +0! +#24840500 +1! +#24840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24841000 +0! +#24841500 +1! +#24841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24842000 +0! +#24842500 +1! +#24842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24843000 +0! +#24843500 +1! +#24843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24844000 +0! +#24844500 +1! +#24844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24845000 +0! +#24845500 +1! +#24845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24846000 +0! +#24846500 +1! +#24846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24847000 +0! +#24847500 +1! +#24847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24848000 +0! +#24848500 +1! +#24848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24849000 +0! +#24849500 +1! +#24849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24850000 +0! +#24850500 +1! +#24850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24851000 +0! +#24851500 +1! +#24851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24852000 +0! +#24852500 +1! +#24852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24853000 +0! +#24853500 +1! +#24853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24854000 +0! +#24854500 +1! +#24854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24855000 +0! +#24855500 +1! +#24855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24856000 +0! +#24856500 +1! +#24856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24857000 +0! +#24857500 +1! +#24857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24858000 +0! +#24858500 +1! +#24858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24859000 +0! +#24859500 +1! +#24859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24860000 +0! +#24860500 +1! +#24860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24861000 +0! +#24861500 +1! +#24861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24862000 +0! +#24862500 +1! +#24862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24863000 +0! +#24863500 +1! +#24863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24864000 +0! +#24864500 +1! +#24864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24865000 +0! +#24865500 +1! +#24865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24866000 +0! +#24866500 +1! +#24866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24867000 +0! +#24867500 +1! +#24867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24868000 +0! +#24868500 +1! +#24868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24869000 +0! +#24869500 +1! +#24869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24870000 +0! +#24870500 +1! +#24870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24871000 +0! +#24871500 +1! +#24871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24872000 +0! +#24872500 +1! +#24872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24873000 +0! +#24873500 +1! +#24873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24874000 +0! +#24874500 +1! +#24874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24875000 +0! +#24875500 +1! +#24875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24876000 +0! +#24876500 +1! +#24876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24877000 +0! +#24877500 +1! +#24877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24878000 +0! +#24878500 +1! +#24878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24879000 +0! +#24879500 +1! +#24879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24880000 +0! +#24880500 +1! +#24880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24881000 +0! +#24881500 +1! +#24881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24882000 +0! +#24882500 +1! +#24882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24883000 +0! +#24883500 +1! +#24883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24884000 +0! +#24884500 +1! +#24884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24885000 +0! +#24885500 +1! +#24885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24886000 +0! +#24886500 +1! +#24886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24887000 +0! +#24887500 +1! +#24887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24888000 +0! +#24888500 +1! +#24888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24889000 +0! +#24889500 +1! +#24889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24890000 +0! +#24890500 +1! +#24890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24891000 +0! +#24891500 +1! +#24891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24892000 +0! +#24892500 +1! +#24892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24893000 +0! +#24893500 +1! +#24893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24894000 +0! +#24894500 +1! +#24894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24895000 +0! +#24895500 +1! +#24895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24896000 +0! +#24896500 +1! +#24896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24897000 +0! +#24897500 +1! +#24897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24898000 +0! +#24898500 +1! +#24898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24899000 +0! +#24899500 +1! +#24899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24900000 +0! +#24900500 +1! +#24900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24901000 +0! +#24901500 +1! +#24901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24902000 +0! +#24902500 +1! +#24902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24903000 +0! +#24903500 +1! +#24903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24904000 +0! +#24904500 +1! +#24904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24905000 +0! +#24905500 +1! +#24905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24906000 +0! +#24906500 +1! +#24906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24907000 +0! +#24907500 +1! +#24907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24908000 +0! +#24908500 +1! +#24908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24909000 +0! +#24909500 +1! +#24909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24910000 +0! +#24910500 +1! +#24910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24911000 +0! +#24911500 +1! +#24911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24912000 +0! +#24912500 +1! +#24912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24913000 +0! +#24913500 +1! +#24913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24914000 +0! +#24914500 +1! +#24914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24915000 +0! +#24915500 +1! +#24915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24916000 +0! +#24916500 +1! +#24916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#24916700 +0_ +0f +#24917000 +0! +#24917500 +1! +#24917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24918000 +0! +#24918500 +1! +#24918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24919000 +0! +#24919500 +1! +#24919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24920000 +0! +#24920500 +1! +#24920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24921000 +0! +#24921500 +1! +#24921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24922000 +0! +#24922500 +1! +#24922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24923000 +0! +#24923500 +1! +#24923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24924000 +0! +#24924500 +1! +#24924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24925000 +0! +#24925500 +1! +#24925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24926000 +0! +#24926500 +1! +#24926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24927000 +0! +#24927500 +1! +#24927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24928000 +0! +#24928500 +1! +#24928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24929000 +0! +#24929500 +1! +#24929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24930000 +0! +#24930500 +1! +#24930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24931000 +0! +#24931500 +1! +#24931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24932000 +0! +#24932500 +1! +#24932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24933000 +0! +#24933500 +1! +#24933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24934000 +0! +#24934500 +1! +#24934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24935000 +0! +#24935500 +1! +#24935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24936000 +0! +#24936500 +1! +#24936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24937000 +0! +#24937500 +1! +#24937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24938000 +0! +#24938500 +1! +#24938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24939000 +0! +#24939500 +1! +#24939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24940000 +0! +#24940500 +1! +#24940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24941000 +0! +#24941500 +1! +#24941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24942000 +0! +#24942500 +1! +#24942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24943000 +0! +#24943500 +1! +#24943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24944000 +0! +#24944500 +1! +#24944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24945000 +0! +#24945500 +1! +#24945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24946000 +0! +#24946500 +1! +#24946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24947000 +0! +#24947500 +1! +#24947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24948000 +0! +#24948500 +1! +#24948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24949000 +0! +#24949500 +1! +#24949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24950000 +0! +#24950500 +1! +#24950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24951000 +0! +#24951500 +1! +#24951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24952000 +0! +#24952500 +1! +#24952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24953000 +0! +#24953500 +1! +#24953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24954000 +0! +#24954500 +1! +#24954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24955000 +0! +#24955500 +1! +#24955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24956000 +0! +#24956500 +1! +#24956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24957000 +0! +#24957500 +1! +#24957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24958000 +0! +#24958500 +1! +#24958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24959000 +0! +#24959500 +1! +#24959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24960000 +0! +#24960500 +1! +#24960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24961000 +0! +#24961500 +1! +#24961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24962000 +0! +#24962500 +1! +#24962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24963000 +0! +#24963500 +1! +#24963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24964000 +0! +#24964500 +1! +#24964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24965000 +0! +#24965500 +1! +#24965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24966000 +0! +#24966500 +1! +#24966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24967000 +0! +#24967500 +1! +#24967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#24967700 +0` +b110 g +b10110 c +#24968000 +0! +#24968500 +1! +#24968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24969000 +0! +#24969500 +1! +#24969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24970000 +0! +#24970500 +1! +#24970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24971000 +0! +#24971500 +1! +#24971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24972000 +0! +#24972500 +1! +#24972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24973000 +0! +#24973500 +1! +#24973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24974000 +0! +#24974500 +1! +#24974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24975000 +0! +#24975500 +1! +#24975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24976000 +0! +#24976500 +1! +#24976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24977000 +0! +#24977500 +1! +#24977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24978000 +0! +#24978500 +1! +#24978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24979000 +0! +#24979500 +1! +#24979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24980000 +0! +#24980500 +1! +#24980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24981000 +0! +#24981500 +1! +#24981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24982000 +0! +#24982500 +1! +#24982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24983000 +0! +#24983500 +1! +#24983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24984000 +0! +#24984500 +1! +#24984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24985000 +0! +#24985500 +1! +#24985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24986000 +0! +#24986500 +1! +#24986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24987000 +0! +#24987500 +1! +#24987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24988000 +0! +#24988500 +1! +#24988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24989000 +0! +#24989500 +1! +#24989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24990000 +0! +#24990500 +1! +#24990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24991000 +0! +#24991500 +1! +#24991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24992000 +0! +#24992500 +1! +#24992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24993000 +0! +#24993500 +1! +#24993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24994000 +0! +#24994500 +1! +#24994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24995000 +0! +#24995500 +1! +#24995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24996000 +0! +#24996500 +1! +#24996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24997000 +0! +#24997500 +1! +#24997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#24998000 +0! +#24998500 +1! +#24998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#24999000 +0! +#24999500 +1! +#24999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25000000 +0! +#25000500 +1! +#25000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25001000 +0! +#25001500 +1! +#25001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25002000 +0! +#25002500 +1! +#25002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25003000 +0! +#25003500 +1! +#25003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25004000 +0! +#25004500 +1! +#25004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25005000 +0! +#25005500 +1! +#25005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25006000 +0! +#25006500 +1! +#25006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25007000 +0! +#25007500 +1! +#25007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25008000 +0! +#25008500 +1! +#25008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25009000 +0! +#25009500 +1! +#25009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25010000 +0! +#25010500 +1! +#25010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25011000 +0! +#25011500 +1! +#25011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25012000 +0! +#25012500 +1! +#25012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25013000 +0! +#25013500 +1! +#25013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25014000 +0! +#25014500 +1! +#25014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25015000 +0! +#25015500 +1! +#25015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25016000 +0! +#25016500 +1! +#25016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25017000 +0! +#25017500 +1! +#25017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25018000 +0! +#25018500 +1! +#25018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25019000 +0! +#25019500 +1! +#25019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25020000 +0! +#25020500 +1! +#25020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25021000 +0! +#25021500 +1! +#25021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25022000 +0! +#25022500 +1! +#25022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25023000 +0! +#25023500 +1! +#25023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25024000 +0! +#25024500 +1! +#25024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25025000 +0! +#25025500 +1! +#25025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25026000 +0! +#25026500 +1! +#25026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25027000 +0! +#25027500 +1! +#25027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25028000 +0! +#25028500 +1! +#25028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25029000 +0! +#25029500 +1! +#25029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25030000 +0! +#25030500 +1! +#25030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25031000 +0! +#25031500 +1! +#25031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25032000 +0! +#25032500 +1! +#25032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25033000 +0! +#25033500 +1! +#25033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25034000 +0! +#25034500 +1! +#25034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25035000 +0! +#25035500 +1! +#25035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25036000 +0! +#25036500 +1! +#25036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25037000 +0! +#25037500 +1! +#25037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25038000 +0! +#25038500 +1! +#25038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25039000 +0! +#25039500 +1! +#25039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25040000 +0! +#25040500 +1! +#25040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25041000 +0! +#25041500 +1! +#25041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25042000 +0! +#25042500 +1! +#25042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25043000 +0! +#25043500 +1! +#25043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25044000 +0! +#25044500 +1! +#25044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25045000 +0! +#25045500 +1! +#25045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25046000 +0! +#25046500 +1! +#25046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25047000 +0! +#25047500 +1! +#25047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25048000 +0! +#25048500 +1! +#25048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25049000 +0! +#25049500 +1! +#25049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25050000 +0! +#25050500 +1! +#25050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25051000 +0! +#25051500 +1! +#25051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25052000 +0! +#25052500 +1! +#25052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25053000 +0! +#25053500 +1! +#25053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25054000 +0! +#25054500 +1! +#25054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25055000 +0! +#25055500 +1! +#25055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25056000 +0! +#25056500 +1! +#25056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25057000 +0! +#25057500 +1! +#25057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25058000 +0! +#25058500 +1! +#25058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25059000 +0! +#25059500 +1! +#25059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25060000 +0! +#25060500 +1! +#25060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25061000 +0! +#25061500 +1! +#25061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25062000 +0! +#25062500 +1! +#25062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25063000 +0! +#25063500 +1! +#25063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25064000 +0! +#25064500 +1! +#25064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25065000 +0! +#25065500 +1! +#25065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25066000 +0! +#25066500 +1! +#25066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25067000 +0! +#25067500 +1! +#25067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25068000 +0! +#25068500 +1! +#25068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25069000 +0! +#25069500 +1! +#25069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#25070000 +0! +#25070500 +1! +#25070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25071000 +0! +#25071500 +1! +#25071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25072000 +0! +#25072500 +1! +#25072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25073000 +0! +#25073500 +1! +#25073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25074000 +0! +#25074500 +1! +#25074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25075000 +0! +#25075500 +1! +#25075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25076000 +0! +#25076500 +1! +#25076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25077000 +0! +#25077500 +1! +#25077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25078000 +0! +#25078500 +1! +#25078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25079000 +0! +#25079500 +1! +#25079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25080000 +0! +#25080500 +1! +#25080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25081000 +0! +#25081500 +1! +#25081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25082000 +0! +#25082500 +1! +#25082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25083000 +0! +#25083500 +1! +#25083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25084000 +0! +#25084500 +1! +#25084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25085000 +0! +#25085500 +1! +#25085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25086000 +0! +#25086500 +1! +#25086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25087000 +0! +#25087500 +1! +#25087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25088000 +0! +#25088500 +1! +#25088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25089000 +0! +#25089500 +1! +#25089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25090000 +0! +#25090500 +1! +#25090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25091000 +0! +#25091500 +1! +#25091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25092000 +0! +#25092500 +1! +#25092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25093000 +0! +#25093500 +1! +#25093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25094000 +0! +#25094500 +1! +#25094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25095000 +0! +#25095500 +1! +#25095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25096000 +0! +#25096500 +1! +#25096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25097000 +0! +#25097500 +1! +#25097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25098000 +0! +#25098500 +1! +#25098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25099000 +0! +#25099500 +1! +#25099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25100000 +0! +#25100500 +1! +#25100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25101000 +0! +#25101500 +1! +#25101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25102000 +0! +#25102500 +1! +#25102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25103000 +0! +#25103500 +1! +#25103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25104000 +0! +#25104500 +1! +#25104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25105000 +0! +#25105500 +1! +#25105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25106000 +0! +#25106500 +1! +#25106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25107000 +0! +#25107500 +1! +#25107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25108000 +0! +#25108500 +1! +#25108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25109000 +0! +#25109500 +1! +#25109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25110000 +0! +#25110500 +1! +#25110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25111000 +0! +#25111500 +1! +#25111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25112000 +0! +#25112500 +1! +#25112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25113000 +0! +#25113500 +1! +#25113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25114000 +0! +#25114500 +1! +#25114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25115000 +0! +#25115500 +1! +#25115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25116000 +0! +#25116500 +1! +#25116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25117000 +0! +#25117500 +1! +#25117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25118000 +0! +#25118500 +1! +#25118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25119000 +0! +#25119500 +1! +#25119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25120000 +0! +#25120500 +1! +#25120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25121000 +0! +#25121500 +1! +#25121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25122000 +0! +#25122500 +1! +#25122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25123000 +0! +#25123500 +1! +#25123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25124000 +0! +#25124500 +1! +#25124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25125000 +0! +#25125500 +1! +#25125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25126000 +0! +#25126500 +1! +#25126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25127000 +0! +#25127500 +1! +#25127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25128000 +0! +#25128500 +1! +#25128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25129000 +0! +#25129500 +1! +#25129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25130000 +0! +#25130500 +1! +#25130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25131000 +0! +#25131500 +1! +#25131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25132000 +0! +#25132500 +1! +#25132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25133000 +0! +#25133500 +1! +#25133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25134000 +0! +#25134500 +1! +#25134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25135000 +0! +#25135500 +1! +#25135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25136000 +0! +#25136500 +1! +#25136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25137000 +0! +#25137500 +1! +#25137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25138000 +0! +#25138500 +1! +#25138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25139000 +0! +#25139500 +1! +#25139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25140000 +0! +#25140500 +1! +#25140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25141000 +0! +#25141500 +1! +#25141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25142000 +0! +#25142500 +1! +#25142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25143000 +0! +#25143500 +1! +#25143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25144000 +0! +#25144500 +1! +#25144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25145000 +0! +#25145500 +1! +#25145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25146000 +0! +#25146500 +1! +#25146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25147000 +0! +#25147500 +1! +#25147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25148000 +0! +#25148500 +1! +#25148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25149000 +0! +#25149500 +1! +#25149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25150000 +0! +#25150500 +1! +#25150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25151000 +0! +#25151500 +1! +#25151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25152000 +0! +#25152500 +1! +#25152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25153000 +0! +#25153500 +1! +#25153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25154000 +0! +#25154500 +1! +#25154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25155000 +0! +#25155500 +1! +#25155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25156000 +0! +#25156500 +1! +#25156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25157000 +0! +#25157500 +1! +#25157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25158000 +0! +#25158500 +1! +#25158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25159000 +0! +#25159500 +1! +#25159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25160000 +0! +#25160500 +1! +#25160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25161000 +0! +#25161500 +1! +#25161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25162000 +0! +#25162500 +1! +#25162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25163000 +0! +#25163500 +1! +#25163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25164000 +0! +#25164500 +1! +#25164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25165000 +0! +#25165500 +1! +#25165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25166000 +0! +#25166500 +1! +#25166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25167000 +0! +#25167500 +1! +#25167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25168000 +0! +#25168500 +1! +#25168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25169000 +0! +#25169500 +1! +#25169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25170000 +0! +#25170500 +1! +#25170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25171000 +0! +#25171500 +1! +#25171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#25172000 +0! +#25172500 +1! +#25172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25173000 +0! +#25173500 +1! +#25173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25174000 +0! +#25174500 +1! +#25174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25175000 +0! +#25175500 +1! +#25175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25176000 +0! +#25176500 +1! +#25176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25177000 +0! +#25177500 +1! +#25177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25178000 +0! +#25178500 +1! +#25178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25179000 +0! +#25179500 +1! +#25179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25180000 +0! +#25180500 +1! +#25180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25181000 +0! +#25181500 +1! +#25181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25182000 +0! +#25182500 +1! +#25182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25183000 +0! +#25183500 +1! +#25183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25184000 +0! +#25184500 +1! +#25184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25185000 +0! +#25185500 +1! +#25185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25186000 +0! +#25186500 +1! +#25186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25187000 +0! +#25187500 +1! +#25187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25188000 +0! +#25188500 +1! +#25188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25189000 +0! +#25189500 +1! +#25189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25190000 +0! +#25190500 +1! +#25190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25191000 +0! +#25191500 +1! +#25191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25192000 +0! +#25192500 +1! +#25192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25193000 +0! +#25193500 +1! +#25193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25194000 +0! +#25194500 +1! +#25194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25195000 +0! +#25195500 +1! +#25195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25196000 +0! +#25196500 +1! +#25196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25197000 +0! +#25197500 +1! +#25197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25198000 +0! +#25198500 +1! +#25198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25199000 +0! +#25199500 +1! +#25199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25200000 +0! +#25200500 +1! +#25200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25201000 +0! +#25201500 +1! +#25201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25202000 +0! +#25202500 +1! +#25202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25203000 +0! +#25203500 +1! +#25203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25204000 +0! +#25204500 +1! +#25204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25205000 +0! +#25205500 +1! +#25205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25206000 +0! +#25206500 +1! +#25206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25207000 +0! +#25207500 +1! +#25207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25208000 +0! +#25208500 +1! +#25208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25209000 +0! +#25209500 +1! +#25209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25210000 +0! +#25210500 +1! +#25210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25211000 +0! +#25211500 +1! +#25211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25212000 +0! +#25212500 +1! +#25212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25213000 +0! +#25213500 +1! +#25213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25214000 +0! +#25214500 +1! +#25214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25215000 +0! +#25215500 +1! +#25215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25216000 +0! +#25216500 +1! +#25216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25217000 +0! +#25217500 +1! +#25217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25218000 +0! +#25218500 +1! +#25218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25219000 +0! +#25219500 +1! +#25219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25220000 +0! +#25220500 +1! +#25220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25221000 +0! +#25221500 +1! +#25221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25222000 +0! +#25222500 +1! +#25222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#25222700 +b101 g +b101101 c +#25223000 +0! +#25223500 +1! +#25223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25224000 +0! +#25224500 +1! +#25224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25225000 +0! +#25225500 +1! +#25225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25226000 +0! +#25226500 +1! +#25226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25227000 +0! +#25227500 +1! +#25227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25228000 +0! +#25228500 +1! +#25228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25229000 +0! +#25229500 +1! +#25229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25230000 +0! +#25230500 +1! +#25230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25231000 +0! +#25231500 +1! +#25231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25232000 +0! +#25232500 +1! +#25232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25233000 +0! +#25233500 +1! +#25233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25234000 +0! +#25234500 +1! +#25234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25235000 +0! +#25235500 +1! +#25235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25236000 +0! +#25236500 +1! +#25236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25237000 +0! +#25237500 +1! +#25237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25238000 +0! +#25238500 +1! +#25238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25239000 +0! +#25239500 +1! +#25239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25240000 +0! +#25240500 +1! +#25240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25241000 +0! +#25241500 +1! +#25241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25242000 +0! +#25242500 +1! +#25242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25243000 +0! +#25243500 +1! +#25243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25244000 +0! +#25244500 +1! +#25244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25245000 +0! +#25245500 +1! +#25245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25246000 +0! +#25246500 +1! +#25246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25247000 +0! +#25247500 +1! +#25247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25248000 +0! +#25248500 +1! +#25248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25249000 +0! +#25249500 +1! +#25249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25250000 +0! +#25250500 +1! +#25250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25251000 +0! +#25251500 +1! +#25251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25252000 +0! +#25252500 +1! +#25252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25253000 +0! +#25253500 +1! +#25253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25254000 +0! +#25254500 +1! +#25254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25255000 +0! +#25255500 +1! +#25255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25256000 +0! +#25256500 +1! +#25256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25257000 +0! +#25257500 +1! +#25257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25258000 +0! +#25258500 +1! +#25258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25259000 +0! +#25259500 +1! +#25259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25260000 +0! +#25260500 +1! +#25260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25261000 +0! +#25261500 +1! +#25261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25262000 +0! +#25262500 +1! +#25262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25263000 +0! +#25263500 +1! +#25263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25264000 +0! +#25264500 +1! +#25264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25265000 +0! +#25265500 +1! +#25265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25266000 +0! +#25266500 +1! +#25266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25267000 +0! +#25267500 +1! +#25267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25268000 +0! +#25268500 +1! +#25268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25269000 +0! +#25269500 +1! +#25269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25270000 +0! +#25270500 +1! +#25270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25271000 +0! +#25271500 +1! +#25271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25272000 +0! +#25272500 +1! +#25272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25273000 +0! +#25273500 +1! +#25273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25274000 +0! +#25274500 +1! +#25274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25275000 +0! +#25275500 +1! +#25275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25276000 +0! +#25276500 +1! +#25276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25277000 +0! +#25277500 +1! +#25277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25278000 +0! +#25278500 +1! +#25278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25279000 +0! +#25279500 +1! +#25279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25280000 +0! +#25280500 +1! +#25280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25281000 +0! +#25281500 +1! +#25281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25282000 +0! +#25282500 +1! +#25282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25283000 +0! +#25283500 +1! +#25283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25284000 +0! +#25284500 +1! +#25284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25285000 +0! +#25285500 +1! +#25285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25286000 +0! +#25286500 +1! +#25286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25287000 +0! +#25287500 +1! +#25287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25288000 +0! +#25288500 +1! +#25288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25289000 +0! +#25289500 +1! +#25289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25290000 +0! +#25290500 +1! +#25290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25291000 +0! +#25291500 +1! +#25291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25292000 +0! +#25292500 +1! +#25292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25293000 +0! +#25293500 +1! +#25293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25294000 +0! +#25294500 +1! +#25294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25295000 +0! +#25295500 +1! +#25295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25296000 +0! +#25296500 +1! +#25296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25297000 +0! +#25297500 +1! +#25297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25298000 +0! +#25298500 +1! +#25298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25299000 +0! +#25299500 +1! +#25299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25300000 +0! +#25300500 +1! +#25300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25301000 +0! +#25301500 +1! +#25301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25302000 +0! +#25302500 +1! +#25302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25303000 +0! +#25303500 +1! +#25303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25304000 +0! +#25304500 +1! +#25304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25305000 +0! +#25305500 +1! +#25305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25306000 +0! +#25306500 +1! +#25306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25307000 +0! +#25307500 +1! +#25307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25308000 +0! +#25308500 +1! +#25308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25309000 +0! +#25309500 +1! +#25309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25310000 +0! +#25310500 +1! +#25310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25311000 +0! +#25311500 +1! +#25311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25312000 +0! +#25312500 +1! +#25312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25313000 +0! +#25313500 +1! +#25313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25314000 +0! +#25314500 +1! +#25314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25315000 +0! +#25315500 +1! +#25315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25316000 +0! +#25316500 +1! +#25316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25317000 +0! +#25317500 +1! +#25317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25318000 +0! +#25318500 +1! +#25318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25319000 +0! +#25319500 +1! +#25319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25320000 +0! +#25320500 +1! +#25320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25321000 +0! +#25321500 +1! +#25321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25322000 +0! +#25322500 +1! +#25322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25323000 +0! +#25323500 +1! +#25323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25324000 +0! +#25324500 +1! +#25324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#25325000 +0! +#25325500 +1! +#25325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25326000 +0! +#25326500 +1! +#25326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25327000 +0! +#25327500 +1! +#25327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25328000 +0! +#25328500 +1! +#25328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25329000 +0! +#25329500 +1! +#25329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25330000 +0! +#25330500 +1! +#25330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25331000 +0! +#25331500 +1! +#25331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25332000 +0! +#25332500 +1! +#25332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25333000 +0! +#25333500 +1! +#25333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25334000 +0! +#25334500 +1! +#25334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25335000 +0! +#25335500 +1! +#25335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25336000 +0! +#25336500 +1! +#25336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25337000 +0! +#25337500 +1! +#25337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25338000 +0! +#25338500 +1! +#25338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25339000 +0! +#25339500 +1! +#25339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25340000 +0! +#25340500 +1! +#25340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25341000 +0! +#25341500 +1! +#25341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25342000 +0! +#25342500 +1! +#25342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25343000 +0! +#25343500 +1! +#25343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25344000 +0! +#25344500 +1! +#25344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25345000 +0! +#25345500 +1! +#25345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25346000 +0! +#25346500 +1! +#25346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25347000 +0! +#25347500 +1! +#25347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25348000 +0! +#25348500 +1! +#25348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25349000 +0! +#25349500 +1! +#25349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25350000 +0! +#25350500 +1! +#25350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25351000 +0! +#25351500 +1! +#25351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25352000 +0! +#25352500 +1! +#25352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25353000 +0! +#25353500 +1! +#25353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25354000 +0! +#25354500 +1! +#25354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25355000 +0! +#25355500 +1! +#25355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25356000 +0! +#25356500 +1! +#25356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25357000 +0! +#25357500 +1! +#25357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25358000 +0! +#25358500 +1! +#25358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25359000 +0! +#25359500 +1! +#25359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25360000 +0! +#25360500 +1! +#25360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25361000 +0! +#25361500 +1! +#25361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25362000 +0! +#25362500 +1! +#25362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25363000 +0! +#25363500 +1! +#25363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25364000 +0! +#25364500 +1! +#25364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25365000 +0! +#25365500 +1! +#25365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25366000 +0! +#25366500 +1! +#25366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25367000 +0! +#25367500 +1! +#25367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25368000 +0! +#25368500 +1! +#25368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25369000 +0! +#25369500 +1! +#25369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25370000 +0! +#25370500 +1! +#25370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25371000 +0! +#25371500 +1! +#25371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25372000 +0! +#25372500 +1! +#25372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25373000 +0! +#25373500 +1! +#25373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25374000 +0! +#25374500 +1! +#25374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25375000 +0! +#25375500 +1! +#25375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25376000 +0! +#25376500 +1! +#25376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25377000 +0! +#25377500 +1! +#25377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25378000 +0! +#25378500 +1! +#25378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25379000 +0! +#25379500 +1! +#25379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25380000 +0! +#25380500 +1! +#25380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25381000 +0! +#25381500 +1! +#25381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25382000 +0! +#25382500 +1! +#25382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25383000 +0! +#25383500 +1! +#25383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25384000 +0! +#25384500 +1! +#25384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25385000 +0! +#25385500 +1! +#25385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25386000 +0! +#25386500 +1! +#25386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25387000 +0! +#25387500 +1! +#25387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25388000 +0! +#25388500 +1! +#25388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25389000 +0! +#25389500 +1! +#25389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25390000 +0! +#25390500 +1! +#25390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25391000 +0! +#25391500 +1! +#25391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25392000 +0! +#25392500 +1! +#25392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25393000 +0! +#25393500 +1! +#25393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25394000 +0! +#25394500 +1! +#25394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25395000 +0! +#25395500 +1! +#25395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25396000 +0! +#25396500 +1! +#25396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25397000 +0! +#25397500 +1! +#25397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25398000 +0! +#25398500 +1! +#25398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25399000 +0! +#25399500 +1! +#25399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25400000 +0! +#25400500 +1! +#25400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25401000 +0! +#25401500 +1! +#25401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25402000 +0! +#25402500 +1! +#25402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25403000 +0! +#25403500 +1! +#25403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25404000 +0! +#25404500 +1! +#25404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25405000 +0! +#25405500 +1! +#25405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25406000 +0! +#25406500 +1! +#25406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25407000 +0! +#25407500 +1! +#25407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25408000 +0! +#25408500 +1! +#25408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25409000 +0! +#25409500 +1! +#25409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25410000 +0! +#25410500 +1! +#25410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25411000 +0! +#25411500 +1! +#25411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25412000 +0! +#25412500 +1! +#25412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25413000 +0! +#25413500 +1! +#25413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25414000 +0! +#25414500 +1! +#25414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25415000 +0! +#25415500 +1! +#25415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25416000 +0! +#25416500 +1! +#25416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25417000 +0! +#25417500 +1! +#25417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25418000 +0! +#25418500 +1! +#25418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25419000 +0! +#25419500 +1! +#25419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25420000 +0! +#25420500 +1! +#25420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25421000 +0! +#25421500 +1! +#25421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25422000 +0! +#25422500 +1! +#25422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25423000 +0! +#25423500 +1! +#25423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25424000 +0! +#25424500 +1! +#25424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25425000 +0! +#25425500 +1! +#25425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25426000 +0! +#25426500 +1! +#25426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#25427000 +0! +#25427500 +1! +#25427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25428000 +0! +#25428500 +1! +#25428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25429000 +0! +#25429500 +1! +#25429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25430000 +0! +#25430500 +1! +#25430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25431000 +0! +#25431500 +1! +#25431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25432000 +0! +#25432500 +1! +#25432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25433000 +0! +#25433500 +1! +#25433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25434000 +0! +#25434500 +1! +#25434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25435000 +0! +#25435500 +1! +#25435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25436000 +0! +#25436500 +1! +#25436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25437000 +0! +#25437500 +1! +#25437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25438000 +0! +#25438500 +1! +#25438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25439000 +0! +#25439500 +1! +#25439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25440000 +0! +#25440500 +1! +#25440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25441000 +0! +#25441500 +1! +#25441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25442000 +0! +#25442500 +1! +#25442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25443000 +0! +#25443500 +1! +#25443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25444000 +0! +#25444500 +1! +#25444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25445000 +0! +#25445500 +1! +#25445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25446000 +0! +#25446500 +1! +#25446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25447000 +0! +#25447500 +1! +#25447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25448000 +0! +#25448500 +1! +#25448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25449000 +0! +#25449500 +1! +#25449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25450000 +0! +#25450500 +1! +#25450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25451000 +0! +#25451500 +1! +#25451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25452000 +0! +#25452500 +1! +#25452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25453000 +0! +#25453500 +1! +#25453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25454000 +0! +#25454500 +1! +#25454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25455000 +0! +#25455500 +1! +#25455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25456000 +0! +#25456500 +1! +#25456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25457000 +0! +#25457500 +1! +#25457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25458000 +0! +#25458500 +1! +#25458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25459000 +0! +#25459500 +1! +#25459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25460000 +0! +#25460500 +1! +#25460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25461000 +0! +#25461500 +1! +#25461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25462000 +0! +#25462500 +1! +#25462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25463000 +0! +#25463500 +1! +#25463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25464000 +0! +#25464500 +1! +#25464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25465000 +0! +#25465500 +1! +#25465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25466000 +0! +#25466500 +1! +#25466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25467000 +0! +#25467500 +1! +#25467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25468000 +0! +#25468500 +1! +#25468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25469000 +0! +#25469500 +1! +#25469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25470000 +0! +#25470500 +1! +#25470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25471000 +0! +#25471500 +1! +#25471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25472000 +0! +#25472500 +1! +#25472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25473000 +0! +#25473500 +1! +#25473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25474000 +0! +#25474500 +1! +#25474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25475000 +0! +#25475500 +1! +#25475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25476000 +0! +#25476500 +1! +#25476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25477000 +0! +#25477500 +1! +#25477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#25477700 +b100 g +b1011010 c +#25478000 +0! +#25478500 +1! +#25478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25479000 +0! +#25479500 +1! +#25479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25480000 +0! +#25480500 +1! +#25480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25481000 +0! +#25481500 +1! +#25481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25482000 +0! +#25482500 +1! +#25482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25483000 +0! +#25483500 +1! +#25483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25484000 +0! +#25484500 +1! +#25484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25485000 +0! +#25485500 +1! +#25485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25486000 +0! +#25486500 +1! +#25486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25487000 +0! +#25487500 +1! +#25487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25488000 +0! +#25488500 +1! +#25488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25489000 +0! +#25489500 +1! +#25489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25490000 +0! +#25490500 +1! +#25490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25491000 +0! +#25491500 +1! +#25491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25492000 +0! +#25492500 +1! +#25492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25493000 +0! +#25493500 +1! +#25493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25494000 +0! +#25494500 +1! +#25494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25495000 +0! +#25495500 +1! +#25495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25496000 +0! +#25496500 +1! +#25496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25497000 +0! +#25497500 +1! +#25497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25498000 +0! +#25498500 +1! +#25498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25499000 +0! +#25499500 +1! +#25499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25500000 +0! +#25500500 +1! +#25500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25501000 +0! +#25501500 +1! +#25501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25502000 +0! +#25502500 +1! +#25502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25503000 +0! +#25503500 +1! +#25503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25504000 +0! +#25504500 +1! +#25504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25505000 +0! +#25505500 +1! +#25505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25506000 +0! +#25506500 +1! +#25506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25507000 +0! +#25507500 +1! +#25507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25508000 +0! +#25508500 +1! +#25508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25509000 +0! +#25509500 +1! +#25509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25510000 +0! +#25510500 +1! +#25510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25511000 +0! +#25511500 +1! +#25511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25512000 +0! +#25512500 +1! +#25512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25513000 +0! +#25513500 +1! +#25513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25514000 +0! +#25514500 +1! +#25514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25515000 +0! +#25515500 +1! +#25515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25516000 +0! +#25516500 +1! +#25516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25517000 +0! +#25517500 +1! +#25517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25518000 +0! +#25518500 +1! +#25518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25519000 +0! +#25519500 +1! +#25519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25520000 +0! +#25520500 +1! +#25520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25521000 +0! +#25521500 +1! +#25521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25522000 +0! +#25522500 +1! +#25522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25523000 +0! +#25523500 +1! +#25523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25524000 +0! +#25524500 +1! +#25524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25525000 +0! +#25525500 +1! +#25525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25526000 +0! +#25526500 +1! +#25526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25527000 +0! +#25527500 +1! +#25527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25528000 +0! +#25528500 +1! +#25528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25529000 +0! +#25529500 +1! +#25529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25530000 +0! +#25530500 +1! +#25530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25531000 +0! +#25531500 +1! +#25531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25532000 +0! +#25532500 +1! +#25532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25533000 +0! +#25533500 +1! +#25533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25534000 +0! +#25534500 +1! +#25534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25535000 +0! +#25535500 +1! +#25535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25536000 +0! +#25536500 +1! +#25536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25537000 +0! +#25537500 +1! +#25537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25538000 +0! +#25538500 +1! +#25538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25539000 +0! +#25539500 +1! +#25539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25540000 +0! +#25540500 +1! +#25540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25541000 +0! +#25541500 +1! +#25541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25542000 +0! +#25542500 +1! +#25542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25543000 +0! +#25543500 +1! +#25543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25544000 +0! +#25544500 +1! +#25544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25545000 +0! +#25545500 +1! +#25545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25546000 +0! +#25546500 +1! +#25546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25547000 +0! +#25547500 +1! +#25547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25548000 +0! +#25548500 +1! +#25548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25549000 +0! +#25549500 +1! +#25549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25550000 +0! +#25550500 +1! +#25550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25551000 +0! +#25551500 +1! +#25551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25552000 +0! +#25552500 +1! +#25552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25553000 +0! +#25553500 +1! +#25553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25554000 +0! +#25554500 +1! +#25554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25555000 +0! +#25555500 +1! +#25555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25556000 +0! +#25556500 +1! +#25556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25557000 +0! +#25557500 +1! +#25557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25558000 +0! +#25558500 +1! +#25558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25559000 +0! +#25559500 +1! +#25559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25560000 +0! +#25560500 +1! +#25560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25561000 +0! +#25561500 +1! +#25561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25562000 +0! +#25562500 +1! +#25562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25563000 +0! +#25563500 +1! +#25563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25564000 +0! +#25564500 +1! +#25564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25565000 +0! +#25565500 +1! +#25565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25566000 +0! +#25566500 +1! +#25566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25567000 +0! +#25567500 +1! +#25567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25568000 +0! +#25568500 +1! +#25568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25569000 +0! +#25569500 +1! +#25569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25570000 +0! +#25570500 +1! +#25570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25571000 +0! +#25571500 +1! +#25571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25572000 +0! +#25572500 +1! +#25572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25573000 +0! +#25573500 +1! +#25573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25574000 +0! +#25574500 +1! +#25574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25575000 +0! +#25575500 +1! +#25575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25576000 +0! +#25576500 +1! +#25576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25577000 +0! +#25577500 +1! +#25577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25578000 +0! +#25578500 +1! +#25578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25579000 +0! +#25579500 +1! +#25579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#25580000 +0! +#25580500 +1! +#25580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25581000 +0! +#25581500 +1! +#25581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25582000 +0! +#25582500 +1! +#25582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25583000 +0! +#25583500 +1! +#25583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25584000 +0! +#25584500 +1! +#25584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25585000 +0! +#25585500 +1! +#25585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25586000 +0! +#25586500 +1! +#25586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25587000 +0! +#25587500 +1! +#25587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25588000 +0! +#25588500 +1! +#25588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25589000 +0! +#25589500 +1! +#25589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25590000 +0! +#25590500 +1! +#25590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25591000 +0! +#25591500 +1! +#25591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25592000 +0! +#25592500 +1! +#25592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25593000 +0! +#25593500 +1! +#25593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25594000 +0! +#25594500 +1! +#25594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25595000 +0! +#25595500 +1! +#25595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25596000 +0! +#25596500 +1! +#25596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25597000 +0! +#25597500 +1! +#25597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25598000 +0! +#25598500 +1! +#25598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25599000 +0! +#25599500 +1! +#25599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25600000 +0! +#25600500 +1! +#25600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25601000 +0! +#25601500 +1! +#25601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25602000 +0! +#25602500 +1! +#25602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25603000 +0! +#25603500 +1! +#25603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25604000 +0! +#25604500 +1! +#25604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25605000 +0! +#25605500 +1! +#25605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25606000 +0! +#25606500 +1! +#25606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25607000 +0! +#25607500 +1! +#25607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25608000 +0! +#25608500 +1! +#25608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25609000 +0! +#25609500 +1! +#25609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25610000 +0! +#25610500 +1! +#25610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25611000 +0! +#25611500 +1! +#25611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25612000 +0! +#25612500 +1! +#25612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25613000 +0! +#25613500 +1! +#25613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25614000 +0! +#25614500 +1! +#25614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25615000 +0! +#25615500 +1! +#25615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25616000 +0! +#25616500 +1! +#25616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25617000 +0! +#25617500 +1! +#25617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25618000 +0! +#25618500 +1! +#25618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25619000 +0! +#25619500 +1! +#25619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25620000 +0! +#25620500 +1! +#25620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25621000 +0! +#25621500 +1! +#25621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25622000 +0! +#25622500 +1! +#25622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25623000 +0! +#25623500 +1! +#25623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25624000 +0! +#25624500 +1! +#25624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25625000 +0! +#25625500 +1! +#25625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25626000 +0! +#25626500 +1! +#25626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25627000 +0! +#25627500 +1! +#25627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25628000 +0! +#25628500 +1! +#25628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25629000 +0! +#25629500 +1! +#25629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25630000 +0! +#25630500 +1! +#25630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25631000 +0! +#25631500 +1! +#25631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25632000 +0! +#25632500 +1! +#25632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25633000 +0! +#25633500 +1! +#25633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25634000 +0! +#25634500 +1! +#25634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25635000 +0! +#25635500 +1! +#25635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25636000 +0! +#25636500 +1! +#25636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25637000 +0! +#25637500 +1! +#25637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25638000 +0! +#25638500 +1! +#25638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25639000 +0! +#25639500 +1! +#25639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25640000 +0! +#25640500 +1! +#25640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25641000 +0! +#25641500 +1! +#25641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25642000 +0! +#25642500 +1! +#25642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25643000 +0! +#25643500 +1! +#25643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25644000 +0! +#25644500 +1! +#25644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25645000 +0! +#25645500 +1! +#25645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25646000 +0! +#25646500 +1! +#25646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25647000 +0! +#25647500 +1! +#25647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25648000 +0! +#25648500 +1! +#25648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25649000 +0! +#25649500 +1! +#25649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25650000 +0! +#25650500 +1! +#25650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25651000 +0! +#25651500 +1! +#25651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25652000 +0! +#25652500 +1! +#25652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25653000 +0! +#25653500 +1! +#25653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25654000 +0! +#25654500 +1! +#25654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25655000 +0! +#25655500 +1! +#25655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25656000 +0! +#25656500 +1! +#25656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25657000 +0! +#25657500 +1! +#25657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25658000 +0! +#25658500 +1! +#25658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25659000 +0! +#25659500 +1! +#25659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25660000 +0! +#25660500 +1! +#25660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25661000 +0! +#25661500 +1! +#25661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25662000 +0! +#25662500 +1! +#25662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25663000 +0! +#25663500 +1! +#25663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25664000 +0! +#25664500 +1! +#25664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25665000 +0! +#25665500 +1! +#25665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25666000 +0! +#25666500 +1! +#25666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25667000 +0! +#25667500 +1! +#25667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25668000 +0! +#25668500 +1! +#25668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25669000 +0! +#25669500 +1! +#25669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25670000 +0! +#25670500 +1! +#25670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25671000 +0! +#25671500 +1! +#25671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25672000 +0! +#25672500 +1! +#25672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25673000 +0! +#25673500 +1! +#25673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25674000 +0! +#25674500 +1! +#25674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25675000 +0! +#25675500 +1! +#25675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25676000 +0! +#25676500 +1! +#25676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25677000 +0! +#25677500 +1! +#25677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25678000 +0! +#25678500 +1! +#25678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25679000 +0! +#25679500 +1! +#25679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25680000 +0! +#25680500 +1! +#25680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25681000 +0! +#25681500 +1! +#25681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25682000 +0! +#25682500 +1! +#25682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25683000 +0! +#25683500 +1! +#25683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25684000 +0! +#25684500 +1! +#25684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25685000 +0! +#25685500 +1! +#25685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25686000 +0! +#25686500 +1! +#25686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25687000 +0! +#25687500 +1! +#25687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25688000 +0! +#25688500 +1! +#25688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25689000 +0! +#25689500 +1! +#25689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25690000 +0! +#25690500 +1! +#25690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25691000 +0! +#25691500 +1! +#25691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25692000 +0! +#25692500 +1! +#25692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25693000 +0! +#25693500 +1! +#25693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25694000 +0! +#25694500 +1! +#25694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25695000 +0! +#25695500 +1! +#25695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25696000 +0! +#25696500 +1! +#25696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25697000 +0! +#25697500 +1! +#25697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25698000 +0! +#25698500 +1! +#25698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25699000 +0! +#25699500 +1! +#25699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25700000 +0! +#25700500 +1! +#25700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25701000 +0! +#25701500 +1! +#25701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25702000 +0! +#25702500 +1! +#25702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25703000 +0! +#25703500 +1! +#25703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25704000 +0! +#25704500 +1! +#25704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25705000 +0! +#25705500 +1! +#25705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25706000 +0! +#25706500 +1! +#25706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25707000 +0! +#25707500 +1! +#25707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25708000 +0! +#25708500 +1! +#25708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25709000 +0! +#25709500 +1! +#25709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25710000 +0! +#25710500 +1! +#25710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25711000 +0! +#25711500 +1! +#25711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25712000 +0! +#25712500 +1! +#25712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25713000 +0! +#25713500 +1! +#25713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25714000 +0! +#25714500 +1! +#25714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25715000 +0! +#25715500 +1! +#25715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25716000 +0! +#25716500 +1! +#25716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25717000 +0! +#25717500 +1! +#25717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25718000 +0! +#25718500 +1! +#25718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25719000 +0! +#25719500 +1! +#25719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25720000 +0! +#25720500 +1! +#25720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25721000 +0! +#25721500 +1! +#25721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25722000 +0! +#25722500 +1! +#25722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25723000 +0! +#25723500 +1! +#25723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25724000 +0! +#25724500 +1! +#25724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25725000 +0! +#25725500 +1! +#25725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25726000 +0! +#25726500 +1! +#25726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25727000 +0! +#25727500 +1! +#25727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25728000 +0! +#25728500 +1! +#25728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25729000 +0! +#25729500 +1! +#25729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25730000 +0! +#25730500 +1! +#25730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25731000 +0! +#25731500 +1! +#25731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25732000 +0! +#25732500 +1! +#25732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#25732700 +b11 g +b10110100 c +#25733000 +0! +#25733500 +1! +#25733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25734000 +0! +#25734500 +1! +#25734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25735000 +0! +#25735500 +1! +#25735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25736000 +0! +#25736500 +1! +#25736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25737000 +0! +#25737500 +1! +#25737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25738000 +0! +#25738500 +1! +#25738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25739000 +0! +#25739500 +1! +#25739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25740000 +0! +#25740500 +1! +#25740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25741000 +0! +#25741500 +1! +#25741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25742000 +0! +#25742500 +1! +#25742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25743000 +0! +#25743500 +1! +#25743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25744000 +0! +#25744500 +1! +#25744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25745000 +0! +#25745500 +1! +#25745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25746000 +0! +#25746500 +1! +#25746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25747000 +0! +#25747500 +1! +#25747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25748000 +0! +#25748500 +1! +#25748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25749000 +0! +#25749500 +1! +#25749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25750000 +0! +#25750500 +1! +#25750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25751000 +0! +#25751500 +1! +#25751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25752000 +0! +#25752500 +1! +#25752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25753000 +0! +#25753500 +1! +#25753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25754000 +0! +#25754500 +1! +#25754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25755000 +0! +#25755500 +1! +#25755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25756000 +0! +#25756500 +1! +#25756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25757000 +0! +#25757500 +1! +#25757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25758000 +0! +#25758500 +1! +#25758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25759000 +0! +#25759500 +1! +#25759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25760000 +0! +#25760500 +1! +#25760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25761000 +0! +#25761500 +1! +#25761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25762000 +0! +#25762500 +1! +#25762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25763000 +0! +#25763500 +1! +#25763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25764000 +0! +#25764500 +1! +#25764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25765000 +0! +#25765500 +1! +#25765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25766000 +0! +#25766500 +1! +#25766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25767000 +0! +#25767500 +1! +#25767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25768000 +0! +#25768500 +1! +#25768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25769000 +0! +#25769500 +1! +#25769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25770000 +0! +#25770500 +1! +#25770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25771000 +0! +#25771500 +1! +#25771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25772000 +0! +#25772500 +1! +#25772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25773000 +0! +#25773500 +1! +#25773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25774000 +0! +#25774500 +1! +#25774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25775000 +0! +#25775500 +1! +#25775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25776000 +0! +#25776500 +1! +#25776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25777000 +0! +#25777500 +1! +#25777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25778000 +0! +#25778500 +1! +#25778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25779000 +0! +#25779500 +1! +#25779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25780000 +0! +#25780500 +1! +#25780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25781000 +0! +#25781500 +1! +#25781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25782000 +0! +#25782500 +1! +#25782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25783000 +0! +#25783500 +1! +#25783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25784000 +0! +#25784500 +1! +#25784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25785000 +0! +#25785500 +1! +#25785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25786000 +0! +#25786500 +1! +#25786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25787000 +0! +#25787500 +1! +#25787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25788000 +0! +#25788500 +1! +#25788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25789000 +0! +#25789500 +1! +#25789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25790000 +0! +#25790500 +1! +#25790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25791000 +0! +#25791500 +1! +#25791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25792000 +0! +#25792500 +1! +#25792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25793000 +0! +#25793500 +1! +#25793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25794000 +0! +#25794500 +1! +#25794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25795000 +0! +#25795500 +1! +#25795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25796000 +0! +#25796500 +1! +#25796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25797000 +0! +#25797500 +1! +#25797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25798000 +0! +#25798500 +1! +#25798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25799000 +0! +#25799500 +1! +#25799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25800000 +0! +#25800500 +1! +#25800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25801000 +0! +#25801500 +1! +#25801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25802000 +0! +#25802500 +1! +#25802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25803000 +0! +#25803500 +1! +#25803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25804000 +0! +#25804500 +1! +#25804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25805000 +0! +#25805500 +1! +#25805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25806000 +0! +#25806500 +1! +#25806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25807000 +0! +#25807500 +1! +#25807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25808000 +0! +#25808500 +1! +#25808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25809000 +0! +#25809500 +1! +#25809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25810000 +0! +#25810500 +1! +#25810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25811000 +0! +#25811500 +1! +#25811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25812000 +0! +#25812500 +1! +#25812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25813000 +0! +#25813500 +1! +#25813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25814000 +0! +#25814500 +1! +#25814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25815000 +0! +#25815500 +1! +#25815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25816000 +0! +#25816500 +1! +#25816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25817000 +0! +#25817500 +1! +#25817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25818000 +0! +#25818500 +1! +#25818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25819000 +0! +#25819500 +1! +#25819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25820000 +0! +#25820500 +1! +#25820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25821000 +0! +#25821500 +1! +#25821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25822000 +0! +#25822500 +1! +#25822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25823000 +0! +#25823500 +1! +#25823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25824000 +0! +#25824500 +1! +#25824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25825000 +0! +#25825500 +1! +#25825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25826000 +0! +#25826500 +1! +#25826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25827000 +0! +#25827500 +1! +#25827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25828000 +0! +#25828500 +1! +#25828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25829000 +0! +#25829500 +1! +#25829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25830000 +0! +#25830500 +1! +#25830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25831000 +0! +#25831500 +1! +#25831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25832000 +0! +#25832500 +1! +#25832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25833000 +0! +#25833500 +1! +#25833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25834000 +0! +#25834500 +1! +#25834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#25835000 +0! +#25835500 +1! +#25835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25836000 +0! +#25836500 +1! +#25836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25837000 +0! +#25837500 +1! +#25837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25838000 +0! +#25838500 +1! +#25838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25839000 +0! +#25839500 +1! +#25839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25840000 +0! +#25840500 +1! +#25840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25841000 +0! +#25841500 +1! +#25841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25842000 +0! +#25842500 +1! +#25842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25843000 +0! +#25843500 +1! +#25843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25844000 +0! +#25844500 +1! +#25844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25845000 +0! +#25845500 +1! +#25845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25846000 +0! +#25846500 +1! +#25846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25847000 +0! +#25847500 +1! +#25847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25848000 +0! +#25848500 +1! +#25848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25849000 +0! +#25849500 +1! +#25849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25850000 +0! +#25850500 +1! +#25850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25851000 +0! +#25851500 +1! +#25851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25852000 +0! +#25852500 +1! +#25852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25853000 +0! +#25853500 +1! +#25853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25854000 +0! +#25854500 +1! +#25854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25855000 +0! +#25855500 +1! +#25855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25856000 +0! +#25856500 +1! +#25856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25857000 +0! +#25857500 +1! +#25857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25858000 +0! +#25858500 +1! +#25858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25859000 +0! +#25859500 +1! +#25859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25860000 +0! +#25860500 +1! +#25860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25861000 +0! +#25861500 +1! +#25861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25862000 +0! +#25862500 +1! +#25862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25863000 +0! +#25863500 +1! +#25863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25864000 +0! +#25864500 +1! +#25864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25865000 +0! +#25865500 +1! +#25865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25866000 +0! +#25866500 +1! +#25866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25867000 +0! +#25867500 +1! +#25867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25868000 +0! +#25868500 +1! +#25868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25869000 +0! +#25869500 +1! +#25869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25870000 +0! +#25870500 +1! +#25870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25871000 +0! +#25871500 +1! +#25871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25872000 +0! +#25872500 +1! +#25872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25873000 +0! +#25873500 +1! +#25873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25874000 +0! +#25874500 +1! +#25874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25875000 +0! +#25875500 +1! +#25875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25876000 +0! +#25876500 +1! +#25876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25877000 +0! +#25877500 +1! +#25877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25878000 +0! +#25878500 +1! +#25878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25879000 +0! +#25879500 +1! +#25879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25880000 +0! +#25880500 +1! +#25880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25881000 +0! +#25881500 +1! +#25881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25882000 +0! +#25882500 +1! +#25882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25883000 +0! +#25883500 +1! +#25883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25884000 +0! +#25884500 +1! +#25884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25885000 +0! +#25885500 +1! +#25885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25886000 +0! +#25886500 +1! +#25886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25887000 +0! +#25887500 +1! +#25887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25888000 +0! +#25888500 +1! +#25888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25889000 +0! +#25889500 +1! +#25889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25890000 +0! +#25890500 +1! +#25890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25891000 +0! +#25891500 +1! +#25891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25892000 +0! +#25892500 +1! +#25892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25893000 +0! +#25893500 +1! +#25893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25894000 +0! +#25894500 +1! +#25894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25895000 +0! +#25895500 +1! +#25895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25896000 +0! +#25896500 +1! +#25896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25897000 +0! +#25897500 +1! +#25897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25898000 +0! +#25898500 +1! +#25898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25899000 +0! +#25899500 +1! +#25899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25900000 +0! +#25900500 +1! +#25900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25901000 +0! +#25901500 +1! +#25901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25902000 +0! +#25902500 +1! +#25902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25903000 +0! +#25903500 +1! +#25903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25904000 +0! +#25904500 +1! +#25904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25905000 +0! +#25905500 +1! +#25905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25906000 +0! +#25906500 +1! +#25906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25907000 +0! +#25907500 +1! +#25907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25908000 +0! +#25908500 +1! +#25908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25909000 +0! +#25909500 +1! +#25909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25910000 +0! +#25910500 +1! +#25910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25911000 +0! +#25911500 +1! +#25911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25912000 +0! +#25912500 +1! +#25912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25913000 +0! +#25913500 +1! +#25913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25914000 +0! +#25914500 +1! +#25914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25915000 +0! +#25915500 +1! +#25915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25916000 +0! +#25916500 +1! +#25916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25917000 +0! +#25917500 +1! +#25917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25918000 +0! +#25918500 +1! +#25918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25919000 +0! +#25919500 +1! +#25919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25920000 +0! +#25920500 +1! +#25920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25921000 +0! +#25921500 +1! +#25921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25922000 +0! +#25922500 +1! +#25922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25923000 +0! +#25923500 +1! +#25923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25924000 +0! +#25924500 +1! +#25924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25925000 +0! +#25925500 +1! +#25925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25926000 +0! +#25926500 +1! +#25926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25927000 +0! +#25927500 +1! +#25927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25928000 +0! +#25928500 +1! +#25928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25929000 +0! +#25929500 +1! +#25929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25930000 +0! +#25930500 +1! +#25930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25931000 +0! +#25931500 +1! +#25931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25932000 +0! +#25932500 +1! +#25932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25933000 +0! +#25933500 +1! +#25933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25934000 +0! +#25934500 +1! +#25934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25935000 +0! +#25935500 +1! +#25935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25936000 +0! +#25936500 +1! +#25936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25937000 +0! +#25937500 +1! +#25937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25938000 +0! +#25938500 +1! +#25938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25939000 +0! +#25939500 +1! +#25939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25940000 +0! +#25940500 +1! +#25940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25941000 +0! +#25941500 +1! +#25941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25942000 +0! +#25942500 +1! +#25942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25943000 +0! +#25943500 +1! +#25943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25944000 +0! +#25944500 +1! +#25944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25945000 +0! +#25945500 +1! +#25945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25946000 +0! +#25946500 +1! +#25946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25947000 +0! +#25947500 +1! +#25947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25948000 +0! +#25948500 +1! +#25948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25949000 +0! +#25949500 +1! +#25949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25950000 +0! +#25950500 +1! +#25950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25951000 +0! +#25951500 +1! +#25951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25952000 +0! +#25952500 +1! +#25952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25953000 +0! +#25953500 +1! +#25953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25954000 +0! +#25954500 +1! +#25954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25955000 +0! +#25955500 +1! +#25955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25956000 +0! +#25956500 +1! +#25956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25957000 +0! +#25957500 +1! +#25957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25958000 +0! +#25958500 +1! +#25958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25959000 +0! +#25959500 +1! +#25959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25960000 +0! +#25960500 +1! +#25960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25961000 +0! +#25961500 +1! +#25961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25962000 +0! +#25962500 +1! +#25962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25963000 +0! +#25963500 +1! +#25963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25964000 +0! +#25964500 +1! +#25964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25965000 +0! +#25965500 +1! +#25965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25966000 +0! +#25966500 +1! +#25966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25967000 +0! +#25967500 +1! +#25967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25968000 +0! +#25968500 +1! +#25968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25969000 +0! +#25969500 +1! +#25969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25970000 +0! +#25970500 +1! +#25970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25971000 +0! +#25971500 +1! +#25971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25972000 +0! +#25972500 +1! +#25972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25973000 +0! +#25973500 +1! +#25973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25974000 +0! +#25974500 +1! +#25974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25975000 +0! +#25975500 +1! +#25975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25976000 +0! +#25976500 +1! +#25976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25977000 +0! +#25977500 +1! +#25977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25978000 +0! +#25978500 +1! +#25978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25979000 +0! +#25979500 +1! +#25979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25980000 +0! +#25980500 +1! +#25980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25981000 +0! +#25981500 +1! +#25981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25982000 +0! +#25982500 +1! +#25982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25983000 +0! +#25983500 +1! +#25983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25984000 +0! +#25984500 +1! +#25984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25985000 +0! +#25985500 +1! +#25985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25986000 +0! +#25986500 +1! +#25986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25987000 +0! +#25987500 +1! +#25987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#25987700 +b10 g +b1101000 c +#25988000 +0! +#25988500 +1! +#25988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25989000 +0! +#25989500 +1! +#25989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25990000 +0! +#25990500 +1! +#25990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25991000 +0! +#25991500 +1! +#25991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25992000 +0! +#25992500 +1! +#25992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25993000 +0! +#25993500 +1! +#25993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25994000 +0! +#25994500 +1! +#25994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25995000 +0! +#25995500 +1! +#25995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25996000 +0! +#25996500 +1! +#25996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25997000 +0! +#25997500 +1! +#25997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#25998000 +0! +#25998500 +1! +#25998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#25999000 +0! +#25999500 +1! +#25999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26000000 +0! +#26000500 +1! +#26000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26001000 +0! +#26001500 +1! +#26001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26002000 +0! +#26002500 +1! +#26002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26003000 +0! +#26003500 +1! +#26003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26004000 +0! +#26004500 +1! +#26004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26005000 +0! +#26005500 +1! +#26005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26006000 +0! +#26006500 +1! +#26006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26007000 +0! +#26007500 +1! +#26007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26008000 +0! +#26008500 +1! +#26008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26009000 +0! +#26009500 +1! +#26009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26010000 +0! +#26010500 +1! +#26010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26011000 +0! +#26011500 +1! +#26011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26012000 +0! +#26012500 +1! +#26012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26013000 +0! +#26013500 +1! +#26013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26014000 +0! +#26014500 +1! +#26014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26015000 +0! +#26015500 +1! +#26015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26016000 +0! +#26016500 +1! +#26016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26017000 +0! +#26017500 +1! +#26017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26018000 +0! +#26018500 +1! +#26018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26019000 +0! +#26019500 +1! +#26019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26020000 +0! +#26020500 +1! +#26020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26021000 +0! +#26021500 +1! +#26021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26022000 +0! +#26022500 +1! +#26022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26023000 +0! +#26023500 +1! +#26023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26024000 +0! +#26024500 +1! +#26024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26025000 +0! +#26025500 +1! +#26025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26026000 +0! +#26026500 +1! +#26026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26027000 +0! +#26027500 +1! +#26027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26028000 +0! +#26028500 +1! +#26028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26029000 +0! +#26029500 +1! +#26029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26030000 +0! +#26030500 +1! +#26030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26031000 +0! +#26031500 +1! +#26031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26032000 +0! +#26032500 +1! +#26032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26033000 +0! +#26033500 +1! +#26033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26034000 +0! +#26034500 +1! +#26034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26035000 +0! +#26035500 +1! +#26035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26036000 +0! +#26036500 +1! +#26036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26037000 +0! +#26037500 +1! +#26037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26038000 +0! +#26038500 +1! +#26038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26039000 +0! +#26039500 +1! +#26039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26040000 +0! +#26040500 +1! +#26040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26041000 +0! +#26041500 +1! +#26041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26042000 +0! +#26042500 +1! +#26042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26043000 +0! +#26043500 +1! +#26043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26044000 +0! +#26044500 +1! +#26044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26045000 +0! +#26045500 +1! +#26045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26046000 +0! +#26046500 +1! +#26046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26047000 +0! +#26047500 +1! +#26047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26048000 +0! +#26048500 +1! +#26048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26049000 +0! +#26049500 +1! +#26049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26050000 +0! +#26050500 +1! +#26050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26051000 +0! +#26051500 +1! +#26051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26052000 +0! +#26052500 +1! +#26052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26053000 +0! +#26053500 +1! +#26053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26054000 +0! +#26054500 +1! +#26054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26055000 +0! +#26055500 +1! +#26055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26056000 +0! +#26056500 +1! +#26056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26057000 +0! +#26057500 +1! +#26057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26058000 +0! +#26058500 +1! +#26058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26059000 +0! +#26059500 +1! +#26059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26060000 +0! +#26060500 +1! +#26060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26061000 +0! +#26061500 +1! +#26061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26062000 +0! +#26062500 +1! +#26062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26063000 +0! +#26063500 +1! +#26063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26064000 +0! +#26064500 +1! +#26064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26065000 +0! +#26065500 +1! +#26065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26066000 +0! +#26066500 +1! +#26066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26067000 +0! +#26067500 +1! +#26067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26068000 +0! +#26068500 +1! +#26068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26069000 +0! +#26069500 +1! +#26069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26070000 +0! +#26070500 +1! +#26070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26071000 +0! +#26071500 +1! +#26071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26072000 +0! +#26072500 +1! +#26072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26073000 +0! +#26073500 +1! +#26073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26074000 +0! +#26074500 +1! +#26074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26075000 +0! +#26075500 +1! +#26075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26076000 +0! +#26076500 +1! +#26076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26077000 +0! +#26077500 +1! +#26077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26078000 +0! +#26078500 +1! +#26078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26079000 +0! +#26079500 +1! +#26079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26080000 +0! +#26080500 +1! +#26080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26081000 +0! +#26081500 +1! +#26081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26082000 +0! +#26082500 +1! +#26082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26083000 +0! +#26083500 +1! +#26083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26084000 +0! +#26084500 +1! +#26084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26085000 +0! +#26085500 +1! +#26085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26086000 +0! +#26086500 +1! +#26086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26087000 +0! +#26087500 +1! +#26087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26088000 +0! +#26088500 +1! +#26088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26089000 +0! +#26089500 +1! +#26089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#26090000 +0! +#26090500 +1! +#26090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26091000 +0! +#26091500 +1! +#26091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26092000 +0! +#26092500 +1! +#26092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26093000 +0! +#26093500 +1! +#26093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26094000 +0! +#26094500 +1! +#26094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26095000 +0! +#26095500 +1! +#26095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26096000 +0! +#26096500 +1! +#26096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26097000 +0! +#26097500 +1! +#26097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26098000 +0! +#26098500 +1! +#26098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26099000 +0! +#26099500 +1! +#26099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26100000 +0! +#26100500 +1! +#26100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26101000 +0! +#26101500 +1! +#26101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26102000 +0! +#26102500 +1! +#26102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26103000 +0! +#26103500 +1! +#26103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26104000 +0! +#26104500 +1! +#26104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26105000 +0! +#26105500 +1! +#26105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26106000 +0! +#26106500 +1! +#26106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26107000 +0! +#26107500 +1! +#26107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26108000 +0! +#26108500 +1! +#26108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26109000 +0! +#26109500 +1! +#26109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26110000 +0! +#26110500 +1! +#26110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26111000 +0! +#26111500 +1! +#26111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26112000 +0! +#26112500 +1! +#26112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26113000 +0! +#26113500 +1! +#26113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26114000 +0! +#26114500 +1! +#26114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26115000 +0! +#26115500 +1! +#26115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26116000 +0! +#26116500 +1! +#26116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26117000 +0! +#26117500 +1! +#26117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26118000 +0! +#26118500 +1! +#26118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26119000 +0! +#26119500 +1! +#26119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26120000 +0! +#26120500 +1! +#26120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26121000 +0! +#26121500 +1! +#26121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26122000 +0! +#26122500 +1! +#26122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26123000 +0! +#26123500 +1! +#26123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26124000 +0! +#26124500 +1! +#26124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26125000 +0! +#26125500 +1! +#26125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26126000 +0! +#26126500 +1! +#26126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26127000 +0! +#26127500 +1! +#26127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26128000 +0! +#26128500 +1! +#26128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26129000 +0! +#26129500 +1! +#26129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26130000 +0! +#26130500 +1! +#26130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26131000 +0! +#26131500 +1! +#26131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26132000 +0! +#26132500 +1! +#26132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26133000 +0! +#26133500 +1! +#26133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26134000 +0! +#26134500 +1! +#26134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26135000 +0! +#26135500 +1! +#26135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26136000 +0! +#26136500 +1! +#26136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26137000 +0! +#26137500 +1! +#26137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26138000 +0! +#26138500 +1! +#26138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26139000 +0! +#26139500 +1! +#26139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26140000 +0! +#26140500 +1! +#26140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26141000 +0! +#26141500 +1! +#26141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26142000 +0! +#26142500 +1! +#26142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26143000 +0! +#26143500 +1! +#26143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26144000 +0! +#26144500 +1! +#26144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26145000 +0! +#26145500 +1! +#26145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26146000 +0! +#26146500 +1! +#26146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26147000 +0! +#26147500 +1! +#26147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26148000 +0! +#26148500 +1! +#26148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26149000 +0! +#26149500 +1! +#26149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26150000 +0! +#26150500 +1! +#26150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26151000 +0! +#26151500 +1! +#26151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26152000 +0! +#26152500 +1! +#26152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26153000 +0! +#26153500 +1! +#26153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26154000 +0! +#26154500 +1! +#26154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26155000 +0! +#26155500 +1! +#26155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26156000 +0! +#26156500 +1! +#26156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26157000 +0! +#26157500 +1! +#26157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26158000 +0! +#26158500 +1! +#26158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26159000 +0! +#26159500 +1! +#26159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26160000 +0! +#26160500 +1! +#26160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26161000 +0! +#26161500 +1! +#26161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26162000 +0! +#26162500 +1! +#26162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26163000 +0! +#26163500 +1! +#26163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26164000 +0! +#26164500 +1! +#26164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26165000 +0! +#26165500 +1! +#26165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26166000 +0! +#26166500 +1! +#26166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26167000 +0! +#26167500 +1! +#26167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26168000 +0! +#26168500 +1! +#26168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26169000 +0! +#26169500 +1! +#26169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26170000 +0! +#26170500 +1! +#26170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26171000 +0! +#26171500 +1! +#26171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26172000 +0! +#26172500 +1! +#26172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26173000 +0! +#26173500 +1! +#26173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26174000 +0! +#26174500 +1! +#26174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26175000 +0! +#26175500 +1! +#26175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26176000 +0! +#26176500 +1! +#26176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26177000 +0! +#26177500 +1! +#26177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26178000 +0! +#26178500 +1! +#26178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26179000 +0! +#26179500 +1! +#26179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26180000 +0! +#26180500 +1! +#26180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26181000 +0! +#26181500 +1! +#26181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26182000 +0! +#26182500 +1! +#26182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26183000 +0! +#26183500 +1! +#26183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26184000 +0! +#26184500 +1! +#26184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26185000 +0! +#26185500 +1! +#26185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26186000 +0! +#26186500 +1! +#26186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26187000 +0! +#26187500 +1! +#26187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26188000 +0! +#26188500 +1! +#26188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26189000 +0! +#26189500 +1! +#26189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26190000 +0! +#26190500 +1! +#26190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26191000 +0! +#26191500 +1! +#26191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26192000 +0! +#26192500 +1! +#26192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26193000 +0! +#26193500 +1! +#26193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26194000 +0! +#26194500 +1! +#26194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26195000 +0! +#26195500 +1! +#26195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26196000 +0! +#26196500 +1! +#26196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26197000 +0! +#26197500 +1! +#26197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26198000 +0! +#26198500 +1! +#26198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26199000 +0! +#26199500 +1! +#26199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26200000 +0! +#26200500 +1! +#26200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26201000 +0! +#26201500 +1! +#26201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26202000 +0! +#26202500 +1! +#26202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26203000 +0! +#26203500 +1! +#26203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26204000 +0! +#26204500 +1! +#26204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26205000 +0! +#26205500 +1! +#26205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26206000 +0! +#26206500 +1! +#26206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26207000 +0! +#26207500 +1! +#26207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26208000 +0! +#26208500 +1! +#26208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26209000 +0! +#26209500 +1! +#26209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26210000 +0! +#26210500 +1! +#26210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26211000 +0! +#26211500 +1! +#26211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26212000 +0! +#26212500 +1! +#26212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26213000 +0! +#26213500 +1! +#26213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26214000 +0! +#26214500 +1! +#26214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26215000 +0! +#26215500 +1! +#26215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26216000 +0! +#26216500 +1! +#26216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26217000 +0! +#26217500 +1! +#26217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26218000 +0! +#26218500 +1! +#26218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26219000 +0! +#26219500 +1! +#26219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26220000 +0! +#26220500 +1! +#26220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26221000 +0! +#26221500 +1! +#26221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26222000 +0! +#26222500 +1! +#26222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26223000 +0! +#26223500 +1! +#26223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26224000 +0! +#26224500 +1! +#26224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26225000 +0! +#26225500 +1! +#26225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26226000 +0! +#26226500 +1! +#26226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26227000 +0! +#26227500 +1! +#26227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26228000 +0! +#26228500 +1! +#26228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26229000 +0! +#26229500 +1! +#26229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26230000 +0! +#26230500 +1! +#26230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26231000 +0! +#26231500 +1! +#26231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26232000 +0! +#26232500 +1! +#26232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26233000 +0! +#26233500 +1! +#26233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26234000 +0! +#26234500 +1! +#26234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26235000 +0! +#26235500 +1! +#26235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26236000 +0! +#26236500 +1! +#26236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26237000 +0! +#26237500 +1! +#26237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26238000 +0! +#26238500 +1! +#26238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26239000 +0! +#26239500 +1! +#26239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26240000 +0! +#26240500 +1! +#26240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26241000 +0! +#26241500 +1! +#26241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26242000 +0! +#26242500 +1! +#26242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#26242700 +b1 g +b11010000 c +#26243000 +0! +#26243500 +1! +#26243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26244000 +0! +#26244500 +1! +#26244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26245000 +0! +#26245500 +1! +#26245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26246000 +0! +#26246500 +1! +#26246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26247000 +0! +#26247500 +1! +#26247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26248000 +0! +#26248500 +1! +#26248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26249000 +0! +#26249500 +1! +#26249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26250000 +0! +#26250500 +1! +#26250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26251000 +0! +#26251500 +1! +#26251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26252000 +0! +#26252500 +1! +#26252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26253000 +0! +#26253500 +1! +#26253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26254000 +0! +#26254500 +1! +#26254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26255000 +0! +#26255500 +1! +#26255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26256000 +0! +#26256500 +1! +#26256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26257000 +0! +#26257500 +1! +#26257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26258000 +0! +#26258500 +1! +#26258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26259000 +0! +#26259500 +1! +#26259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26260000 +0! +#26260500 +1! +#26260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26261000 +0! +#26261500 +1! +#26261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26262000 +0! +#26262500 +1! +#26262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26263000 +0! +#26263500 +1! +#26263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26264000 +0! +#26264500 +1! +#26264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26265000 +0! +#26265500 +1! +#26265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26266000 +0! +#26266500 +1! +#26266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26267000 +0! +#26267500 +1! +#26267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26268000 +0! +#26268500 +1! +#26268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26269000 +0! +#26269500 +1! +#26269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26270000 +0! +#26270500 +1! +#26270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26271000 +0! +#26271500 +1! +#26271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26272000 +0! +#26272500 +1! +#26272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26273000 +0! +#26273500 +1! +#26273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26274000 +0! +#26274500 +1! +#26274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26275000 +0! +#26275500 +1! +#26275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26276000 +0! +#26276500 +1! +#26276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26277000 +0! +#26277500 +1! +#26277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26278000 +0! +#26278500 +1! +#26278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26279000 +0! +#26279500 +1! +#26279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26280000 +0! +#26280500 +1! +#26280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26281000 +0! +#26281500 +1! +#26281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26282000 +0! +#26282500 +1! +#26282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26283000 +0! +#26283500 +1! +#26283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26284000 +0! +#26284500 +1! +#26284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26285000 +0! +#26285500 +1! +#26285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26286000 +0! +#26286500 +1! +#26286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26287000 +0! +#26287500 +1! +#26287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26288000 +0! +#26288500 +1! +#26288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26289000 +0! +#26289500 +1! +#26289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26290000 +0! +#26290500 +1! +#26290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26291000 +0! +#26291500 +1! +#26291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26292000 +0! +#26292500 +1! +#26292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26293000 +0! +#26293500 +1! +#26293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26294000 +0! +#26294500 +1! +#26294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26295000 +0! +#26295500 +1! +#26295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26296000 +0! +#26296500 +1! +#26296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26297000 +0! +#26297500 +1! +#26297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26298000 +0! +#26298500 +1! +#26298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26299000 +0! +#26299500 +1! +#26299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26300000 +0! +#26300500 +1! +#26300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26301000 +0! +#26301500 +1! +#26301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26302000 +0! +#26302500 +1! +#26302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26303000 +0! +#26303500 +1! +#26303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26304000 +0! +#26304500 +1! +#26304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26305000 +0! +#26305500 +1! +#26305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26306000 +0! +#26306500 +1! +#26306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26307000 +0! +#26307500 +1! +#26307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26308000 +0! +#26308500 +1! +#26308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26309000 +0! +#26309500 +1! +#26309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26310000 +0! +#26310500 +1! +#26310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26311000 +0! +#26311500 +1! +#26311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26312000 +0! +#26312500 +1! +#26312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26313000 +0! +#26313500 +1! +#26313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26314000 +0! +#26314500 +1! +#26314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26315000 +0! +#26315500 +1! +#26315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26316000 +0! +#26316500 +1! +#26316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26317000 +0! +#26317500 +1! +#26317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26318000 +0! +#26318500 +1! +#26318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26319000 +0! +#26319500 +1! +#26319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26320000 +0! +#26320500 +1! +#26320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26321000 +0! +#26321500 +1! +#26321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26322000 +0! +#26322500 +1! +#26322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26323000 +0! +#26323500 +1! +#26323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26324000 +0! +#26324500 +1! +#26324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26325000 +0! +#26325500 +1! +#26325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26326000 +0! +#26326500 +1! +#26326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26327000 +0! +#26327500 +1! +#26327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26328000 +0! +#26328500 +1! +#26328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26329000 +0! +#26329500 +1! +#26329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26330000 +0! +#26330500 +1! +#26330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26331000 +0! +#26331500 +1! +#26331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26332000 +0! +#26332500 +1! +#26332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26333000 +0! +#26333500 +1! +#26333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26334000 +0! +#26334500 +1! +#26334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26335000 +0! +#26335500 +1! +#26335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26336000 +0! +#26336500 +1! +#26336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26337000 +0! +#26337500 +1! +#26337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26338000 +0! +#26338500 +1! +#26338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26339000 +0! +#26339500 +1! +#26339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26340000 +0! +#26340500 +1! +#26340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26341000 +0! +#26341500 +1! +#26341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26342000 +0! +#26342500 +1! +#26342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26343000 +0! +#26343500 +1! +#26343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26344000 +0! +#26344500 +1! +#26344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#26345000 +0! +#26345500 +1! +#26345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26346000 +0! +#26346500 +1! +#26346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26347000 +0! +#26347500 +1! +#26347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26348000 +0! +#26348500 +1! +#26348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26349000 +0! +#26349500 +1! +#26349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26350000 +0! +#26350500 +1! +#26350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26351000 +0! +#26351500 +1! +#26351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26352000 +0! +#26352500 +1! +#26352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26353000 +0! +#26353500 +1! +#26353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26354000 +0! +#26354500 +1! +#26354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26355000 +0! +#26355500 +1! +#26355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26356000 +0! +#26356500 +1! +#26356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26357000 +0! +#26357500 +1! +#26357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26358000 +0! +#26358500 +1! +#26358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26359000 +0! +#26359500 +1! +#26359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26360000 +0! +#26360500 +1! +#26360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26361000 +0! +#26361500 +1! +#26361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26362000 +0! +#26362500 +1! +#26362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26363000 +0! +#26363500 +1! +#26363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26364000 +0! +#26364500 +1! +#26364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26365000 +0! +#26365500 +1! +#26365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26366000 +0! +#26366500 +1! +#26366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26367000 +0! +#26367500 +1! +#26367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26368000 +0! +#26368500 +1! +#26368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26369000 +0! +#26369500 +1! +#26369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26370000 +0! +#26370500 +1! +#26370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26371000 +0! +#26371500 +1! +#26371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26372000 +0! +#26372500 +1! +#26372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26373000 +0! +#26373500 +1! +#26373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26374000 +0! +#26374500 +1! +#26374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26375000 +0! +#26375500 +1! +#26375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26376000 +0! +#26376500 +1! +#26376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26377000 +0! +#26377500 +1! +#26377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26378000 +0! +#26378500 +1! +#26378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26379000 +0! +#26379500 +1! +#26379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26380000 +0! +#26380500 +1! +#26380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26381000 +0! +#26381500 +1! +#26381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26382000 +0! +#26382500 +1! +#26382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26383000 +0! +#26383500 +1! +#26383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26384000 +0! +#26384500 +1! +#26384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26385000 +0! +#26385500 +1! +#26385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26386000 +0! +#26386500 +1! +#26386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26387000 +0! +#26387500 +1! +#26387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26388000 +0! +#26388500 +1! +#26388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26389000 +0! +#26389500 +1! +#26389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26390000 +0! +#26390500 +1! +#26390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26391000 +0! +#26391500 +1! +#26391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26392000 +0! +#26392500 +1! +#26392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26393000 +0! +#26393500 +1! +#26393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26394000 +0! +#26394500 +1! +#26394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26395000 +0! +#26395500 +1! +#26395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26396000 +0! +#26396500 +1! +#26396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26397000 +0! +#26397500 +1! +#26397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26398000 +0! +#26398500 +1! +#26398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26399000 +0! +#26399500 +1! +#26399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26400000 +0! +#26400500 +1! +#26400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26401000 +0! +#26401500 +1! +#26401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26402000 +0! +#26402500 +1! +#26402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26403000 +0! +#26403500 +1! +#26403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26404000 +0! +#26404500 +1! +#26404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26405000 +0! +#26405500 +1! +#26405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26406000 +0! +#26406500 +1! +#26406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26407000 +0! +#26407500 +1! +#26407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26408000 +0! +#26408500 +1! +#26408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26409000 +0! +#26409500 +1! +#26409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26410000 +0! +#26410500 +1! +#26410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26411000 +0! +#26411500 +1! +#26411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26412000 +0! +#26412500 +1! +#26412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26413000 +0! +#26413500 +1! +#26413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26414000 +0! +#26414500 +1! +#26414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26415000 +0! +#26415500 +1! +#26415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26416000 +0! +#26416500 +1! +#26416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26417000 +0! +#26417500 +1! +#26417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26418000 +0! +#26418500 +1! +#26418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26419000 +0! +#26419500 +1! +#26419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26420000 +0! +#26420500 +1! +#26420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26421000 +0! +#26421500 +1! +#26421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26422000 +0! +#26422500 +1! +#26422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26423000 +0! +#26423500 +1! +#26423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26424000 +0! +#26424500 +1! +#26424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26425000 +0! +#26425500 +1! +#26425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26426000 +0! +#26426500 +1! +#26426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26427000 +0! +#26427500 +1! +#26427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26428000 +0! +#26428500 +1! +#26428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26429000 +0! +#26429500 +1! +#26429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26430000 +0! +#26430500 +1! +#26430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26431000 +0! +#26431500 +1! +#26431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26432000 +0! +#26432500 +1! +#26432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26433000 +0! +#26433500 +1! +#26433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26434000 +0! +#26434500 +1! +#26434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26435000 +0! +#26435500 +1! +#26435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26436000 +0! +#26436500 +1! +#26436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26437000 +0! +#26437500 +1! +#26437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26438000 +0! +#26438500 +1! +#26438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26439000 +0! +#26439500 +1! +#26439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26440000 +0! +#26440500 +1! +#26440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26441000 +0! +#26441500 +1! +#26441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26442000 +0! +#26442500 +1! +#26442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26443000 +0! +#26443500 +1! +#26443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26444000 +0! +#26444500 +1! +#26444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26445000 +0! +#26445500 +1! +#26445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26446000 +0! +#26446500 +1! +#26446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#26447000 +0! +#26447500 +1! +#26447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26448000 +0! +#26448500 +1! +#26448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26449000 +0! +#26449500 +1! +#26449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26450000 +0! +#26450500 +1! +#26450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26451000 +0! +#26451500 +1! +#26451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26452000 +0! +#26452500 +1! +#26452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26453000 +0! +#26453500 +1! +#26453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26454000 +0! +#26454500 +1! +#26454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26455000 +0! +#26455500 +1! +#26455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26456000 +0! +#26456500 +1! +#26456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26457000 +0! +#26457500 +1! +#26457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26458000 +0! +#26458500 +1! +#26458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26459000 +0! +#26459500 +1! +#26459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26460000 +0! +#26460500 +1! +#26460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26461000 +0! +#26461500 +1! +#26461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26462000 +0! +#26462500 +1! +#26462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26463000 +0! +#26463500 +1! +#26463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26464000 +0! +#26464500 +1! +#26464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26465000 +0! +#26465500 +1! +#26465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26466000 +0! +#26466500 +1! +#26466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26467000 +0! +#26467500 +1! +#26467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26468000 +0! +#26468500 +1! +#26468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26469000 +0! +#26469500 +1! +#26469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26470000 +0! +#26470500 +1! +#26470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26471000 +0! +#26471500 +1! +#26471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26472000 +0! +#26472500 +1! +#26472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26473000 +0! +#26473500 +1! +#26473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26474000 +0! +#26474500 +1! +#26474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26475000 +0! +#26475500 +1! +#26475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26476000 +0! +#26476500 +1! +#26476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26477000 +0! +#26477500 +1! +#26477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26478000 +0! +#26478500 +1! +#26478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26479000 +0! +#26479500 +1! +#26479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26480000 +0! +#26480500 +1! +#26480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26481000 +0! +#26481500 +1! +#26481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26482000 +0! +#26482500 +1! +#26482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26483000 +0! +#26483500 +1! +#26483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26484000 +0! +#26484500 +1! +#26484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26485000 +0! +#26485500 +1! +#26485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26486000 +0! +#26486500 +1! +#26486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26487000 +0! +#26487500 +1! +#26487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26488000 +0! +#26488500 +1! +#26488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26489000 +0! +#26489500 +1! +#26489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26490000 +0! +#26490500 +1! +#26490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26491000 +0! +#26491500 +1! +#26491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26492000 +0! +#26492500 +1! +#26492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26493000 +0! +#26493500 +1! +#26493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26494000 +0! +#26494500 +1! +#26494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26495000 +0! +#26495500 +1! +#26495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26496000 +0! +#26496500 +1! +#26496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26497000 +0! +#26497500 +1! +#26497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#26497700 +b0 g +b10100001 c +1e +1h +#26498000 +0! +#26498500 +1! +#26498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26499000 +0! +#26499500 +1! +#26499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26500000 +0! +#26500500 +1! +#26500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26501000 +0! +#26501500 +1! +#26501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26502000 +0! +#26502500 +1! +#26502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26503000 +0! +#26503500 +1! +#26503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26504000 +0! +#26504500 +1! +#26504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26505000 +0! +#26505500 +1! +#26505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26506000 +0! +#26506500 +1! +#26506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26507000 +0! +#26507500 +1! +#26507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26508000 +0! +#26508500 +1! +#26508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26509000 +0! +#26509500 +1! +#26509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26510000 +0! +#26510500 +1! +#26510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26511000 +0! +#26511500 +1! +#26511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26512000 +0! +#26512500 +1! +#26512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26513000 +0! +#26513500 +1! +#26513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26514000 +0! +#26514500 +1! +#26514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26515000 +0! +#26515500 +1! +#26515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26516000 +0! +#26516500 +1! +#26516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26517000 +0! +#26517500 +1! +#26517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26518000 +0! +#26518500 +1! +#26518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26519000 +0! +#26519500 +1! +#26519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26520000 +0! +#26520500 +1! +#26520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26521000 +0! +#26521500 +1! +#26521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26522000 +0! +#26522500 +1! +#26522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26523000 +0! +#26523500 +1! +#26523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26524000 +0! +#26524500 +1! +#26524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26525000 +0! +#26525500 +1! +#26525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26526000 +0! +#26526500 +1! +#26526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26527000 +0! +#26527500 +1! +#26527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26528000 +0! +#26528500 +1! +#26528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26529000 +0! +#26529500 +1! +#26529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26530000 +0! +#26530500 +1! +#26530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26531000 +0! +#26531500 +1! +#26531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26532000 +0! +#26532500 +1! +#26532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26533000 +0! +#26533500 +1! +#26533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26534000 +0! +#26534500 +1! +#26534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26535000 +0! +#26535500 +1! +#26535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26536000 +0! +#26536500 +1! +#26536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26537000 +0! +#26537500 +1! +#26537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26538000 +0! +#26538500 +1! +#26538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26539000 +0! +#26539500 +1! +#26539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26540000 +0! +#26540500 +1! +#26540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26541000 +0! +#26541500 +1! +#26541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26542000 +0! +#26542500 +1! +#26542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26543000 +0! +#26543500 +1! +#26543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26544000 +0! +#26544500 +1! +#26544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26545000 +0! +#26545500 +1! +#26545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26546000 +0! +#26546500 +1! +#26546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26547000 +0! +#26547500 +1! +#26547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26548000 +0! +#26548500 +1! +#26548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26549000 +0! +#26549500 +1! +#26549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26550000 +0! +#26550500 +1! +#26550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26551000 +0! +#26551500 +1! +#26551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26552000 +0! +#26552500 +1! +#26552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26553000 +0! +#26553500 +1! +#26553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26554000 +0! +#26554500 +1! +#26554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26555000 +0! +#26555500 +1! +#26555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26556000 +0! +#26556500 +1! +#26556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26557000 +0! +#26557500 +1! +#26557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26558000 +0! +#26558500 +1! +#26558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26559000 +0! +#26559500 +1! +#26559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26560000 +0! +#26560500 +1! +#26560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26561000 +0! +#26561500 +1! +#26561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26562000 +0! +#26562500 +1! +#26562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26563000 +0! +#26563500 +1! +#26563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26564000 +0! +#26564500 +1! +#26564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26565000 +0! +#26565500 +1! +#26565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26566000 +0! +#26566500 +1! +#26566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26567000 +0! +#26567500 +1! +#26567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26568000 +0! +#26568500 +1! +#26568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26569000 +0! +#26569500 +1! +#26569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26570000 +0! +#26570500 +1! +#26570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26571000 +0! +#26571500 +1! +#26571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26572000 +0! +#26572500 +1! +#26572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26573000 +0! +#26573500 +1! +#26573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26574000 +0! +#26574500 +1! +#26574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26575000 +0! +#26575500 +1! +#26575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26576000 +0! +#26576500 +1! +#26576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26577000 +0! +#26577500 +1! +#26577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26578000 +0! +#26578500 +1! +#26578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26579000 +0! +#26579500 +1! +#26579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26580000 +0! +#26580500 +1! +#26580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26581000 +0! +#26581500 +1! +#26581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26582000 +0! +#26582500 +1! +#26582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26583000 +0! +#26583500 +1! +#26583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26584000 +0! +#26584500 +1! +#26584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26585000 +0! +#26585500 +1! +#26585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26586000 +0! +#26586500 +1! +#26586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26587000 +0! +#26587500 +1! +#26587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26588000 +0! +#26588500 +1! +#26588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26589000 +0! +#26589500 +1! +#26589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26590000 +0! +#26590500 +1! +#26590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26591000 +0! +#26591500 +1! +#26591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26592000 +0! +#26592500 +1! +#26592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26593000 +0! +#26593500 +1! +#26593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26594000 +0! +#26594500 +1! +#26594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26595000 +0! +#26595500 +1! +#26595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26596000 +0! +#26596500 +1! +#26596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26597000 +0! +#26597500 +1! +#26597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26598000 +0! +#26598500 +1! +#26598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26599000 +0! +#26599500 +1! +#26599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#26599700 +b1 q +1d +0j +0O +#26599900 +b10100101 ^ +#26600000 +0! +#26600500 +1! +#26600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26601000 +0! +#26601500 +1! +#26601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26602000 +0! +#26602500 +1! +#26602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26603000 +0! +#26603500 +1! +#26603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26604000 +0! +#26604500 +1! +#26604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26605000 +0! +#26605500 +1! +#26605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26606000 +0! +#26606500 +1! +#26606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26607000 +0! +#26607500 +1! +#26607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26608000 +0! +#26608500 +1! +#26608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26609000 +0! +#26609500 +1! +#26609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26610000 +0! +#26610500 +1! +#26610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26611000 +0! +#26611500 +1! +#26611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26612000 +0! +#26612500 +1! +#26612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26613000 +0! +#26613500 +1! +#26613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26614000 +0! +#26614500 +1! +#26614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26615000 +0! +#26615500 +1! +#26615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26616000 +0! +#26616500 +1! +#26616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26617000 +0! +#26617500 +1! +#26617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26618000 +0! +#26618500 +1! +#26618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26619000 +0! +#26619500 +1! +#26619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26620000 +0! +#26620500 +1! +#26620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26621000 +0! +#26621500 +1! +#26621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26622000 +0! +#26622500 +1! +#26622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26623000 +0! +#26623500 +1! +#26623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26624000 +0! +#26624500 +1! +#26624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26625000 +0! +#26625500 +1! +#26625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26626000 +0! +#26626500 +1! +#26626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26627000 +0! +#26627500 +1! +#26627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26628000 +0! +#26628500 +1! +#26628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26629000 +0! +#26629500 +1! +#26629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26630000 +0! +#26630500 +1! +#26630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26631000 +0! +#26631500 +1! +#26631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26632000 +0! +#26632500 +1! +#26632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26633000 +0! +#26633500 +1! +#26633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26634000 +0! +#26634500 +1! +#26634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26635000 +0! +#26635500 +1! +#26635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26636000 +0! +#26636500 +1! +#26636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26637000 +0! +#26637500 +1! +#26637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26638000 +0! +#26638500 +1! +#26638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26639000 +0! +#26639500 +1! +#26639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26640000 +0! +#26640500 +1! +#26640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26641000 +0! +#26641500 +1! +#26641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26642000 +0! +#26642500 +1! +#26642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26643000 +0! +#26643500 +1! +#26643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26644000 +0! +#26644500 +1! +#26644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26645000 +0! +#26645500 +1! +#26645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26646000 +0! +#26646500 +1! +#26646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26647000 +0! +#26647500 +1! +#26647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26648000 +0! +#26648500 +1! +#26648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26649000 +0! +#26649500 +1! +#26649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26650000 +0! +#26650500 +1! +#26650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26651000 +0! +#26651500 +1! +#26651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26652000 +0! +#26652500 +1! +#26652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26653000 +0! +#26653500 +1! +#26653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26654000 +0! +#26654500 +1! +#26654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26655000 +0! +#26655500 +1! +#26655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26656000 +0! +#26656500 +1! +#26656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26657000 +0! +#26657500 +1! +#26657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26658000 +0! +#26658500 +1! +#26658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26659000 +0! +#26659500 +1! +#26659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26660000 +0! +#26660500 +1! +#26660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26661000 +0! +#26661500 +1! +#26661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26662000 +0! +#26662500 +1! +#26662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26663000 +0! +#26663500 +1! +#26663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26664000 +0! +#26664500 +1! +#26664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26665000 +0! +#26665500 +1! +#26665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26666000 +0! +#26666500 +1! +#26666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26667000 +0! +#26667500 +1! +#26667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26668000 +0! +#26668500 +1! +#26668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26669000 +0! +#26669500 +1! +#26669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26670000 +0! +#26670500 +1! +#26670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26671000 +0! +#26671500 +1! +#26671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26672000 +0! +#26672500 +1! +#26672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26673000 +0! +#26673500 +1! +#26673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26674000 +0! +#26674500 +1! +#26674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26675000 +0! +#26675500 +1! +#26675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26676000 +0! +#26676500 +1! +#26676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26677000 +0! +#26677500 +1! +#26677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26678000 +0! +#26678500 +1! +#26678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26679000 +0! +#26679500 +1! +#26679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26680000 +0! +#26680500 +1! +#26680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26681000 +0! +#26681500 +1! +#26681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26682000 +0! +#26682500 +1! +#26682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26683000 +0! +#26683500 +1! +#26683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26684000 +0! +#26684500 +1! +#26684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26685000 +0! +#26685500 +1! +#26685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26686000 +0! +#26686500 +1! +#26686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26687000 +0! +#26687500 +1! +#26687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26688000 +0! +#26688500 +1! +#26688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26689000 +0! +#26689500 +1! +#26689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26690000 +0! +#26690500 +1! +#26690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26691000 +0! +#26691500 +1! +#26691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26692000 +0! +#26692500 +1! +#26692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26693000 +0! +#26693500 +1! +#26693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26694000 +0! +#26694500 +1! +#26694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26695000 +0! +#26695500 +1! +#26695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26696000 +0! +#26696500 +1! +#26696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26697000 +0! +#26697500 +1! +#26697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26698000 +0! +#26698500 +1! +#26698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26699000 +0! +#26699500 +1! +#26699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26700000 +0! +#26700500 +1! +#26700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26701000 +0! +#26701500 +1! +#26701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26702000 +0! +#26702500 +1! +#26702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26703000 +0! +#26703500 +1! +#26703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26704000 +0! +#26704500 +1! +#26704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26705000 +0! +#26705500 +1! +#26705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26706000 +0! +#26706500 +1! +#26706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26707000 +0! +#26707500 +1! +#26707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26708000 +0! +#26708500 +1! +#26708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26709000 +0! +#26709500 +1! +#26709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26710000 +0! +#26710500 +1! +#26710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26711000 +0! +#26711500 +1! +#26711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26712000 +0! +#26712500 +1! +#26712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26713000 +0! +#26713500 +1! +#26713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26714000 +0! +#26714500 +1! +#26714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26715000 +0! +#26715500 +1! +#26715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26716000 +0! +#26716500 +1! +#26716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26717000 +0! +#26717500 +1! +#26717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26718000 +0! +#26718500 +1! +#26718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26719000 +0! +#26719500 +1! +#26719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26720000 +0! +#26720500 +1! +#26720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26721000 +0! +#26721500 +1! +#26721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26722000 +0! +#26722500 +1! +#26722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26723000 +0! +#26723500 +1! +#26723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26724000 +0! +#26724500 +1! +#26724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26725000 +0! +#26725500 +1! +#26725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26726000 +0! +#26726500 +1! +#26726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26727000 +0! +#26727500 +1! +#26727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26728000 +0! +#26728500 +1! +#26728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26729000 +0! +#26729500 +1! +#26729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26730000 +0! +#26730500 +1! +#26730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26731000 +0! +#26731500 +1! +#26731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26732000 +0! +#26732500 +1! +#26732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26733000 +0! +#26733500 +1! +#26733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26734000 +0! +#26734500 +1! +#26734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26735000 +0! +#26735500 +1! +#26735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26736000 +0! +#26736500 +1! +#26736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26737000 +0! +#26737500 +1! +#26737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26738000 +0! +#26738500 +1! +#26738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26739000 +0! +#26739500 +1! +#26739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26740000 +0! +#26740500 +1! +#26740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26741000 +0! +#26741500 +1! +#26741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26742000 +0! +#26742500 +1! +#26742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26743000 +0! +#26743500 +1! +#26743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26744000 +0! +#26744500 +1! +#26744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26745000 +0! +#26745500 +1! +#26745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26746000 +0! +#26746500 +1! +#26746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26747000 +0! +#26747500 +1! +#26747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26748000 +0! +#26748500 +1! +#26748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26749000 +0! +#26749500 +1! +#26749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26750000 +0! +#26750500 +1! +#26750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26751000 +0! +#26751500 +1! +#26751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26752000 +0! +#26752500 +1! +#26752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#26752700 +b111 g +b1000010 c +0e +0h +#26753000 +0! +#26753500 +1! +#26753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26754000 +0! +#26754500 +1! +#26754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26755000 +0! +#26755500 +1! +#26755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26756000 +0! +#26756500 +1! +#26756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26757000 +0! +#26757500 +1! +#26757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26758000 +0! +#26758500 +1! +#26758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26759000 +0! +#26759500 +1! +#26759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26760000 +0! +#26760500 +1! +#26760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26761000 +0! +#26761500 +1! +#26761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26762000 +0! +#26762500 +1! +#26762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26763000 +0! +#26763500 +1! +#26763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26764000 +0! +#26764500 +1! +#26764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26765000 +0! +#26765500 +1! +#26765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26766000 +0! +#26766500 +1! +#26766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26767000 +0! +#26767500 +1! +#26767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26768000 +0! +#26768500 +1! +#26768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26769000 +0! +#26769500 +1! +#26769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26770000 +0! +#26770500 +1! +#26770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26771000 +0! +#26771500 +1! +#26771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26772000 +0! +#26772500 +1! +#26772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26773000 +0! +#26773500 +1! +#26773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26774000 +0! +#26774500 +1! +#26774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26775000 +0! +#26775500 +1! +#26775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26776000 +0! +#26776500 +1! +#26776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26777000 +0! +#26777500 +1! +#26777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26778000 +0! +#26778500 +1! +#26778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26779000 +0! +#26779500 +1! +#26779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26780000 +0! +#26780500 +1! +#26780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26781000 +0! +#26781500 +1! +#26781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26782000 +0! +#26782500 +1! +#26782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26783000 +0! +#26783500 +1! +#26783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26784000 +0! +#26784500 +1! +#26784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26785000 +0! +#26785500 +1! +#26785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26786000 +0! +#26786500 +1! +#26786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26787000 +0! +#26787500 +1! +#26787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26788000 +0! +#26788500 +1! +#26788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26789000 +0! +#26789500 +1! +#26789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26790000 +0! +#26790500 +1! +#26790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26791000 +0! +#26791500 +1! +#26791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26792000 +0! +#26792500 +1! +#26792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26793000 +0! +#26793500 +1! +#26793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26794000 +0! +#26794500 +1! +#26794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26795000 +0! +#26795500 +1! +#26795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26796000 +0! +#26796500 +1! +#26796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26797000 +0! +#26797500 +1! +#26797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26798000 +0! +#26798500 +1! +#26798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26799000 +0! +#26799500 +1! +#26799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26800000 +0! +#26800500 +1! +#26800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26801000 +0! +#26801500 +1! +#26801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26802000 +0! +#26802500 +1! +#26802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26803000 +0! +#26803500 +1! +#26803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26804000 +0! +#26804500 +1! +#26804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26805000 +0! +#26805500 +1! +#26805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26806000 +0! +#26806500 +1! +#26806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26807000 +0! +#26807500 +1! +#26807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26808000 +0! +#26808500 +1! +#26808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26809000 +0! +#26809500 +1! +#26809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26810000 +0! +#26810500 +1! +#26810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26811000 +0! +#26811500 +1! +#26811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26812000 +0! +#26812500 +1! +#26812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26813000 +0! +#26813500 +1! +#26813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26814000 +0! +#26814500 +1! +#26814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26815000 +0! +#26815500 +1! +#26815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26816000 +0! +#26816500 +1! +#26816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26817000 +0! +#26817500 +1! +#26817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26818000 +0! +#26818500 +1! +#26818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26819000 +0! +#26819500 +1! +#26819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26820000 +0! +#26820500 +1! +#26820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26821000 +0! +#26821500 +1! +#26821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26822000 +0! +#26822500 +1! +#26822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26823000 +0! +#26823500 +1! +#26823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26824000 +0! +#26824500 +1! +#26824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26825000 +0! +#26825500 +1! +#26825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26826000 +0! +#26826500 +1! +#26826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26827000 +0! +#26827500 +1! +#26827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26828000 +0! +#26828500 +1! +#26828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26829000 +0! +#26829500 +1! +#26829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26830000 +0! +#26830500 +1! +#26830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26831000 +0! +#26831500 +1! +#26831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26832000 +0! +#26832500 +1! +#26832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26833000 +0! +#26833500 +1! +#26833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26834000 +0! +#26834500 +1! +#26834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26835000 +0! +#26835500 +1! +#26835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26836000 +0! +#26836500 +1! +#26836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26837000 +0! +#26837500 +1! +#26837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26838000 +0! +#26838500 +1! +#26838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26839000 +0! +#26839500 +1! +#26839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26840000 +0! +#26840500 +1! +#26840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26841000 +0! +#26841500 +1! +#26841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26842000 +0! +#26842500 +1! +#26842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26843000 +0! +#26843500 +1! +#26843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26844000 +0! +#26844500 +1! +#26844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26845000 +0! +#26845500 +1! +#26845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26846000 +0! +#26846500 +1! +#26846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26847000 +0! +#26847500 +1! +#26847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26848000 +0! +#26848500 +1! +#26848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26849000 +0! +#26849500 +1! +#26849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26850000 +0! +#26850500 +1! +#26850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26851000 +0! +#26851500 +1! +#26851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26852000 +0! +#26852500 +1! +#26852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26853000 +0! +#26853500 +1! +#26853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26854000 +0! +#26854500 +1! +#26854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#26854700 +1j +b100 q +1i +1O +#26855000 +0! +#26855500 +1! +#26855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26856000 +0! +#26856500 +1! +#26856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26857000 +0! +#26857500 +1! +#26857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000001 C +#26858000 +0! +#26858500 +1! +#26858600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26859000 +0! +#26859500 +1! +#26859600 +1E +1F +1G +b100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26860000 +0! +#26860500 +1! +#26860600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26861000 +0! +#26861500 +1! +#26861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#26862000 +0! +#26862500 +1! +#26862600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26863000 +0! +#26863500 +1! +#26863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26864000 +0! +#26864500 +1! +#26864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26865000 +0! +#26865500 +1! +#26865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26866000 +0! +#26866500 +1! +#26866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26867000 +0! +#26867500 +1! +#26867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26868000 +0! +#26868500 +1! +#26868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26869000 +0! +#26869500 +1! +#26869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26870000 +0! +#26870500 +1! +#26870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26871000 +0! +#26871500 +1! +#26871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26872000 +0! +#26872500 +1! +#26872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26873000 +0! +#26873500 +1! +#26873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26874000 +0! +#26874500 +1! +#26874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26875000 +0! +#26875500 +1! +#26875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26876000 +0! +#26876500 +1! +#26876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26877000 +0! +#26877500 +1! +#26877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26878000 +0! +#26878500 +1! +#26878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26879000 +0! +#26879500 +1! +#26879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26880000 +0! +#26880500 +1! +#26880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26881000 +0! +#26881500 +1! +#26881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26882000 +0! +#26882500 +1! +#26882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26883000 +0! +#26883500 +1! +#26883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26884000 +0! +#26884500 +1! +#26884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26885000 +0! +#26885500 +1! +#26885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26886000 +0! +#26886500 +1! +#26886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26887000 +0! +#26887500 +1! +#26887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26888000 +0! +#26888500 +1! +#26888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26889000 +0! +#26889500 +1! +#26889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26890000 +0! +#26890500 +1! +#26890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26891000 +0! +#26891500 +1! +#26891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26892000 +0! +#26892500 +1! +#26892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26893000 +0! +#26893500 +1! +#26893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26894000 +0! +#26894500 +1! +#26894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26895000 +0! +#26895500 +1! +#26895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26896000 +0! +#26896500 +1! +#26896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26897000 +0! +#26897500 +1! +#26897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26898000 +0! +#26898500 +1! +#26898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26899000 +0! +#26899500 +1! +#26899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26900000 +0! +#26900500 +1! +#26900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26901000 +0! +#26901500 +1! +#26901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26902000 +0! +#26902500 +1! +#26902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26903000 +0! +#26903500 +1! +#26903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26904000 +0! +#26904500 +1! +#26904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26905000 +0! +#26905500 +1! +#26905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26906000 +0! +#26906500 +1! +#26906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26907000 +0! +#26907500 +1! +#26907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26908000 +0! +#26908500 +1! +#26908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26909000 +0! +#26909500 +1! +#26909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26910000 +0! +#26910500 +1! +#26910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26911000 +0! +#26911500 +1! +#26911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26912000 +0! +#26912500 +1! +#26912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26913000 +0! +#26913500 +1! +#26913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26914000 +0! +#26914500 +1! +#26914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26915000 +0! +#26915500 +1! +#26915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26916000 +0! +#26916500 +1! +#26916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26917000 +0! +#26917500 +1! +#26917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26918000 +0! +#26918500 +1! +#26918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26919000 +0! +#26919500 +1! +#26919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26920000 +0! +#26920500 +1! +#26920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26921000 +0! +#26921500 +1! +#26921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26922000 +0! +#26922500 +1! +#26922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26923000 +0! +#26923500 +1! +#26923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26924000 +0! +#26924500 +1! +#26924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26925000 +0! +#26925500 +1! +#26925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26926000 +0! +#26926500 +1! +#26926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26927000 +0! +#26927500 +1! +#26927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26928000 +0! +#26928500 +1! +#26928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26929000 +0! +#26929500 +1! +#26929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26930000 +0! +#26930500 +1! +#26930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26931000 +0! +#26931500 +1! +#26931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26932000 +0! +#26932500 +1! +#26932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26933000 +0! +#26933500 +1! +#26933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26934000 +0! +#26934500 +1! +#26934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26935000 +0! +#26935500 +1! +#26935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26936000 +0! +#26936500 +1! +#26936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26937000 +0! +#26937500 +1! +#26937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26938000 +0! +#26938500 +1! +#26938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26939000 +0! +#26939500 +1! +#26939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26940000 +0! +#26940500 +1! +#26940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26941000 +0! +#26941500 +1! +#26941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26942000 +0! +#26942500 +1! +#26942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26943000 +0! +#26943500 +1! +#26943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26944000 +0! +#26944500 +1! +#26944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26945000 +0! +#26945500 +1! +#26945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26946000 +0! +#26946500 +1! +#26946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26947000 +0! +#26947500 +1! +#26947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26948000 +0! +#26948500 +1! +#26948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26949000 +0! +#26949500 +1! +#26949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26950000 +0! +#26950500 +1! +#26950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26951000 +0! +#26951500 +1! +#26951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26952000 +0! +#26952500 +1! +#26952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26953000 +0! +#26953500 +1! +#26953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26954000 +0! +#26954500 +1! +#26954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26955000 +0! +#26955500 +1! +#26955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26956000 +0! +#26956500 +1! +#26956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26957000 +0! +#26957500 +1! +#26957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26958000 +0! +#26958500 +1! +#26958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26959000 +0! +#26959500 +1! +#26959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26960000 +0! +#26960500 +1! +#26960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26961000 +0! +#26961500 +1! +#26961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26962000 +0! +#26962500 +1! +#26962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26963000 +0! +#26963500 +1! +#26963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26964000 +0! +#26964500 +1! +#26964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26965000 +0! +#26965500 +1! +#26965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26966000 +0! +#26966500 +1! +#26966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26967000 +0! +#26967500 +1! +#26967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26968000 +0! +#26968500 +1! +#26968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26969000 +0! +#26969500 +1! +#26969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26970000 +0! +#26970500 +1! +#26970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26971000 +0! +#26971500 +1! +#26971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26972000 +0! +#26972500 +1! +#26972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26973000 +0! +#26973500 +1! +#26973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26974000 +0! +#26974500 +1! +#26974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26975000 +0! +#26975500 +1! +#26975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26976000 +0! +#26976500 +1! +#26976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26977000 +0! +#26977500 +1! +#26977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26978000 +0! +#26978500 +1! +#26978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26979000 +0! +#26979500 +1! +#26979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26980000 +0! +#26980500 +1! +#26980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26981000 +0! +#26981500 +1! +#26981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26982000 +0! +#26982500 +1! +#26982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26983000 +0! +#26983500 +1! +#26983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26984000 +0! +#26984500 +1! +#26984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26985000 +0! +#26985500 +1! +#26985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26986000 +0! +#26986500 +1! +#26986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26987000 +0! +#26987500 +1! +#26987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26988000 +0! +#26988500 +1! +#26988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26989000 +0! +#26989500 +1! +#26989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26990000 +0! +#26990500 +1! +#26990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26991000 +0! +#26991500 +1! +#26991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26992000 +0! +#26992500 +1! +#26992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26993000 +0! +#26993500 +1! +#26993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26994000 +0! +#26994500 +1! +#26994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26995000 +0! +#26995500 +1! +#26995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26996000 +0! +#26996500 +1! +#26996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26997000 +0! +#26997500 +1! +#26997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#26998000 +0! +#26998500 +1! +#26998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#26999000 +0! +#26999500 +1! +#26999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27000000 +0! +#27000500 +1! +#27000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27001000 +0! +#27001500 +1! +#27001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27002000 +0! +#27002500 +1! +#27002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27003000 +0! +#27003500 +1! +#27003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27004000 +0! +#27004500 +1! +#27004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27005000 +0! +#27005500 +1! +#27005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27006000 +0! +#27006500 +1! +#27006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27007000 +0! +#27007500 +1! +#27007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#27007700 +b1001011 ^ +b10000101 c +#27008000 +0! +#27008500 +1! +#27008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27009000 +0! +#27009500 +1! +#27009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27010000 +0! +#27010500 +1! +#27010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27011000 +0! +#27011500 +1! +#27011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27012000 +0! +#27012500 +1! +#27012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27013000 +0! +#27013500 +1! +#27013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27014000 +0! +#27014500 +1! +#27014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27015000 +0! +#27015500 +1! +#27015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27016000 +0! +#27016500 +1! +#27016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27017000 +0! +#27017500 +1! +#27017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27018000 +0! +#27018500 +1! +#27018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27019000 +0! +#27019500 +1! +#27019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27020000 +0! +#27020500 +1! +#27020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27021000 +0! +#27021500 +1! +#27021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27022000 +0! +#27022500 +1! +#27022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27023000 +0! +#27023500 +1! +#27023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27024000 +0! +#27024500 +1! +#27024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27025000 +0! +#27025500 +1! +#27025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27026000 +0! +#27026500 +1! +#27026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27027000 +0! +#27027500 +1! +#27027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27028000 +0! +#27028500 +1! +#27028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27029000 +0! +#27029500 +1! +#27029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27030000 +0! +#27030500 +1! +#27030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27031000 +0! +#27031500 +1! +#27031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27032000 +0! +#27032500 +1! +#27032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27033000 +0! +#27033500 +1! +#27033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27034000 +0! +#27034500 +1! +#27034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27035000 +0! +#27035500 +1! +#27035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27036000 +0! +#27036500 +1! +#27036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27037000 +0! +#27037500 +1! +#27037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27038000 +0! +#27038500 +1! +#27038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27039000 +0! +#27039500 +1! +#27039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27040000 +0! +#27040500 +1! +#27040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27041000 +0! +#27041500 +1! +#27041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27042000 +0! +#27042500 +1! +#27042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27043000 +0! +#27043500 +1! +#27043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27044000 +0! +#27044500 +1! +#27044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27045000 +0! +#27045500 +1! +#27045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27046000 +0! +#27046500 +1! +#27046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27047000 +0! +#27047500 +1! +#27047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27048000 +0! +#27048500 +1! +#27048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27049000 +0! +#27049500 +1! +#27049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27050000 +0! +#27050500 +1! +#27050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27051000 +0! +#27051500 +1! +#27051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27052000 +0! +#27052500 +1! +#27052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27053000 +0! +#27053500 +1! +#27053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27054000 +0! +#27054500 +1! +#27054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27055000 +0! +#27055500 +1! +#27055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27056000 +0! +#27056500 +1! +#27056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27057000 +0! +#27057500 +1! +#27057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27058000 +0! +#27058500 +1! +#27058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27059000 +0! +#27059500 +1! +#27059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27060000 +0! +#27060500 +1! +#27060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27061000 +0! +#27061500 +1! +#27061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27062000 +0! +#27062500 +1! +#27062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27063000 +0! +#27063500 +1! +#27063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27064000 +0! +#27064500 +1! +#27064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27065000 +0! +#27065500 +1! +#27065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27066000 +0! +#27066500 +1! +#27066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27067000 +0! +#27067500 +1! +#27067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27068000 +0! +#27068500 +1! +#27068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27069000 +0! +#27069500 +1! +#27069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27070000 +0! +#27070500 +1! +#27070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27071000 +0! +#27071500 +1! +#27071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27072000 +0! +#27072500 +1! +#27072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27073000 +0! +#27073500 +1! +#27073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27074000 +0! +#27074500 +1! +#27074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27075000 +0! +#27075500 +1! +#27075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27076000 +0! +#27076500 +1! +#27076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27077000 +0! +#27077500 +1! +#27077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27078000 +0! +#27078500 +1! +#27078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27079000 +0! +#27079500 +1! +#27079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27080000 +0! +#27080500 +1! +#27080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27081000 +0! +#27081500 +1! +#27081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27082000 +0! +#27082500 +1! +#27082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27083000 +0! +#27083500 +1! +#27083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27084000 +0! +#27084500 +1! +#27084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27085000 +0! +#27085500 +1! +#27085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27086000 +0! +#27086500 +1! +#27086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27087000 +0! +#27087500 +1! +#27087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27088000 +0! +#27088500 +1! +#27088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27089000 +0! +#27089500 +1! +#27089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27090000 +0! +#27090500 +1! +#27090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27091000 +0! +#27091500 +1! +#27091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27092000 +0! +#27092500 +1! +#27092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27093000 +0! +#27093500 +1! +#27093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27094000 +0! +#27094500 +1! +#27094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27095000 +0! +#27095500 +1! +#27095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27096000 +0! +#27096500 +1! +#27096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27097000 +0! +#27097500 +1! +#27097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27098000 +0! +#27098500 +1! +#27098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27099000 +0! +#27099500 +1! +#27099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27100000 +0! +#27100500 +1! +#27100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27101000 +0! +#27101500 +1! +#27101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27102000 +0! +#27102500 +1! +#27102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27103000 +0! +#27103500 +1! +#27103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27104000 +0! +#27104500 +1! +#27104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27105000 +0! +#27105500 +1! +#27105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27106000 +0! +#27106500 +1! +#27106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27107000 +0! +#27107500 +1! +#27107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27108000 +0! +#27108500 +1! +#27108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27109000 +0! +#27109500 +1! +#27109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#27109700 +0i +0j +0O +#27110000 +0! +#27110500 +1! +#27110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27111000 +0! +#27111500 +1! +#27111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27112000 +0! +#27112500 +1! +#27112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27113000 +0! +#27113500 +1! +#27113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27114000 +0! +#27114500 +1! +#27114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27115000 +0! +#27115500 +1! +#27115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27116000 +0! +#27116500 +1! +#27116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27117000 +0! +#27117500 +1! +#27117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27118000 +0! +#27118500 +1! +#27118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27119000 +0! +#27119500 +1! +#27119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27120000 +0! +#27120500 +1! +#27120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27121000 +0! +#27121500 +1! +#27121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27122000 +0! +#27122500 +1! +#27122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27123000 +0! +#27123500 +1! +#27123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27124000 +0! +#27124500 +1! +#27124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27125000 +0! +#27125500 +1! +#27125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27126000 +0! +#27126500 +1! +#27126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27127000 +0! +#27127500 +1! +#27127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27128000 +0! +#27128500 +1! +#27128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27129000 +0! +#27129500 +1! +#27129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27130000 +0! +#27130500 +1! +#27130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27131000 +0! +#27131500 +1! +#27131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27132000 +0! +#27132500 +1! +#27132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27133000 +0! +#27133500 +1! +#27133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27134000 +0! +#27134500 +1! +#27134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27135000 +0! +#27135500 +1! +#27135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27136000 +0! +#27136500 +1! +#27136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27137000 +0! +#27137500 +1! +#27137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27138000 +0! +#27138500 +1! +#27138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27139000 +0! +#27139500 +1! +#27139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27140000 +0! +#27140500 +1! +#27140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27141000 +0! +#27141500 +1! +#27141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27142000 +0! +#27142500 +1! +#27142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27143000 +0! +#27143500 +1! +#27143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27144000 +0! +#27144500 +1! +#27144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27145000 +0! +#27145500 +1! +#27145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27146000 +0! +#27146500 +1! +#27146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27147000 +0! +#27147500 +1! +#27147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27148000 +0! +#27148500 +1! +#27148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27149000 +0! +#27149500 +1! +#27149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27150000 +0! +#27150500 +1! +#27150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27151000 +0! +#27151500 +1! +#27151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27152000 +0! +#27152500 +1! +#27152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27153000 +0! +#27153500 +1! +#27153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27154000 +0! +#27154500 +1! +#27154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27155000 +0! +#27155500 +1! +#27155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27156000 +0! +#27156500 +1! +#27156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27157000 +0! +#27157500 +1! +#27157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27158000 +0! +#27158500 +1! +#27158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27159000 +0! +#27159500 +1! +#27159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27160000 +0! +#27160500 +1! +#27160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27161000 +0! +#27161500 +1! +#27161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27162000 +0! +#27162500 +1! +#27162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27163000 +0! +#27163500 +1! +#27163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27164000 +0! +#27164500 +1! +#27164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27165000 +0! +#27165500 +1! +#27165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27166000 +0! +#27166500 +1! +#27166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27167000 +0! +#27167500 +1! +#27167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27168000 +0! +#27168500 +1! +#27168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27169000 +0! +#27169500 +1! +#27169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27170000 +0! +#27170500 +1! +#27170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27171000 +0! +#27171500 +1! +#27171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27172000 +0! +#27172500 +1! +#27172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27173000 +0! +#27173500 +1! +#27173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27174000 +0! +#27174500 +1! +#27174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27175000 +0! +#27175500 +1! +#27175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27176000 +0! +#27176500 +1! +#27176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27177000 +0! +#27177500 +1! +#27177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27178000 +0! +#27178500 +1! +#27178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27179000 +0! +#27179500 +1! +#27179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27180000 +0! +#27180500 +1! +#27180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27181000 +0! +#27181500 +1! +#27181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27182000 +0! +#27182500 +1! +#27182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27183000 +0! +#27183500 +1! +#27183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27184000 +0! +#27184500 +1! +#27184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27185000 +0! +#27185500 +1! +#27185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27186000 +0! +#27186500 +1! +#27186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27187000 +0! +#27187500 +1! +#27187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27188000 +0! +#27188500 +1! +#27188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27189000 +0! +#27189500 +1! +#27189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27190000 +0! +#27190500 +1! +#27190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27191000 +0! +#27191500 +1! +#27191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27192000 +0! +#27192500 +1! +#27192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27193000 +0! +#27193500 +1! +#27193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27194000 +0! +#27194500 +1! +#27194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27195000 +0! +#27195500 +1! +#27195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27196000 +0! +#27196500 +1! +#27196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27197000 +0! +#27197500 +1! +#27197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27198000 +0! +#27198500 +1! +#27198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27199000 +0! +#27199500 +1! +#27199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27200000 +0! +#27200500 +1! +#27200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27201000 +0! +#27201500 +1! +#27201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27202000 +0! +#27202500 +1! +#27202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27203000 +0! +#27203500 +1! +#27203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27204000 +0! +#27204500 +1! +#27204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27205000 +0! +#27205500 +1! +#27205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27206000 +0! +#27206500 +1! +#27206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27207000 +0! +#27207500 +1! +#27207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27208000 +0! +#27208500 +1! +#27208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27209000 +0! +#27209500 +1! +#27209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27210000 +0! +#27210500 +1! +#27210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27211000 +0! +#27211500 +1! +#27211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27212000 +0! +#27212500 +1! +#27212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27213000 +0! +#27213500 +1! +#27213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27214000 +0! +#27214500 +1! +#27214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27215000 +0! +#27215500 +1! +#27215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27216000 +0! +#27216500 +1! +#27216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27217000 +0! +#27217500 +1! +#27217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27218000 +0! +#27218500 +1! +#27218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27219000 +0! +#27219500 +1! +#27219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27220000 +0! +#27220500 +1! +#27220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27221000 +0! +#27221500 +1! +#27221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27222000 +0! +#27222500 +1! +#27222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27223000 +0! +#27223500 +1! +#27223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27224000 +0! +#27224500 +1! +#27224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27225000 +0! +#27225500 +1! +#27225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27226000 +0! +#27226500 +1! +#27226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27227000 +0! +#27227500 +1! +#27227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27228000 +0! +#27228500 +1! +#27228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27229000 +0! +#27229500 +1! +#27229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27230000 +0! +#27230500 +1! +#27230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27231000 +0! +#27231500 +1! +#27231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27232000 +0! +#27232500 +1! +#27232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27233000 +0! +#27233500 +1! +#27233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27234000 +0! +#27234500 +1! +#27234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27235000 +0! +#27235500 +1! +#27235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27236000 +0! +#27236500 +1! +#27236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27237000 +0! +#27237500 +1! +#27237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27238000 +0! +#27238500 +1! +#27238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27239000 +0! +#27239500 +1! +#27239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27240000 +0! +#27240500 +1! +#27240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27241000 +0! +#27241500 +1! +#27241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27242000 +0! +#27242500 +1! +#27242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27243000 +0! +#27243500 +1! +#27243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27244000 +0! +#27244500 +1! +#27244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27245000 +0! +#27245500 +1! +#27245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27246000 +0! +#27246500 +1! +#27246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27247000 +0! +#27247500 +1! +#27247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27248000 +0! +#27248500 +1! +#27248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27249000 +0! +#27249500 +1! +#27249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27250000 +0! +#27250500 +1! +#27250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27251000 +0! +#27251500 +1! +#27251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27252000 +0! +#27252500 +1! +#27252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27253000 +0! +#27253500 +1! +#27253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27254000 +0! +#27254500 +1! +#27254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27255000 +0! +#27255500 +1! +#27255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27256000 +0! +#27256500 +1! +#27256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27257000 +0! +#27257500 +1! +#27257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27258000 +0! +#27258500 +1! +#27258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27259000 +0! +#27259500 +1! +#27259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27260000 +0! +#27260500 +1! +#27260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27261000 +0! +#27261500 +1! +#27261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27262000 +0! +#27262500 +1! +#27262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#27262700 +b10010111 ^ +b110 g +b1010 c +#27263000 +0! +#27263500 +1! +#27263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27264000 +0! +#27264500 +1! +#27264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27265000 +0! +#27265500 +1! +#27265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27266000 +0! +#27266500 +1! +#27266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27267000 +0! +#27267500 +1! +#27267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27268000 +0! +#27268500 +1! +#27268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27269000 +0! +#27269500 +1! +#27269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27270000 +0! +#27270500 +1! +#27270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27271000 +0! +#27271500 +1! +#27271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27272000 +0! +#27272500 +1! +#27272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27273000 +0! +#27273500 +1! +#27273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27274000 +0! +#27274500 +1! +#27274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27275000 +0! +#27275500 +1! +#27275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27276000 +0! +#27276500 +1! +#27276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27277000 +0! +#27277500 +1! +#27277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27278000 +0! +#27278500 +1! +#27278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27279000 +0! +#27279500 +1! +#27279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27280000 +0! +#27280500 +1! +#27280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27281000 +0! +#27281500 +1! +#27281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27282000 +0! +#27282500 +1! +#27282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27283000 +0! +#27283500 +1! +#27283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27284000 +0! +#27284500 +1! +#27284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27285000 +0! +#27285500 +1! +#27285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27286000 +0! +#27286500 +1! +#27286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27287000 +0! +#27287500 +1! +#27287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27288000 +0! +#27288500 +1! +#27288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27289000 +0! +#27289500 +1! +#27289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27290000 +0! +#27290500 +1! +#27290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27291000 +0! +#27291500 +1! +#27291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27292000 +0! +#27292500 +1! +#27292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27293000 +0! +#27293500 +1! +#27293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27294000 +0! +#27294500 +1! +#27294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27295000 +0! +#27295500 +1! +#27295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27296000 +0! +#27296500 +1! +#27296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27297000 +0! +#27297500 +1! +#27297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27298000 +0! +#27298500 +1! +#27298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27299000 +0! +#27299500 +1! +#27299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27300000 +0! +#27300500 +1! +#27300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27301000 +0! +#27301500 +1! +#27301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27302000 +0! +#27302500 +1! +#27302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27303000 +0! +#27303500 +1! +#27303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27304000 +0! +#27304500 +1! +#27304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27305000 +0! +#27305500 +1! +#27305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27306000 +0! +#27306500 +1! +#27306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27307000 +0! +#27307500 +1! +#27307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27308000 +0! +#27308500 +1! +#27308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27309000 +0! +#27309500 +1! +#27309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27310000 +0! +#27310500 +1! +#27310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27311000 +0! +#27311500 +1! +#27311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27312000 +0! +#27312500 +1! +#27312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27313000 +0! +#27313500 +1! +#27313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27314000 +0! +#27314500 +1! +#27314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27315000 +0! +#27315500 +1! +#27315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27316000 +0! +#27316500 +1! +#27316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27317000 +0! +#27317500 +1! +#27317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27318000 +0! +#27318500 +1! +#27318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27319000 +0! +#27319500 +1! +#27319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27320000 +0! +#27320500 +1! +#27320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27321000 +0! +#27321500 +1! +#27321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27322000 +0! +#27322500 +1! +#27322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27323000 +0! +#27323500 +1! +#27323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27324000 +0! +#27324500 +1! +#27324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27325000 +0! +#27325500 +1! +#27325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27326000 +0! +#27326500 +1! +#27326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27327000 +0! +#27327500 +1! +#27327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27328000 +0! +#27328500 +1! +#27328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27329000 +0! +#27329500 +1! +#27329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27330000 +0! +#27330500 +1! +#27330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27331000 +0! +#27331500 +1! +#27331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27332000 +0! +#27332500 +1! +#27332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27333000 +0! +#27333500 +1! +#27333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27334000 +0! +#27334500 +1! +#27334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27335000 +0! +#27335500 +1! +#27335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27336000 +0! +#27336500 +1! +#27336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27337000 +0! +#27337500 +1! +#27337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27338000 +0! +#27338500 +1! +#27338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27339000 +0! +#27339500 +1! +#27339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27340000 +0! +#27340500 +1! +#27340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27341000 +0! +#27341500 +1! +#27341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27342000 +0! +#27342500 +1! +#27342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27343000 +0! +#27343500 +1! +#27343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27344000 +0! +#27344500 +1! +#27344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27345000 +0! +#27345500 +1! +#27345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27346000 +0! +#27346500 +1! +#27346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27347000 +0! +#27347500 +1! +#27347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27348000 +0! +#27348500 +1! +#27348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27349000 +0! +#27349500 +1! +#27349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27350000 +0! +#27350500 +1! +#27350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27351000 +0! +#27351500 +1! +#27351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27352000 +0! +#27352500 +1! +#27352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27353000 +0! +#27353500 +1! +#27353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27354000 +0! +#27354500 +1! +#27354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27355000 +0! +#27355500 +1! +#27355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27356000 +0! +#27356500 +1! +#27356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27357000 +0! +#27357500 +1! +#27357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27358000 +0! +#27358500 +1! +#27358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27359000 +0! +#27359500 +1! +#27359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27360000 +0! +#27360500 +1! +#27360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27361000 +0! +#27361500 +1! +#27361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27362000 +0! +#27362500 +1! +#27362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27363000 +0! +#27363500 +1! +#27363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27364000 +0! +#27364500 +1! +#27364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#27364700 +1j +1O +#27365000 +0! +#27365500 +1! +#27365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27366000 +0! +#27366500 +1! +#27366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27367000 +0! +#27367500 +1! +#27367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27368000 +0! +#27368500 +1! +#27368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27369000 +0! +#27369500 +1! +#27369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27370000 +0! +#27370500 +1! +#27370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27371000 +0! +#27371500 +1! +#27371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27372000 +0! +#27372500 +1! +#27372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27373000 +0! +#27373500 +1! +#27373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27374000 +0! +#27374500 +1! +#27374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27375000 +0! +#27375500 +1! +#27375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27376000 +0! +#27376500 +1! +#27376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27377000 +0! +#27377500 +1! +#27377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27378000 +0! +#27378500 +1! +#27378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27379000 +0! +#27379500 +1! +#27379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27380000 +0! +#27380500 +1! +#27380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27381000 +0! +#27381500 +1! +#27381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27382000 +0! +#27382500 +1! +#27382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27383000 +0! +#27383500 +1! +#27383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27384000 +0! +#27384500 +1! +#27384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27385000 +0! +#27385500 +1! +#27385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27386000 +0! +#27386500 +1! +#27386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27387000 +0! +#27387500 +1! +#27387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27388000 +0! +#27388500 +1! +#27388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27389000 +0! +#27389500 +1! +#27389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27390000 +0! +#27390500 +1! +#27390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27391000 +0! +#27391500 +1! +#27391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27392000 +0! +#27392500 +1! +#27392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27393000 +0! +#27393500 +1! +#27393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27394000 +0! +#27394500 +1! +#27394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27395000 +0! +#27395500 +1! +#27395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27396000 +0! +#27396500 +1! +#27396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27397000 +0! +#27397500 +1! +#27397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27398000 +0! +#27398500 +1! +#27398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27399000 +0! +#27399500 +1! +#27399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27400000 +0! +#27400500 +1! +#27400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27401000 +0! +#27401500 +1! +#27401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27402000 +0! +#27402500 +1! +#27402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27403000 +0! +#27403500 +1! +#27403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27404000 +0! +#27404500 +1! +#27404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27405000 +0! +#27405500 +1! +#27405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27406000 +0! +#27406500 +1! +#27406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27407000 +0! +#27407500 +1! +#27407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27408000 +0! +#27408500 +1! +#27408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27409000 +0! +#27409500 +1! +#27409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27410000 +0! +#27410500 +1! +#27410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27411000 +0! +#27411500 +1! +#27411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27412000 +0! +#27412500 +1! +#27412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27413000 +0! +#27413500 +1! +#27413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27414000 +0! +#27414500 +1! +#27414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27415000 +0! +#27415500 +1! +#27415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27416000 +0! +#27416500 +1! +#27416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27417000 +0! +#27417500 +1! +#27417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27418000 +0! +#27418500 +1! +#27418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27419000 +0! +#27419500 +1! +#27419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27420000 +0! +#27420500 +1! +#27420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27421000 +0! +#27421500 +1! +#27421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27422000 +0! +#27422500 +1! +#27422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27423000 +0! +#27423500 +1! +#27423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27424000 +0! +#27424500 +1! +#27424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27425000 +0! +#27425500 +1! +#27425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27426000 +0! +#27426500 +1! +#27426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27427000 +0! +#27427500 +1! +#27427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27428000 +0! +#27428500 +1! +#27428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27429000 +0! +#27429500 +1! +#27429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27430000 +0! +#27430500 +1! +#27430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27431000 +0! +#27431500 +1! +#27431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27432000 +0! +#27432500 +1! +#27432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27433000 +0! +#27433500 +1! +#27433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27434000 +0! +#27434500 +1! +#27434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27435000 +0! +#27435500 +1! +#27435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27436000 +0! +#27436500 +1! +#27436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27437000 +0! +#27437500 +1! +#27437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27438000 +0! +#27438500 +1! +#27438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27439000 +0! +#27439500 +1! +#27439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27440000 +0! +#27440500 +1! +#27440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27441000 +0! +#27441500 +1! +#27441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27442000 +0! +#27442500 +1! +#27442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27443000 +0! +#27443500 +1! +#27443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27444000 +0! +#27444500 +1! +#27444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27445000 +0! +#27445500 +1! +#27445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27446000 +0! +#27446500 +1! +#27446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27447000 +0! +#27447500 +1! +#27447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27448000 +0! +#27448500 +1! +#27448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27449000 +0! +#27449500 +1! +#27449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27450000 +0! +#27450500 +1! +#27450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27451000 +0! +#27451500 +1! +#27451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27452000 +0! +#27452500 +1! +#27452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27453000 +0! +#27453500 +1! +#27453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27454000 +0! +#27454500 +1! +#27454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27455000 +0! +#27455500 +1! +#27455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27456000 +0! +#27456500 +1! +#27456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27457000 +0! +#27457500 +1! +#27457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27458000 +0! +#27458500 +1! +#27458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27459000 +0! +#27459500 +1! +#27459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27460000 +0! +#27460500 +1! +#27460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27461000 +0! +#27461500 +1! +#27461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27462000 +0! +#27462500 +1! +#27462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27463000 +0! +#27463500 +1! +#27463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27464000 +0! +#27464500 +1! +#27464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27465000 +0! +#27465500 +1! +#27465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27466000 +0! +#27466500 +1! +#27466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27467000 +0! +#27467500 +1! +#27467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27468000 +0! +#27468500 +1! +#27468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27469000 +0! +#27469500 +1! +#27469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27470000 +0! +#27470500 +1! +#27470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27471000 +0! +#27471500 +1! +#27471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27472000 +0! +#27472500 +1! +#27472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27473000 +0! +#27473500 +1! +#27473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27474000 +0! +#27474500 +1! +#27474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27475000 +0! +#27475500 +1! +#27475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27476000 +0! +#27476500 +1! +#27476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27477000 +0! +#27477500 +1! +#27477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27478000 +0! +#27478500 +1! +#27478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27479000 +0! +#27479500 +1! +#27479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27480000 +0! +#27480500 +1! +#27480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27481000 +0! +#27481500 +1! +#27481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27482000 +0! +#27482500 +1! +#27482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27483000 +0! +#27483500 +1! +#27483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27484000 +0! +#27484500 +1! +#27484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27485000 +0! +#27485500 +1! +#27485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27486000 +0! +#27486500 +1! +#27486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27487000 +0! +#27487500 +1! +#27487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27488000 +0! +#27488500 +1! +#27488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27489000 +0! +#27489500 +1! +#27489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27490000 +0! +#27490500 +1! +#27490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27491000 +0! +#27491500 +1! +#27491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27492000 +0! +#27492500 +1! +#27492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27493000 +0! +#27493500 +1! +#27493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27494000 +0! +#27494500 +1! +#27494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27495000 +0! +#27495500 +1! +#27495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27496000 +0! +#27496500 +1! +#27496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27497000 +0! +#27497500 +1! +#27497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27498000 +0! +#27498500 +1! +#27498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27499000 +0! +#27499500 +1! +#27499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27500000 +0! +#27500500 +1! +#27500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27501000 +0! +#27501500 +1! +#27501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27502000 +0! +#27502500 +1! +#27502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27503000 +0! +#27503500 +1! +#27503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27504000 +0! +#27504500 +1! +#27504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27505000 +0! +#27505500 +1! +#27505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27506000 +0! +#27506500 +1! +#27506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27507000 +0! +#27507500 +1! +#27507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27508000 +0! +#27508500 +1! +#27508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27509000 +0! +#27509500 +1! +#27509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27510000 +0! +#27510500 +1! +#27510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27511000 +0! +#27511500 +1! +#27511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27512000 +0! +#27512500 +1! +#27512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27513000 +0! +#27513500 +1! +#27513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27514000 +0! +#27514500 +1! +#27514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27515000 +0! +#27515500 +1! +#27515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27516000 +0! +#27516500 +1! +#27516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27517000 +0! +#27517500 +1! +#27517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#27517700 +b101111 ^ +b101 g +b10101 c +#27518000 +0! +#27518500 +1! +#27518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27519000 +0! +#27519500 +1! +#27519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27520000 +0! +#27520500 +1! +#27520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27521000 +0! +#27521500 +1! +#27521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27522000 +0! +#27522500 +1! +#27522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27523000 +0! +#27523500 +1! +#27523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27524000 +0! +#27524500 +1! +#27524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27525000 +0! +#27525500 +1! +#27525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27526000 +0! +#27526500 +1! +#27526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27527000 +0! +#27527500 +1! +#27527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27528000 +0! +#27528500 +1! +#27528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27529000 +0! +#27529500 +1! +#27529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27530000 +0! +#27530500 +1! +#27530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27531000 +0! +#27531500 +1! +#27531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27532000 +0! +#27532500 +1! +#27532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27533000 +0! +#27533500 +1! +#27533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27534000 +0! +#27534500 +1! +#27534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27535000 +0! +#27535500 +1! +#27535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27536000 +0! +#27536500 +1! +#27536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27537000 +0! +#27537500 +1! +#27537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27538000 +0! +#27538500 +1! +#27538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27539000 +0! +#27539500 +1! +#27539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27540000 +0! +#27540500 +1! +#27540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27541000 +0! +#27541500 +1! +#27541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27542000 +0! +#27542500 +1! +#27542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27543000 +0! +#27543500 +1! +#27543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27544000 +0! +#27544500 +1! +#27544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27545000 +0! +#27545500 +1! +#27545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27546000 +0! +#27546500 +1! +#27546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27547000 +0! +#27547500 +1! +#27547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27548000 +0! +#27548500 +1! +#27548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27549000 +0! +#27549500 +1! +#27549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27550000 +0! +#27550500 +1! +#27550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27551000 +0! +#27551500 +1! +#27551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27552000 +0! +#27552500 +1! +#27552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27553000 +0! +#27553500 +1! +#27553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27554000 +0! +#27554500 +1! +#27554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27555000 +0! +#27555500 +1! +#27555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27556000 +0! +#27556500 +1! +#27556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27557000 +0! +#27557500 +1! +#27557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27558000 +0! +#27558500 +1! +#27558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27559000 +0! +#27559500 +1! +#27559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27560000 +0! +#27560500 +1! +#27560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27561000 +0! +#27561500 +1! +#27561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27562000 +0! +#27562500 +1! +#27562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27563000 +0! +#27563500 +1! +#27563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27564000 +0! +#27564500 +1! +#27564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27565000 +0! +#27565500 +1! +#27565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27566000 +0! +#27566500 +1! +#27566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27567000 +0! +#27567500 +1! +#27567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27568000 +0! +#27568500 +1! +#27568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27569000 +0! +#27569500 +1! +#27569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27570000 +0! +#27570500 +1! +#27570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27571000 +0! +#27571500 +1! +#27571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27572000 +0! +#27572500 +1! +#27572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27573000 +0! +#27573500 +1! +#27573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27574000 +0! +#27574500 +1! +#27574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27575000 +0! +#27575500 +1! +#27575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27576000 +0! +#27576500 +1! +#27576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27577000 +0! +#27577500 +1! +#27577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27578000 +0! +#27578500 +1! +#27578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27579000 +0! +#27579500 +1! +#27579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27580000 +0! +#27580500 +1! +#27580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27581000 +0! +#27581500 +1! +#27581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27582000 +0! +#27582500 +1! +#27582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27583000 +0! +#27583500 +1! +#27583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27584000 +0! +#27584500 +1! +#27584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27585000 +0! +#27585500 +1! +#27585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27586000 +0! +#27586500 +1! +#27586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27587000 +0! +#27587500 +1! +#27587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27588000 +0! +#27588500 +1! +#27588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27589000 +0! +#27589500 +1! +#27589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27590000 +0! +#27590500 +1! +#27590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27591000 +0! +#27591500 +1! +#27591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27592000 +0! +#27592500 +1! +#27592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27593000 +0! +#27593500 +1! +#27593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27594000 +0! +#27594500 +1! +#27594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27595000 +0! +#27595500 +1! +#27595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27596000 +0! +#27596500 +1! +#27596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27597000 +0! +#27597500 +1! +#27597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27598000 +0! +#27598500 +1! +#27598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27599000 +0! +#27599500 +1! +#27599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27600000 +0! +#27600500 +1! +#27600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27601000 +0! +#27601500 +1! +#27601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27602000 +0! +#27602500 +1! +#27602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27603000 +0! +#27603500 +1! +#27603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27604000 +0! +#27604500 +1! +#27604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27605000 +0! +#27605500 +1! +#27605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27606000 +0! +#27606500 +1! +#27606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27607000 +0! +#27607500 +1! +#27607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27608000 +0! +#27608500 +1! +#27608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27609000 +0! +#27609500 +1! +#27609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27610000 +0! +#27610500 +1! +#27610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27611000 +0! +#27611500 +1! +#27611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27612000 +0! +#27612500 +1! +#27612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27613000 +0! +#27613500 +1! +#27613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27614000 +0! +#27614500 +1! +#27614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27615000 +0! +#27615500 +1! +#27615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27616000 +0! +#27616500 +1! +#27616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27617000 +0! +#27617500 +1! +#27617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27618000 +0! +#27618500 +1! +#27618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27619000 +0! +#27619500 +1! +#27619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#27619700 +0j +0O +#27620000 +0! +#27620500 +1! +#27620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27621000 +0! +#27621500 +1! +#27621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27622000 +0! +#27622500 +1! +#27622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27623000 +0! +#27623500 +1! +#27623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27624000 +0! +#27624500 +1! +#27624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27625000 +0! +#27625500 +1! +#27625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27626000 +0! +#27626500 +1! +#27626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27627000 +0! +#27627500 +1! +#27627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27628000 +0! +#27628500 +1! +#27628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27629000 +0! +#27629500 +1! +#27629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27630000 +0! +#27630500 +1! +#27630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27631000 +0! +#27631500 +1! +#27631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27632000 +0! +#27632500 +1! +#27632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27633000 +0! +#27633500 +1! +#27633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27634000 +0! +#27634500 +1! +#27634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27635000 +0! +#27635500 +1! +#27635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27636000 +0! +#27636500 +1! +#27636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27637000 +0! +#27637500 +1! +#27637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27638000 +0! +#27638500 +1! +#27638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27639000 +0! +#27639500 +1! +#27639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27640000 +0! +#27640500 +1! +#27640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27641000 +0! +#27641500 +1! +#27641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27642000 +0! +#27642500 +1! +#27642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27643000 +0! +#27643500 +1! +#27643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27644000 +0! +#27644500 +1! +#27644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27645000 +0! +#27645500 +1! +#27645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27646000 +0! +#27646500 +1! +#27646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27647000 +0! +#27647500 +1! +#27647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27648000 +0! +#27648500 +1! +#27648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27649000 +0! +#27649500 +1! +#27649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27650000 +0! +#27650500 +1! +#27650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27651000 +0! +#27651500 +1! +#27651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27652000 +0! +#27652500 +1! +#27652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27653000 +0! +#27653500 +1! +#27653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27654000 +0! +#27654500 +1! +#27654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27655000 +0! +#27655500 +1! +#27655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27656000 +0! +#27656500 +1! +#27656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27657000 +0! +#27657500 +1! +#27657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27658000 +0! +#27658500 +1! +#27658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27659000 +0! +#27659500 +1! +#27659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27660000 +0! +#27660500 +1! +#27660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27661000 +0! +#27661500 +1! +#27661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27662000 +0! +#27662500 +1! +#27662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27663000 +0! +#27663500 +1! +#27663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27664000 +0! +#27664500 +1! +#27664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27665000 +0! +#27665500 +1! +#27665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27666000 +0! +#27666500 +1! +#27666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27667000 +0! +#27667500 +1! +#27667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27668000 +0! +#27668500 +1! +#27668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27669000 +0! +#27669500 +1! +#27669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27670000 +0! +#27670500 +1! +#27670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27671000 +0! +#27671500 +1! +#27671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27672000 +0! +#27672500 +1! +#27672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27673000 +0! +#27673500 +1! +#27673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27674000 +0! +#27674500 +1! +#27674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27675000 +0! +#27675500 +1! +#27675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27676000 +0! +#27676500 +1! +#27676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27677000 +0! +#27677500 +1! +#27677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27678000 +0! +#27678500 +1! +#27678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27679000 +0! +#27679500 +1! +#27679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27680000 +0! +#27680500 +1! +#27680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27681000 +0! +#27681500 +1! +#27681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27682000 +0! +#27682500 +1! +#27682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27683000 +0! +#27683500 +1! +#27683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27684000 +0! +#27684500 +1! +#27684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27685000 +0! +#27685500 +1! +#27685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27686000 +0! +#27686500 +1! +#27686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27687000 +0! +#27687500 +1! +#27687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27688000 +0! +#27688500 +1! +#27688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27689000 +0! +#27689500 +1! +#27689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27690000 +0! +#27690500 +1! +#27690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27691000 +0! +#27691500 +1! +#27691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27692000 +0! +#27692500 +1! +#27692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27693000 +0! +#27693500 +1! +#27693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27694000 +0! +#27694500 +1! +#27694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27695000 +0! +#27695500 +1! +#27695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27696000 +0! +#27696500 +1! +#27696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27697000 +0! +#27697500 +1! +#27697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27698000 +0! +#27698500 +1! +#27698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27699000 +0! +#27699500 +1! +#27699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27700000 +0! +#27700500 +1! +#27700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27701000 +0! +#27701500 +1! +#27701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27702000 +0! +#27702500 +1! +#27702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27703000 +0! +#27703500 +1! +#27703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27704000 +0! +#27704500 +1! +#27704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27705000 +0! +#27705500 +1! +#27705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27706000 +0! +#27706500 +1! +#27706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27707000 +0! +#27707500 +1! +#27707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27708000 +0! +#27708500 +1! +#27708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27709000 +0! +#27709500 +1! +#27709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27710000 +0! +#27710500 +1! +#27710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27711000 +0! +#27711500 +1! +#27711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27712000 +0! +#27712500 +1! +#27712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27713000 +0! +#27713500 +1! +#27713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27714000 +0! +#27714500 +1! +#27714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27715000 +0! +#27715500 +1! +#27715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27716000 +0! +#27716500 +1! +#27716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27717000 +0! +#27717500 +1! +#27717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27718000 +0! +#27718500 +1! +#27718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27719000 +0! +#27719500 +1! +#27719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27720000 +0! +#27720500 +1! +#27720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27721000 +0! +#27721500 +1! +#27721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27722000 +0! +#27722500 +1! +#27722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27723000 +0! +#27723500 +1! +#27723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27724000 +0! +#27724500 +1! +#27724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27725000 +0! +#27725500 +1! +#27725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27726000 +0! +#27726500 +1! +#27726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27727000 +0! +#27727500 +1! +#27727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27728000 +0! +#27728500 +1! +#27728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27729000 +0! +#27729500 +1! +#27729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27730000 +0! +#27730500 +1! +#27730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27731000 +0! +#27731500 +1! +#27731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27732000 +0! +#27732500 +1! +#27732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27733000 +0! +#27733500 +1! +#27733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27734000 +0! +#27734500 +1! +#27734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27735000 +0! +#27735500 +1! +#27735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27736000 +0! +#27736500 +1! +#27736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27737000 +0! +#27737500 +1! +#27737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27738000 +0! +#27738500 +1! +#27738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27739000 +0! +#27739500 +1! +#27739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27740000 +0! +#27740500 +1! +#27740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27741000 +0! +#27741500 +1! +#27741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27742000 +0! +#27742500 +1! +#27742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27743000 +0! +#27743500 +1! +#27743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27744000 +0! +#27744500 +1! +#27744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27745000 +0! +#27745500 +1! +#27745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27746000 +0! +#27746500 +1! +#27746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27747000 +0! +#27747500 +1! +#27747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27748000 +0! +#27748500 +1! +#27748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27749000 +0! +#27749500 +1! +#27749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27750000 +0! +#27750500 +1! +#27750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27751000 +0! +#27751500 +1! +#27751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27752000 +0! +#27752500 +1! +#27752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27753000 +0! +#27753500 +1! +#27753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27754000 +0! +#27754500 +1! +#27754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27755000 +0! +#27755500 +1! +#27755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27756000 +0! +#27756500 +1! +#27756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27757000 +0! +#27757500 +1! +#27757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27758000 +0! +#27758500 +1! +#27758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27759000 +0! +#27759500 +1! +#27759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27760000 +0! +#27760500 +1! +#27760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27761000 +0! +#27761500 +1! +#27761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27762000 +0! +#27762500 +1! +#27762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27763000 +0! +#27763500 +1! +#27763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27764000 +0! +#27764500 +1! +#27764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27765000 +0! +#27765500 +1! +#27765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27766000 +0! +#27766500 +1! +#27766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27767000 +0! +#27767500 +1! +#27767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27768000 +0! +#27768500 +1! +#27768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27769000 +0! +#27769500 +1! +#27769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27770000 +0! +#27770500 +1! +#27770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27771000 +0! +#27771500 +1! +#27771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27772000 +0! +#27772500 +1! +#27772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#27772700 +b1011111 ^ +b100 g +b101010 c +#27773000 +0! +#27773500 +1! +#27773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27774000 +0! +#27774500 +1! +#27774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27775000 +0! +#27775500 +1! +#27775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27776000 +0! +#27776500 +1! +#27776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27777000 +0! +#27777500 +1! +#27777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27778000 +0! +#27778500 +1! +#27778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27779000 +0! +#27779500 +1! +#27779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27780000 +0! +#27780500 +1! +#27780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27781000 +0! +#27781500 +1! +#27781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27782000 +0! +#27782500 +1! +#27782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27783000 +0! +#27783500 +1! +#27783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27784000 +0! +#27784500 +1! +#27784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27785000 +0! +#27785500 +1! +#27785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27786000 +0! +#27786500 +1! +#27786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27787000 +0! +#27787500 +1! +#27787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27788000 +0! +#27788500 +1! +#27788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27789000 +0! +#27789500 +1! +#27789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27790000 +0! +#27790500 +1! +#27790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27791000 +0! +#27791500 +1! +#27791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27792000 +0! +#27792500 +1! +#27792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27793000 +0! +#27793500 +1! +#27793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27794000 +0! +#27794500 +1! +#27794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27795000 +0! +#27795500 +1! +#27795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27796000 +0! +#27796500 +1! +#27796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27797000 +0! +#27797500 +1! +#27797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27798000 +0! +#27798500 +1! +#27798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27799000 +0! +#27799500 +1! +#27799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27800000 +0! +#27800500 +1! +#27800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27801000 +0! +#27801500 +1! +#27801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27802000 +0! +#27802500 +1! +#27802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27803000 +0! +#27803500 +1! +#27803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27804000 +0! +#27804500 +1! +#27804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27805000 +0! +#27805500 +1! +#27805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27806000 +0! +#27806500 +1! +#27806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27807000 +0! +#27807500 +1! +#27807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27808000 +0! +#27808500 +1! +#27808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27809000 +0! +#27809500 +1! +#27809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27810000 +0! +#27810500 +1! +#27810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27811000 +0! +#27811500 +1! +#27811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27812000 +0! +#27812500 +1! +#27812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27813000 +0! +#27813500 +1! +#27813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27814000 +0! +#27814500 +1! +#27814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27815000 +0! +#27815500 +1! +#27815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27816000 +0! +#27816500 +1! +#27816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27817000 +0! +#27817500 +1! +#27817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27818000 +0! +#27818500 +1! +#27818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27819000 +0! +#27819500 +1! +#27819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27820000 +0! +#27820500 +1! +#27820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27821000 +0! +#27821500 +1! +#27821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27822000 +0! +#27822500 +1! +#27822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27823000 +0! +#27823500 +1! +#27823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27824000 +0! +#27824500 +1! +#27824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27825000 +0! +#27825500 +1! +#27825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27826000 +0! +#27826500 +1! +#27826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27827000 +0! +#27827500 +1! +#27827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27828000 +0! +#27828500 +1! +#27828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27829000 +0! +#27829500 +1! +#27829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27830000 +0! +#27830500 +1! +#27830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27831000 +0! +#27831500 +1! +#27831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27832000 +0! +#27832500 +1! +#27832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27833000 +0! +#27833500 +1! +#27833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27834000 +0! +#27834500 +1! +#27834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27835000 +0! +#27835500 +1! +#27835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27836000 +0! +#27836500 +1! +#27836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27837000 +0! +#27837500 +1! +#27837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27838000 +0! +#27838500 +1! +#27838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27839000 +0! +#27839500 +1! +#27839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27840000 +0! +#27840500 +1! +#27840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27841000 +0! +#27841500 +1! +#27841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27842000 +0! +#27842500 +1! +#27842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27843000 +0! +#27843500 +1! +#27843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27844000 +0! +#27844500 +1! +#27844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27845000 +0! +#27845500 +1! +#27845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27846000 +0! +#27846500 +1! +#27846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27847000 +0! +#27847500 +1! +#27847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27848000 +0! +#27848500 +1! +#27848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27849000 +0! +#27849500 +1! +#27849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27850000 +0! +#27850500 +1! +#27850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27851000 +0! +#27851500 +1! +#27851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27852000 +0! +#27852500 +1! +#27852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27853000 +0! +#27853500 +1! +#27853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27854000 +0! +#27854500 +1! +#27854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27855000 +0! +#27855500 +1! +#27855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27856000 +0! +#27856500 +1! +#27856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27857000 +0! +#27857500 +1! +#27857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27858000 +0! +#27858500 +1! +#27858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27859000 +0! +#27859500 +1! +#27859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27860000 +0! +#27860500 +1! +#27860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27861000 +0! +#27861500 +1! +#27861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27862000 +0! +#27862500 +1! +#27862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27863000 +0! +#27863500 +1! +#27863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27864000 +0! +#27864500 +1! +#27864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27865000 +0! +#27865500 +1! +#27865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27866000 +0! +#27866500 +1! +#27866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27867000 +0! +#27867500 +1! +#27867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27868000 +0! +#27868500 +1! +#27868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27869000 +0! +#27869500 +1! +#27869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27870000 +0! +#27870500 +1! +#27870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27871000 +0! +#27871500 +1! +#27871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27872000 +0! +#27872500 +1! +#27872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27873000 +0! +#27873500 +1! +#27873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27874000 +0! +#27874500 +1! +#27874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#27874700 +1j +0j +#27875000 +0! +#27875500 +1! +#27875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27876000 +0! +#27876500 +1! +#27876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27877000 +0! +#27877500 +1! +#27877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27878000 +0! +#27878500 +1! +#27878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27879000 +0! +#27879500 +1! +#27879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27880000 +0! +#27880500 +1! +#27880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27881000 +0! +#27881500 +1! +#27881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27882000 +0! +#27882500 +1! +#27882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27883000 +0! +#27883500 +1! +#27883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27884000 +0! +#27884500 +1! +#27884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27885000 +0! +#27885500 +1! +#27885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27886000 +0! +#27886500 +1! +#27886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27887000 +0! +#27887500 +1! +#27887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27888000 +0! +#27888500 +1! +#27888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27889000 +0! +#27889500 +1! +#27889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27890000 +0! +#27890500 +1! +#27890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27891000 +0! +#27891500 +1! +#27891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27892000 +0! +#27892500 +1! +#27892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27893000 +0! +#27893500 +1! +#27893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27894000 +0! +#27894500 +1! +#27894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27895000 +0! +#27895500 +1! +#27895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27896000 +0! +#27896500 +1! +#27896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27897000 +0! +#27897500 +1! +#27897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27898000 +0! +#27898500 +1! +#27898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27899000 +0! +#27899500 +1! +#27899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27900000 +0! +#27900500 +1! +#27900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27901000 +0! +#27901500 +1! +#27901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27902000 +0! +#27902500 +1! +#27902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27903000 +0! +#27903500 +1! +#27903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27904000 +0! +#27904500 +1! +#27904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27905000 +0! +#27905500 +1! +#27905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27906000 +0! +#27906500 +1! +#27906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27907000 +0! +#27907500 +1! +#27907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27908000 +0! +#27908500 +1! +#27908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27909000 +0! +#27909500 +1! +#27909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27910000 +0! +#27910500 +1! +#27910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27911000 +0! +#27911500 +1! +#27911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27912000 +0! +#27912500 +1! +#27912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27913000 +0! +#27913500 +1! +#27913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27914000 +0! +#27914500 +1! +#27914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27915000 +0! +#27915500 +1! +#27915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27916000 +0! +#27916500 +1! +#27916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27917000 +0! +#27917500 +1! +#27917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27918000 +0! +#27918500 +1! +#27918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27919000 +0! +#27919500 +1! +#27919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27920000 +0! +#27920500 +1! +#27920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27921000 +0! +#27921500 +1! +#27921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27922000 +0! +#27922500 +1! +#27922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27923000 +0! +#27923500 +1! +#27923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27924000 +0! +#27924500 +1! +#27924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27925000 +0! +#27925500 +1! +#27925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27926000 +0! +#27926500 +1! +#27926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27927000 +0! +#27927500 +1! +#27927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27928000 +0! +#27928500 +1! +#27928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27929000 +0! +#27929500 +1! +#27929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27930000 +0! +#27930500 +1! +#27930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27931000 +0! +#27931500 +1! +#27931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27932000 +0! +#27932500 +1! +#27932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27933000 +0! +#27933500 +1! +#27933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27934000 +0! +#27934500 +1! +#27934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27935000 +0! +#27935500 +1! +#27935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27936000 +0! +#27936500 +1! +#27936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27937000 +0! +#27937500 +1! +#27937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27938000 +0! +#27938500 +1! +#27938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27939000 +0! +#27939500 +1! +#27939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27940000 +0! +#27940500 +1! +#27940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27941000 +0! +#27941500 +1! +#27941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27942000 +0! +#27942500 +1! +#27942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27943000 +0! +#27943500 +1! +#27943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27944000 +0! +#27944500 +1! +#27944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27945000 +0! +#27945500 +1! +#27945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27946000 +0! +#27946500 +1! +#27946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27947000 +0! +#27947500 +1! +#27947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27948000 +0! +#27948500 +1! +#27948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27949000 +0! +#27949500 +1! +#27949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27950000 +0! +#27950500 +1! +#27950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27951000 +0! +#27951500 +1! +#27951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27952000 +0! +#27952500 +1! +#27952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27953000 +0! +#27953500 +1! +#27953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27954000 +0! +#27954500 +1! +#27954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27955000 +0! +#27955500 +1! +#27955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27956000 +0! +#27956500 +1! +#27956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27957000 +0! +#27957500 +1! +#27957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27958000 +0! +#27958500 +1! +#27958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27959000 +0! +#27959500 +1! +#27959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27960000 +0! +#27960500 +1! +#27960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27961000 +0! +#27961500 +1! +#27961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27962000 +0! +#27962500 +1! +#27962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27963000 +0! +#27963500 +1! +#27963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27964000 +0! +#27964500 +1! +#27964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27965000 +0! +#27965500 +1! +#27965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27966000 +0! +#27966500 +1! +#27966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27967000 +0! +#27967500 +1! +#27967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27968000 +0! +#27968500 +1! +#27968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27969000 +0! +#27969500 +1! +#27969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27970000 +0! +#27970500 +1! +#27970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27971000 +0! +#27971500 +1! +#27971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27972000 +0! +#27972500 +1! +#27972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27973000 +0! +#27973500 +1! +#27973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27974000 +0! +#27974500 +1! +#27974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27975000 +0! +#27975500 +1! +#27975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27976000 +0! +#27976500 +1! +#27976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27977000 +0! +#27977500 +1! +#27977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27978000 +0! +#27978500 +1! +#27978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27979000 +0! +#27979500 +1! +#27979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27980000 +0! +#27980500 +1! +#27980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27981000 +0! +#27981500 +1! +#27981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27982000 +0! +#27982500 +1! +#27982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27983000 +0! +#27983500 +1! +#27983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27984000 +0! +#27984500 +1! +#27984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27985000 +0! +#27985500 +1! +#27985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27986000 +0! +#27986500 +1! +#27986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27987000 +0! +#27987500 +1! +#27987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27988000 +0! +#27988500 +1! +#27988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27989000 +0! +#27989500 +1! +#27989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27990000 +0! +#27990500 +1! +#27990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27991000 +0! +#27991500 +1! +#27991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27992000 +0! +#27992500 +1! +#27992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27993000 +0! +#27993500 +1! +#27993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27994000 +0! +#27994500 +1! +#27994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27995000 +0! +#27995500 +1! +#27995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27996000 +0! +#27996500 +1! +#27996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27997000 +0! +#27997500 +1! +#27997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#27998000 +0! +#27998500 +1! +#27998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#27999000 +0! +#27999500 +1! +#27999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28000000 +0! +#28000500 +1! +#28000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28001000 +0! +#28001500 +1! +#28001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28002000 +0! +#28002500 +1! +#28002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28003000 +0! +#28003500 +1! +#28003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28004000 +0! +#28004500 +1! +#28004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28005000 +0! +#28005500 +1! +#28005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28006000 +0! +#28006500 +1! +#28006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28007000 +0! +#28007500 +1! +#28007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28008000 +0! +#28008500 +1! +#28008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28009000 +0! +#28009500 +1! +#28009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28010000 +0! +#28010500 +1! +#28010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28011000 +0! +#28011500 +1! +#28011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28012000 +0! +#28012500 +1! +#28012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28013000 +0! +#28013500 +1! +#28013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28014000 +0! +#28014500 +1! +#28014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28015000 +0! +#28015500 +1! +#28015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28016000 +0! +#28016500 +1! +#28016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28017000 +0! +#28017500 +1! +#28017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28018000 +0! +#28018500 +1! +#28018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28019000 +0! +#28019500 +1! +#28019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28020000 +0! +#28020500 +1! +#28020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28021000 +0! +#28021500 +1! +#28021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28022000 +0! +#28022500 +1! +#28022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28023000 +0! +#28023500 +1! +#28023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28024000 +0! +#28024500 +1! +#28024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28025000 +0! +#28025500 +1! +#28025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28026000 +0! +#28026500 +1! +#28026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28027000 +0! +#28027500 +1! +#28027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#28027700 +b10111111 ^ +b11 g +b1010100 c +#28028000 +0! +#28028500 +1! +#28028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28029000 +0! +#28029500 +1! +#28029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28030000 +0! +#28030500 +1! +#28030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28031000 +0! +#28031500 +1! +#28031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28032000 +0! +#28032500 +1! +#28032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28033000 +0! +#28033500 +1! +#28033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28034000 +0! +#28034500 +1! +#28034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28035000 +0! +#28035500 +1! +#28035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28036000 +0! +#28036500 +1! +#28036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28037000 +0! +#28037500 +1! +#28037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28038000 +0! +#28038500 +1! +#28038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28039000 +0! +#28039500 +1! +#28039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28040000 +0! +#28040500 +1! +#28040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28041000 +0! +#28041500 +1! +#28041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28042000 +0! +#28042500 +1! +#28042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28043000 +0! +#28043500 +1! +#28043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28044000 +0! +#28044500 +1! +#28044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28045000 +0! +#28045500 +1! +#28045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28046000 +0! +#28046500 +1! +#28046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28047000 +0! +#28047500 +1! +#28047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28048000 +0! +#28048500 +1! +#28048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28049000 +0! +#28049500 +1! +#28049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28050000 +0! +#28050500 +1! +#28050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28051000 +0! +#28051500 +1! +#28051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28052000 +0! +#28052500 +1! +#28052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28053000 +0! +#28053500 +1! +#28053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28054000 +0! +#28054500 +1! +#28054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28055000 +0! +#28055500 +1! +#28055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28056000 +0! +#28056500 +1! +#28056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28057000 +0! +#28057500 +1! +#28057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28058000 +0! +#28058500 +1! +#28058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28059000 +0! +#28059500 +1! +#28059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28060000 +0! +#28060500 +1! +#28060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28061000 +0! +#28061500 +1! +#28061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28062000 +0! +#28062500 +1! +#28062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28063000 +0! +#28063500 +1! +#28063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28064000 +0! +#28064500 +1! +#28064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28065000 +0! +#28065500 +1! +#28065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28066000 +0! +#28066500 +1! +#28066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28067000 +0! +#28067500 +1! +#28067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28068000 +0! +#28068500 +1! +#28068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28069000 +0! +#28069500 +1! +#28069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28070000 +0! +#28070500 +1! +#28070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28071000 +0! +#28071500 +1! +#28071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28072000 +0! +#28072500 +1! +#28072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28073000 +0! +#28073500 +1! +#28073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28074000 +0! +#28074500 +1! +#28074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28075000 +0! +#28075500 +1! +#28075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28076000 +0! +#28076500 +1! +#28076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28077000 +0! +#28077500 +1! +#28077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28078000 +0! +#28078500 +1! +#28078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28079000 +0! +#28079500 +1! +#28079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28080000 +0! +#28080500 +1! +#28080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28081000 +0! +#28081500 +1! +#28081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28082000 +0! +#28082500 +1! +#28082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28083000 +0! +#28083500 +1! +#28083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28084000 +0! +#28084500 +1! +#28084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28085000 +0! +#28085500 +1! +#28085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28086000 +0! +#28086500 +1! +#28086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28087000 +0! +#28087500 +1! +#28087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28088000 +0! +#28088500 +1! +#28088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28089000 +0! +#28089500 +1! +#28089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28090000 +0! +#28090500 +1! +#28090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28091000 +0! +#28091500 +1! +#28091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28092000 +0! +#28092500 +1! +#28092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28093000 +0! +#28093500 +1! +#28093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28094000 +0! +#28094500 +1! +#28094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28095000 +0! +#28095500 +1! +#28095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28096000 +0! +#28096500 +1! +#28096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28097000 +0! +#28097500 +1! +#28097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28098000 +0! +#28098500 +1! +#28098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28099000 +0! +#28099500 +1! +#28099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28100000 +0! +#28100500 +1! +#28100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28101000 +0! +#28101500 +1! +#28101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28102000 +0! +#28102500 +1! +#28102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28103000 +0! +#28103500 +1! +#28103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28104000 +0! +#28104500 +1! +#28104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28105000 +0! +#28105500 +1! +#28105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28106000 +0! +#28106500 +1! +#28106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28107000 +0! +#28107500 +1! +#28107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28108000 +0! +#28108500 +1! +#28108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28109000 +0! +#28109500 +1! +#28109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28110000 +0! +#28110500 +1! +#28110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28111000 +0! +#28111500 +1! +#28111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28112000 +0! +#28112500 +1! +#28112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28113000 +0! +#28113500 +1! +#28113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28114000 +0! +#28114500 +1! +#28114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28115000 +0! +#28115500 +1! +#28115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28116000 +0! +#28116500 +1! +#28116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28117000 +0! +#28117500 +1! +#28117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28118000 +0! +#28118500 +1! +#28118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28119000 +0! +#28119500 +1! +#28119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28120000 +0! +#28120500 +1! +#28120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28121000 +0! +#28121500 +1! +#28121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28122000 +0! +#28122500 +1! +#28122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28123000 +0! +#28123500 +1! +#28123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28124000 +0! +#28124500 +1! +#28124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28125000 +0! +#28125500 +1! +#28125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28126000 +0! +#28126500 +1! +#28126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28127000 +0! +#28127500 +1! +#28127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28128000 +0! +#28128500 +1! +#28128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28129000 +0! +#28129500 +1! +#28129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#28129700 +1j +1O +#28130000 +0! +#28130500 +1! +#28130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28131000 +0! +#28131500 +1! +#28131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28132000 +0! +#28132500 +1! +#28132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28133000 +0! +#28133500 +1! +#28133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28134000 +0! +#28134500 +1! +#28134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28135000 +0! +#28135500 +1! +#28135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28136000 +0! +#28136500 +1! +#28136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28137000 +0! +#28137500 +1! +#28137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28138000 +0! +#28138500 +1! +#28138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28139000 +0! +#28139500 +1! +#28139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28140000 +0! +#28140500 +1! +#28140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28141000 +0! +#28141500 +1! +#28141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28142000 +0! +#28142500 +1! +#28142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28143000 +0! +#28143500 +1! +#28143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28144000 +0! +#28144500 +1! +#28144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28145000 +0! +#28145500 +1! +#28145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28146000 +0! +#28146500 +1! +#28146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28147000 +0! +#28147500 +1! +#28147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28148000 +0! +#28148500 +1! +#28148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28149000 +0! +#28149500 +1! +#28149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28150000 +0! +#28150500 +1! +#28150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28151000 +0! +#28151500 +1! +#28151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28152000 +0! +#28152500 +1! +#28152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28153000 +0! +#28153500 +1! +#28153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28154000 +0! +#28154500 +1! +#28154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28155000 +0! +#28155500 +1! +#28155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28156000 +0! +#28156500 +1! +#28156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28157000 +0! +#28157500 +1! +#28157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28158000 +0! +#28158500 +1! +#28158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28159000 +0! +#28159500 +1! +#28159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28160000 +0! +#28160500 +1! +#28160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28161000 +0! +#28161500 +1! +#28161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28162000 +0! +#28162500 +1! +#28162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28163000 +0! +#28163500 +1! +#28163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28164000 +0! +#28164500 +1! +#28164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28165000 +0! +#28165500 +1! +#28165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28166000 +0! +#28166500 +1! +#28166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28167000 +0! +#28167500 +1! +#28167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28168000 +0! +#28168500 +1! +#28168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28169000 +0! +#28169500 +1! +#28169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28170000 +0! +#28170500 +1! +#28170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28171000 +0! +#28171500 +1! +#28171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28172000 +0! +#28172500 +1! +#28172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28173000 +0! +#28173500 +1! +#28173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28174000 +0! +#28174500 +1! +#28174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28175000 +0! +#28175500 +1! +#28175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28176000 +0! +#28176500 +1! +#28176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28177000 +0! +#28177500 +1! +#28177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28178000 +0! +#28178500 +1! +#28178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28179000 +0! +#28179500 +1! +#28179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28180000 +0! +#28180500 +1! +#28180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28181000 +0! +#28181500 +1! +#28181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28182000 +0! +#28182500 +1! +#28182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28183000 +0! +#28183500 +1! +#28183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28184000 +0! +#28184500 +1! +#28184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28185000 +0! +#28185500 +1! +#28185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28186000 +0! +#28186500 +1! +#28186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28187000 +0! +#28187500 +1! +#28187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28188000 +0! +#28188500 +1! +#28188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28189000 +0! +#28189500 +1! +#28189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28190000 +0! +#28190500 +1! +#28190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28191000 +0! +#28191500 +1! +#28191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28192000 +0! +#28192500 +1! +#28192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28193000 +0! +#28193500 +1! +#28193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28194000 +0! +#28194500 +1! +#28194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28195000 +0! +#28195500 +1! +#28195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28196000 +0! +#28196500 +1! +#28196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28197000 +0! +#28197500 +1! +#28197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28198000 +0! +#28198500 +1! +#28198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28199000 +0! +#28199500 +1! +#28199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28200000 +0! +#28200500 +1! +#28200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28201000 +0! +#28201500 +1! +#28201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28202000 +0! +#28202500 +1! +#28202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28203000 +0! +#28203500 +1! +#28203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28204000 +0! +#28204500 +1! +#28204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28205000 +0! +#28205500 +1! +#28205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28206000 +0! +#28206500 +1! +#28206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28207000 +0! +#28207500 +1! +#28207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28208000 +0! +#28208500 +1! +#28208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28209000 +0! +#28209500 +1! +#28209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28210000 +0! +#28210500 +1! +#28210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28211000 +0! +#28211500 +1! +#28211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28212000 +0! +#28212500 +1! +#28212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28213000 +0! +#28213500 +1! +#28213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28214000 +0! +#28214500 +1! +#28214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28215000 +0! +#28215500 +1! +#28215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28216000 +0! +#28216500 +1! +#28216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28217000 +0! +#28217500 +1! +#28217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28218000 +0! +#28218500 +1! +#28218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28219000 +0! +#28219500 +1! +#28219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28220000 +0! +#28220500 +1! +#28220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28221000 +0! +#28221500 +1! +#28221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28222000 +0! +#28222500 +1! +#28222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28223000 +0! +#28223500 +1! +#28223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28224000 +0! +#28224500 +1! +#28224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28225000 +0! +#28225500 +1! +#28225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28226000 +0! +#28226500 +1! +#28226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28227000 +0! +#28227500 +1! +#28227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28228000 +0! +#28228500 +1! +#28228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28229000 +0! +#28229500 +1! +#28229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28230000 +0! +#28230500 +1! +#28230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28231000 +0! +#28231500 +1! +#28231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28232000 +0! +#28232500 +1! +#28232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28233000 +0! +#28233500 +1! +#28233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28234000 +0! +#28234500 +1! +#28234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28235000 +0! +#28235500 +1! +#28235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28236000 +0! +#28236500 +1! +#28236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28237000 +0! +#28237500 +1! +#28237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28238000 +0! +#28238500 +1! +#28238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28239000 +0! +#28239500 +1! +#28239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28240000 +0! +#28240500 +1! +#28240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28241000 +0! +#28241500 +1! +#28241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28242000 +0! +#28242500 +1! +#28242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28243000 +0! +#28243500 +1! +#28243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28244000 +0! +#28244500 +1! +#28244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28245000 +0! +#28245500 +1! +#28245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28246000 +0! +#28246500 +1! +#28246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28247000 +0! +#28247500 +1! +#28247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28248000 +0! +#28248500 +1! +#28248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28249000 +0! +#28249500 +1! +#28249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28250000 +0! +#28250500 +1! +#28250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28251000 +0! +#28251500 +1! +#28251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28252000 +0! +#28252500 +1! +#28252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28253000 +0! +#28253500 +1! +#28253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28254000 +0! +#28254500 +1! +#28254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28255000 +0! +#28255500 +1! +#28255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28256000 +0! +#28256500 +1! +#28256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28257000 +0! +#28257500 +1! +#28257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28258000 +0! +#28258500 +1! +#28258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28259000 +0! +#28259500 +1! +#28259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28260000 +0! +#28260500 +1! +#28260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28261000 +0! +#28261500 +1! +#28261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28262000 +0! +#28262500 +1! +#28262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28263000 +0! +#28263500 +1! +#28263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28264000 +0! +#28264500 +1! +#28264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28265000 +0! +#28265500 +1! +#28265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28266000 +0! +#28266500 +1! +#28266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28267000 +0! +#28267500 +1! +#28267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28268000 +0! +#28268500 +1! +#28268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28269000 +0! +#28269500 +1! +#28269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28270000 +0! +#28270500 +1! +#28270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28271000 +0! +#28271500 +1! +#28271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28272000 +0! +#28272500 +1! +#28272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28273000 +0! +#28273500 +1! +#28273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28274000 +0! +#28274500 +1! +#28274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28275000 +0! +#28275500 +1! +#28275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28276000 +0! +#28276500 +1! +#28276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28277000 +0! +#28277500 +1! +#28277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28278000 +0! +#28278500 +1! +#28278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28279000 +0! +#28279500 +1! +#28279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28280000 +0! +#28280500 +1! +#28280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28281000 +0! +#28281500 +1! +#28281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28282000 +0! +#28282500 +1! +#28282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#28282700 +b1111111 ^ +b10 g +b10101001 c +#28283000 +0! +#28283500 +1! +#28283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28284000 +0! +#28284500 +1! +#28284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28285000 +0! +#28285500 +1! +#28285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28286000 +0! +#28286500 +1! +#28286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28287000 +0! +#28287500 +1! +#28287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28288000 +0! +#28288500 +1! +#28288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28289000 +0! +#28289500 +1! +#28289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28290000 +0! +#28290500 +1! +#28290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28291000 +0! +#28291500 +1! +#28291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28292000 +0! +#28292500 +1! +#28292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28293000 +0! +#28293500 +1! +#28293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28294000 +0! +#28294500 +1! +#28294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28295000 +0! +#28295500 +1! +#28295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28296000 +0! +#28296500 +1! +#28296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28297000 +0! +#28297500 +1! +#28297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28298000 +0! +#28298500 +1! +#28298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28299000 +0! +#28299500 +1! +#28299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28300000 +0! +#28300500 +1! +#28300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28301000 +0! +#28301500 +1! +#28301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28302000 +0! +#28302500 +1! +#28302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28303000 +0! +#28303500 +1! +#28303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28304000 +0! +#28304500 +1! +#28304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28305000 +0! +#28305500 +1! +#28305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28306000 +0! +#28306500 +1! +#28306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28307000 +0! +#28307500 +1! +#28307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28308000 +0! +#28308500 +1! +#28308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28309000 +0! +#28309500 +1! +#28309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28310000 +0! +#28310500 +1! +#28310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28311000 +0! +#28311500 +1! +#28311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28312000 +0! +#28312500 +1! +#28312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28313000 +0! +#28313500 +1! +#28313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28314000 +0! +#28314500 +1! +#28314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28315000 +0! +#28315500 +1! +#28315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28316000 +0! +#28316500 +1! +#28316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28317000 +0! +#28317500 +1! +#28317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28318000 +0! +#28318500 +1! +#28318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28319000 +0! +#28319500 +1! +#28319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28320000 +0! +#28320500 +1! +#28320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28321000 +0! +#28321500 +1! +#28321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28322000 +0! +#28322500 +1! +#28322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28323000 +0! +#28323500 +1! +#28323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28324000 +0! +#28324500 +1! +#28324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28325000 +0! +#28325500 +1! +#28325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28326000 +0! +#28326500 +1! +#28326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28327000 +0! +#28327500 +1! +#28327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28328000 +0! +#28328500 +1! +#28328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28329000 +0! +#28329500 +1! +#28329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28330000 +0! +#28330500 +1! +#28330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28331000 +0! +#28331500 +1! +#28331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28332000 +0! +#28332500 +1! +#28332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28333000 +0! +#28333500 +1! +#28333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28334000 +0! +#28334500 +1! +#28334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28335000 +0! +#28335500 +1! +#28335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28336000 +0! +#28336500 +1! +#28336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28337000 +0! +#28337500 +1! +#28337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28338000 +0! +#28338500 +1! +#28338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28339000 +0! +#28339500 +1! +#28339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28340000 +0! +#28340500 +1! +#28340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28341000 +0! +#28341500 +1! +#28341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28342000 +0! +#28342500 +1! +#28342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28343000 +0! +#28343500 +1! +#28343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28344000 +0! +#28344500 +1! +#28344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28345000 +0! +#28345500 +1! +#28345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28346000 +0! +#28346500 +1! +#28346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28347000 +0! +#28347500 +1! +#28347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28348000 +0! +#28348500 +1! +#28348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28349000 +0! +#28349500 +1! +#28349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28350000 +0! +#28350500 +1! +#28350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28351000 +0! +#28351500 +1! +#28351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28352000 +0! +#28352500 +1! +#28352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28353000 +0! +#28353500 +1! +#28353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28354000 +0! +#28354500 +1! +#28354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28355000 +0! +#28355500 +1! +#28355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28356000 +0! +#28356500 +1! +#28356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28357000 +0! +#28357500 +1! +#28357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28358000 +0! +#28358500 +1! +#28358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28359000 +0! +#28359500 +1! +#28359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28360000 +0! +#28360500 +1! +#28360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28361000 +0! +#28361500 +1! +#28361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28362000 +0! +#28362500 +1! +#28362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28363000 +0! +#28363500 +1! +#28363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28364000 +0! +#28364500 +1! +#28364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28365000 +0! +#28365500 +1! +#28365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28366000 +0! +#28366500 +1! +#28366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28367000 +0! +#28367500 +1! +#28367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28368000 +0! +#28368500 +1! +#28368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28369000 +0! +#28369500 +1! +#28369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28370000 +0! +#28370500 +1! +#28370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28371000 +0! +#28371500 +1! +#28371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28372000 +0! +#28372500 +1! +#28372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28373000 +0! +#28373500 +1! +#28373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28374000 +0! +#28374500 +1! +#28374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28375000 +0! +#28375500 +1! +#28375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28376000 +0! +#28376500 +1! +#28376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28377000 +0! +#28377500 +1! +#28377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28378000 +0! +#28378500 +1! +#28378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28379000 +0! +#28379500 +1! +#28379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28380000 +0! +#28380500 +1! +#28380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28381000 +0! +#28381500 +1! +#28381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28382000 +0! +#28382500 +1! +#28382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28383000 +0! +#28383500 +1! +#28383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28384000 +0! +#28384500 +1! +#28384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#28384700 +0j +0O +#28385000 +0! +#28385500 +1! +#28385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28386000 +0! +#28386500 +1! +#28386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28387000 +0! +#28387500 +1! +#28387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28388000 +0! +#28388500 +1! +#28388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28389000 +0! +#28389500 +1! +#28389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28390000 +0! +#28390500 +1! +#28390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28391000 +0! +#28391500 +1! +#28391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28392000 +0! +#28392500 +1! +#28392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28393000 +0! +#28393500 +1! +#28393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28394000 +0! +#28394500 +1! +#28394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28395000 +0! +#28395500 +1! +#28395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28396000 +0! +#28396500 +1! +#28396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28397000 +0! +#28397500 +1! +#28397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28398000 +0! +#28398500 +1! +#28398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28399000 +0! +#28399500 +1! +#28399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28400000 +0! +#28400500 +1! +#28400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28401000 +0! +#28401500 +1! +#28401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28402000 +0! +#28402500 +1! +#28402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28403000 +0! +#28403500 +1! +#28403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28404000 +0! +#28404500 +1! +#28404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28405000 +0! +#28405500 +1! +#28405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28406000 +0! +#28406500 +1! +#28406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28407000 +0! +#28407500 +1! +#28407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28408000 +0! +#28408500 +1! +#28408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28409000 +0! +#28409500 +1! +#28409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28410000 +0! +#28410500 +1! +#28410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28411000 +0! +#28411500 +1! +#28411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28412000 +0! +#28412500 +1! +#28412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28413000 +0! +#28413500 +1! +#28413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28414000 +0! +#28414500 +1! +#28414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28415000 +0! +#28415500 +1! +#28415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28416000 +0! +#28416500 +1! +#28416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28417000 +0! +#28417500 +1! +#28417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28418000 +0! +#28418500 +1! +#28418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28419000 +0! +#28419500 +1! +#28419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28420000 +0! +#28420500 +1! +#28420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28421000 +0! +#28421500 +1! +#28421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28422000 +0! +#28422500 +1! +#28422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28423000 +0! +#28423500 +1! +#28423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28424000 +0! +#28424500 +1! +#28424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28425000 +0! +#28425500 +1! +#28425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28426000 +0! +#28426500 +1! +#28426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28427000 +0! +#28427500 +1! +#28427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28428000 +0! +#28428500 +1! +#28428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28429000 +0! +#28429500 +1! +#28429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28430000 +0! +#28430500 +1! +#28430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28431000 +0! +#28431500 +1! +#28431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28432000 +0! +#28432500 +1! +#28432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28433000 +0! +#28433500 +1! +#28433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28434000 +0! +#28434500 +1! +#28434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28435000 +0! +#28435500 +1! +#28435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28436000 +0! +#28436500 +1! +#28436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28437000 +0! +#28437500 +1! +#28437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28438000 +0! +#28438500 +1! +#28438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28439000 +0! +#28439500 +1! +#28439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28440000 +0! +#28440500 +1! +#28440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28441000 +0! +#28441500 +1! +#28441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28442000 +0! +#28442500 +1! +#28442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28443000 +0! +#28443500 +1! +#28443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28444000 +0! +#28444500 +1! +#28444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28445000 +0! +#28445500 +1! +#28445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28446000 +0! +#28446500 +1! +#28446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28447000 +0! +#28447500 +1! +#28447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28448000 +0! +#28448500 +1! +#28448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28449000 +0! +#28449500 +1! +#28449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28450000 +0! +#28450500 +1! +#28450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28451000 +0! +#28451500 +1! +#28451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28452000 +0! +#28452500 +1! +#28452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28453000 +0! +#28453500 +1! +#28453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28454000 +0! +#28454500 +1! +#28454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28455000 +0! +#28455500 +1! +#28455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28456000 +0! +#28456500 +1! +#28456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28457000 +0! +#28457500 +1! +#28457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28458000 +0! +#28458500 +1! +#28458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28459000 +0! +#28459500 +1! +#28459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28460000 +0! +#28460500 +1! +#28460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28461000 +0! +#28461500 +1! +#28461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28462000 +0! +#28462500 +1! +#28462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28463000 +0! +#28463500 +1! +#28463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28464000 +0! +#28464500 +1! +#28464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28465000 +0! +#28465500 +1! +#28465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28466000 +0! +#28466500 +1! +#28466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28467000 +0! +#28467500 +1! +#28467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28468000 +0! +#28468500 +1! +#28468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28469000 +0! +#28469500 +1! +#28469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28470000 +0! +#28470500 +1! +#28470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28471000 +0! +#28471500 +1! +#28471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28472000 +0! +#28472500 +1! +#28472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28473000 +0! +#28473500 +1! +#28473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28474000 +0! +#28474500 +1! +#28474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28475000 +0! +#28475500 +1! +#28475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28476000 +0! +#28476500 +1! +#28476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28477000 +0! +#28477500 +1! +#28477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28478000 +0! +#28478500 +1! +#28478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28479000 +0! +#28479500 +1! +#28479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28480000 +0! +#28480500 +1! +#28480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28481000 +0! +#28481500 +1! +#28481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28482000 +0! +#28482500 +1! +#28482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28483000 +0! +#28483500 +1! +#28483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28484000 +0! +#28484500 +1! +#28484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28485000 +0! +#28485500 +1! +#28485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28486000 +0! +#28486500 +1! +#28486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28487000 +0! +#28487500 +1! +#28487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28488000 +0! +#28488500 +1! +#28488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28489000 +0! +#28489500 +1! +#28489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28490000 +0! +#28490500 +1! +#28490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28491000 +0! +#28491500 +1! +#28491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28492000 +0! +#28492500 +1! +#28492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28493000 +0! +#28493500 +1! +#28493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28494000 +0! +#28494500 +1! +#28494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28495000 +0! +#28495500 +1! +#28495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28496000 +0! +#28496500 +1! +#28496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28497000 +0! +#28497500 +1! +#28497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28498000 +0! +#28498500 +1! +#28498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28499000 +0! +#28499500 +1! +#28499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28500000 +0! +#28500500 +1! +#28500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28501000 +0! +#28501500 +1! +#28501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28502000 +0! +#28502500 +1! +#28502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28503000 +0! +#28503500 +1! +#28503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28504000 +0! +#28504500 +1! +#28504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28505000 +0! +#28505500 +1! +#28505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28506000 +0! +#28506500 +1! +#28506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28507000 +0! +#28507500 +1! +#28507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28508000 +0! +#28508500 +1! +#28508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28509000 +0! +#28509500 +1! +#28509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28510000 +0! +#28510500 +1! +#28510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28511000 +0! +#28511500 +1! +#28511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28512000 +0! +#28512500 +1! +#28512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28513000 +0! +#28513500 +1! +#28513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28514000 +0! +#28514500 +1! +#28514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28515000 +0! +#28515500 +1! +#28515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28516000 +0! +#28516500 +1! +#28516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28517000 +0! +#28517500 +1! +#28517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28518000 +0! +#28518500 +1! +#28518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28519000 +0! +#28519500 +1! +#28519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28520000 +0! +#28520500 +1! +#28520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28521000 +0! +#28521500 +1! +#28521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28522000 +0! +#28522500 +1! +#28522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28523000 +0! +#28523500 +1! +#28523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28524000 +0! +#28524500 +1! +#28524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28525000 +0! +#28525500 +1! +#28525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28526000 +0! +#28526500 +1! +#28526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28527000 +0! +#28527500 +1! +#28527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28528000 +0! +#28528500 +1! +#28528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28529000 +0! +#28529500 +1! +#28529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28530000 +0! +#28530500 +1! +#28530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28531000 +0! +#28531500 +1! +#28531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28532000 +0! +#28532500 +1! +#28532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28533000 +0! +#28533500 +1! +#28533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28534000 +0! +#28534500 +1! +#28534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28535000 +0! +#28535500 +1! +#28535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28536000 +0! +#28536500 +1! +#28536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28537000 +0! +#28537500 +1! +#28537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#28537700 +b11111111 ^ +b1 g +b1010010 c +#28538000 +0! +#28538500 +1! +#28538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28539000 +0! +#28539500 +1! +#28539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28540000 +0! +#28540500 +1! +#28540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28541000 +0! +#28541500 +1! +#28541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28542000 +0! +#28542500 +1! +#28542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28543000 +0! +#28543500 +1! +#28543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28544000 +0! +#28544500 +1! +#28544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28545000 +0! +#28545500 +1! +#28545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28546000 +0! +#28546500 +1! +#28546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28547000 +0! +#28547500 +1! +#28547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28548000 +0! +#28548500 +1! +#28548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28549000 +0! +#28549500 +1! +#28549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28550000 +0! +#28550500 +1! +#28550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28551000 +0! +#28551500 +1! +#28551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28552000 +0! +#28552500 +1! +#28552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28553000 +0! +#28553500 +1! +#28553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28554000 +0! +#28554500 +1! +#28554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28555000 +0! +#28555500 +1! +#28555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28556000 +0! +#28556500 +1! +#28556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28557000 +0! +#28557500 +1! +#28557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28558000 +0! +#28558500 +1! +#28558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28559000 +0! +#28559500 +1! +#28559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28560000 +0! +#28560500 +1! +#28560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28561000 +0! +#28561500 +1! +#28561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28562000 +0! +#28562500 +1! +#28562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28563000 +0! +#28563500 +1! +#28563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28564000 +0! +#28564500 +1! +#28564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28565000 +0! +#28565500 +1! +#28565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28566000 +0! +#28566500 +1! +#28566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28567000 +0! +#28567500 +1! +#28567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28568000 +0! +#28568500 +1! +#28568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28569000 +0! +#28569500 +1! +#28569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28570000 +0! +#28570500 +1! +#28570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28571000 +0! +#28571500 +1! +#28571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28572000 +0! +#28572500 +1! +#28572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28573000 +0! +#28573500 +1! +#28573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28574000 +0! +#28574500 +1! +#28574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28575000 +0! +#28575500 +1! +#28575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28576000 +0! +#28576500 +1! +#28576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28577000 +0! +#28577500 +1! +#28577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28578000 +0! +#28578500 +1! +#28578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28579000 +0! +#28579500 +1! +#28579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28580000 +0! +#28580500 +1! +#28580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28581000 +0! +#28581500 +1! +#28581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28582000 +0! +#28582500 +1! +#28582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28583000 +0! +#28583500 +1! +#28583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28584000 +0! +#28584500 +1! +#28584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28585000 +0! +#28585500 +1! +#28585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28586000 +0! +#28586500 +1! +#28586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28587000 +0! +#28587500 +1! +#28587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28588000 +0! +#28588500 +1! +#28588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28589000 +0! +#28589500 +1! +#28589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28590000 +0! +#28590500 +1! +#28590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28591000 +0! +#28591500 +1! +#28591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28592000 +0! +#28592500 +1! +#28592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28593000 +0! +#28593500 +1! +#28593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28594000 +0! +#28594500 +1! +#28594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28595000 +0! +#28595500 +1! +#28595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28596000 +0! +#28596500 +1! +#28596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28597000 +0! +#28597500 +1! +#28597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28598000 +0! +#28598500 +1! +#28598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28599000 +0! +#28599500 +1! +#28599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28600000 +0! +#28600500 +1! +#28600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28601000 +0! +#28601500 +1! +#28601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28602000 +0! +#28602500 +1! +#28602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28603000 +0! +#28603500 +1! +#28603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28604000 +0! +#28604500 +1! +#28604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28605000 +0! +#28605500 +1! +#28605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28606000 +0! +#28606500 +1! +#28606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28607000 +0! +#28607500 +1! +#28607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28608000 +0! +#28608500 +1! +#28608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28609000 +0! +#28609500 +1! +#28609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28610000 +0! +#28610500 +1! +#28610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28611000 +0! +#28611500 +1! +#28611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28612000 +0! +#28612500 +1! +#28612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28613000 +0! +#28613500 +1! +#28613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28614000 +0! +#28614500 +1! +#28614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28615000 +0! +#28615500 +1! +#28615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28616000 +0! +#28616500 +1! +#28616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28617000 +0! +#28617500 +1! +#28617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28618000 +0! +#28618500 +1! +#28618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28619000 +0! +#28619500 +1! +#28619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28620000 +0! +#28620500 +1! +#28620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28621000 +0! +#28621500 +1! +#28621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28622000 +0! +#28622500 +1! +#28622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28623000 +0! +#28623500 +1! +#28623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28624000 +0! +#28624500 +1! +#28624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28625000 +0! +#28625500 +1! +#28625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28626000 +0! +#28626500 +1! +#28626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28627000 +0! +#28627500 +1! +#28627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28628000 +0! +#28628500 +1! +#28628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28629000 +0! +#28629500 +1! +#28629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28630000 +0! +#28630500 +1! +#28630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28631000 +0! +#28631500 +1! +#28631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28632000 +0! +#28632500 +1! +#28632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28633000 +0! +#28633500 +1! +#28633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28634000 +0! +#28634500 +1! +#28634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28635000 +0! +#28635500 +1! +#28635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28636000 +0! +#28636500 +1! +#28636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28637000 +0! +#28637500 +1! +#28637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28638000 +0! +#28638500 +1! +#28638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28639000 +0! +#28639500 +1! +#28639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#28639700 +1j +1O +#28640000 +0! +#28640500 +1! +#28640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28641000 +0! +#28641500 +1! +#28641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28642000 +0! +#28642500 +1! +#28642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28643000 +0! +#28643500 +1! +#28643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28644000 +0! +#28644500 +1! +#28644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28645000 +0! +#28645500 +1! +#28645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28646000 +0! +#28646500 +1! +#28646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28647000 +0! +#28647500 +1! +#28647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28648000 +0! +#28648500 +1! +#28648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28649000 +0! +#28649500 +1! +#28649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28650000 +0! +#28650500 +1! +#28650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28651000 +0! +#28651500 +1! +#28651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28652000 +0! +#28652500 +1! +#28652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28653000 +0! +#28653500 +1! +#28653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28654000 +0! +#28654500 +1! +#28654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28655000 +0! +#28655500 +1! +#28655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28656000 +0! +#28656500 +1! +#28656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28657000 +0! +#28657500 +1! +#28657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28658000 +0! +#28658500 +1! +#28658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28659000 +0! +#28659500 +1! +#28659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28660000 +0! +#28660500 +1! +#28660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28661000 +0! +#28661500 +1! +#28661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28662000 +0! +#28662500 +1! +#28662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28663000 +0! +#28663500 +1! +#28663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28664000 +0! +#28664500 +1! +#28664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28665000 +0! +#28665500 +1! +#28665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28666000 +0! +#28666500 +1! +#28666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28667000 +0! +#28667500 +1! +#28667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28668000 +0! +#28668500 +1! +#28668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28669000 +0! +#28669500 +1! +#28669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28670000 +0! +#28670500 +1! +#28670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28671000 +0! +#28671500 +1! +#28671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28672000 +0! +#28672500 +1! +#28672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28673000 +0! +#28673500 +1! +#28673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28674000 +0! +#28674500 +1! +#28674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28675000 +0! +#28675500 +1! +#28675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28676000 +0! +#28676500 +1! +#28676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28677000 +0! +#28677500 +1! +#28677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28678000 +0! +#28678500 +1! +#28678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28679000 +0! +#28679500 +1! +#28679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28680000 +0! +#28680500 +1! +#28680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28681000 +0! +#28681500 +1! +#28681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28682000 +0! +#28682500 +1! +#28682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28683000 +0! +#28683500 +1! +#28683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28684000 +0! +#28684500 +1! +#28684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28685000 +0! +#28685500 +1! +#28685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28686000 +0! +#28686500 +1! +#28686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28687000 +0! +#28687500 +1! +#28687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28688000 +0! +#28688500 +1! +#28688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28689000 +0! +#28689500 +1! +#28689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28690000 +0! +#28690500 +1! +#28690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28691000 +0! +#28691500 +1! +#28691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28692000 +0! +#28692500 +1! +#28692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28693000 +0! +#28693500 +1! +#28693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28694000 +0! +#28694500 +1! +#28694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28695000 +0! +#28695500 +1! +#28695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28696000 +0! +#28696500 +1! +#28696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28697000 +0! +#28697500 +1! +#28697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28698000 +0! +#28698500 +1! +#28698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28699000 +0! +#28699500 +1! +#28699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28700000 +0! +#28700500 +1! +#28700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28701000 +0! +#28701500 +1! +#28701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28702000 +0! +#28702500 +1! +#28702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28703000 +0! +#28703500 +1! +#28703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28704000 +0! +#28704500 +1! +#28704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28705000 +0! +#28705500 +1! +#28705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28706000 +0! +#28706500 +1! +#28706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28707000 +0! +#28707500 +1! +#28707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28708000 +0! +#28708500 +1! +#28708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28709000 +0! +#28709500 +1! +#28709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28710000 +0! +#28710500 +1! +#28710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28711000 +0! +#28711500 +1! +#28711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28712000 +0! +#28712500 +1! +#28712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28713000 +0! +#28713500 +1! +#28713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28714000 +0! +#28714500 +1! +#28714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28715000 +0! +#28715500 +1! +#28715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28716000 +0! +#28716500 +1! +#28716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28717000 +0! +#28717500 +1! +#28717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28718000 +0! +#28718500 +1! +#28718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28719000 +0! +#28719500 +1! +#28719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28720000 +0! +#28720500 +1! +#28720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28721000 +0! +#28721500 +1! +#28721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28722000 +0! +#28722500 +1! +#28722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28723000 +0! +#28723500 +1! +#28723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28724000 +0! +#28724500 +1! +#28724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28725000 +0! +#28725500 +1! +#28725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28726000 +0! +#28726500 +1! +#28726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28727000 +0! +#28727500 +1! +#28727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28728000 +0! +#28728500 +1! +#28728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28729000 +0! +#28729500 +1! +#28729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28730000 +0! +#28730500 +1! +#28730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28731000 +0! +#28731500 +1! +#28731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28732000 +0! +#28732500 +1! +#28732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28733000 +0! +#28733500 +1! +#28733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28734000 +0! +#28734500 +1! +#28734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28735000 +0! +#28735500 +1! +#28735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28736000 +0! +#28736500 +1! +#28736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28737000 +0! +#28737500 +1! +#28737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28738000 +0! +#28738500 +1! +#28738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28739000 +0! +#28739500 +1! +#28739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28740000 +0! +#28740500 +1! +#28740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28741000 +0! +#28741500 +1! +#28741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28742000 +0! +#28742500 +1! +#28742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28743000 +0! +#28743500 +1! +#28743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28744000 +0! +#28744500 +1! +#28744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28745000 +0! +#28745500 +1! +#28745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28746000 +0! +#28746500 +1! +#28746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28747000 +0! +#28747500 +1! +#28747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28748000 +0! +#28748500 +1! +#28748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28749000 +0! +#28749500 +1! +#28749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28750000 +0! +#28750500 +1! +#28750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28751000 +0! +#28751500 +1! +#28751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28752000 +0! +#28752500 +1! +#28752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28753000 +0! +#28753500 +1! +#28753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28754000 +0! +#28754500 +1! +#28754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28755000 +0! +#28755500 +1! +#28755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28756000 +0! +#28756500 +1! +#28756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28757000 +0! +#28757500 +1! +#28757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28758000 +0! +#28758500 +1! +#28758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28759000 +0! +#28759500 +1! +#28759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28760000 +0! +#28760500 +1! +#28760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28761000 +0! +#28761500 +1! +#28761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28762000 +0! +#28762500 +1! +#28762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28763000 +0! +#28763500 +1! +#28763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28764000 +0! +#28764500 +1! +#28764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28765000 +0! +#28765500 +1! +#28765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28766000 +0! +#28766500 +1! +#28766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28767000 +0! +#28767500 +1! +#28767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28768000 +0! +#28768500 +1! +#28768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28769000 +0! +#28769500 +1! +#28769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28770000 +0! +#28770500 +1! +#28770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28771000 +0! +#28771500 +1! +#28771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28772000 +0! +#28772500 +1! +#28772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28773000 +0! +#28773500 +1! +#28773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28774000 +0! +#28774500 +1! +#28774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28775000 +0! +#28775500 +1! +#28775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28776000 +0! +#28776500 +1! +#28776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28777000 +0! +#28777500 +1! +#28777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28778000 +0! +#28778500 +1! +#28778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28779000 +0! +#28779500 +1! +#28779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28780000 +0! +#28780500 +1! +#28780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28781000 +0! +#28781500 +1! +#28781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28782000 +0! +#28782500 +1! +#28782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28783000 +0! +#28783500 +1! +#28783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28784000 +0! +#28784500 +1! +#28784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28785000 +0! +#28785500 +1! +#28785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28786000 +0! +#28786500 +1! +#28786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28787000 +0! +#28787500 +1! +#28787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28788000 +0! +#28788500 +1! +#28788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28789000 +0! +#28789500 +1! +#28789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28790000 +0! +#28790500 +1! +#28790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28791000 +0! +#28791500 +1! +#28791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28792000 +0! +#28792500 +1! +#28792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#28792700 +b0 g +b10100101 c +1h +#28793000 +0! +#28793500 +1! +#28793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28794000 +0! +#28794500 +1! +#28794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28795000 +0! +#28795500 +1! +#28795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28796000 +0! +#28796500 +1! +#28796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28797000 +0! +#28797500 +1! +#28797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28798000 +0! +#28798500 +1! +#28798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28799000 +0! +#28799500 +1! +#28799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28800000 +0! +#28800500 +1! +#28800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28801000 +0! +#28801500 +1! +#28801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28802000 +0! +#28802500 +1! +#28802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28803000 +0! +#28803500 +1! +#28803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28804000 +0! +#28804500 +1! +#28804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28805000 +0! +#28805500 +1! +#28805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28806000 +0! +#28806500 +1! +#28806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28807000 +0! +#28807500 +1! +#28807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28808000 +0! +#28808500 +1! +#28808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28809000 +0! +#28809500 +1! +#28809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28810000 +0! +#28810500 +1! +#28810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28811000 +0! +#28811500 +1! +#28811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28812000 +0! +#28812500 +1! +#28812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28813000 +0! +#28813500 +1! +#28813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28814000 +0! +#28814500 +1! +#28814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28815000 +0! +#28815500 +1! +#28815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28816000 +0! +#28816500 +1! +#28816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28817000 +0! +#28817500 +1! +#28817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28818000 +0! +#28818500 +1! +#28818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28819000 +0! +#28819500 +1! +#28819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28820000 +0! +#28820500 +1! +#28820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28821000 +0! +#28821500 +1! +#28821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28822000 +0! +#28822500 +1! +#28822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28823000 +0! +#28823500 +1! +#28823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28824000 +0! +#28824500 +1! +#28824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28825000 +0! +#28825500 +1! +#28825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28826000 +0! +#28826500 +1! +#28826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28827000 +0! +#28827500 +1! +#28827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28828000 +0! +#28828500 +1! +#28828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28829000 +0! +#28829500 +1! +#28829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28830000 +0! +#28830500 +1! +#28830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28831000 +0! +#28831500 +1! +#28831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28832000 +0! +#28832500 +1! +#28832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28833000 +0! +#28833500 +1! +#28833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28834000 +0! +#28834500 +1! +#28834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28835000 +0! +#28835500 +1! +#28835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28836000 +0! +#28836500 +1! +#28836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28837000 +0! +#28837500 +1! +#28837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28838000 +0! +#28838500 +1! +#28838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28839000 +0! +#28839500 +1! +#28839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28840000 +0! +#28840500 +1! +#28840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28841000 +0! +#28841500 +1! +#28841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28842000 +0! +#28842500 +1! +#28842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28843000 +0! +#28843500 +1! +#28843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28844000 +0! +#28844500 +1! +#28844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28845000 +0! +#28845500 +1! +#28845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28846000 +0! +#28846500 +1! +#28846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28847000 +0! +#28847500 +1! +#28847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28848000 +0! +#28848500 +1! +#28848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28849000 +0! +#28849500 +1! +#28849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28850000 +0! +#28850500 +1! +#28850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28851000 +0! +#28851500 +1! +#28851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28852000 +0! +#28852500 +1! +#28852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28853000 +0! +#28853500 +1! +#28853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28854000 +0! +#28854500 +1! +#28854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28855000 +0! +#28855500 +1! +#28855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28856000 +0! +#28856500 +1! +#28856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28857000 +0! +#28857500 +1! +#28857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28858000 +0! +#28858500 +1! +#28858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28859000 +0! +#28859500 +1! +#28859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28860000 +0! +#28860500 +1! +#28860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28861000 +0! +#28861500 +1! +#28861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28862000 +0! +#28862500 +1! +#28862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28863000 +0! +#28863500 +1! +#28863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28864000 +0! +#28864500 +1! +#28864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28865000 +0! +#28865500 +1! +#28865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28866000 +0! +#28866500 +1! +#28866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28867000 +0! +#28867500 +1! +#28867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28868000 +0! +#28868500 +1! +#28868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28869000 +0! +#28869500 +1! +#28869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28870000 +0! +#28870500 +1! +#28870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28871000 +0! +#28871500 +1! +#28871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28872000 +0! +#28872500 +1! +#28872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28873000 +0! +#28873500 +1! +#28873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28874000 +0! +#28874500 +1! +#28874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28875000 +0! +#28875500 +1! +#28875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28876000 +0! +#28876500 +1! +#28876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28877000 +0! +#28877500 +1! +#28877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28878000 +0! +#28878500 +1! +#28878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28879000 +0! +#28879500 +1! +#28879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28880000 +0! +#28880500 +1! +#28880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28881000 +0! +#28881500 +1! +#28881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28882000 +0! +#28882500 +1! +#28882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28883000 +0! +#28883500 +1! +#28883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28884000 +0! +#28884500 +1! +#28884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28885000 +0! +#28885500 +1! +#28885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28886000 +0! +#28886500 +1! +#28886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28887000 +0! +#28887500 +1! +#28887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28888000 +0! +#28888500 +1! +#28888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28889000 +0! +#28889500 +1! +#28889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28890000 +0! +#28890500 +1! +#28890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28891000 +0! +#28891500 +1! +#28891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28892000 +0! +#28892500 +1! +#28892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28893000 +0! +#28893500 +1! +#28893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28894000 +0! +#28894500 +1! +#28894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#28894700 +b101 q +#28894800 +b10 ] +#28894900 +b1011010 ^ +#28895000 +0! +#28895500 +1! +#28895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28896000 +0! +#28896500 +1! +#28896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28897000 +0! +#28897500 +1! +#28897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28898000 +0! +#28898500 +1! +#28898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28899000 +0! +#28899500 +1! +#28899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28900000 +0! +#28900500 +1! +#28900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28901000 +0! +#28901500 +1! +#28901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28902000 +0! +#28902500 +1! +#28902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28903000 +0! +#28903500 +1! +#28903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28904000 +0! +#28904500 +1! +#28904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28905000 +0! +#28905500 +1! +#28905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28906000 +0! +#28906500 +1! +#28906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28907000 +0! +#28907500 +1! +#28907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28908000 +0! +#28908500 +1! +#28908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28909000 +0! +#28909500 +1! +#28909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28910000 +0! +#28910500 +1! +#28910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28911000 +0! +#28911500 +1! +#28911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28912000 +0! +#28912500 +1! +#28912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28913000 +0! +#28913500 +1! +#28913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28914000 +0! +#28914500 +1! +#28914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28915000 +0! +#28915500 +1! +#28915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28916000 +0! +#28916500 +1! +#28916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28917000 +0! +#28917500 +1! +#28917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28918000 +0! +#28918500 +1! +#28918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28919000 +0! +#28919500 +1! +#28919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28920000 +0! +#28920500 +1! +#28920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28921000 +0! +#28921500 +1! +#28921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28922000 +0! +#28922500 +1! +#28922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28923000 +0! +#28923500 +1! +#28923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28924000 +0! +#28924500 +1! +#28924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28925000 +0! +#28925500 +1! +#28925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28926000 +0! +#28926500 +1! +#28926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28927000 +0! +#28927500 +1! +#28927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28928000 +0! +#28928500 +1! +#28928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28929000 +0! +#28929500 +1! +#28929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28930000 +0! +#28930500 +1! +#28930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28931000 +0! +#28931500 +1! +#28931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28932000 +0! +#28932500 +1! +#28932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28933000 +0! +#28933500 +1! +#28933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28934000 +0! +#28934500 +1! +#28934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28935000 +0! +#28935500 +1! +#28935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28936000 +0! +#28936500 +1! +#28936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28937000 +0! +#28937500 +1! +#28937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28938000 +0! +#28938500 +1! +#28938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28939000 +0! +#28939500 +1! +#28939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28940000 +0! +#28940500 +1! +#28940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28941000 +0! +#28941500 +1! +#28941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28942000 +0! +#28942500 +1! +#28942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28943000 +0! +#28943500 +1! +#28943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28944000 +0! +#28944500 +1! +#28944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28945000 +0! +#28945500 +1! +#28945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28946000 +0! +#28946500 +1! +#28946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28947000 +0! +#28947500 +1! +#28947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28948000 +0! +#28948500 +1! +#28948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28949000 +0! +#28949500 +1! +#28949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28950000 +0! +#28950500 +1! +#28950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28951000 +0! +#28951500 +1! +#28951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28952000 +0! +#28952500 +1! +#28952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28953000 +0! +#28953500 +1! +#28953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28954000 +0! +#28954500 +1! +#28954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28955000 +0! +#28955500 +1! +#28955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28956000 +0! +#28956500 +1! +#28956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28957000 +0! +#28957500 +1! +#28957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28958000 +0! +#28958500 +1! +#28958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28959000 +0! +#28959500 +1! +#28959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28960000 +0! +#28960500 +1! +#28960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28961000 +0! +#28961500 +1! +#28961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28962000 +0! +#28962500 +1! +#28962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28963000 +0! +#28963500 +1! +#28963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28964000 +0! +#28964500 +1! +#28964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28965000 +0! +#28965500 +1! +#28965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28966000 +0! +#28966500 +1! +#28966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28967000 +0! +#28967500 +1! +#28967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28968000 +0! +#28968500 +1! +#28968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28969000 +0! +#28969500 +1! +#28969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28970000 +0! +#28970500 +1! +#28970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28971000 +0! +#28971500 +1! +#28971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28972000 +0! +#28972500 +1! +#28972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28973000 +0! +#28973500 +1! +#28973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28974000 +0! +#28974500 +1! +#28974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28975000 +0! +#28975500 +1! +#28975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28976000 +0! +#28976500 +1! +#28976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28977000 +0! +#28977500 +1! +#28977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28978000 +0! +#28978500 +1! +#28978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28979000 +0! +#28979500 +1! +#28979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28980000 +0! +#28980500 +1! +#28980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28981000 +0! +#28981500 +1! +#28981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28982000 +0! +#28982500 +1! +#28982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28983000 +0! +#28983500 +1! +#28983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28984000 +0! +#28984500 +1! +#28984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28985000 +0! +#28985500 +1! +#28985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28986000 +0! +#28986500 +1! +#28986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28987000 +0! +#28987500 +1! +#28987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28988000 +0! +#28988500 +1! +#28988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28989000 +0! +#28989500 +1! +#28989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28990000 +0! +#28990500 +1! +#28990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28991000 +0! +#28991500 +1! +#28991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28992000 +0! +#28992500 +1! +#28992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28993000 +0! +#28993500 +1! +#28993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28994000 +0! +#28994500 +1! +#28994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28995000 +0! +#28995500 +1! +#28995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28996000 +0! +#28996500 +1! +#28996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#28997000 +0! +#28997500 +1! +#28997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#28998000 +0! +#28998500 +1! +#28998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#28999000 +0! +#28999500 +1! +#28999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29000000 +0! +#29000500 +1! +#29000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29001000 +0! +#29001500 +1! +#29001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29002000 +0! +#29002500 +1! +#29002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29003000 +0! +#29003500 +1! +#29003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29004000 +0! +#29004500 +1! +#29004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29005000 +0! +#29005500 +1! +#29005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29006000 +0! +#29006500 +1! +#29006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29007000 +0! +#29007500 +1! +#29007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29008000 +0! +#29008500 +1! +#29008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29009000 +0! +#29009500 +1! +#29009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29010000 +0! +#29010500 +1! +#29010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29011000 +0! +#29011500 +1! +#29011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29012000 +0! +#29012500 +1! +#29012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29013000 +0! +#29013500 +1! +#29013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29014000 +0! +#29014500 +1! +#29014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29015000 +0! +#29015500 +1! +#29015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29016000 +0! +#29016500 +1! +#29016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29017000 +0! +#29017500 +1! +#29017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29018000 +0! +#29018500 +1! +#29018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29019000 +0! +#29019500 +1! +#29019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29020000 +0! +#29020500 +1! +#29020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29021000 +0! +#29021500 +1! +#29021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29022000 +0! +#29022500 +1! +#29022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29023000 +0! +#29023500 +1! +#29023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29024000 +0! +#29024500 +1! +#29024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29025000 +0! +#29025500 +1! +#29025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29026000 +0! +#29026500 +1! +#29026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29027000 +0! +#29027500 +1! +#29027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29028000 +0! +#29028500 +1! +#29028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29029000 +0! +#29029500 +1! +#29029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29030000 +0! +#29030500 +1! +#29030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29031000 +0! +#29031500 +1! +#29031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29032000 +0! +#29032500 +1! +#29032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29033000 +0! +#29033500 +1! +#29033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29034000 +0! +#29034500 +1! +#29034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29035000 +0! +#29035500 +1! +#29035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29036000 +0! +#29036500 +1! +#29036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29037000 +0! +#29037500 +1! +#29037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29038000 +0! +#29038500 +1! +#29038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29039000 +0! +#29039500 +1! +#29039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29040000 +0! +#29040500 +1! +#29040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29041000 +0! +#29041500 +1! +#29041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29042000 +0! +#29042500 +1! +#29042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29043000 +0! +#29043500 +1! +#29043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29044000 +0! +#29044500 +1! +#29044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29045000 +0! +#29045500 +1! +#29045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29046000 +0! +#29046500 +1! +#29046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29047000 +0! +#29047500 +1! +#29047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#29047700 +b111 g +b1001010 c +0h +#29048000 +0! +#29048500 +1! +#29048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29049000 +0! +#29049500 +1! +#29049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29050000 +0! +#29050500 +1! +#29050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29051000 +0! +#29051500 +1! +#29051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29052000 +0! +#29052500 +1! +#29052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29053000 +0! +#29053500 +1! +#29053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29054000 +0! +#29054500 +1! +#29054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29055000 +0! +#29055500 +1! +#29055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29056000 +0! +#29056500 +1! +#29056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29057000 +0! +#29057500 +1! +#29057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29058000 +0! +#29058500 +1! +#29058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29059000 +0! +#29059500 +1! +#29059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29060000 +0! +#29060500 +1! +#29060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29061000 +0! +#29061500 +1! +#29061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29062000 +0! +#29062500 +1! +#29062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29063000 +0! +#29063500 +1! +#29063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29064000 +0! +#29064500 +1! +#29064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29065000 +0! +#29065500 +1! +#29065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29066000 +0! +#29066500 +1! +#29066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29067000 +0! +#29067500 +1! +#29067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29068000 +0! +#29068500 +1! +#29068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29069000 +0! +#29069500 +1! +#29069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29070000 +0! +#29070500 +1! +#29070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29071000 +0! +#29071500 +1! +#29071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29072000 +0! +#29072500 +1! +#29072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29073000 +0! +#29073500 +1! +#29073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29074000 +0! +#29074500 +1! +#29074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29075000 +0! +#29075500 +1! +#29075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29076000 +0! +#29076500 +1! +#29076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29077000 +0! +#29077500 +1! +#29077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29078000 +0! +#29078500 +1! +#29078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29079000 +0! +#29079500 +1! +#29079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29080000 +0! +#29080500 +1! +#29080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29081000 +0! +#29081500 +1! +#29081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29082000 +0! +#29082500 +1! +#29082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29083000 +0! +#29083500 +1! +#29083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29084000 +0! +#29084500 +1! +#29084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29085000 +0! +#29085500 +1! +#29085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29086000 +0! +#29086500 +1! +#29086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29087000 +0! +#29087500 +1! +#29087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29088000 +0! +#29088500 +1! +#29088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29089000 +0! +#29089500 +1! +#29089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29090000 +0! +#29090500 +1! +#29090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29091000 +0! +#29091500 +1! +#29091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29092000 +0! +#29092500 +1! +#29092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29093000 +0! +#29093500 +1! +#29093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29094000 +0! +#29094500 +1! +#29094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29095000 +0! +#29095500 +1! +#29095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29096000 +0! +#29096500 +1! +#29096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29097000 +0! +#29097500 +1! +#29097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29098000 +0! +#29098500 +1! +#29098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29099000 +0! +#29099500 +1! +#29099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29100000 +0! +#29100500 +1! +#29100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29101000 +0! +#29101500 +1! +#29101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29102000 +0! +#29102500 +1! +#29102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29103000 +0! +#29103500 +1! +#29103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29104000 +0! +#29104500 +1! +#29104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29105000 +0! +#29105500 +1! +#29105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29106000 +0! +#29106500 +1! +#29106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29107000 +0! +#29107500 +1! +#29107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29108000 +0! +#29108500 +1! +#29108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29109000 +0! +#29109500 +1! +#29109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29110000 +0! +#29110500 +1! +#29110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29111000 +0! +#29111500 +1! +#29111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29112000 +0! +#29112500 +1! +#29112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29113000 +0! +#29113500 +1! +#29113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29114000 +0! +#29114500 +1! +#29114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29115000 +0! +#29115500 +1! +#29115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29116000 +0! +#29116500 +1! +#29116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29117000 +0! +#29117500 +1! +#29117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29118000 +0! +#29118500 +1! +#29118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29119000 +0! +#29119500 +1! +#29119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29120000 +0! +#29120500 +1! +#29120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29121000 +0! +#29121500 +1! +#29121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29122000 +0! +#29122500 +1! +#29122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29123000 +0! +#29123500 +1! +#29123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29124000 +0! +#29124500 +1! +#29124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29125000 +0! +#29125500 +1! +#29125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29126000 +0! +#29126500 +1! +#29126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29127000 +0! +#29127500 +1! +#29127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29128000 +0! +#29128500 +1! +#29128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29129000 +0! +#29129500 +1! +#29129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29130000 +0! +#29130500 +1! +#29130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29131000 +0! +#29131500 +1! +#29131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29132000 +0! +#29132500 +1! +#29132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29133000 +0! +#29133500 +1! +#29133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29134000 +0! +#29134500 +1! +#29134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29135000 +0! +#29135500 +1! +#29135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29136000 +0! +#29136500 +1! +#29136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29137000 +0! +#29137500 +1! +#29137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29138000 +0! +#29138500 +1! +#29138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29139000 +0! +#29139500 +1! +#29139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29140000 +0! +#29140500 +1! +#29140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29141000 +0! +#29141500 +1! +#29141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29142000 +0! +#29142500 +1! +#29142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29143000 +0! +#29143500 +1! +#29143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29144000 +0! +#29144500 +1! +#29144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29145000 +0! +#29145500 +1! +#29145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29146000 +0! +#29146500 +1! +#29146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29147000 +0! +#29147500 +1! +#29147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29148000 +0! +#29148500 +1! +#29148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29149000 +0! +#29149500 +1! +#29149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#29149700 +1i +b100 q +0j +#29150000 +0! +#29150500 +1! +#29150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29151000 +0! +#29151500 +1! +#29151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11000011 C +#29152000 +0! +#29152500 +1! +#29152600 +b11000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29153000 +0! +#29153500 +1! +#29153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b11000001 C +1H +#29154000 +0! +#29154500 +1! +#29154600 +b11000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29155000 +0! +#29155500 +1! +#29155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b10100101 C +1H +#29156000 +0! +#29156500 +1! +#29156600 +b10100101 K +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29157000 +0! +#29157500 +1! +#29157600 +1E +1F +1G +b100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b11000001 C +1H +#29158000 +0! +#29158500 +1! +#29158600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29159000 +0! +#29159500 +1! +#29159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11000011 C +#29160000 +0! +#29160500 +1! +#29160600 +b11000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29161000 +0! +#29161500 +1! +#29161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29162000 +0! +#29162500 +1! +#29162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29163000 +0! +#29163500 +1! +#29163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29164000 +0! +#29164500 +1! +#29164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29165000 +0! +#29165500 +1! +#29165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29166000 +0! +#29166500 +1! +#29166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29167000 +0! +#29167500 +1! +#29167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29168000 +0! +#29168500 +1! +#29168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29169000 +0! +#29169500 +1! +#29169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29170000 +0! +#29170500 +1! +#29170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29171000 +0! +#29171500 +1! +#29171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29172000 +0! +#29172500 +1! +#29172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29173000 +0! +#29173500 +1! +#29173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29174000 +0! +#29174500 +1! +#29174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29175000 +0! +#29175500 +1! +#29175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29176000 +0! +#29176500 +1! +#29176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29177000 +0! +#29177500 +1! +#29177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29178000 +0! +#29178500 +1! +#29178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29179000 +0! +#29179500 +1! +#29179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29180000 +0! +#29180500 +1! +#29180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29181000 +0! +#29181500 +1! +#29181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29182000 +0! +#29182500 +1! +#29182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29183000 +0! +#29183500 +1! +#29183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29184000 +0! +#29184500 +1! +#29184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29185000 +0! +#29185500 +1! +#29185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29186000 +0! +#29186500 +1! +#29186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29187000 +0! +#29187500 +1! +#29187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29188000 +0! +#29188500 +1! +#29188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29189000 +0! +#29189500 +1! +#29189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29190000 +0! +#29190500 +1! +#29190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29191000 +0! +#29191500 +1! +#29191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29192000 +0! +#29192500 +1! +#29192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29193000 +0! +#29193500 +1! +#29193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29194000 +0! +#29194500 +1! +#29194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29195000 +0! +#29195500 +1! +#29195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29196000 +0! +#29196500 +1! +#29196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29197000 +0! +#29197500 +1! +#29197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29198000 +0! +#29198500 +1! +#29198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29199000 +0! +#29199500 +1! +#29199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29200000 +0! +#29200500 +1! +#29200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29201000 +0! +#29201500 +1! +#29201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29202000 +0! +#29202500 +1! +#29202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29203000 +0! +#29203500 +1! +#29203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29204000 +0! +#29204500 +1! +#29204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29205000 +0! +#29205500 +1! +#29205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29206000 +0! +#29206500 +1! +#29206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29207000 +0! +#29207500 +1! +#29207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29208000 +0! +#29208500 +1! +#29208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29209000 +0! +#29209500 +1! +#29209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29210000 +0! +#29210500 +1! +#29210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29211000 +0! +#29211500 +1! +#29211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29212000 +0! +#29212500 +1! +#29212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29213000 +0! +#29213500 +1! +#29213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29214000 +0! +#29214500 +1! +#29214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29215000 +0! +#29215500 +1! +#29215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29216000 +0! +#29216500 +1! +#29216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29217000 +0! +#29217500 +1! +#29217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29218000 +0! +#29218500 +1! +#29218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29219000 +0! +#29219500 +1! +#29219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29220000 +0! +#29220500 +1! +#29220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29221000 +0! +#29221500 +1! +#29221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29222000 +0! +#29222500 +1! +#29222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29223000 +0! +#29223500 +1! +#29223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29224000 +0! +#29224500 +1! +#29224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29225000 +0! +#29225500 +1! +#29225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29226000 +0! +#29226500 +1! +#29226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29227000 +0! +#29227500 +1! +#29227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29228000 +0! +#29228500 +1! +#29228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29229000 +0! +#29229500 +1! +#29229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29230000 +0! +#29230500 +1! +#29230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29231000 +0! +#29231500 +1! +#29231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29232000 +0! +#29232500 +1! +#29232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29233000 +0! +#29233500 +1! +#29233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29234000 +0! +#29234500 +1! +#29234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29235000 +0! +#29235500 +1! +#29235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29236000 +0! +#29236500 +1! +#29236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29237000 +0! +#29237500 +1! +#29237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29238000 +0! +#29238500 +1! +#29238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29239000 +0! +#29239500 +1! +#29239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29240000 +0! +#29240500 +1! +#29240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29241000 +0! +#29241500 +1! +#29241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29242000 +0! +#29242500 +1! +#29242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29243000 +0! +#29243500 +1! +#29243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29244000 +0! +#29244500 +1! +#29244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29245000 +0! +#29245500 +1! +#29245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29246000 +0! +#29246500 +1! +#29246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29247000 +0! +#29247500 +1! +#29247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29248000 +0! +#29248500 +1! +#29248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29249000 +0! +#29249500 +1! +#29249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29250000 +0! +#29250500 +1! +#29250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29251000 +0! +#29251500 +1! +#29251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +#29252000 +0! +#29252500 +1! +#29252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29253000 +0! +#29253500 +1! +#29253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29254000 +0! +#29254500 +1! +#29254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29255000 +0! +#29255500 +1! +#29255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29256000 +0! +#29256500 +1! +#29256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29257000 +0! +#29257500 +1! +#29257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29258000 +0! +#29258500 +1! +#29258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29259000 +0! +#29259500 +1! +#29259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29260000 +0! +#29260500 +1! +#29260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29261000 +0! +#29261500 +1! +#29261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29262000 +0! +#29262500 +1! +#29262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29263000 +0! +#29263500 +1! +#29263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29264000 +0! +#29264500 +1! +#29264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29265000 +0! +#29265500 +1! +#29265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29266000 +0! +#29266500 +1! +#29266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29267000 +0! +#29267500 +1! +#29267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29268000 +0! +#29268500 +1! +#29268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29269000 +0! +#29269500 +1! +#29269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29270000 +0! +#29270500 +1! +#29270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29271000 +0! +#29271500 +1! +#29271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29272000 +0! +#29272500 +1! +#29272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29273000 +0! +#29273500 +1! +#29273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29274000 +0! +#29274500 +1! +#29274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29275000 +0! +#29275500 +1! +#29275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29276000 +0! +#29276500 +1! +#29276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29277000 +0! +#29277500 +1! +#29277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29278000 +0! +#29278500 +1! +#29278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29279000 +0! +#29279500 +1! +#29279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29280000 +0! +#29280500 +1! +#29280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29281000 +0! +#29281500 +1! +#29281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29282000 +0! +#29282500 +1! +#29282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29283000 +0! +#29283500 +1! +#29283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29284000 +0! +#29284500 +1! +#29284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29285000 +0! +#29285500 +1! +#29285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29286000 +0! +#29286500 +1! +#29286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29287000 +0! +#29287500 +1! +#29287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29288000 +0! +#29288500 +1! +#29288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29289000 +0! +#29289500 +1! +#29289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29290000 +0! +#29290500 +1! +#29290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29291000 +0! +#29291500 +1! +#29291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29292000 +0! +#29292500 +1! +#29292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29293000 +0! +#29293500 +1! +#29293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29294000 +0! +#29294500 +1! +#29294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29295000 +0! +#29295500 +1! +#29295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29296000 +0! +#29296500 +1! +#29296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29297000 +0! +#29297500 +1! +#29297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29298000 +0! +#29298500 +1! +#29298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29299000 +0! +#29299500 +1! +#29299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29300000 +0! +#29300500 +1! +#29300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29301000 +0! +#29301500 +1! +#29301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29302000 +0! +#29302500 +1! +#29302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#29302700 +b10110101 ^ +b10010100 c +#29303000 +0! +#29303500 +1! +#29303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29304000 +0! +#29304500 +1! +#29304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29305000 +0! +#29305500 +1! +#29305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29306000 +0! +#29306500 +1! +#29306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29307000 +0! +#29307500 +1! +#29307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29308000 +0! +#29308500 +1! +#29308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29309000 +0! +#29309500 +1! +#29309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29310000 +0! +#29310500 +1! +#29310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29311000 +0! +#29311500 +1! +#29311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29312000 +0! +#29312500 +1! +#29312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29313000 +0! +#29313500 +1! +#29313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29314000 +0! +#29314500 +1! +#29314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29315000 +0! +#29315500 +1! +#29315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29316000 +0! +#29316500 +1! +#29316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29317000 +0! +#29317500 +1! +#29317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29318000 +0! +#29318500 +1! +#29318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29319000 +0! +#29319500 +1! +#29319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29320000 +0! +#29320500 +1! +#29320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29321000 +0! +#29321500 +1! +#29321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29322000 +0! +#29322500 +1! +#29322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29323000 +0! +#29323500 +1! +#29323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29324000 +0! +#29324500 +1! +#29324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29325000 +0! +#29325500 +1! +#29325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29326000 +0! +#29326500 +1! +#29326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29327000 +0! +#29327500 +1! +#29327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29328000 +0! +#29328500 +1! +#29328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29329000 +0! +#29329500 +1! +#29329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29330000 +0! +#29330500 +1! +#29330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29331000 +0! +#29331500 +1! +#29331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29332000 +0! +#29332500 +1! +#29332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29333000 +0! +#29333500 +1! +#29333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29334000 +0! +#29334500 +1! +#29334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29335000 +0! +#29335500 +1! +#29335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29336000 +0! +#29336500 +1! +#29336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29337000 +0! +#29337500 +1! +#29337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29338000 +0! +#29338500 +1! +#29338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29339000 +0! +#29339500 +1! +#29339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29340000 +0! +#29340500 +1! +#29340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29341000 +0! +#29341500 +1! +#29341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29342000 +0! +#29342500 +1! +#29342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29343000 +0! +#29343500 +1! +#29343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29344000 +0! +#29344500 +1! +#29344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29345000 +0! +#29345500 +1! +#29345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29346000 +0! +#29346500 +1! +#29346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29347000 +0! +#29347500 +1! +#29347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29348000 +0! +#29348500 +1! +#29348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29349000 +0! +#29349500 +1! +#29349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29350000 +0! +#29350500 +1! +#29350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29351000 +0! +#29351500 +1! +#29351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29352000 +0! +#29352500 +1! +#29352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29353000 +0! +#29353500 +1! +#29353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29354000 +0! +#29354500 +1! +#29354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29355000 +0! +#29355500 +1! +#29355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29356000 +0! +#29356500 +1! +#29356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29357000 +0! +#29357500 +1! +#29357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29358000 +0! +#29358500 +1! +#29358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29359000 +0! +#29359500 +1! +#29359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29360000 +0! +#29360500 +1! +#29360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29361000 +0! +#29361500 +1! +#29361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29362000 +0! +#29362500 +1! +#29362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29363000 +0! +#29363500 +1! +#29363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29364000 +0! +#29364500 +1! +#29364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29365000 +0! +#29365500 +1! +#29365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29366000 +0! +#29366500 +1! +#29366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29367000 +0! +#29367500 +1! +#29367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29368000 +0! +#29368500 +1! +#29368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29369000 +0! +#29369500 +1! +#29369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29370000 +0! +#29370500 +1! +#29370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29371000 +0! +#29371500 +1! +#29371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29372000 +0! +#29372500 +1! +#29372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29373000 +0! +#29373500 +1! +#29373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29374000 +0! +#29374500 +1! +#29374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29375000 +0! +#29375500 +1! +#29375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29376000 +0! +#29376500 +1! +#29376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29377000 +0! +#29377500 +1! +#29377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29378000 +0! +#29378500 +1! +#29378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29379000 +0! +#29379500 +1! +#29379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29380000 +0! +#29380500 +1! +#29380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29381000 +0! +#29381500 +1! +#29381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29382000 +0! +#29382500 +1! +#29382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29383000 +0! +#29383500 +1! +#29383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29384000 +0! +#29384500 +1! +#29384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29385000 +0! +#29385500 +1! +#29385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29386000 +0! +#29386500 +1! +#29386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29387000 +0! +#29387500 +1! +#29387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29388000 +0! +#29388500 +1! +#29388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29389000 +0! +#29389500 +1! +#29389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29390000 +0! +#29390500 +1! +#29390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29391000 +0! +#29391500 +1! +#29391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29392000 +0! +#29392500 +1! +#29392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29393000 +0! +#29393500 +1! +#29393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29394000 +0! +#29394500 +1! +#29394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29395000 +0! +#29395500 +1! +#29395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29396000 +0! +#29396500 +1! +#29396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29397000 +0! +#29397500 +1! +#29397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29398000 +0! +#29398500 +1! +#29398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29399000 +0! +#29399500 +1! +#29399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29400000 +0! +#29400500 +1! +#29400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29401000 +0! +#29401500 +1! +#29401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29402000 +0! +#29402500 +1! +#29402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29403000 +0! +#29403500 +1! +#29403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29404000 +0! +#29404500 +1! +#29404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#29404700 +1j +0i +1O +#29405000 +0! +#29405500 +1! +#29405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29406000 +0! +#29406500 +1! +#29406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29407000 +0! +#29407500 +1! +#29407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29408000 +0! +#29408500 +1! +#29408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29409000 +0! +#29409500 +1! +#29409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29410000 +0! +#29410500 +1! +#29410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29411000 +0! +#29411500 +1! +#29411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29412000 +0! +#29412500 +1! +#29412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29413000 +0! +#29413500 +1! +#29413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29414000 +0! +#29414500 +1! +#29414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29415000 +0! +#29415500 +1! +#29415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29416000 +0! +#29416500 +1! +#29416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29417000 +0! +#29417500 +1! +#29417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29418000 +0! +#29418500 +1! +#29418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29419000 +0! +#29419500 +1! +#29419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29420000 +0! +#29420500 +1! +#29420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29421000 +0! +#29421500 +1! +#29421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29422000 +0! +#29422500 +1! +#29422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29423000 +0! +#29423500 +1! +#29423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29424000 +0! +#29424500 +1! +#29424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29425000 +0! +#29425500 +1! +#29425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29426000 +0! +#29426500 +1! +#29426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29427000 +0! +#29427500 +1! +#29427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29428000 +0! +#29428500 +1! +#29428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29429000 +0! +#29429500 +1! +#29429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29430000 +0! +#29430500 +1! +#29430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29431000 +0! +#29431500 +1! +#29431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29432000 +0! +#29432500 +1! +#29432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29433000 +0! +#29433500 +1! +#29433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29434000 +0! +#29434500 +1! +#29434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29435000 +0! +#29435500 +1! +#29435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29436000 +0! +#29436500 +1! +#29436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29437000 +0! +#29437500 +1! +#29437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29438000 +0! +#29438500 +1! +#29438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29439000 +0! +#29439500 +1! +#29439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29440000 +0! +#29440500 +1! +#29440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29441000 +0! +#29441500 +1! +#29441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29442000 +0! +#29442500 +1! +#29442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29443000 +0! +#29443500 +1! +#29443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29444000 +0! +#29444500 +1! +#29444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29445000 +0! +#29445500 +1! +#29445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29446000 +0! +#29446500 +1! +#29446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29447000 +0! +#29447500 +1! +#29447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29448000 +0! +#29448500 +1! +#29448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29449000 +0! +#29449500 +1! +#29449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29450000 +0! +#29450500 +1! +#29450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29451000 +0! +#29451500 +1! +#29451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29452000 +0! +#29452500 +1! +#29452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29453000 +0! +#29453500 +1! +#29453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29454000 +0! +#29454500 +1! +#29454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29455000 +0! +#29455500 +1! +#29455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29456000 +0! +#29456500 +1! +#29456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29457000 +0! +#29457500 +1! +#29457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29458000 +0! +#29458500 +1! +#29458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29459000 +0! +#29459500 +1! +#29459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29460000 +0! +#29460500 +1! +#29460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29461000 +0! +#29461500 +1! +#29461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29462000 +0! +#29462500 +1! +#29462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29463000 +0! +#29463500 +1! +#29463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29464000 +0! +#29464500 +1! +#29464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29465000 +0! +#29465500 +1! +#29465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29466000 +0! +#29466500 +1! +#29466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29467000 +0! +#29467500 +1! +#29467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29468000 +0! +#29468500 +1! +#29468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29469000 +0! +#29469500 +1! +#29469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29470000 +0! +#29470500 +1! +#29470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29471000 +0! +#29471500 +1! +#29471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29472000 +0! +#29472500 +1! +#29472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29473000 +0! +#29473500 +1! +#29473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29474000 +0! +#29474500 +1! +#29474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29475000 +0! +#29475500 +1! +#29475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29476000 +0! +#29476500 +1! +#29476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29477000 +0! +#29477500 +1! +#29477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29478000 +0! +#29478500 +1! +#29478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29479000 +0! +#29479500 +1! +#29479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29480000 +0! +#29480500 +1! +#29480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29481000 +0! +#29481500 +1! +#29481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29482000 +0! +#29482500 +1! +#29482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29483000 +0! +#29483500 +1! +#29483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29484000 +0! +#29484500 +1! +#29484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29485000 +0! +#29485500 +1! +#29485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29486000 +0! +#29486500 +1! +#29486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29487000 +0! +#29487500 +1! +#29487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29488000 +0! +#29488500 +1! +#29488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29489000 +0! +#29489500 +1! +#29489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29490000 +0! +#29490500 +1! +#29490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29491000 +0! +#29491500 +1! +#29491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29492000 +0! +#29492500 +1! +#29492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29493000 +0! +#29493500 +1! +#29493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29494000 +0! +#29494500 +1! +#29494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29495000 +0! +#29495500 +1! +#29495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29496000 +0! +#29496500 +1! +#29496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29497000 +0! +#29497500 +1! +#29497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29498000 +0! +#29498500 +1! +#29498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29499000 +0! +#29499500 +1! +#29499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29500000 +0! +#29500500 +1! +#29500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29501000 +0! +#29501500 +1! +#29501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29502000 +0! +#29502500 +1! +#29502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29503000 +0! +#29503500 +1! +#29503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29504000 +0! +#29504500 +1! +#29504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29505000 +0! +#29505500 +1! +#29505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29506000 +0! +#29506500 +1! +#29506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29507000 +0! +#29507500 +1! +#29507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29508000 +0! +#29508500 +1! +#29508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29509000 +0! +#29509500 +1! +#29509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29510000 +0! +#29510500 +1! +#29510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29511000 +0! +#29511500 +1! +#29511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29512000 +0! +#29512500 +1! +#29512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29513000 +0! +#29513500 +1! +#29513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29514000 +0! +#29514500 +1! +#29514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29515000 +0! +#29515500 +1! +#29515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29516000 +0! +#29516500 +1! +#29516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29517000 +0! +#29517500 +1! +#29517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29518000 +0! +#29518500 +1! +#29518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29519000 +0! +#29519500 +1! +#29519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29520000 +0! +#29520500 +1! +#29520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29521000 +0! +#29521500 +1! +#29521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29522000 +0! +#29522500 +1! +#29522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29523000 +0! +#29523500 +1! +#29523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29524000 +0! +#29524500 +1! +#29524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29525000 +0! +#29525500 +1! +#29525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29526000 +0! +#29526500 +1! +#29526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29527000 +0! +#29527500 +1! +#29527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29528000 +0! +#29528500 +1! +#29528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29529000 +0! +#29529500 +1! +#29529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29530000 +0! +#29530500 +1! +#29530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29531000 +0! +#29531500 +1! +#29531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29532000 +0! +#29532500 +1! +#29532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29533000 +0! +#29533500 +1! +#29533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29534000 +0! +#29534500 +1! +#29534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29535000 +0! +#29535500 +1! +#29535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29536000 +0! +#29536500 +1! +#29536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29537000 +0! +#29537500 +1! +#29537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29538000 +0! +#29538500 +1! +#29538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29539000 +0! +#29539500 +1! +#29539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29540000 +0! +#29540500 +1! +#29540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29541000 +0! +#29541500 +1! +#29541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29542000 +0! +#29542500 +1! +#29542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29543000 +0! +#29543500 +1! +#29543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29544000 +0! +#29544500 +1! +#29544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29545000 +0! +#29545500 +1! +#29545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29546000 +0! +#29546500 +1! +#29546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29547000 +0! +#29547500 +1! +#29547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29548000 +0! +#29548500 +1! +#29548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29549000 +0! +#29549500 +1! +#29549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29550000 +0! +#29550500 +1! +#29550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29551000 +0! +#29551500 +1! +#29551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29552000 +0! +#29552500 +1! +#29552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29553000 +0! +#29553500 +1! +#29553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29554000 +0! +#29554500 +1! +#29554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29555000 +0! +#29555500 +1! +#29555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29556000 +0! +#29556500 +1! +#29556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29557000 +0! +#29557500 +1! +#29557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#29557700 +b1101011 ^ +b110 g +b101001 c +#29558000 +0! +#29558500 +1! +#29558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29559000 +0! +#29559500 +1! +#29559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29560000 +0! +#29560500 +1! +#29560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29561000 +0! +#29561500 +1! +#29561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29562000 +0! +#29562500 +1! +#29562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29563000 +0! +#29563500 +1! +#29563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29564000 +0! +#29564500 +1! +#29564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29565000 +0! +#29565500 +1! +#29565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29566000 +0! +#29566500 +1! +#29566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29567000 +0! +#29567500 +1! +#29567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29568000 +0! +#29568500 +1! +#29568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29569000 +0! +#29569500 +1! +#29569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29570000 +0! +#29570500 +1! +#29570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29571000 +0! +#29571500 +1! +#29571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29572000 +0! +#29572500 +1! +#29572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29573000 +0! +#29573500 +1! +#29573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29574000 +0! +#29574500 +1! +#29574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29575000 +0! +#29575500 +1! +#29575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29576000 +0! +#29576500 +1! +#29576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29577000 +0! +#29577500 +1! +#29577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29578000 +0! +#29578500 +1! +#29578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29579000 +0! +#29579500 +1! +#29579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29580000 +0! +#29580500 +1! +#29580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29581000 +0! +#29581500 +1! +#29581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29582000 +0! +#29582500 +1! +#29582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29583000 +0! +#29583500 +1! +#29583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29584000 +0! +#29584500 +1! +#29584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29585000 +0! +#29585500 +1! +#29585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29586000 +0! +#29586500 +1! +#29586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29587000 +0! +#29587500 +1! +#29587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29588000 +0! +#29588500 +1! +#29588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29589000 +0! +#29589500 +1! +#29589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29590000 +0! +#29590500 +1! +#29590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29591000 +0! +#29591500 +1! +#29591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29592000 +0! +#29592500 +1! +#29592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29593000 +0! +#29593500 +1! +#29593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29594000 +0! +#29594500 +1! +#29594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29595000 +0! +#29595500 +1! +#29595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29596000 +0! +#29596500 +1! +#29596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29597000 +0! +#29597500 +1! +#29597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29598000 +0! +#29598500 +1! +#29598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29599000 +0! +#29599500 +1! +#29599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29600000 +0! +#29600500 +1! +#29600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29601000 +0! +#29601500 +1! +#29601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29602000 +0! +#29602500 +1! +#29602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29603000 +0! +#29603500 +1! +#29603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29604000 +0! +#29604500 +1! +#29604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29605000 +0! +#29605500 +1! +#29605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29606000 +0! +#29606500 +1! +#29606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29607000 +0! +#29607500 +1! +#29607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29608000 +0! +#29608500 +1! +#29608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29609000 +0! +#29609500 +1! +#29609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29610000 +0! +#29610500 +1! +#29610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29611000 +0! +#29611500 +1! +#29611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29612000 +0! +#29612500 +1! +#29612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29613000 +0! +#29613500 +1! +#29613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29614000 +0! +#29614500 +1! +#29614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29615000 +0! +#29615500 +1! +#29615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29616000 +0! +#29616500 +1! +#29616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29617000 +0! +#29617500 +1! +#29617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29618000 +0! +#29618500 +1! +#29618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29619000 +0! +#29619500 +1! +#29619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29620000 +0! +#29620500 +1! +#29620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29621000 +0! +#29621500 +1! +#29621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29622000 +0! +#29622500 +1! +#29622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29623000 +0! +#29623500 +1! +#29623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29624000 +0! +#29624500 +1! +#29624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29625000 +0! +#29625500 +1! +#29625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29626000 +0! +#29626500 +1! +#29626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29627000 +0! +#29627500 +1! +#29627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29628000 +0! +#29628500 +1! +#29628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29629000 +0! +#29629500 +1! +#29629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29630000 +0! +#29630500 +1! +#29630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29631000 +0! +#29631500 +1! +#29631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29632000 +0! +#29632500 +1! +#29632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29633000 +0! +#29633500 +1! +#29633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29634000 +0! +#29634500 +1! +#29634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29635000 +0! +#29635500 +1! +#29635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29636000 +0! +#29636500 +1! +#29636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29637000 +0! +#29637500 +1! +#29637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29638000 +0! +#29638500 +1! +#29638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29639000 +0! +#29639500 +1! +#29639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29640000 +0! +#29640500 +1! +#29640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29641000 +0! +#29641500 +1! +#29641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29642000 +0! +#29642500 +1! +#29642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29643000 +0! +#29643500 +1! +#29643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29644000 +0! +#29644500 +1! +#29644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29645000 +0! +#29645500 +1! +#29645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29646000 +0! +#29646500 +1! +#29646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29647000 +0! +#29647500 +1! +#29647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29648000 +0! +#29648500 +1! +#29648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29649000 +0! +#29649500 +1! +#29649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29650000 +0! +#29650500 +1! +#29650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29651000 +0! +#29651500 +1! +#29651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29652000 +0! +#29652500 +1! +#29652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29653000 +0! +#29653500 +1! +#29653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29654000 +0! +#29654500 +1! +#29654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29655000 +0! +#29655500 +1! +#29655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29656000 +0! +#29656500 +1! +#29656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29657000 +0! +#29657500 +1! +#29657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29658000 +0! +#29658500 +1! +#29658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29659000 +0! +#29659500 +1! +#29659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#29659700 +0j +0O +#29660000 +0! +#29660500 +1! +#29660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29661000 +0! +#29661500 +1! +#29661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29662000 +0! +#29662500 +1! +#29662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29663000 +0! +#29663500 +1! +#29663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29664000 +0! +#29664500 +1! +#29664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29665000 +0! +#29665500 +1! +#29665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29666000 +0! +#29666500 +1! +#29666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29667000 +0! +#29667500 +1! +#29667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29668000 +0! +#29668500 +1! +#29668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29669000 +0! +#29669500 +1! +#29669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29670000 +0! +#29670500 +1! +#29670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29671000 +0! +#29671500 +1! +#29671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29672000 +0! +#29672500 +1! +#29672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29673000 +0! +#29673500 +1! +#29673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29674000 +0! +#29674500 +1! +#29674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29675000 +0! +#29675500 +1! +#29675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29676000 +0! +#29676500 +1! +#29676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29677000 +0! +#29677500 +1! +#29677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29678000 +0! +#29678500 +1! +#29678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29679000 +0! +#29679500 +1! +#29679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29680000 +0! +#29680500 +1! +#29680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29681000 +0! +#29681500 +1! +#29681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29682000 +0! +#29682500 +1! +#29682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29683000 +0! +#29683500 +1! +#29683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29684000 +0! +#29684500 +1! +#29684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29685000 +0! +#29685500 +1! +#29685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29686000 +0! +#29686500 +1! +#29686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29687000 +0! +#29687500 +1! +#29687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29688000 +0! +#29688500 +1! +#29688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29689000 +0! +#29689500 +1! +#29689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29690000 +0! +#29690500 +1! +#29690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29691000 +0! +#29691500 +1! +#29691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29692000 +0! +#29692500 +1! +#29692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29693000 +0! +#29693500 +1! +#29693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29694000 +0! +#29694500 +1! +#29694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29695000 +0! +#29695500 +1! +#29695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29696000 +0! +#29696500 +1! +#29696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29697000 +0! +#29697500 +1! +#29697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29698000 +0! +#29698500 +1! +#29698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29699000 +0! +#29699500 +1! +#29699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29700000 +0! +#29700500 +1! +#29700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29701000 +0! +#29701500 +1! +#29701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29702000 +0! +#29702500 +1! +#29702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29703000 +0! +#29703500 +1! +#29703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29704000 +0! +#29704500 +1! +#29704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29705000 +0! +#29705500 +1! +#29705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29706000 +0! +#29706500 +1! +#29706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29707000 +0! +#29707500 +1! +#29707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29708000 +0! +#29708500 +1! +#29708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29709000 +0! +#29709500 +1! +#29709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29710000 +0! +#29710500 +1! +#29710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29711000 +0! +#29711500 +1! +#29711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29712000 +0! +#29712500 +1! +#29712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29713000 +0! +#29713500 +1! +#29713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29714000 +0! +#29714500 +1! +#29714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29715000 +0! +#29715500 +1! +#29715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29716000 +0! +#29716500 +1! +#29716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29717000 +0! +#29717500 +1! +#29717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29718000 +0! +#29718500 +1! +#29718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29719000 +0! +#29719500 +1! +#29719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29720000 +0! +#29720500 +1! +#29720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29721000 +0! +#29721500 +1! +#29721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29722000 +0! +#29722500 +1! +#29722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29723000 +0! +#29723500 +1! +#29723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29724000 +0! +#29724500 +1! +#29724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29725000 +0! +#29725500 +1! +#29725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29726000 +0! +#29726500 +1! +#29726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29727000 +0! +#29727500 +1! +#29727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29728000 +0! +#29728500 +1! +#29728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29729000 +0! +#29729500 +1! +#29729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29730000 +0! +#29730500 +1! +#29730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29731000 +0! +#29731500 +1! +#29731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29732000 +0! +#29732500 +1! +#29732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29733000 +0! +#29733500 +1! +#29733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29734000 +0! +#29734500 +1! +#29734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29735000 +0! +#29735500 +1! +#29735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29736000 +0! +#29736500 +1! +#29736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29737000 +0! +#29737500 +1! +#29737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29738000 +0! +#29738500 +1! +#29738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29739000 +0! +#29739500 +1! +#29739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29740000 +0! +#29740500 +1! +#29740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29741000 +0! +#29741500 +1! +#29741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29742000 +0! +#29742500 +1! +#29742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29743000 +0! +#29743500 +1! +#29743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29744000 +0! +#29744500 +1! +#29744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29745000 +0! +#29745500 +1! +#29745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29746000 +0! +#29746500 +1! +#29746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29747000 +0! +#29747500 +1! +#29747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29748000 +0! +#29748500 +1! +#29748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29749000 +0! +#29749500 +1! +#29749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29750000 +0! +#29750500 +1! +#29750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29751000 +0! +#29751500 +1! +#29751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29752000 +0! +#29752500 +1! +#29752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29753000 +0! +#29753500 +1! +#29753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29754000 +0! +#29754500 +1! +#29754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29755000 +0! +#29755500 +1! +#29755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29756000 +0! +#29756500 +1! +#29756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29757000 +0! +#29757500 +1! +#29757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29758000 +0! +#29758500 +1! +#29758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29759000 +0! +#29759500 +1! +#29759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29760000 +0! +#29760500 +1! +#29760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29761000 +0! +#29761500 +1! +#29761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29762000 +0! +#29762500 +1! +#29762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29763000 +0! +#29763500 +1! +#29763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29764000 +0! +#29764500 +1! +#29764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29765000 +0! +#29765500 +1! +#29765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29766000 +0! +#29766500 +1! +#29766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29767000 +0! +#29767500 +1! +#29767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29768000 +0! +#29768500 +1! +#29768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29769000 +0! +#29769500 +1! +#29769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29770000 +0! +#29770500 +1! +#29770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29771000 +0! +#29771500 +1! +#29771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29772000 +0! +#29772500 +1! +#29772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29773000 +0! +#29773500 +1! +#29773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29774000 +0! +#29774500 +1! +#29774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29775000 +0! +#29775500 +1! +#29775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29776000 +0! +#29776500 +1! +#29776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29777000 +0! +#29777500 +1! +#29777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29778000 +0! +#29778500 +1! +#29778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29779000 +0! +#29779500 +1! +#29779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29780000 +0! +#29780500 +1! +#29780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29781000 +0! +#29781500 +1! +#29781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29782000 +0! +#29782500 +1! +#29782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29783000 +0! +#29783500 +1! +#29783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29784000 +0! +#29784500 +1! +#29784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29785000 +0! +#29785500 +1! +#29785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29786000 +0! +#29786500 +1! +#29786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29787000 +0! +#29787500 +1! +#29787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29788000 +0! +#29788500 +1! +#29788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29789000 +0! +#29789500 +1! +#29789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29790000 +0! +#29790500 +1! +#29790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29791000 +0! +#29791500 +1! +#29791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29792000 +0! +#29792500 +1! +#29792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29793000 +0! +#29793500 +1! +#29793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29794000 +0! +#29794500 +1! +#29794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29795000 +0! +#29795500 +1! +#29795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29796000 +0! +#29796500 +1! +#29796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29797000 +0! +#29797500 +1! +#29797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29798000 +0! +#29798500 +1! +#29798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29799000 +0! +#29799500 +1! +#29799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29800000 +0! +#29800500 +1! +#29800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29801000 +0! +#29801500 +1! +#29801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29802000 +0! +#29802500 +1! +#29802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29803000 +0! +#29803500 +1! +#29803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29804000 +0! +#29804500 +1! +#29804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29805000 +0! +#29805500 +1! +#29805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29806000 +0! +#29806500 +1! +#29806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29807000 +0! +#29807500 +1! +#29807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29808000 +0! +#29808500 +1! +#29808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29809000 +0! +#29809500 +1! +#29809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29810000 +0! +#29810500 +1! +#29810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29811000 +0! +#29811500 +1! +#29811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29812000 +0! +#29812500 +1! +#29812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#29812700 +b11010111 ^ +b101 g +b1010010 c +#29813000 +0! +#29813500 +1! +#29813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29814000 +0! +#29814500 +1! +#29814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29815000 +0! +#29815500 +1! +#29815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29816000 +0! +#29816500 +1! +#29816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29817000 +0! +#29817500 +1! +#29817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29818000 +0! +#29818500 +1! +#29818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29819000 +0! +#29819500 +1! +#29819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29820000 +0! +#29820500 +1! +#29820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29821000 +0! +#29821500 +1! +#29821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29822000 +0! +#29822500 +1! +#29822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29823000 +0! +#29823500 +1! +#29823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29824000 +0! +#29824500 +1! +#29824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29825000 +0! +#29825500 +1! +#29825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29826000 +0! +#29826500 +1! +#29826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29827000 +0! +#29827500 +1! +#29827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29828000 +0! +#29828500 +1! +#29828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29829000 +0! +#29829500 +1! +#29829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29830000 +0! +#29830500 +1! +#29830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29831000 +0! +#29831500 +1! +#29831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29832000 +0! +#29832500 +1! +#29832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29833000 +0! +#29833500 +1! +#29833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29834000 +0! +#29834500 +1! +#29834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29835000 +0! +#29835500 +1! +#29835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29836000 +0! +#29836500 +1! +#29836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29837000 +0! +#29837500 +1! +#29837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29838000 +0! +#29838500 +1! +#29838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29839000 +0! +#29839500 +1! +#29839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29840000 +0! +#29840500 +1! +#29840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29841000 +0! +#29841500 +1! +#29841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29842000 +0! +#29842500 +1! +#29842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29843000 +0! +#29843500 +1! +#29843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29844000 +0! +#29844500 +1! +#29844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29845000 +0! +#29845500 +1! +#29845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29846000 +0! +#29846500 +1! +#29846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29847000 +0! +#29847500 +1! +#29847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29848000 +0! +#29848500 +1! +#29848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29849000 +0! +#29849500 +1! +#29849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29850000 +0! +#29850500 +1! +#29850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29851000 +0! +#29851500 +1! +#29851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29852000 +0! +#29852500 +1! +#29852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29853000 +0! +#29853500 +1! +#29853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29854000 +0! +#29854500 +1! +#29854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29855000 +0! +#29855500 +1! +#29855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29856000 +0! +#29856500 +1! +#29856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29857000 +0! +#29857500 +1! +#29857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29858000 +0! +#29858500 +1! +#29858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29859000 +0! +#29859500 +1! +#29859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29860000 +0! +#29860500 +1! +#29860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29861000 +0! +#29861500 +1! +#29861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29862000 +0! +#29862500 +1! +#29862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29863000 +0! +#29863500 +1! +#29863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29864000 +0! +#29864500 +1! +#29864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29865000 +0! +#29865500 +1! +#29865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29866000 +0! +#29866500 +1! +#29866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29867000 +0! +#29867500 +1! +#29867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29868000 +0! +#29868500 +1! +#29868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29869000 +0! +#29869500 +1! +#29869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29870000 +0! +#29870500 +1! +#29870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29871000 +0! +#29871500 +1! +#29871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29872000 +0! +#29872500 +1! +#29872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29873000 +0! +#29873500 +1! +#29873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29874000 +0! +#29874500 +1! +#29874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29875000 +0! +#29875500 +1! +#29875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29876000 +0! +#29876500 +1! +#29876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29877000 +0! +#29877500 +1! +#29877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29878000 +0! +#29878500 +1! +#29878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29879000 +0! +#29879500 +1! +#29879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29880000 +0! +#29880500 +1! +#29880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29881000 +0! +#29881500 +1! +#29881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29882000 +0! +#29882500 +1! +#29882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29883000 +0! +#29883500 +1! +#29883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29884000 +0! +#29884500 +1! +#29884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29885000 +0! +#29885500 +1! +#29885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29886000 +0! +#29886500 +1! +#29886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29887000 +0! +#29887500 +1! +#29887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29888000 +0! +#29888500 +1! +#29888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29889000 +0! +#29889500 +1! +#29889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29890000 +0! +#29890500 +1! +#29890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29891000 +0! +#29891500 +1! +#29891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29892000 +0! +#29892500 +1! +#29892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29893000 +0! +#29893500 +1! +#29893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29894000 +0! +#29894500 +1! +#29894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29895000 +0! +#29895500 +1! +#29895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29896000 +0! +#29896500 +1! +#29896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29897000 +0! +#29897500 +1! +#29897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29898000 +0! +#29898500 +1! +#29898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29899000 +0! +#29899500 +1! +#29899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29900000 +0! +#29900500 +1! +#29900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29901000 +0! +#29901500 +1! +#29901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29902000 +0! +#29902500 +1! +#29902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29903000 +0! +#29903500 +1! +#29903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29904000 +0! +#29904500 +1! +#29904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29905000 +0! +#29905500 +1! +#29905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29906000 +0! +#29906500 +1! +#29906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29907000 +0! +#29907500 +1! +#29907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29908000 +0! +#29908500 +1! +#29908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29909000 +0! +#29909500 +1! +#29909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29910000 +0! +#29910500 +1! +#29910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29911000 +0! +#29911500 +1! +#29911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29912000 +0! +#29912500 +1! +#29912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29913000 +0! +#29913500 +1! +#29913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29914000 +0! +#29914500 +1! +#29914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#29914700 +1j +1O +#29915000 +0! +#29915500 +1! +#29915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29916000 +0! +#29916500 +1! +#29916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29917000 +0! +#29917500 +1! +#29917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29918000 +0! +#29918500 +1! +#29918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29919000 +0! +#29919500 +1! +#29919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29920000 +0! +#29920500 +1! +#29920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29921000 +0! +#29921500 +1! +#29921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29922000 +0! +#29922500 +1! +#29922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29923000 +0! +#29923500 +1! +#29923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29924000 +0! +#29924500 +1! +#29924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29925000 +0! +#29925500 +1! +#29925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29926000 +0! +#29926500 +1! +#29926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29927000 +0! +#29927500 +1! +#29927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29928000 +0! +#29928500 +1! +#29928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29929000 +0! +#29929500 +1! +#29929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29930000 +0! +#29930500 +1! +#29930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29931000 +0! +#29931500 +1! +#29931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29932000 +0! +#29932500 +1! +#29932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29933000 +0! +#29933500 +1! +#29933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29934000 +0! +#29934500 +1! +#29934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29935000 +0! +#29935500 +1! +#29935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29936000 +0! +#29936500 +1! +#29936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29937000 +0! +#29937500 +1! +#29937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29938000 +0! +#29938500 +1! +#29938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29939000 +0! +#29939500 +1! +#29939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29940000 +0! +#29940500 +1! +#29940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29941000 +0! +#29941500 +1! +#29941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29942000 +0! +#29942500 +1! +#29942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29943000 +0! +#29943500 +1! +#29943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29944000 +0! +#29944500 +1! +#29944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29945000 +0! +#29945500 +1! +#29945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29946000 +0! +#29946500 +1! +#29946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29947000 +0! +#29947500 +1! +#29947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29948000 +0! +#29948500 +1! +#29948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29949000 +0! +#29949500 +1! +#29949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29950000 +0! +#29950500 +1! +#29950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29951000 +0! +#29951500 +1! +#29951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29952000 +0! +#29952500 +1! +#29952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29953000 +0! +#29953500 +1! +#29953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29954000 +0! +#29954500 +1! +#29954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29955000 +0! +#29955500 +1! +#29955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29956000 +0! +#29956500 +1! +#29956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29957000 +0! +#29957500 +1! +#29957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29958000 +0! +#29958500 +1! +#29958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29959000 +0! +#29959500 +1! +#29959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29960000 +0! +#29960500 +1! +#29960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29961000 +0! +#29961500 +1! +#29961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29962000 +0! +#29962500 +1! +#29962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29963000 +0! +#29963500 +1! +#29963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29964000 +0! +#29964500 +1! +#29964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29965000 +0! +#29965500 +1! +#29965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29966000 +0! +#29966500 +1! +#29966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29967000 +0! +#29967500 +1! +#29967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29968000 +0! +#29968500 +1! +#29968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29969000 +0! +#29969500 +1! +#29969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29970000 +0! +#29970500 +1! +#29970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29971000 +0! +#29971500 +1! +#29971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29972000 +0! +#29972500 +1! +#29972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29973000 +0! +#29973500 +1! +#29973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29974000 +0! +#29974500 +1! +#29974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29975000 +0! +#29975500 +1! +#29975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29976000 +0! +#29976500 +1! +#29976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29977000 +0! +#29977500 +1! +#29977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29978000 +0! +#29978500 +1! +#29978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29979000 +0! +#29979500 +1! +#29979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29980000 +0! +#29980500 +1! +#29980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29981000 +0! +#29981500 +1! +#29981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29982000 +0! +#29982500 +1! +#29982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29983000 +0! +#29983500 +1! +#29983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29984000 +0! +#29984500 +1! +#29984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29985000 +0! +#29985500 +1! +#29985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29986000 +0! +#29986500 +1! +#29986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29987000 +0! +#29987500 +1! +#29987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29988000 +0! +#29988500 +1! +#29988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29989000 +0! +#29989500 +1! +#29989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29990000 +0! +#29990500 +1! +#29990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29991000 +0! +#29991500 +1! +#29991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29992000 +0! +#29992500 +1! +#29992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29993000 +0! +#29993500 +1! +#29993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29994000 +0! +#29994500 +1! +#29994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29995000 +0! +#29995500 +1! +#29995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29996000 +0! +#29996500 +1! +#29996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29997000 +0! +#29997500 +1! +#29997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#29998000 +0! +#29998500 +1! +#29998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#29999000 +0! +#29999500 +1! +#29999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30000000 +0! +#30000500 +1! +#30000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30001000 +0! +#30001500 +1! +#30001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30002000 +0! +#30002500 +1! +#30002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30003000 +0! +#30003500 +1! +#30003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30004000 +0! +#30004500 +1! +#30004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30005000 +0! +#30005500 +1! +#30005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30006000 +0! +#30006500 +1! +#30006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30007000 +0! +#30007500 +1! +#30007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30008000 +0! +#30008500 +1! +#30008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30009000 +0! +#30009500 +1! +#30009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30010000 +0! +#30010500 +1! +#30010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30011000 +0! +#30011500 +1! +#30011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30012000 +0! +#30012500 +1! +#30012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30013000 +0! +#30013500 +1! +#30013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30014000 +0! +#30014500 +1! +#30014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30015000 +0! +#30015500 +1! +#30015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30016000 +0! +#30016500 +1! +#30016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30017000 +0! +#30017500 +1! +#30017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30018000 +0! +#30018500 +1! +#30018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30019000 +0! +#30019500 +1! +#30019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30020000 +0! +#30020500 +1! +#30020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30021000 +0! +#30021500 +1! +#30021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30022000 +0! +#30022500 +1! +#30022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30023000 +0! +#30023500 +1! +#30023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30024000 +0! +#30024500 +1! +#30024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30025000 +0! +#30025500 +1! +#30025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30026000 +0! +#30026500 +1! +#30026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30027000 +0! +#30027500 +1! +#30027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30028000 +0! +#30028500 +1! +#30028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30029000 +0! +#30029500 +1! +#30029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30030000 +0! +#30030500 +1! +#30030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30031000 +0! +#30031500 +1! +#30031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30032000 +0! +#30032500 +1! +#30032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30033000 +0! +#30033500 +1! +#30033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30034000 +0! +#30034500 +1! +#30034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30035000 +0! +#30035500 +1! +#30035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30036000 +0! +#30036500 +1! +#30036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30037000 +0! +#30037500 +1! +#30037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30038000 +0! +#30038500 +1! +#30038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30039000 +0! +#30039500 +1! +#30039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30040000 +0! +#30040500 +1! +#30040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30041000 +0! +#30041500 +1! +#30041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30042000 +0! +#30042500 +1! +#30042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30043000 +0! +#30043500 +1! +#30043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30044000 +0! +#30044500 +1! +#30044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30045000 +0! +#30045500 +1! +#30045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30046000 +0! +#30046500 +1! +#30046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30047000 +0! +#30047500 +1! +#30047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30048000 +0! +#30048500 +1! +#30048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30049000 +0! +#30049500 +1! +#30049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30050000 +0! +#30050500 +1! +#30050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30051000 +0! +#30051500 +1! +#30051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30052000 +0! +#30052500 +1! +#30052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30053000 +0! +#30053500 +1! +#30053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30054000 +0! +#30054500 +1! +#30054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30055000 +0! +#30055500 +1! +#30055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30056000 +0! +#30056500 +1! +#30056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30057000 +0! +#30057500 +1! +#30057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30058000 +0! +#30058500 +1! +#30058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30059000 +0! +#30059500 +1! +#30059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30060000 +0! +#30060500 +1! +#30060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30061000 +0! +#30061500 +1! +#30061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30062000 +0! +#30062500 +1! +#30062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30063000 +0! +#30063500 +1! +#30063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30064000 +0! +#30064500 +1! +#30064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30065000 +0! +#30065500 +1! +#30065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30066000 +0! +#30066500 +1! +#30066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30067000 +0! +#30067500 +1! +#30067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#30067700 +b10101111 ^ +b100 g +b10100101 c +#30068000 +0! +#30068500 +1! +#30068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30069000 +0! +#30069500 +1! +#30069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30070000 +0! +#30070500 +1! +#30070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30071000 +0! +#30071500 +1! +#30071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30072000 +0! +#30072500 +1! +#30072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30073000 +0! +#30073500 +1! +#30073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30074000 +0! +#30074500 +1! +#30074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30075000 +0! +#30075500 +1! +#30075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30076000 +0! +#30076500 +1! +#30076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30077000 +0! +#30077500 +1! +#30077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30078000 +0! +#30078500 +1! +#30078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30079000 +0! +#30079500 +1! +#30079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30080000 +0! +#30080500 +1! +#30080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30081000 +0! +#30081500 +1! +#30081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30082000 +0! +#30082500 +1! +#30082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30083000 +0! +#30083500 +1! +#30083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30084000 +0! +#30084500 +1! +#30084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30085000 +0! +#30085500 +1! +#30085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30086000 +0! +#30086500 +1! +#30086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30087000 +0! +#30087500 +1! +#30087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30088000 +0! +#30088500 +1! +#30088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30089000 +0! +#30089500 +1! +#30089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30090000 +0! +#30090500 +1! +#30090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30091000 +0! +#30091500 +1! +#30091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30092000 +0! +#30092500 +1! +#30092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30093000 +0! +#30093500 +1! +#30093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30094000 +0! +#30094500 +1! +#30094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30095000 +0! +#30095500 +1! +#30095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30096000 +0! +#30096500 +1! +#30096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30097000 +0! +#30097500 +1! +#30097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30098000 +0! +#30098500 +1! +#30098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30099000 +0! +#30099500 +1! +#30099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30100000 +0! +#30100500 +1! +#30100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30101000 +0! +#30101500 +1! +#30101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30102000 +0! +#30102500 +1! +#30102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30103000 +0! +#30103500 +1! +#30103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30104000 +0! +#30104500 +1! +#30104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30105000 +0! +#30105500 +1! +#30105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30106000 +0! +#30106500 +1! +#30106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30107000 +0! +#30107500 +1! +#30107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30108000 +0! +#30108500 +1! +#30108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30109000 +0! +#30109500 +1! +#30109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30110000 +0! +#30110500 +1! +#30110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30111000 +0! +#30111500 +1! +#30111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30112000 +0! +#30112500 +1! +#30112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30113000 +0! +#30113500 +1! +#30113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30114000 +0! +#30114500 +1! +#30114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30115000 +0! +#30115500 +1! +#30115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30116000 +0! +#30116500 +1! +#30116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30117000 +0! +#30117500 +1! +#30117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30118000 +0! +#30118500 +1! +#30118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30119000 +0! +#30119500 +1! +#30119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30120000 +0! +#30120500 +1! +#30120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30121000 +0! +#30121500 +1! +#30121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30122000 +0! +#30122500 +1! +#30122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30123000 +0! +#30123500 +1! +#30123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30124000 +0! +#30124500 +1! +#30124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30125000 +0! +#30125500 +1! +#30125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30126000 +0! +#30126500 +1! +#30126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30127000 +0! +#30127500 +1! +#30127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30128000 +0! +#30128500 +1! +#30128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30129000 +0! +#30129500 +1! +#30129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30130000 +0! +#30130500 +1! +#30130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30131000 +0! +#30131500 +1! +#30131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30132000 +0! +#30132500 +1! +#30132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30133000 +0! +#30133500 +1! +#30133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30134000 +0! +#30134500 +1! +#30134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30135000 +0! +#30135500 +1! +#30135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30136000 +0! +#30136500 +1! +#30136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30137000 +0! +#30137500 +1! +#30137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30138000 +0! +#30138500 +1! +#30138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30139000 +0! +#30139500 +1! +#30139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30140000 +0! +#30140500 +1! +#30140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30141000 +0! +#30141500 +1! +#30141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30142000 +0! +#30142500 +1! +#30142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30143000 +0! +#30143500 +1! +#30143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30144000 +0! +#30144500 +1! +#30144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30145000 +0! +#30145500 +1! +#30145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30146000 +0! +#30146500 +1! +#30146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30147000 +0! +#30147500 +1! +#30147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30148000 +0! +#30148500 +1! +#30148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30149000 +0! +#30149500 +1! +#30149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30150000 +0! +#30150500 +1! +#30150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30151000 +0! +#30151500 +1! +#30151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30152000 +0! +#30152500 +1! +#30152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30153000 +0! +#30153500 +1! +#30153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30154000 +0! +#30154500 +1! +#30154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30155000 +0! +#30155500 +1! +#30155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30156000 +0! +#30156500 +1! +#30156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30157000 +0! +#30157500 +1! +#30157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30158000 +0! +#30158500 +1! +#30158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30159000 +0! +#30159500 +1! +#30159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30160000 +0! +#30160500 +1! +#30160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30161000 +0! +#30161500 +1! +#30161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30162000 +0! +#30162500 +1! +#30162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30163000 +0! +#30163500 +1! +#30163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30164000 +0! +#30164500 +1! +#30164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30165000 +0! +#30165500 +1! +#30165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30166000 +0! +#30166500 +1! +#30166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30167000 +0! +#30167500 +1! +#30167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30168000 +0! +#30168500 +1! +#30168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30169000 +0! +#30169500 +1! +#30169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#30170000 +0! +#30170500 +1! +#30170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30171000 +0! +#30171500 +1! +#30171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30172000 +0! +#30172500 +1! +#30172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30173000 +0! +#30173500 +1! +#30173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30174000 +0! +#30174500 +1! +#30174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30175000 +0! +#30175500 +1! +#30175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30176000 +0! +#30176500 +1! +#30176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30177000 +0! +#30177500 +1! +#30177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30178000 +0! +#30178500 +1! +#30178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30179000 +0! +#30179500 +1! +#30179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30180000 +0! +#30180500 +1! +#30180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30181000 +0! +#30181500 +1! +#30181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30182000 +0! +#30182500 +1! +#30182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30183000 +0! +#30183500 +1! +#30183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30184000 +0! +#30184500 +1! +#30184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30185000 +0! +#30185500 +1! +#30185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30186000 +0! +#30186500 +1! +#30186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30187000 +0! +#30187500 +1! +#30187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30188000 +0! +#30188500 +1! +#30188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30189000 +0! +#30189500 +1! +#30189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30190000 +0! +#30190500 +1! +#30190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30191000 +0! +#30191500 +1! +#30191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30192000 +0! +#30192500 +1! +#30192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30193000 +0! +#30193500 +1! +#30193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30194000 +0! +#30194500 +1! +#30194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30195000 +0! +#30195500 +1! +#30195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30196000 +0! +#30196500 +1! +#30196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30197000 +0! +#30197500 +1! +#30197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30198000 +0! +#30198500 +1! +#30198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30199000 +0! +#30199500 +1! +#30199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30200000 +0! +#30200500 +1! +#30200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30201000 +0! +#30201500 +1! +#30201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30202000 +0! +#30202500 +1! +#30202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30203000 +0! +#30203500 +1! +#30203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30204000 +0! +#30204500 +1! +#30204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30205000 +0! +#30205500 +1! +#30205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30206000 +0! +#30206500 +1! +#30206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30207000 +0! +#30207500 +1! +#30207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30208000 +0! +#30208500 +1! +#30208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30209000 +0! +#30209500 +1! +#30209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30210000 +0! +#30210500 +1! +#30210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30211000 +0! +#30211500 +1! +#30211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30212000 +0! +#30212500 +1! +#30212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30213000 +0! +#30213500 +1! +#30213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30214000 +0! +#30214500 +1! +#30214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30215000 +0! +#30215500 +1! +#30215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30216000 +0! +#30216500 +1! +#30216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30217000 +0! +#30217500 +1! +#30217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30218000 +0! +#30218500 +1! +#30218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30219000 +0! +#30219500 +1! +#30219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30220000 +0! +#30220500 +1! +#30220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30221000 +0! +#30221500 +1! +#30221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30222000 +0! +#30222500 +1! +#30222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30223000 +0! +#30223500 +1! +#30223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30224000 +0! +#30224500 +1! +#30224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30225000 +0! +#30225500 +1! +#30225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30226000 +0! +#30226500 +1! +#30226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30227000 +0! +#30227500 +1! +#30227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30228000 +0! +#30228500 +1! +#30228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30229000 +0! +#30229500 +1! +#30229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30230000 +0! +#30230500 +1! +#30230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30231000 +0! +#30231500 +1! +#30231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30232000 +0! +#30232500 +1! +#30232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30233000 +0! +#30233500 +1! +#30233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30234000 +0! +#30234500 +1! +#30234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30235000 +0! +#30235500 +1! +#30235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30236000 +0! +#30236500 +1! +#30236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30237000 +0! +#30237500 +1! +#30237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30238000 +0! +#30238500 +1! +#30238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30239000 +0! +#30239500 +1! +#30239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30240000 +0! +#30240500 +1! +#30240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30241000 +0! +#30241500 +1! +#30241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30242000 +0! +#30242500 +1! +#30242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30243000 +0! +#30243500 +1! +#30243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30244000 +0! +#30244500 +1! +#30244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30245000 +0! +#30245500 +1! +#30245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30246000 +0! +#30246500 +1! +#30246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30247000 +0! +#30247500 +1! +#30247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30248000 +0! +#30248500 +1! +#30248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30249000 +0! +#30249500 +1! +#30249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30250000 +0! +#30250500 +1! +#30250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30251000 +0! +#30251500 +1! +#30251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30252000 +0! +#30252500 +1! +#30252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30253000 +0! +#30253500 +1! +#30253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30254000 +0! +#30254500 +1! +#30254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30255000 +0! +#30255500 +1! +#30255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30256000 +0! +#30256500 +1! +#30256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30257000 +0! +#30257500 +1! +#30257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30258000 +0! +#30258500 +1! +#30258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30259000 +0! +#30259500 +1! +#30259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30260000 +0! +#30260500 +1! +#30260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30261000 +0! +#30261500 +1! +#30261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30262000 +0! +#30262500 +1! +#30262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30263000 +0! +#30263500 +1! +#30263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30264000 +0! +#30264500 +1! +#30264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30265000 +0! +#30265500 +1! +#30265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30266000 +0! +#30266500 +1! +#30266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30267000 +0! +#30267500 +1! +#30267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30268000 +0! +#30268500 +1! +#30268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30269000 +0! +#30269500 +1! +#30269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30270000 +0! +#30270500 +1! +#30270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30271000 +0! +#30271500 +1! +#30271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30272000 +0! +#30272500 +1! +#30272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30273000 +0! +#30273500 +1! +#30273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30274000 +0! +#30274500 +1! +#30274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30275000 +0! +#30275500 +1! +#30275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30276000 +0! +#30276500 +1! +#30276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30277000 +0! +#30277500 +1! +#30277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30278000 +0! +#30278500 +1! +#30278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30279000 +0! +#30279500 +1! +#30279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30280000 +0! +#30280500 +1! +#30280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30281000 +0! +#30281500 +1! +#30281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30282000 +0! +#30282500 +1! +#30282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30283000 +0! +#30283500 +1! +#30283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30284000 +0! +#30284500 +1! +#30284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30285000 +0! +#30285500 +1! +#30285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30286000 +0! +#30286500 +1! +#30286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30287000 +0! +#30287500 +1! +#30287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30288000 +0! +#30288500 +1! +#30288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30289000 +0! +#30289500 +1! +#30289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30290000 +0! +#30290500 +1! +#30290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30291000 +0! +#30291500 +1! +#30291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30292000 +0! +#30292500 +1! +#30292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30293000 +0! +#30293500 +1! +#30293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30294000 +0! +#30294500 +1! +#30294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30295000 +0! +#30295500 +1! +#30295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30296000 +0! +#30296500 +1! +#30296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30297000 +0! +#30297500 +1! +#30297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30298000 +0! +#30298500 +1! +#30298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30299000 +0! +#30299500 +1! +#30299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30300000 +0! +#30300500 +1! +#30300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30301000 +0! +#30301500 +1! +#30301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30302000 +0! +#30302500 +1! +#30302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30303000 +0! +#30303500 +1! +#30303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30304000 +0! +#30304500 +1! +#30304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30305000 +0! +#30305500 +1! +#30305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30306000 +0! +#30306500 +1! +#30306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30307000 +0! +#30307500 +1! +#30307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30308000 +0! +#30308500 +1! +#30308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30309000 +0! +#30309500 +1! +#30309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30310000 +0! +#30310500 +1! +#30310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30311000 +0! +#30311500 +1! +#30311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30312000 +0! +#30312500 +1! +#30312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30313000 +0! +#30313500 +1! +#30313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30314000 +0! +#30314500 +1! +#30314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30315000 +0! +#30315500 +1! +#30315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30316000 +0! +#30316500 +1! +#30316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30317000 +0! +#30317500 +1! +#30317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30318000 +0! +#30318500 +1! +#30318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30319000 +0! +#30319500 +1! +#30319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30320000 +0! +#30320500 +1! +#30320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30321000 +0! +#30321500 +1! +#30321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30322000 +0! +#30322500 +1! +#30322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#30322700 +b1011111 ^ +b11 g +b1001011 c +#30323000 +0! +#30323500 +1! +#30323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30324000 +0! +#30324500 +1! +#30324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30325000 +0! +#30325500 +1! +#30325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30326000 +0! +#30326500 +1! +#30326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30327000 +0! +#30327500 +1! +#30327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30328000 +0! +#30328500 +1! +#30328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30329000 +0! +#30329500 +1! +#30329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30330000 +0! +#30330500 +1! +#30330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30331000 +0! +#30331500 +1! +#30331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30332000 +0! +#30332500 +1! +#30332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30333000 +0! +#30333500 +1! +#30333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30334000 +0! +#30334500 +1! +#30334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30335000 +0! +#30335500 +1! +#30335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30336000 +0! +#30336500 +1! +#30336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30337000 +0! +#30337500 +1! +#30337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30338000 +0! +#30338500 +1! +#30338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30339000 +0! +#30339500 +1! +#30339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30340000 +0! +#30340500 +1! +#30340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30341000 +0! +#30341500 +1! +#30341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30342000 +0! +#30342500 +1! +#30342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30343000 +0! +#30343500 +1! +#30343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30344000 +0! +#30344500 +1! +#30344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30345000 +0! +#30345500 +1! +#30345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30346000 +0! +#30346500 +1! +#30346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30347000 +0! +#30347500 +1! +#30347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30348000 +0! +#30348500 +1! +#30348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30349000 +0! +#30349500 +1! +#30349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30350000 +0! +#30350500 +1! +#30350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30351000 +0! +#30351500 +1! +#30351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30352000 +0! +#30352500 +1! +#30352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30353000 +0! +#30353500 +1! +#30353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30354000 +0! +#30354500 +1! +#30354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30355000 +0! +#30355500 +1! +#30355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30356000 +0! +#30356500 +1! +#30356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30357000 +0! +#30357500 +1! +#30357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30358000 +0! +#30358500 +1! +#30358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30359000 +0! +#30359500 +1! +#30359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30360000 +0! +#30360500 +1! +#30360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30361000 +0! +#30361500 +1! +#30361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30362000 +0! +#30362500 +1! +#30362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30363000 +0! +#30363500 +1! +#30363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30364000 +0! +#30364500 +1! +#30364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30365000 +0! +#30365500 +1! +#30365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30366000 +0! +#30366500 +1! +#30366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30367000 +0! +#30367500 +1! +#30367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30368000 +0! +#30368500 +1! +#30368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30369000 +0! +#30369500 +1! +#30369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30370000 +0! +#30370500 +1! +#30370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30371000 +0! +#30371500 +1! +#30371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30372000 +0! +#30372500 +1! +#30372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30373000 +0! +#30373500 +1! +#30373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30374000 +0! +#30374500 +1! +#30374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30375000 +0! +#30375500 +1! +#30375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30376000 +0! +#30376500 +1! +#30376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30377000 +0! +#30377500 +1! +#30377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30378000 +0! +#30378500 +1! +#30378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30379000 +0! +#30379500 +1! +#30379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30380000 +0! +#30380500 +1! +#30380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30381000 +0! +#30381500 +1! +#30381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30382000 +0! +#30382500 +1! +#30382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30383000 +0! +#30383500 +1! +#30383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30384000 +0! +#30384500 +1! +#30384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30385000 +0! +#30385500 +1! +#30385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30386000 +0! +#30386500 +1! +#30386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30387000 +0! +#30387500 +1! +#30387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30388000 +0! +#30388500 +1! +#30388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30389000 +0! +#30389500 +1! +#30389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30390000 +0! +#30390500 +1! +#30390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30391000 +0! +#30391500 +1! +#30391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30392000 +0! +#30392500 +1! +#30392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30393000 +0! +#30393500 +1! +#30393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30394000 +0! +#30394500 +1! +#30394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30395000 +0! +#30395500 +1! +#30395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30396000 +0! +#30396500 +1! +#30396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30397000 +0! +#30397500 +1! +#30397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30398000 +0! +#30398500 +1! +#30398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30399000 +0! +#30399500 +1! +#30399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30400000 +0! +#30400500 +1! +#30400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30401000 +0! +#30401500 +1! +#30401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30402000 +0! +#30402500 +1! +#30402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30403000 +0! +#30403500 +1! +#30403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30404000 +0! +#30404500 +1! +#30404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30405000 +0! +#30405500 +1! +#30405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30406000 +0! +#30406500 +1! +#30406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30407000 +0! +#30407500 +1! +#30407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30408000 +0! +#30408500 +1! +#30408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30409000 +0! +#30409500 +1! +#30409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30410000 +0! +#30410500 +1! +#30410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30411000 +0! +#30411500 +1! +#30411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30412000 +0! +#30412500 +1! +#30412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30413000 +0! +#30413500 +1! +#30413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30414000 +0! +#30414500 +1! +#30414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30415000 +0! +#30415500 +1! +#30415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30416000 +0! +#30416500 +1! +#30416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30417000 +0! +#30417500 +1! +#30417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30418000 +0! +#30418500 +1! +#30418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30419000 +0! +#30419500 +1! +#30419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30420000 +0! +#30420500 +1! +#30420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30421000 +0! +#30421500 +1! +#30421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30422000 +0! +#30422500 +1! +#30422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30423000 +0! +#30423500 +1! +#30423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30424000 +0! +#30424500 +1! +#30424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#30424700 +0j +0O +#30425000 +0! +#30425500 +1! +#30425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30426000 +0! +#30426500 +1! +#30426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30427000 +0! +#30427500 +1! +#30427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30428000 +0! +#30428500 +1! +#30428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30429000 +0! +#30429500 +1! +#30429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30430000 +0! +#30430500 +1! +#30430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30431000 +0! +#30431500 +1! +#30431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30432000 +0! +#30432500 +1! +#30432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30433000 +0! +#30433500 +1! +#30433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30434000 +0! +#30434500 +1! +#30434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30435000 +0! +#30435500 +1! +#30435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30436000 +0! +#30436500 +1! +#30436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30437000 +0! +#30437500 +1! +#30437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30438000 +0! +#30438500 +1! +#30438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30439000 +0! +#30439500 +1! +#30439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30440000 +0! +#30440500 +1! +#30440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30441000 +0! +#30441500 +1! +#30441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30442000 +0! +#30442500 +1! +#30442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30443000 +0! +#30443500 +1! +#30443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30444000 +0! +#30444500 +1! +#30444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30445000 +0! +#30445500 +1! +#30445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30446000 +0! +#30446500 +1! +#30446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30447000 +0! +#30447500 +1! +#30447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30448000 +0! +#30448500 +1! +#30448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30449000 +0! +#30449500 +1! +#30449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30450000 +0! +#30450500 +1! +#30450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30451000 +0! +#30451500 +1! +#30451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30452000 +0! +#30452500 +1! +#30452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30453000 +0! +#30453500 +1! +#30453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30454000 +0! +#30454500 +1! +#30454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30455000 +0! +#30455500 +1! +#30455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30456000 +0! +#30456500 +1! +#30456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30457000 +0! +#30457500 +1! +#30457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30458000 +0! +#30458500 +1! +#30458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30459000 +0! +#30459500 +1! +#30459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30460000 +0! +#30460500 +1! +#30460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30461000 +0! +#30461500 +1! +#30461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30462000 +0! +#30462500 +1! +#30462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30463000 +0! +#30463500 +1! +#30463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30464000 +0! +#30464500 +1! +#30464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30465000 +0! +#30465500 +1! +#30465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30466000 +0! +#30466500 +1! +#30466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30467000 +0! +#30467500 +1! +#30467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30468000 +0! +#30468500 +1! +#30468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30469000 +0! +#30469500 +1! +#30469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30470000 +0! +#30470500 +1! +#30470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30471000 +0! +#30471500 +1! +#30471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30472000 +0! +#30472500 +1! +#30472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30473000 +0! +#30473500 +1! +#30473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30474000 +0! +#30474500 +1! +#30474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30475000 +0! +#30475500 +1! +#30475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30476000 +0! +#30476500 +1! +#30476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30477000 +0! +#30477500 +1! +#30477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30478000 +0! +#30478500 +1! +#30478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30479000 +0! +#30479500 +1! +#30479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30480000 +0! +#30480500 +1! +#30480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30481000 +0! +#30481500 +1! +#30481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30482000 +0! +#30482500 +1! +#30482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30483000 +0! +#30483500 +1! +#30483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30484000 +0! +#30484500 +1! +#30484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30485000 +0! +#30485500 +1! +#30485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30486000 +0! +#30486500 +1! +#30486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30487000 +0! +#30487500 +1! +#30487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30488000 +0! +#30488500 +1! +#30488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30489000 +0! +#30489500 +1! +#30489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30490000 +0! +#30490500 +1! +#30490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30491000 +0! +#30491500 +1! +#30491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30492000 +0! +#30492500 +1! +#30492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30493000 +0! +#30493500 +1! +#30493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30494000 +0! +#30494500 +1! +#30494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30495000 +0! +#30495500 +1! +#30495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30496000 +0! +#30496500 +1! +#30496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30497000 +0! +#30497500 +1! +#30497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30498000 +0! +#30498500 +1! +#30498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30499000 +0! +#30499500 +1! +#30499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30500000 +0! +#30500500 +1! +#30500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30501000 +0! +#30501500 +1! +#30501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30502000 +0! +#30502500 +1! +#30502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30503000 +0! +#30503500 +1! +#30503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30504000 +0! +#30504500 +1! +#30504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30505000 +0! +#30505500 +1! +#30505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30506000 +0! +#30506500 +1! +#30506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30507000 +0! +#30507500 +1! +#30507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30508000 +0! +#30508500 +1! +#30508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30509000 +0! +#30509500 +1! +#30509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30510000 +0! +#30510500 +1! +#30510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30511000 +0! +#30511500 +1! +#30511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30512000 +0! +#30512500 +1! +#30512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30513000 +0! +#30513500 +1! +#30513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30514000 +0! +#30514500 +1! +#30514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30515000 +0! +#30515500 +1! +#30515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30516000 +0! +#30516500 +1! +#30516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30517000 +0! +#30517500 +1! +#30517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30518000 +0! +#30518500 +1! +#30518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30519000 +0! +#30519500 +1! +#30519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30520000 +0! +#30520500 +1! +#30520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30521000 +0! +#30521500 +1! +#30521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30522000 +0! +#30522500 +1! +#30522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30523000 +0! +#30523500 +1! +#30523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30524000 +0! +#30524500 +1! +#30524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30525000 +0! +#30525500 +1! +#30525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30526000 +0! +#30526500 +1! +#30526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30527000 +0! +#30527500 +1! +#30527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30528000 +0! +#30528500 +1! +#30528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30529000 +0! +#30529500 +1! +#30529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30530000 +0! +#30530500 +1! +#30530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30531000 +0! +#30531500 +1! +#30531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30532000 +0! +#30532500 +1! +#30532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30533000 +0! +#30533500 +1! +#30533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30534000 +0! +#30534500 +1! +#30534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30535000 +0! +#30535500 +1! +#30535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30536000 +0! +#30536500 +1! +#30536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30537000 +0! +#30537500 +1! +#30537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30538000 +0! +#30538500 +1! +#30538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30539000 +0! +#30539500 +1! +#30539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30540000 +0! +#30540500 +1! +#30540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30541000 +0! +#30541500 +1! +#30541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30542000 +0! +#30542500 +1! +#30542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30543000 +0! +#30543500 +1! +#30543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30544000 +0! +#30544500 +1! +#30544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30545000 +0! +#30545500 +1! +#30545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30546000 +0! +#30546500 +1! +#30546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30547000 +0! +#30547500 +1! +#30547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30548000 +0! +#30548500 +1! +#30548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30549000 +0! +#30549500 +1! +#30549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30550000 +0! +#30550500 +1! +#30550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30551000 +0! +#30551500 +1! +#30551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30552000 +0! +#30552500 +1! +#30552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30553000 +0! +#30553500 +1! +#30553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30554000 +0! +#30554500 +1! +#30554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30555000 +0! +#30555500 +1! +#30555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30556000 +0! +#30556500 +1! +#30556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30557000 +0! +#30557500 +1! +#30557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30558000 +0! +#30558500 +1! +#30558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30559000 +0! +#30559500 +1! +#30559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30560000 +0! +#30560500 +1! +#30560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30561000 +0! +#30561500 +1! +#30561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30562000 +0! +#30562500 +1! +#30562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30563000 +0! +#30563500 +1! +#30563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30564000 +0! +#30564500 +1! +#30564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30565000 +0! +#30565500 +1! +#30565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30566000 +0! +#30566500 +1! +#30566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30567000 +0! +#30567500 +1! +#30567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30568000 +0! +#30568500 +1! +#30568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30569000 +0! +#30569500 +1! +#30569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30570000 +0! +#30570500 +1! +#30570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30571000 +0! +#30571500 +1! +#30571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30572000 +0! +#30572500 +1! +#30572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30573000 +0! +#30573500 +1! +#30573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30574000 +0! +#30574500 +1! +#30574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30575000 +0! +#30575500 +1! +#30575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30576000 +0! +#30576500 +1! +#30576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30577000 +0! +#30577500 +1! +#30577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#30577700 +b10111111 ^ +b10 g +b10010110 c +#30578000 +0! +#30578500 +1! +#30578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30579000 +0! +#30579500 +1! +#30579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30580000 +0! +#30580500 +1! +#30580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30581000 +0! +#30581500 +1! +#30581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30582000 +0! +#30582500 +1! +#30582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30583000 +0! +#30583500 +1! +#30583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30584000 +0! +#30584500 +1! +#30584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30585000 +0! +#30585500 +1! +#30585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30586000 +0! +#30586500 +1! +#30586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30587000 +0! +#30587500 +1! +#30587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30588000 +0! +#30588500 +1! +#30588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30589000 +0! +#30589500 +1! +#30589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30590000 +0! +#30590500 +1! +#30590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30591000 +0! +#30591500 +1! +#30591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30592000 +0! +#30592500 +1! +#30592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30593000 +0! +#30593500 +1! +#30593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30594000 +0! +#30594500 +1! +#30594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30595000 +0! +#30595500 +1! +#30595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30596000 +0! +#30596500 +1! +#30596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30597000 +0! +#30597500 +1! +#30597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30598000 +0! +#30598500 +1! +#30598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30599000 +0! +#30599500 +1! +#30599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30600000 +0! +#30600500 +1! +#30600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30601000 +0! +#30601500 +1! +#30601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30602000 +0! +#30602500 +1! +#30602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30603000 +0! +#30603500 +1! +#30603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30604000 +0! +#30604500 +1! +#30604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30605000 +0! +#30605500 +1! +#30605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30606000 +0! +#30606500 +1! +#30606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30607000 +0! +#30607500 +1! +#30607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30608000 +0! +#30608500 +1! +#30608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30609000 +0! +#30609500 +1! +#30609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30610000 +0! +#30610500 +1! +#30610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30611000 +0! +#30611500 +1! +#30611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30612000 +0! +#30612500 +1! +#30612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30613000 +0! +#30613500 +1! +#30613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30614000 +0! +#30614500 +1! +#30614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30615000 +0! +#30615500 +1! +#30615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30616000 +0! +#30616500 +1! +#30616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30617000 +0! +#30617500 +1! +#30617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30618000 +0! +#30618500 +1! +#30618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30619000 +0! +#30619500 +1! +#30619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30620000 +0! +#30620500 +1! +#30620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30621000 +0! +#30621500 +1! +#30621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30622000 +0! +#30622500 +1! +#30622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30623000 +0! +#30623500 +1! +#30623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30624000 +0! +#30624500 +1! +#30624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30625000 +0! +#30625500 +1! +#30625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30626000 +0! +#30626500 +1! +#30626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30627000 +0! +#30627500 +1! +#30627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30628000 +0! +#30628500 +1! +#30628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30629000 +0! +#30629500 +1! +#30629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30630000 +0! +#30630500 +1! +#30630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30631000 +0! +#30631500 +1! +#30631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30632000 +0! +#30632500 +1! +#30632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30633000 +0! +#30633500 +1! +#30633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30634000 +0! +#30634500 +1! +#30634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30635000 +0! +#30635500 +1! +#30635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30636000 +0! +#30636500 +1! +#30636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30637000 +0! +#30637500 +1! +#30637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30638000 +0! +#30638500 +1! +#30638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30639000 +0! +#30639500 +1! +#30639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30640000 +0! +#30640500 +1! +#30640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30641000 +0! +#30641500 +1! +#30641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30642000 +0! +#30642500 +1! +#30642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30643000 +0! +#30643500 +1! +#30643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30644000 +0! +#30644500 +1! +#30644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30645000 +0! +#30645500 +1! +#30645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30646000 +0! +#30646500 +1! +#30646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30647000 +0! +#30647500 +1! +#30647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30648000 +0! +#30648500 +1! +#30648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30649000 +0! +#30649500 +1! +#30649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30650000 +0! +#30650500 +1! +#30650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30651000 +0! +#30651500 +1! +#30651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30652000 +0! +#30652500 +1! +#30652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30653000 +0! +#30653500 +1! +#30653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30654000 +0! +#30654500 +1! +#30654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30655000 +0! +#30655500 +1! +#30655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30656000 +0! +#30656500 +1! +#30656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30657000 +0! +#30657500 +1! +#30657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30658000 +0! +#30658500 +1! +#30658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30659000 +0! +#30659500 +1! +#30659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30660000 +0! +#30660500 +1! +#30660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30661000 +0! +#30661500 +1! +#30661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30662000 +0! +#30662500 +1! +#30662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30663000 +0! +#30663500 +1! +#30663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30664000 +0! +#30664500 +1! +#30664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30665000 +0! +#30665500 +1! +#30665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30666000 +0! +#30666500 +1! +#30666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30667000 +0! +#30667500 +1! +#30667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30668000 +0! +#30668500 +1! +#30668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30669000 +0! +#30669500 +1! +#30669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30670000 +0! +#30670500 +1! +#30670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30671000 +0! +#30671500 +1! +#30671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30672000 +0! +#30672500 +1! +#30672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30673000 +0! +#30673500 +1! +#30673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30674000 +0! +#30674500 +1! +#30674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30675000 +0! +#30675500 +1! +#30675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30676000 +0! +#30676500 +1! +#30676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30677000 +0! +#30677500 +1! +#30677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30678000 +0! +#30678500 +1! +#30678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30679000 +0! +#30679500 +1! +#30679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#30679700 +1j +1O +#30680000 +0! +#30680500 +1! +#30680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30681000 +0! +#30681500 +1! +#30681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30682000 +0! +#30682500 +1! +#30682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30683000 +0! +#30683500 +1! +#30683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30684000 +0! +#30684500 +1! +#30684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30685000 +0! +#30685500 +1! +#30685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30686000 +0! +#30686500 +1! +#30686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30687000 +0! +#30687500 +1! +#30687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30688000 +0! +#30688500 +1! +#30688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30689000 +0! +#30689500 +1! +#30689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30690000 +0! +#30690500 +1! +#30690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30691000 +0! +#30691500 +1! +#30691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30692000 +0! +#30692500 +1! +#30692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30693000 +0! +#30693500 +1! +#30693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30694000 +0! +#30694500 +1! +#30694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30695000 +0! +#30695500 +1! +#30695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30696000 +0! +#30696500 +1! +#30696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30697000 +0! +#30697500 +1! +#30697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30698000 +0! +#30698500 +1! +#30698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30699000 +0! +#30699500 +1! +#30699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30700000 +0! +#30700500 +1! +#30700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30701000 +0! +#30701500 +1! +#30701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30702000 +0! +#30702500 +1! +#30702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30703000 +0! +#30703500 +1! +#30703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30704000 +0! +#30704500 +1! +#30704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30705000 +0! +#30705500 +1! +#30705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30706000 +0! +#30706500 +1! +#30706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30707000 +0! +#30707500 +1! +#30707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30708000 +0! +#30708500 +1! +#30708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30709000 +0! +#30709500 +1! +#30709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30710000 +0! +#30710500 +1! +#30710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30711000 +0! +#30711500 +1! +#30711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30712000 +0! +#30712500 +1! +#30712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30713000 +0! +#30713500 +1! +#30713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30714000 +0! +#30714500 +1! +#30714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30715000 +0! +#30715500 +1! +#30715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30716000 +0! +#30716500 +1! +#30716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30717000 +0! +#30717500 +1! +#30717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30718000 +0! +#30718500 +1! +#30718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30719000 +0! +#30719500 +1! +#30719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30720000 +0! +#30720500 +1! +#30720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30721000 +0! +#30721500 +1! +#30721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30722000 +0! +#30722500 +1! +#30722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30723000 +0! +#30723500 +1! +#30723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30724000 +0! +#30724500 +1! +#30724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30725000 +0! +#30725500 +1! +#30725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30726000 +0! +#30726500 +1! +#30726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30727000 +0! +#30727500 +1! +#30727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30728000 +0! +#30728500 +1! +#30728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30729000 +0! +#30729500 +1! +#30729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30730000 +0! +#30730500 +1! +#30730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30731000 +0! +#30731500 +1! +#30731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30732000 +0! +#30732500 +1! +#30732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30733000 +0! +#30733500 +1! +#30733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30734000 +0! +#30734500 +1! +#30734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30735000 +0! +#30735500 +1! +#30735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30736000 +0! +#30736500 +1! +#30736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30737000 +0! +#30737500 +1! +#30737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30738000 +0! +#30738500 +1! +#30738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30739000 +0! +#30739500 +1! +#30739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30740000 +0! +#30740500 +1! +#30740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30741000 +0! +#30741500 +1! +#30741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30742000 +0! +#30742500 +1! +#30742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30743000 +0! +#30743500 +1! +#30743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30744000 +0! +#30744500 +1! +#30744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30745000 +0! +#30745500 +1! +#30745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30746000 +0! +#30746500 +1! +#30746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30747000 +0! +#30747500 +1! +#30747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30748000 +0! +#30748500 +1! +#30748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30749000 +0! +#30749500 +1! +#30749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30750000 +0! +#30750500 +1! +#30750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30751000 +0! +#30751500 +1! +#30751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30752000 +0! +#30752500 +1! +#30752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30753000 +0! +#30753500 +1! +#30753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30754000 +0! +#30754500 +1! +#30754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30755000 +0! +#30755500 +1! +#30755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30756000 +0! +#30756500 +1! +#30756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30757000 +0! +#30757500 +1! +#30757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30758000 +0! +#30758500 +1! +#30758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30759000 +0! +#30759500 +1! +#30759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30760000 +0! +#30760500 +1! +#30760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30761000 +0! +#30761500 +1! +#30761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30762000 +0! +#30762500 +1! +#30762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30763000 +0! +#30763500 +1! +#30763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30764000 +0! +#30764500 +1! +#30764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30765000 +0! +#30765500 +1! +#30765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30766000 +0! +#30766500 +1! +#30766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30767000 +0! +#30767500 +1! +#30767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30768000 +0! +#30768500 +1! +#30768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30769000 +0! +#30769500 +1! +#30769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30770000 +0! +#30770500 +1! +#30770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30771000 +0! +#30771500 +1! +#30771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30772000 +0! +#30772500 +1! +#30772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30773000 +0! +#30773500 +1! +#30773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30774000 +0! +#30774500 +1! +#30774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30775000 +0! +#30775500 +1! +#30775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30776000 +0! +#30776500 +1! +#30776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30777000 +0! +#30777500 +1! +#30777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30778000 +0! +#30778500 +1! +#30778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30779000 +0! +#30779500 +1! +#30779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30780000 +0! +#30780500 +1! +#30780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30781000 +0! +#30781500 +1! +#30781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30782000 +0! +#30782500 +1! +#30782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30783000 +0! +#30783500 +1! +#30783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30784000 +0! +#30784500 +1! +#30784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30785000 +0! +#30785500 +1! +#30785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30786000 +0! +#30786500 +1! +#30786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30787000 +0! +#30787500 +1! +#30787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30788000 +0! +#30788500 +1! +#30788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30789000 +0! +#30789500 +1! +#30789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30790000 +0! +#30790500 +1! +#30790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30791000 +0! +#30791500 +1! +#30791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30792000 +0! +#30792500 +1! +#30792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30793000 +0! +#30793500 +1! +#30793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30794000 +0! +#30794500 +1! +#30794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30795000 +0! +#30795500 +1! +#30795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30796000 +0! +#30796500 +1! +#30796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30797000 +0! +#30797500 +1! +#30797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30798000 +0! +#30798500 +1! +#30798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30799000 +0! +#30799500 +1! +#30799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30800000 +0! +#30800500 +1! +#30800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30801000 +0! +#30801500 +1! +#30801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30802000 +0! +#30802500 +1! +#30802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30803000 +0! +#30803500 +1! +#30803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30804000 +0! +#30804500 +1! +#30804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30805000 +0! +#30805500 +1! +#30805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30806000 +0! +#30806500 +1! +#30806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30807000 +0! +#30807500 +1! +#30807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30808000 +0! +#30808500 +1! +#30808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30809000 +0! +#30809500 +1! +#30809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30810000 +0! +#30810500 +1! +#30810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30811000 +0! +#30811500 +1! +#30811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30812000 +0! +#30812500 +1! +#30812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30813000 +0! +#30813500 +1! +#30813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30814000 +0! +#30814500 +1! +#30814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30815000 +0! +#30815500 +1! +#30815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30816000 +0! +#30816500 +1! +#30816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30817000 +0! +#30817500 +1! +#30817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30818000 +0! +#30818500 +1! +#30818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30819000 +0! +#30819500 +1! +#30819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30820000 +0! +#30820500 +1! +#30820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30821000 +0! +#30821500 +1! +#30821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30822000 +0! +#30822500 +1! +#30822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30823000 +0! +#30823500 +1! +#30823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30824000 +0! +#30824500 +1! +#30824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30825000 +0! +#30825500 +1! +#30825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30826000 +0! +#30826500 +1! +#30826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30827000 +0! +#30827500 +1! +#30827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30828000 +0! +#30828500 +1! +#30828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30829000 +0! +#30829500 +1! +#30829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30830000 +0! +#30830500 +1! +#30830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30831000 +0! +#30831500 +1! +#30831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30832000 +0! +#30832500 +1! +#30832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#30832700 +b1111111 ^ +b1 g +b101101 c +#30833000 +0! +#30833500 +1! +#30833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30834000 +0! +#30834500 +1! +#30834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30835000 +0! +#30835500 +1! +#30835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30836000 +0! +#30836500 +1! +#30836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30837000 +0! +#30837500 +1! +#30837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30838000 +0! +#30838500 +1! +#30838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30839000 +0! +#30839500 +1! +#30839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30840000 +0! +#30840500 +1! +#30840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30841000 +0! +#30841500 +1! +#30841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30842000 +0! +#30842500 +1! +#30842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30843000 +0! +#30843500 +1! +#30843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30844000 +0! +#30844500 +1! +#30844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30845000 +0! +#30845500 +1! +#30845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30846000 +0! +#30846500 +1! +#30846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30847000 +0! +#30847500 +1! +#30847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30848000 +0! +#30848500 +1! +#30848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30849000 +0! +#30849500 +1! +#30849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30850000 +0! +#30850500 +1! +#30850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30851000 +0! +#30851500 +1! +#30851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30852000 +0! +#30852500 +1! +#30852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30853000 +0! +#30853500 +1! +#30853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30854000 +0! +#30854500 +1! +#30854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30855000 +0! +#30855500 +1! +#30855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30856000 +0! +#30856500 +1! +#30856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30857000 +0! +#30857500 +1! +#30857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30858000 +0! +#30858500 +1! +#30858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30859000 +0! +#30859500 +1! +#30859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30860000 +0! +#30860500 +1! +#30860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30861000 +0! +#30861500 +1! +#30861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30862000 +0! +#30862500 +1! +#30862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30863000 +0! +#30863500 +1! +#30863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30864000 +0! +#30864500 +1! +#30864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30865000 +0! +#30865500 +1! +#30865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30866000 +0! +#30866500 +1! +#30866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30867000 +0! +#30867500 +1! +#30867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30868000 +0! +#30868500 +1! +#30868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30869000 +0! +#30869500 +1! +#30869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30870000 +0! +#30870500 +1! +#30870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30871000 +0! +#30871500 +1! +#30871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30872000 +0! +#30872500 +1! +#30872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30873000 +0! +#30873500 +1! +#30873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30874000 +0! +#30874500 +1! +#30874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30875000 +0! +#30875500 +1! +#30875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30876000 +0! +#30876500 +1! +#30876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30877000 +0! +#30877500 +1! +#30877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30878000 +0! +#30878500 +1! +#30878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30879000 +0! +#30879500 +1! +#30879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30880000 +0! +#30880500 +1! +#30880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30881000 +0! +#30881500 +1! +#30881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30882000 +0! +#30882500 +1! +#30882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30883000 +0! +#30883500 +1! +#30883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30884000 +0! +#30884500 +1! +#30884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30885000 +0! +#30885500 +1! +#30885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30886000 +0! +#30886500 +1! +#30886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30887000 +0! +#30887500 +1! +#30887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30888000 +0! +#30888500 +1! +#30888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30889000 +0! +#30889500 +1! +#30889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30890000 +0! +#30890500 +1! +#30890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30891000 +0! +#30891500 +1! +#30891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30892000 +0! +#30892500 +1! +#30892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30893000 +0! +#30893500 +1! +#30893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30894000 +0! +#30894500 +1! +#30894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30895000 +0! +#30895500 +1! +#30895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30896000 +0! +#30896500 +1! +#30896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30897000 +0! +#30897500 +1! +#30897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30898000 +0! +#30898500 +1! +#30898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30899000 +0! +#30899500 +1! +#30899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30900000 +0! +#30900500 +1! +#30900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30901000 +0! +#30901500 +1! +#30901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30902000 +0! +#30902500 +1! +#30902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30903000 +0! +#30903500 +1! +#30903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30904000 +0! +#30904500 +1! +#30904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30905000 +0! +#30905500 +1! +#30905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30906000 +0! +#30906500 +1! +#30906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30907000 +0! +#30907500 +1! +#30907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30908000 +0! +#30908500 +1! +#30908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30909000 +0! +#30909500 +1! +#30909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30910000 +0! +#30910500 +1! +#30910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30911000 +0! +#30911500 +1! +#30911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30912000 +0! +#30912500 +1! +#30912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30913000 +0! +#30913500 +1! +#30913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30914000 +0! +#30914500 +1! +#30914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30915000 +0! +#30915500 +1! +#30915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30916000 +0! +#30916500 +1! +#30916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30917000 +0! +#30917500 +1! +#30917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30918000 +0! +#30918500 +1! +#30918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30919000 +0! +#30919500 +1! +#30919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30920000 +0! +#30920500 +1! +#30920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30921000 +0! +#30921500 +1! +#30921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30922000 +0! +#30922500 +1! +#30922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30923000 +0! +#30923500 +1! +#30923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30924000 +0! +#30924500 +1! +#30924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30925000 +0! +#30925500 +1! +#30925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30926000 +0! +#30926500 +1! +#30926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30927000 +0! +#30927500 +1! +#30927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30928000 +0! +#30928500 +1! +#30928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30929000 +0! +#30929500 +1! +#30929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30930000 +0! +#30930500 +1! +#30930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30931000 +0! +#30931500 +1! +#30931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30932000 +0! +#30932500 +1! +#30932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30933000 +0! +#30933500 +1! +#30933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30934000 +0! +#30934500 +1! +#30934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#30934700 +0j +0O +#30935000 +0! +#30935500 +1! +#30935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30936000 +0! +#30936500 +1! +#30936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30937000 +0! +#30937500 +1! +#30937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30938000 +0! +#30938500 +1! +#30938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30939000 +0! +#30939500 +1! +#30939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30940000 +0! +#30940500 +1! +#30940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30941000 +0! +#30941500 +1! +#30941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30942000 +0! +#30942500 +1! +#30942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30943000 +0! +#30943500 +1! +#30943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30944000 +0! +#30944500 +1! +#30944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30945000 +0! +#30945500 +1! +#30945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30946000 +0! +#30946500 +1! +#30946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30947000 +0! +#30947500 +1! +#30947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30948000 +0! +#30948500 +1! +#30948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30949000 +0! +#30949500 +1! +#30949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30950000 +0! +#30950500 +1! +#30950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30951000 +0! +#30951500 +1! +#30951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30952000 +0! +#30952500 +1! +#30952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30953000 +0! +#30953500 +1! +#30953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30954000 +0! +#30954500 +1! +#30954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30955000 +0! +#30955500 +1! +#30955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30956000 +0! +#30956500 +1! +#30956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30957000 +0! +#30957500 +1! +#30957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30958000 +0! +#30958500 +1! +#30958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30959000 +0! +#30959500 +1! +#30959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30960000 +0! +#30960500 +1! +#30960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30961000 +0! +#30961500 +1! +#30961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30962000 +0! +#30962500 +1! +#30962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30963000 +0! +#30963500 +1! +#30963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30964000 +0! +#30964500 +1! +#30964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30965000 +0! +#30965500 +1! +#30965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30966000 +0! +#30966500 +1! +#30966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30967000 +0! +#30967500 +1! +#30967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30968000 +0! +#30968500 +1! +#30968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30969000 +0! +#30969500 +1! +#30969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30970000 +0! +#30970500 +1! +#30970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30971000 +0! +#30971500 +1! +#30971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30972000 +0! +#30972500 +1! +#30972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30973000 +0! +#30973500 +1! +#30973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30974000 +0! +#30974500 +1! +#30974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30975000 +0! +#30975500 +1! +#30975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30976000 +0! +#30976500 +1! +#30976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30977000 +0! +#30977500 +1! +#30977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30978000 +0! +#30978500 +1! +#30978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30979000 +0! +#30979500 +1! +#30979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30980000 +0! +#30980500 +1! +#30980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30981000 +0! +#30981500 +1! +#30981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30982000 +0! +#30982500 +1! +#30982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30983000 +0! +#30983500 +1! +#30983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30984000 +0! +#30984500 +1! +#30984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30985000 +0! +#30985500 +1! +#30985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30986000 +0! +#30986500 +1! +#30986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30987000 +0! +#30987500 +1! +#30987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30988000 +0! +#30988500 +1! +#30988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30989000 +0! +#30989500 +1! +#30989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30990000 +0! +#30990500 +1! +#30990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30991000 +0! +#30991500 +1! +#30991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30992000 +0! +#30992500 +1! +#30992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30993000 +0! +#30993500 +1! +#30993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30994000 +0! +#30994500 +1! +#30994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30995000 +0! +#30995500 +1! +#30995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30996000 +0! +#30996500 +1! +#30996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30997000 +0! +#30997500 +1! +#30997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#30998000 +0! +#30998500 +1! +#30998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#30999000 +0! +#30999500 +1! +#30999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31000000 +0! +#31000500 +1! +#31000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31001000 +0! +#31001500 +1! +#31001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31002000 +0! +#31002500 +1! +#31002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31003000 +0! +#31003500 +1! +#31003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31004000 +0! +#31004500 +1! +#31004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31005000 +0! +#31005500 +1! +#31005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31006000 +0! +#31006500 +1! +#31006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31007000 +0! +#31007500 +1! +#31007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31008000 +0! +#31008500 +1! +#31008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31009000 +0! +#31009500 +1! +#31009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31010000 +0! +#31010500 +1! +#31010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31011000 +0! +#31011500 +1! +#31011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31012000 +0! +#31012500 +1! +#31012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31013000 +0! +#31013500 +1! +#31013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31014000 +0! +#31014500 +1! +#31014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31015000 +0! +#31015500 +1! +#31015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31016000 +0! +#31016500 +1! +#31016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31017000 +0! +#31017500 +1! +#31017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31018000 +0! +#31018500 +1! +#31018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31019000 +0! +#31019500 +1! +#31019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31020000 +0! +#31020500 +1! +#31020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31021000 +0! +#31021500 +1! +#31021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31022000 +0! +#31022500 +1! +#31022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31023000 +0! +#31023500 +1! +#31023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31024000 +0! +#31024500 +1! +#31024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31025000 +0! +#31025500 +1! +#31025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31026000 +0! +#31026500 +1! +#31026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31027000 +0! +#31027500 +1! +#31027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31028000 +0! +#31028500 +1! +#31028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31029000 +0! +#31029500 +1! +#31029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31030000 +0! +#31030500 +1! +#31030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31031000 +0! +#31031500 +1! +#31031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31032000 +0! +#31032500 +1! +#31032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31033000 +0! +#31033500 +1! +#31033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31034000 +0! +#31034500 +1! +#31034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31035000 +0! +#31035500 +1! +#31035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31036000 +0! +#31036500 +1! +#31036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31037000 +0! +#31037500 +1! +#31037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31038000 +0! +#31038500 +1! +#31038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31039000 +0! +#31039500 +1! +#31039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31040000 +0! +#31040500 +1! +#31040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31041000 +0! +#31041500 +1! +#31041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31042000 +0! +#31042500 +1! +#31042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31043000 +0! +#31043500 +1! +#31043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31044000 +0! +#31044500 +1! +#31044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31045000 +0! +#31045500 +1! +#31045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31046000 +0! +#31046500 +1! +#31046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31047000 +0! +#31047500 +1! +#31047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31048000 +0! +#31048500 +1! +#31048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31049000 +0! +#31049500 +1! +#31049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31050000 +0! +#31050500 +1! +#31050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31051000 +0! +#31051500 +1! +#31051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31052000 +0! +#31052500 +1! +#31052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31053000 +0! +#31053500 +1! +#31053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31054000 +0! +#31054500 +1! +#31054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31055000 +0! +#31055500 +1! +#31055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31056000 +0! +#31056500 +1! +#31056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31057000 +0! +#31057500 +1! +#31057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31058000 +0! +#31058500 +1! +#31058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31059000 +0! +#31059500 +1! +#31059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31060000 +0! +#31060500 +1! +#31060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31061000 +0! +#31061500 +1! +#31061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31062000 +0! +#31062500 +1! +#31062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31063000 +0! +#31063500 +1! +#31063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31064000 +0! +#31064500 +1! +#31064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31065000 +0! +#31065500 +1! +#31065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31066000 +0! +#31066500 +1! +#31066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31067000 +0! +#31067500 +1! +#31067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31068000 +0! +#31068500 +1! +#31068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31069000 +0! +#31069500 +1! +#31069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31070000 +0! +#31070500 +1! +#31070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31071000 +0! +#31071500 +1! +#31071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31072000 +0! +#31072500 +1! +#31072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31073000 +0! +#31073500 +1! +#31073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31074000 +0! +#31074500 +1! +#31074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31075000 +0! +#31075500 +1! +#31075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31076000 +0! +#31076500 +1! +#31076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31077000 +0! +#31077500 +1! +#31077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31078000 +0! +#31078500 +1! +#31078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31079000 +0! +#31079500 +1! +#31079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31080000 +0! +#31080500 +1! +#31080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31081000 +0! +#31081500 +1! +#31081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31082000 +0! +#31082500 +1! +#31082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31083000 +0! +#31083500 +1! +#31083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31084000 +0! +#31084500 +1! +#31084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31085000 +0! +#31085500 +1! +#31085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31086000 +0! +#31086500 +1! +#31086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31087000 +0! +#31087500 +1! +#31087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#31087700 +b11111111 ^ +b0 g +b1011010 c +1h +#31088000 +0! +#31088500 +1! +#31088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31089000 +0! +#31089500 +1! +#31089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31090000 +0! +#31090500 +1! +#31090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31091000 +0! +#31091500 +1! +#31091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31092000 +0! +#31092500 +1! +#31092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31093000 +0! +#31093500 +1! +#31093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31094000 +0! +#31094500 +1! +#31094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31095000 +0! +#31095500 +1! +#31095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31096000 +0! +#31096500 +1! +#31096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31097000 +0! +#31097500 +1! +#31097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31098000 +0! +#31098500 +1! +#31098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31099000 +0! +#31099500 +1! +#31099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31100000 +0! +#31100500 +1! +#31100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31101000 +0! +#31101500 +1! +#31101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31102000 +0! +#31102500 +1! +#31102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31103000 +0! +#31103500 +1! +#31103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31104000 +0! +#31104500 +1! +#31104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31105000 +0! +#31105500 +1! +#31105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31106000 +0! +#31106500 +1! +#31106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31107000 +0! +#31107500 +1! +#31107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31108000 +0! +#31108500 +1! +#31108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31109000 +0! +#31109500 +1! +#31109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31110000 +0! +#31110500 +1! +#31110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31111000 +0! +#31111500 +1! +#31111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31112000 +0! +#31112500 +1! +#31112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31113000 +0! +#31113500 +1! +#31113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31114000 +0! +#31114500 +1! +#31114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31115000 +0! +#31115500 +1! +#31115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31116000 +0! +#31116500 +1! +#31116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31117000 +0! +#31117500 +1! +#31117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31118000 +0! +#31118500 +1! +#31118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31119000 +0! +#31119500 +1! +#31119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31120000 +0! +#31120500 +1! +#31120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31121000 +0! +#31121500 +1! +#31121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31122000 +0! +#31122500 +1! +#31122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31123000 +0! +#31123500 +1! +#31123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31124000 +0! +#31124500 +1! +#31124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31125000 +0! +#31125500 +1! +#31125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31126000 +0! +#31126500 +1! +#31126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31127000 +0! +#31127500 +1! +#31127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31128000 +0! +#31128500 +1! +#31128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31129000 +0! +#31129500 +1! +#31129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31130000 +0! +#31130500 +1! +#31130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31131000 +0! +#31131500 +1! +#31131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31132000 +0! +#31132500 +1! +#31132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31133000 +0! +#31133500 +1! +#31133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31134000 +0! +#31134500 +1! +#31134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31135000 +0! +#31135500 +1! +#31135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31136000 +0! +#31136500 +1! +#31136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31137000 +0! +#31137500 +1! +#31137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31138000 +0! +#31138500 +1! +#31138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31139000 +0! +#31139500 +1! +#31139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31140000 +0! +#31140500 +1! +#31140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31141000 +0! +#31141500 +1! +#31141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31142000 +0! +#31142500 +1! +#31142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31143000 +0! +#31143500 +1! +#31143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31144000 +0! +#31144500 +1! +#31144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31145000 +0! +#31145500 +1! +#31145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31146000 +0! +#31146500 +1! +#31146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31147000 +0! +#31147500 +1! +#31147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31148000 +0! +#31148500 +1! +#31148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31149000 +0! +#31149500 +1! +#31149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31150000 +0! +#31150500 +1! +#31150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31151000 +0! +#31151500 +1! +#31151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31152000 +0! +#31152500 +1! +#31152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31153000 +0! +#31153500 +1! +#31153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31154000 +0! +#31154500 +1! +#31154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31155000 +0! +#31155500 +1! +#31155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31156000 +0! +#31156500 +1! +#31156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31157000 +0! +#31157500 +1! +#31157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31158000 +0! +#31158500 +1! +#31158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31159000 +0! +#31159500 +1! +#31159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31160000 +0! +#31160500 +1! +#31160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31161000 +0! +#31161500 +1! +#31161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31162000 +0! +#31162500 +1! +#31162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31163000 +0! +#31163500 +1! +#31163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31164000 +0! +#31164500 +1! +#31164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31165000 +0! +#31165500 +1! +#31165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31166000 +0! +#31166500 +1! +#31166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31167000 +0! +#31167500 +1! +#31167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31168000 +0! +#31168500 +1! +#31168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31169000 +0! +#31169500 +1! +#31169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31170000 +0! +#31170500 +1! +#31170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31171000 +0! +#31171500 +1! +#31171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31172000 +0! +#31172500 +1! +#31172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31173000 +0! +#31173500 +1! +#31173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31174000 +0! +#31174500 +1! +#31174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31175000 +0! +#31175500 +1! +#31175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31176000 +0! +#31176500 +1! +#31176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31177000 +0! +#31177500 +1! +#31177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31178000 +0! +#31178500 +1! +#31178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31179000 +0! +#31179500 +1! +#31179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31180000 +0! +#31180500 +1! +#31180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31181000 +0! +#31181500 +1! +#31181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31182000 +0! +#31182500 +1! +#31182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31183000 +0! +#31183500 +1! +#31183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31184000 +0! +#31184500 +1! +#31184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31185000 +0! +#31185500 +1! +#31185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31186000 +0! +#31186500 +1! +#31186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31187000 +0! +#31187500 +1! +#31187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31188000 +0! +#31188500 +1! +#31188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31189000 +0! +#31189500 +1! +#31189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#31189700 +1j +b101 q +1O +#31189800 +b11 ] +#31189900 +bx ^ +#31190000 +0! +#31190500 +1! +#31190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31191000 +0! +#31191500 +1! +#31191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31192000 +0! +#31192500 +1! +#31192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31193000 +0! +#31193500 +1! +#31193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31194000 +0! +#31194500 +1! +#31194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31195000 +0! +#31195500 +1! +#31195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31196000 +0! +#31196500 +1! +#31196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31197000 +0! +#31197500 +1! +#31197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31198000 +0! +#31198500 +1! +#31198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31199000 +0! +#31199500 +1! +#31199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31200000 +0! +#31200500 +1! +#31200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31201000 +0! +#31201500 +1! +#31201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31202000 +0! +#31202500 +1! +#31202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31203000 +0! +#31203500 +1! +#31203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31204000 +0! +#31204500 +1! +#31204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31205000 +0! +#31205500 +1! +#31205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31206000 +0! +#31206500 +1! +#31206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31207000 +0! +#31207500 +1! +#31207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31208000 +0! +#31208500 +1! +#31208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31209000 +0! +#31209500 +1! +#31209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31210000 +0! +#31210500 +1! +#31210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31211000 +0! +#31211500 +1! +#31211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31212000 +0! +#31212500 +1! +#31212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31213000 +0! +#31213500 +1! +#31213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31214000 +0! +#31214500 +1! +#31214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31215000 +0! +#31215500 +1! +#31215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31216000 +0! +#31216500 +1! +#31216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31217000 +0! +#31217500 +1! +#31217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31218000 +0! +#31218500 +1! +#31218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31219000 +0! +#31219500 +1! +#31219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31220000 +0! +#31220500 +1! +#31220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31221000 +0! +#31221500 +1! +#31221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31222000 +0! +#31222500 +1! +#31222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31223000 +0! +#31223500 +1! +#31223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31224000 +0! +#31224500 +1! +#31224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31225000 +0! +#31225500 +1! +#31225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31226000 +0! +#31226500 +1! +#31226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31227000 +0! +#31227500 +1! +#31227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31228000 +0! +#31228500 +1! +#31228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31229000 +0! +#31229500 +1! +#31229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31230000 +0! +#31230500 +1! +#31230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31231000 +0! +#31231500 +1! +#31231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31232000 +0! +#31232500 +1! +#31232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31233000 +0! +#31233500 +1! +#31233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31234000 +0! +#31234500 +1! +#31234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31235000 +0! +#31235500 +1! +#31235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31236000 +0! +#31236500 +1! +#31236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31237000 +0! +#31237500 +1! +#31237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31238000 +0! +#31238500 +1! +#31238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31239000 +0! +#31239500 +1! +#31239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31240000 +0! +#31240500 +1! +#31240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31241000 +0! +#31241500 +1! +#31241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31242000 +0! +#31242500 +1! +#31242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31243000 +0! +#31243500 +1! +#31243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31244000 +0! +#31244500 +1! +#31244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31245000 +0! +#31245500 +1! +#31245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31246000 +0! +#31246500 +1! +#31246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31247000 +0! +#31247500 +1! +#31247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31248000 +0! +#31248500 +1! +#31248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31249000 +0! +#31249500 +1! +#31249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31250000 +0! +#31250500 +1! +#31250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31251000 +0! +#31251500 +1! +#31251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31252000 +0! +#31252500 +1! +#31252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31253000 +0! +#31253500 +1! +#31253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31254000 +0! +#31254500 +1! +#31254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31255000 +0! +#31255500 +1! +#31255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31256000 +0! +#31256500 +1! +#31256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31257000 +0! +#31257500 +1! +#31257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31258000 +0! +#31258500 +1! +#31258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31259000 +0! +#31259500 +1! +#31259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31260000 +0! +#31260500 +1! +#31260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31261000 +0! +#31261500 +1! +#31261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31262000 +0! +#31262500 +1! +#31262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31263000 +0! +#31263500 +1! +#31263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31264000 +0! +#31264500 +1! +#31264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31265000 +0! +#31265500 +1! +#31265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31266000 +0! +#31266500 +1! +#31266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31267000 +0! +#31267500 +1! +#31267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31268000 +0! +#31268500 +1! +#31268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31269000 +0! +#31269500 +1! +#31269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31270000 +0! +#31270500 +1! +#31270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31271000 +0! +#31271500 +1! +#31271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31272000 +0! +#31272500 +1! +#31272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31273000 +0! +#31273500 +1! +#31273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31274000 +0! +#31274500 +1! +#31274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31275000 +0! +#31275500 +1! +#31275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31276000 +0! +#31276500 +1! +#31276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31277000 +0! +#31277500 +1! +#31277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31278000 +0! +#31278500 +1! +#31278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31279000 +0! +#31279500 +1! +#31279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31280000 +0! +#31280500 +1! +#31280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31281000 +0! +#31281500 +1! +#31281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31282000 +0! +#31282500 +1! +#31282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31283000 +0! +#31283500 +1! +#31283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31284000 +0! +#31284500 +1! +#31284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31285000 +0! +#31285500 +1! +#31285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31286000 +0! +#31286500 +1! +#31286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31287000 +0! +#31287500 +1! +#31287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31288000 +0! +#31288500 +1! +#31288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31289000 +0! +#31289500 +1! +#31289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31290000 +0! +#31290500 +1! +#31290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31291000 +0! +#31291500 +1! +#31291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#31292000 +0! +#31292500 +1! +#31292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31293000 +0! +#31293500 +1! +#31293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31294000 +0! +#31294500 +1! +#31294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31295000 +0! +#31295500 +1! +#31295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31296000 +0! +#31296500 +1! +#31296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31297000 +0! +#31297500 +1! +#31297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31298000 +0! +#31298500 +1! +#31298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31299000 +0! +#31299500 +1! +#31299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31300000 +0! +#31300500 +1! +#31300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31301000 +0! +#31301500 +1! +#31301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31302000 +0! +#31302500 +1! +#31302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31303000 +0! +#31303500 +1! +#31303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31304000 +0! +#31304500 +1! +#31304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31305000 +0! +#31305500 +1! +#31305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31306000 +0! +#31306500 +1! +#31306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31307000 +0! +#31307500 +1! +#31307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31308000 +0! +#31308500 +1! +#31308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31309000 +0! +#31309500 +1! +#31309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31310000 +0! +#31310500 +1! +#31310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31311000 +0! +#31311500 +1! +#31311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31312000 +0! +#31312500 +1! +#31312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31313000 +0! +#31313500 +1! +#31313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31314000 +0! +#31314500 +1! +#31314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31315000 +0! +#31315500 +1! +#31315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31316000 +0! +#31316500 +1! +#31316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31317000 +0! +#31317500 +1! +#31317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31318000 +0! +#31318500 +1! +#31318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31319000 +0! +#31319500 +1! +#31319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31320000 +0! +#31320500 +1! +#31320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31321000 +0! +#31321500 +1! +#31321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31322000 +0! +#31322500 +1! +#31322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31323000 +0! +#31323500 +1! +#31323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31324000 +0! +#31324500 +1! +#31324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31325000 +0! +#31325500 +1! +#31325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31326000 +0! +#31326500 +1! +#31326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31327000 +0! +#31327500 +1! +#31327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31328000 +0! +#31328500 +1! +#31328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31329000 +0! +#31329500 +1! +#31329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31330000 +0! +#31330500 +1! +#31330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31331000 +0! +#31331500 +1! +#31331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31332000 +0! +#31332500 +1! +#31332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31333000 +0! +#31333500 +1! +#31333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31334000 +0! +#31334500 +1! +#31334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31335000 +0! +#31335500 +1! +#31335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31336000 +0! +#31336500 +1! +#31336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31337000 +0! +#31337500 +1! +#31337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31338000 +0! +#31338500 +1! +#31338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31339000 +0! +#31339500 +1! +#31339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31340000 +0! +#31340500 +1! +#31340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31341000 +0! +#31341500 +1! +#31341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31342000 +0! +#31342500 +1! +#31342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#31342700 +b111 g +b10110100 c +0h +#31343000 +0! +#31343500 +1! +#31343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31344000 +0! +#31344500 +1! +#31344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31345000 +0! +#31345500 +1! +#31345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31346000 +0! +#31346500 +1! +#31346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31347000 +0! +#31347500 +1! +#31347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31348000 +0! +#31348500 +1! +#31348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31349000 +0! +#31349500 +1! +#31349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31350000 +0! +#31350500 +1! +#31350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31351000 +0! +#31351500 +1! +#31351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31352000 +0! +#31352500 +1! +#31352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31353000 +0! +#31353500 +1! +#31353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31354000 +0! +#31354500 +1! +#31354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31355000 +0! +#31355500 +1! +#31355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31356000 +0! +#31356500 +1! +#31356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31357000 +0! +#31357500 +1! +#31357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31358000 +0! +#31358500 +1! +#31358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31359000 +0! +#31359500 +1! +#31359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31360000 +0! +#31360500 +1! +#31360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31361000 +0! +#31361500 +1! +#31361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31362000 +0! +#31362500 +1! +#31362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31363000 +0! +#31363500 +1! +#31363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31364000 +0! +#31364500 +1! +#31364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31365000 +0! +#31365500 +1! +#31365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31366000 +0! +#31366500 +1! +#31366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31367000 +0! +#31367500 +1! +#31367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31368000 +0! +#31368500 +1! +#31368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31369000 +0! +#31369500 +1! +#31369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31370000 +0! +#31370500 +1! +#31370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31371000 +0! +#31371500 +1! +#31371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31372000 +0! +#31372500 +1! +#31372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31373000 +0! +#31373500 +1! +#31373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31374000 +0! +#31374500 +1! +#31374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31375000 +0! +#31375500 +1! +#31375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31376000 +0! +#31376500 +1! +#31376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31377000 +0! +#31377500 +1! +#31377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31378000 +0! +#31378500 +1! +#31378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31379000 +0! +#31379500 +1! +#31379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31380000 +0! +#31380500 +1! +#31380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31381000 +0! +#31381500 +1! +#31381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31382000 +0! +#31382500 +1! +#31382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31383000 +0! +#31383500 +1! +#31383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31384000 +0! +#31384500 +1! +#31384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31385000 +0! +#31385500 +1! +#31385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31386000 +0! +#31386500 +1! +#31386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31387000 +0! +#31387500 +1! +#31387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31388000 +0! +#31388500 +1! +#31388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31389000 +0! +#31389500 +1! +#31389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31390000 +0! +#31390500 +1! +#31390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31391000 +0! +#31391500 +1! +#31391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31392000 +0! +#31392500 +1! +#31392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31393000 +0! +#31393500 +1! +#31393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31394000 +0! +#31394500 +1! +#31394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31395000 +0! +#31395500 +1! +#31395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31396000 +0! +#31396500 +1! +#31396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31397000 +0! +#31397500 +1! +#31397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31398000 +0! +#31398500 +1! +#31398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31399000 +0! +#31399500 +1! +#31399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31400000 +0! +#31400500 +1! +#31400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31401000 +0! +#31401500 +1! +#31401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31402000 +0! +#31402500 +1! +#31402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31403000 +0! +#31403500 +1! +#31403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31404000 +0! +#31404500 +1! +#31404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31405000 +0! +#31405500 +1! +#31405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31406000 +0! +#31406500 +1! +#31406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31407000 +0! +#31407500 +1! +#31407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31408000 +0! +#31408500 +1! +#31408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31409000 +0! +#31409500 +1! +#31409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31410000 +0! +#31410500 +1! +#31410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31411000 +0! +#31411500 +1! +#31411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31412000 +0! +#31412500 +1! +#31412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31413000 +0! +#31413500 +1! +#31413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31414000 +0! +#31414500 +1! +#31414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31415000 +0! +#31415500 +1! +#31415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31416000 +0! +#31416500 +1! +#31416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31417000 +0! +#31417500 +1! +#31417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31418000 +0! +#31418500 +1! +#31418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31419000 +0! +#31419500 +1! +#31419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31420000 +0! +#31420500 +1! +#31420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31421000 +0! +#31421500 +1! +#31421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31422000 +0! +#31422500 +1! +#31422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31423000 +0! +#31423500 +1! +#31423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31424000 +0! +#31424500 +1! +#31424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31425000 +0! +#31425500 +1! +#31425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31426000 +0! +#31426500 +1! +#31426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31427000 +0! +#31427500 +1! +#31427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31428000 +0! +#31428500 +1! +#31428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31429000 +0! +#31429500 +1! +#31429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31430000 +0! +#31430500 +1! +#31430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31431000 +0! +#31431500 +1! +#31431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31432000 +0! +#31432500 +1! +#31432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31433000 +0! +#31433500 +1! +#31433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31434000 +0! +#31434500 +1! +#31434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31435000 +0! +#31435500 +1! +#31435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31436000 +0! +#31436500 +1! +#31436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31437000 +0! +#31437500 +1! +#31437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31438000 +0! +#31438500 +1! +#31438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31439000 +0! +#31439500 +1! +#31439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31440000 +0! +#31440500 +1! +#31440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31441000 +0! +#31441500 +1! +#31441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31442000 +0! +#31442500 +1! +#31442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31443000 +0! +#31443500 +1! +#31443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31444000 +0! +#31444500 +1! +#31444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#31444700 +1i +b100 q +xj +xO +#31445000 +0! +#31445500 +1! +#31445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31446000 +0! +#31446500 +1! +#31446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31447000 +0! +#31447500 +1! +#31447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000011 C +1H +b1000001 C +#31448000 +0! +#31448500 +1! +#31448600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31449000 +0! +#31449500 +1! +#31449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b1011010 C +1H +#31450000 +0! +#31450500 +1! +#31450600 +b1011010 K +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31451000 +0! +#31451500 +1! +#31451600 +1E +1F +1G +b100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#31452000 +0! +#31452500 +1! +#31452600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31453000 +0! +#31453500 +1! +#31453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#31454000 +0! +#31454500 +1! +#31454600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31455000 +0! +#31455500 +1! +#31455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31456000 +0! +#31456500 +1! +#31456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31457000 +0! +#31457500 +1! +#31457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31458000 +0! +#31458500 +1! +#31458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31459000 +0! +#31459500 +1! +#31459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31460000 +0! +#31460500 +1! +#31460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31461000 +0! +#31461500 +1! +#31461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31462000 +0! +#31462500 +1! +#31462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31463000 +0! +#31463500 +1! +#31463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31464000 +0! +#31464500 +1! +#31464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31465000 +0! +#31465500 +1! +#31465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31466000 +0! +#31466500 +1! +#31466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31467000 +0! +#31467500 +1! +#31467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31468000 +0! +#31468500 +1! +#31468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31469000 +0! +#31469500 +1! +#31469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31470000 +0! +#31470500 +1! +#31470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31471000 +0! +#31471500 +1! +#31471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31472000 +0! +#31472500 +1! +#31472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31473000 +0! +#31473500 +1! +#31473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31474000 +0! +#31474500 +1! +#31474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31475000 +0! +#31475500 +1! +#31475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31476000 +0! +#31476500 +1! +#31476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31477000 +0! +#31477500 +1! +#31477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31478000 +0! +#31478500 +1! +#31478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31479000 +0! +#31479500 +1! +#31479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31480000 +0! +#31480500 +1! +#31480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31481000 +0! +#31481500 +1! +#31481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31482000 +0! +#31482500 +1! +#31482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31483000 +0! +#31483500 +1! +#31483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31484000 +0! +#31484500 +1! +#31484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31485000 +0! +#31485500 +1! +#31485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31486000 +0! +#31486500 +1! +#31486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31487000 +0! +#31487500 +1! +#31487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31488000 +0! +#31488500 +1! +#31488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31489000 +0! +#31489500 +1! +#31489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31490000 +0! +#31490500 +1! +#31490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31491000 +0! +#31491500 +1! +#31491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31492000 +0! +#31492500 +1! +#31492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31493000 +0! +#31493500 +1! +#31493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31494000 +0! +#31494500 +1! +#31494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31495000 +0! +#31495500 +1! +#31495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31496000 +0! +#31496500 +1! +#31496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31497000 +0! +#31497500 +1! +#31497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31498000 +0! +#31498500 +1! +#31498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31499000 +0! +#31499500 +1! +#31499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31500000 +0! +#31500500 +1! +#31500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31501000 +0! +#31501500 +1! +#31501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31502000 +0! +#31502500 +1! +#31502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31503000 +0! +#31503500 +1! +#31503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31504000 +0! +#31504500 +1! +#31504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31505000 +0! +#31505500 +1! +#31505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31506000 +0! +#31506500 +1! +#31506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31507000 +0! +#31507500 +1! +#31507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31508000 +0! +#31508500 +1! +#31508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31509000 +0! +#31509500 +1! +#31509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31510000 +0! +#31510500 +1! +#31510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31511000 +0! +#31511500 +1! +#31511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31512000 +0! +#31512500 +1! +#31512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31513000 +0! +#31513500 +1! +#31513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31514000 +0! +#31514500 +1! +#31514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31515000 +0! +#31515500 +1! +#31515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31516000 +0! +#31516500 +1! +#31516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31517000 +0! +#31517500 +1! +#31517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31518000 +0! +#31518500 +1! +#31518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31519000 +0! +#31519500 +1! +#31519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31520000 +0! +#31520500 +1! +#31520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31521000 +0! +#31521500 +1! +#31521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31522000 +0! +#31522500 +1! +#31522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31523000 +0! +#31523500 +1! +#31523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31524000 +0! +#31524500 +1! +#31524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31525000 +0! +#31525500 +1! +#31525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31526000 +0! +#31526500 +1! +#31526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31527000 +0! +#31527500 +1! +#31527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31528000 +0! +#31528500 +1! +#31528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31529000 +0! +#31529500 +1! +#31529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31530000 +0! +#31530500 +1! +#31530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31531000 +0! +#31531500 +1! +#31531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31532000 +0! +#31532500 +1! +#31532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31533000 +0! +#31533500 +1! +#31533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31534000 +0! +#31534500 +1! +#31534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31535000 +0! +#31535500 +1! +#31535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31536000 +0! +#31536500 +1! +#31536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31537000 +0! +#31537500 +1! +#31537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31538000 +0! +#31538500 +1! +#31538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31539000 +0! +#31539500 +1! +#31539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31540000 +0! +#31540500 +1! +#31540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31541000 +0! +#31541500 +1! +#31541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31542000 +0! +#31542500 +1! +#31542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31543000 +0! +#31543500 +1! +#31543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31544000 +0! +#31544500 +1! +#31544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31545000 +0! +#31545500 +1! +#31545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31546000 +0! +#31546500 +1! +#31546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +#31547000 +0! +#31547500 +1! +#31547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31548000 +0! +#31548500 +1! +#31548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31549000 +0! +#31549500 +1! +#31549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31550000 +0! +#31550500 +1! +#31550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31551000 +0! +#31551500 +1! +#31551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31552000 +0! +#31552500 +1! +#31552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31553000 +0! +#31553500 +1! +#31553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31554000 +0! +#31554500 +1! +#31554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31555000 +0! +#31555500 +1! +#31555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31556000 +0! +#31556500 +1! +#31556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31557000 +0! +#31557500 +1! +#31557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31558000 +0! +#31558500 +1! +#31558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31559000 +0! +#31559500 +1! +#31559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31560000 +0! +#31560500 +1! +#31560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31561000 +0! +#31561500 +1! +#31561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31562000 +0! +#31562500 +1! +#31562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31563000 +0! +#31563500 +1! +#31563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31564000 +0! +#31564500 +1! +#31564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31565000 +0! +#31565500 +1! +#31565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31566000 +0! +#31566500 +1! +#31566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31567000 +0! +#31567500 +1! +#31567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31568000 +0! +#31568500 +1! +#31568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31569000 +0! +#31569500 +1! +#31569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31570000 +0! +#31570500 +1! +#31570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31571000 +0! +#31571500 +1! +#31571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31572000 +0! +#31572500 +1! +#31572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31573000 +0! +#31573500 +1! +#31573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31574000 +0! +#31574500 +1! +#31574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31575000 +0! +#31575500 +1! +#31575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31576000 +0! +#31576500 +1! +#31576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31577000 +0! +#31577500 +1! +#31577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31578000 +0! +#31578500 +1! +#31578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31579000 +0! +#31579500 +1! +#31579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31580000 +0! +#31580500 +1! +#31580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31581000 +0! +#31581500 +1! +#31581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31582000 +0! +#31582500 +1! +#31582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31583000 +0! +#31583500 +1! +#31583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31584000 +0! +#31584500 +1! +#31584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31585000 +0! +#31585500 +1! +#31585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31586000 +0! +#31586500 +1! +#31586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31587000 +0! +#31587500 +1! +#31587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31588000 +0! +#31588500 +1! +#31588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31589000 +0! +#31589500 +1! +#31589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31590000 +0! +#31590500 +1! +#31590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31591000 +0! +#31591500 +1! +#31591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31592000 +0! +#31592500 +1! +#31592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31593000 +0! +#31593500 +1! +#31593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31594000 +0! +#31594500 +1! +#31594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31595000 +0! +#31595500 +1! +#31595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31596000 +0! +#31596500 +1! +#31596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31597000 +0! +#31597500 +1! +#31597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#31597700 +bx1 ^ +b110100x c +#31598000 +0! +#31598500 +1! +#31598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31599000 +0! +#31599500 +1! +#31599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31600000 +0! +#31600500 +1! +b0x000011 C +#31600600 +b0x000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31601000 +0! +#31601500 +1! +#31601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31602000 +0! +#31602500 +1! +#31602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31603000 +0! +#31603500 +1! +#31603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31604000 +0! +#31604500 +1! +#31604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31605000 +0! +#31605500 +1! +#31605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31606000 +0! +#31606500 +1! +#31606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31607000 +0! +#31607500 +1! +#31607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31608000 +0! +#31608500 +1! +#31608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31609000 +0! +#31609500 +1! +#31609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31610000 +0! +#31610500 +1! +#31610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31611000 +0! +#31611500 +1! +#31611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31612000 +0! +#31612500 +1! +#31612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31613000 +0! +#31613500 +1! +#31613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31614000 +0! +#31614500 +1! +#31614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31615000 +0! +#31615500 +1! +#31615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31616000 +0! +#31616500 +1! +#31616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31617000 +0! +#31617500 +1! +#31617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31618000 +0! +#31618500 +1! +#31618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31619000 +0! +#31619500 +1! +#31619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31620000 +0! +#31620500 +1! +#31620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31621000 +0! +#31621500 +1! +#31621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31622000 +0! +#31622500 +1! +#31622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31623000 +0! +#31623500 +1! +#31623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31624000 +0! +#31624500 +1! +#31624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31625000 +0! +#31625500 +1! +#31625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31626000 +0! +#31626500 +1! +#31626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31627000 +0! +#31627500 +1! +#31627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31628000 +0! +#31628500 +1! +#31628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31629000 +0! +#31629500 +1! +#31629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31630000 +0! +#31630500 +1! +#31630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31631000 +0! +#31631500 +1! +#31631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31632000 +0! +#31632500 +1! +#31632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31633000 +0! +#31633500 +1! +#31633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31634000 +0! +#31634500 +1! +#31634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31635000 +0! +#31635500 +1! +#31635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31636000 +0! +#31636500 +1! +#31636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31637000 +0! +#31637500 +1! +#31637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31638000 +0! +#31638500 +1! +#31638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31639000 +0! +#31639500 +1! +#31639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31640000 +0! +#31640500 +1! +#31640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31641000 +0! +#31641500 +1! +#31641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31642000 +0! +#31642500 +1! +#31642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31643000 +0! +#31643500 +1! +#31643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31644000 +0! +#31644500 +1! +#31644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31645000 +0! +#31645500 +1! +#31645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31646000 +0! +#31646500 +1! +#31646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31647000 +0! +#31647500 +1! +#31647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31648000 +0! +#31648500 +1! +#31648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31649000 +0! +#31649500 +1! +#31649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31650000 +0! +#31650500 +1! +#31650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31651000 +0! +#31651500 +1! +#31651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31652000 +0! +#31652500 +1! +#31652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31653000 +0! +#31653500 +1! +#31653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31654000 +0! +#31654500 +1! +#31654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31655000 +0! +#31655500 +1! +#31655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31656000 +0! +#31656500 +1! +#31656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31657000 +0! +#31657500 +1! +#31657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31658000 +0! +#31658500 +1! +#31658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31659000 +0! +#31659500 +1! +#31659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31660000 +0! +#31660500 +1! +#31660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31661000 +0! +#31661500 +1! +#31661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31662000 +0! +#31662500 +1! +#31662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31663000 +0! +#31663500 +1! +#31663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31664000 +0! +#31664500 +1! +#31664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31665000 +0! +#31665500 +1! +#31665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31666000 +0! +#31666500 +1! +#31666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31667000 +0! +#31667500 +1! +#31667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31668000 +0! +#31668500 +1! +#31668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31669000 +0! +#31669500 +1! +#31669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31670000 +0! +#31670500 +1! +#31670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31671000 +0! +#31671500 +1! +#31671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31672000 +0! +#31672500 +1! +#31672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31673000 +0! +#31673500 +1! +#31673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31674000 +0! +#31674500 +1! +#31674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31675000 +0! +#31675500 +1! +#31675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31676000 +0! +#31676500 +1! +#31676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31677000 +0! +#31677500 +1! +#31677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31678000 +0! +#31678500 +1! +#31678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31679000 +0! +#31679500 +1! +#31679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31680000 +0! +#31680500 +1! +#31680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31681000 +0! +#31681500 +1! +#31681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31682000 +0! +#31682500 +1! +#31682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31683000 +0! +#31683500 +1! +#31683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31684000 +0! +#31684500 +1! +#31684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31685000 +0! +#31685500 +1! +#31685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31686000 +0! +#31686500 +1! +#31686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31687000 +0! +#31687500 +1! +#31687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31688000 +0! +#31688500 +1! +#31688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31689000 +0! +#31689500 +1! +#31689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31690000 +0! +#31690500 +1! +#31690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31691000 +0! +#31691500 +1! +#31691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31692000 +0! +#31692500 +1! +#31692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31693000 +0! +#31693500 +1! +#31693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31694000 +0! +#31694500 +1! +#31694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31695000 +0! +#31695500 +1! +#31695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31696000 +0! +#31696500 +1! +#31696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31697000 +0! +#31697500 +1! +#31697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31698000 +0! +#31698500 +1! +#31698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31699000 +0! +#31699500 +1! +#31699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#31699700 +1j +0i +xj +#31700000 +0! +#31700500 +1! +#31700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31701000 +0! +#31701500 +1! +#31701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31702000 +0! +#31702500 +1! +#31702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31703000 +0! +#31703500 +1! +#31703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31704000 +0! +#31704500 +1! +#31704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31705000 +0! +#31705500 +1! +#31705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31706000 +0! +#31706500 +1! +#31706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31707000 +0! +#31707500 +1! +#31707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31708000 +0! +#31708500 +1! +#31708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31709000 +0! +#31709500 +1! +#31709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31710000 +0! +#31710500 +1! +#31710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31711000 +0! +#31711500 +1! +#31711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31712000 +0! +#31712500 +1! +#31712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31713000 +0! +#31713500 +1! +#31713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31714000 +0! +#31714500 +1! +#31714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31715000 +0! +#31715500 +1! +#31715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31716000 +0! +#31716500 +1! +#31716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31717000 +0! +#31717500 +1! +#31717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31718000 +0! +#31718500 +1! +#31718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31719000 +0! +#31719500 +1! +#31719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31720000 +0! +#31720500 +1! +#31720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31721000 +0! +#31721500 +1! +#31721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31722000 +0! +#31722500 +1! +#31722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31723000 +0! +#31723500 +1! +#31723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31724000 +0! +#31724500 +1! +#31724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31725000 +0! +#31725500 +1! +#31725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31726000 +0! +#31726500 +1! +#31726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31727000 +0! +#31727500 +1! +#31727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31728000 +0! +#31728500 +1! +#31728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31729000 +0! +#31729500 +1! +#31729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31730000 +0! +#31730500 +1! +#31730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31731000 +0! +#31731500 +1! +#31731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31732000 +0! +#31732500 +1! +#31732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31733000 +0! +#31733500 +1! +#31733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31734000 +0! +#31734500 +1! +#31734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31735000 +0! +#31735500 +1! +#31735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31736000 +0! +#31736500 +1! +#31736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31737000 +0! +#31737500 +1! +#31737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31738000 +0! +#31738500 +1! +#31738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31739000 +0! +#31739500 +1! +#31739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31740000 +0! +#31740500 +1! +#31740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31741000 +0! +#31741500 +1! +#31741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31742000 +0! +#31742500 +1! +#31742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31743000 +0! +#31743500 +1! +#31743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31744000 +0! +#31744500 +1! +#31744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31745000 +0! +#31745500 +1! +#31745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31746000 +0! +#31746500 +1! +#31746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31747000 +0! +#31747500 +1! +#31747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31748000 +0! +#31748500 +1! +#31748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31749000 +0! +#31749500 +1! +#31749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31750000 +0! +#31750500 +1! +#31750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31751000 +0! +#31751500 +1! +#31751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31752000 +0! +#31752500 +1! +#31752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31753000 +0! +#31753500 +1! +#31753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31754000 +0! +#31754500 +1! +#31754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31755000 +0! +#31755500 +1! +#31755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31756000 +0! +#31756500 +1! +#31756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31757000 +0! +#31757500 +1! +#31757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31758000 +0! +#31758500 +1! +#31758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31759000 +0! +#31759500 +1! +#31759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31760000 +0! +#31760500 +1! +#31760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31761000 +0! +#31761500 +1! +#31761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31762000 +0! +#31762500 +1! +#31762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31763000 +0! +#31763500 +1! +#31763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31764000 +0! +#31764500 +1! +#31764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31765000 +0! +#31765500 +1! +#31765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31766000 +0! +#31766500 +1! +#31766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31767000 +0! +#31767500 +1! +#31767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31768000 +0! +#31768500 +1! +#31768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31769000 +0! +#31769500 +1! +#31769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31770000 +0! +#31770500 +1! +#31770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31771000 +0! +#31771500 +1! +#31771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31772000 +0! +#31772500 +1! +#31772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31773000 +0! +#31773500 +1! +#31773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31774000 +0! +#31774500 +1! +#31774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31775000 +0! +#31775500 +1! +#31775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31776000 +0! +#31776500 +1! +#31776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31777000 +0! +#31777500 +1! +#31777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31778000 +0! +#31778500 +1! +#31778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31779000 +0! +#31779500 +1! +#31779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31780000 +0! +#31780500 +1! +#31780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31781000 +0! +#31781500 +1! +#31781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31782000 +0! +#31782500 +1! +#31782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31783000 +0! +#31783500 +1! +#31783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31784000 +0! +#31784500 +1! +#31784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31785000 +0! +#31785500 +1! +#31785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31786000 +0! +#31786500 +1! +#31786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31787000 +0! +#31787500 +1! +#31787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31788000 +0! +#31788500 +1! +#31788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31789000 +0! +#31789500 +1! +#31789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31790000 +0! +#31790500 +1! +#31790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31791000 +0! +#31791500 +1! +#31791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31792000 +0! +#31792500 +1! +#31792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31793000 +0! +#31793500 +1! +#31793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31794000 +0! +#31794500 +1! +#31794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31795000 +0! +#31795500 +1! +#31795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31796000 +0! +#31796500 +1! +#31796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31797000 +0! +#31797500 +1! +#31797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31798000 +0! +#31798500 +1! +#31798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31799000 +0! +#31799500 +1! +#31799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31800000 +0! +#31800500 +1! +#31800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31801000 +0! +#31801500 +1! +#31801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31802000 +0! +#31802500 +1! +#31802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31803000 +0! +#31803500 +1! +#31803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31804000 +0! +#31804500 +1! +#31804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31805000 +0! +#31805500 +1! +#31805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31806000 +0! +#31806500 +1! +#31806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31807000 +0! +#31807500 +1! +#31807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31808000 +0! +#31808500 +1! +#31808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31809000 +0! +#31809500 +1! +#31809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31810000 +0! +#31810500 +1! +#31810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31811000 +0! +#31811500 +1! +#31811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31812000 +0! +#31812500 +1! +#31812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31813000 +0! +#31813500 +1! +#31813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31814000 +0! +#31814500 +1! +#31814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31815000 +0! +#31815500 +1! +#31815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31816000 +0! +#31816500 +1! +#31816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31817000 +0! +#31817500 +1! +#31817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31818000 +0! +#31818500 +1! +#31818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31819000 +0! +#31819500 +1! +#31819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31820000 +0! +#31820500 +1! +#31820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31821000 +0! +#31821500 +1! +#31821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31822000 +0! +#31822500 +1! +#31822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31823000 +0! +#31823500 +1! +#31823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31824000 +0! +#31824500 +1! +#31824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31825000 +0! +#31825500 +1! +#31825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31826000 +0! +#31826500 +1! +#31826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31827000 +0! +#31827500 +1! +#31827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31828000 +0! +#31828500 +1! +#31828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31829000 +0! +#31829500 +1! +#31829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31830000 +0! +#31830500 +1! +#31830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31831000 +0! +#31831500 +1! +#31831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31832000 +0! +#31832500 +1! +#31832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31833000 +0! +#31833500 +1! +#31833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31834000 +0! +#31834500 +1! +#31834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31835000 +0! +#31835500 +1! +#31835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31836000 +0! +#31836500 +1! +#31836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31837000 +0! +#31837500 +1! +#31837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31838000 +0! +#31838500 +1! +#31838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31839000 +0! +#31839500 +1! +#31839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31840000 +0! +#31840500 +1! +#31840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31841000 +0! +#31841500 +1! +#31841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31842000 +0! +#31842500 +1! +#31842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31843000 +0! +#31843500 +1! +#31843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31844000 +0! +#31844500 +1! +#31844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31845000 +0! +#31845500 +1! +#31845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31846000 +0! +#31846500 +1! +#31846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31847000 +0! +#31847500 +1! +#31847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31848000 +0! +#31848500 +1! +#31848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31849000 +0! +#31849500 +1! +#31849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31850000 +0! +#31850500 +1! +#31850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31851000 +0! +#31851500 +1! +#31851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31852000 +0! +#31852500 +1! +#31852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#31852700 +bx11 ^ +b110 g +b110100xx c +#31853000 +0! +#31853500 +1! +#31853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31854000 +0! +#31854500 +1! +#31854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31855000 +0! +#31855500 +1! +#31855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31856000 +0! +#31856500 +1! +#31856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31857000 +0! +#31857500 +1! +#31857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31858000 +0! +#31858500 +1! +#31858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31859000 +0! +#31859500 +1! +#31859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31860000 +0! +#31860500 +1! +#31860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31861000 +0! +#31861500 +1! +#31861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31862000 +0! +#31862500 +1! +#31862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31863000 +0! +#31863500 +1! +#31863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31864000 +0! +#31864500 +1! +#31864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31865000 +0! +#31865500 +1! +#31865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31866000 +0! +#31866500 +1! +#31866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31867000 +0! +#31867500 +1! +#31867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31868000 +0! +#31868500 +1! +#31868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31869000 +0! +#31869500 +1! +#31869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31870000 +0! +#31870500 +1! +#31870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31871000 +0! +#31871500 +1! +#31871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31872000 +0! +#31872500 +1! +#31872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31873000 +0! +#31873500 +1! +#31873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31874000 +0! +#31874500 +1! +#31874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31875000 +0! +#31875500 +1! +#31875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31876000 +0! +#31876500 +1! +#31876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31877000 +0! +#31877500 +1! +#31877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31878000 +0! +#31878500 +1! +#31878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31879000 +0! +#31879500 +1! +#31879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31880000 +0! +#31880500 +1! +#31880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31881000 +0! +#31881500 +1! +#31881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31882000 +0! +#31882500 +1! +#31882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31883000 +0! +#31883500 +1! +#31883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31884000 +0! +#31884500 +1! +#31884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31885000 +0! +#31885500 +1! +#31885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31886000 +0! +#31886500 +1! +#31886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31887000 +0! +#31887500 +1! +#31887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31888000 +0! +#31888500 +1! +#31888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31889000 +0! +#31889500 +1! +#31889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31890000 +0! +#31890500 +1! +#31890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31891000 +0! +#31891500 +1! +#31891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31892000 +0! +#31892500 +1! +#31892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31893000 +0! +#31893500 +1! +#31893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31894000 +0! +#31894500 +1! +#31894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31895000 +0! +#31895500 +1! +#31895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31896000 +0! +#31896500 +1! +#31896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31897000 +0! +#31897500 +1! +#31897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31898000 +0! +#31898500 +1! +#31898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31899000 +0! +#31899500 +1! +#31899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31900000 +0! +#31900500 +1! +#31900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31901000 +0! +#31901500 +1! +#31901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31902000 +0! +#31902500 +1! +#31902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31903000 +0! +#31903500 +1! +#31903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31904000 +0! +#31904500 +1! +#31904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31905000 +0! +#31905500 +1! +#31905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31906000 +0! +#31906500 +1! +#31906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31907000 +0! +#31907500 +1! +#31907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31908000 +0! +#31908500 +1! +#31908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31909000 +0! +#31909500 +1! +#31909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31910000 +0! +#31910500 +1! +#31910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31911000 +0! +#31911500 +1! +#31911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31912000 +0! +#31912500 +1! +#31912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31913000 +0! +#31913500 +1! +#31913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31914000 +0! +#31914500 +1! +#31914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31915000 +0! +#31915500 +1! +#31915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31916000 +0! +#31916500 +1! +#31916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31917000 +0! +#31917500 +1! +#31917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31918000 +0! +#31918500 +1! +#31918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31919000 +0! +#31919500 +1! +#31919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31920000 +0! +#31920500 +1! +#31920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31921000 +0! +#31921500 +1! +#31921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31922000 +0! +#31922500 +1! +#31922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31923000 +0! +#31923500 +1! +#31923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31924000 +0! +#31924500 +1! +#31924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31925000 +0! +#31925500 +1! +#31925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31926000 +0! +#31926500 +1! +#31926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31927000 +0! +#31927500 +1! +#31927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31928000 +0! +#31928500 +1! +#31928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31929000 +0! +#31929500 +1! +#31929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31930000 +0! +#31930500 +1! +#31930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31931000 +0! +#31931500 +1! +#31931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31932000 +0! +#31932500 +1! +#31932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31933000 +0! +#31933500 +1! +#31933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31934000 +0! +#31934500 +1! +#31934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31935000 +0! +#31935500 +1! +#31935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31936000 +0! +#31936500 +1! +#31936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31937000 +0! +#31937500 +1! +#31937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31938000 +0! +#31938500 +1! +#31938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31939000 +0! +#31939500 +1! +#31939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31940000 +0! +#31940500 +1! +#31940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31941000 +0! +#31941500 +1! +#31941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31942000 +0! +#31942500 +1! +#31942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31943000 +0! +#31943500 +1! +#31943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31944000 +0! +#31944500 +1! +#31944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31945000 +0! +#31945500 +1! +#31945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31946000 +0! +#31946500 +1! +#31946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31947000 +0! +#31947500 +1! +#31947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31948000 +0! +#31948500 +1! +#31948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31949000 +0! +#31949500 +1! +#31949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31950000 +0! +#31950500 +1! +#31950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31951000 +0! +#31951500 +1! +#31951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31952000 +0! +#31952500 +1! +#31952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31953000 +0! +#31953500 +1! +#31953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31954000 +0! +#31954500 +1! +#31954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#31954700 +1j +xj +#31955000 +0! +#31955500 +1! +#31955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31956000 +0! +#31956500 +1! +#31956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31957000 +0! +#31957500 +1! +#31957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31958000 +0! +#31958500 +1! +#31958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31959000 +0! +#31959500 +1! +#31959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31960000 +0! +#31960500 +1! +#31960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31961000 +0! +#31961500 +1! +#31961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31962000 +0! +#31962500 +1! +#31962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31963000 +0! +#31963500 +1! +#31963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31964000 +0! +#31964500 +1! +#31964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31965000 +0! +#31965500 +1! +#31965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31966000 +0! +#31966500 +1! +#31966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31967000 +0! +#31967500 +1! +#31967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31968000 +0! +#31968500 +1! +#31968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31969000 +0! +#31969500 +1! +#31969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31970000 +0! +#31970500 +1! +#31970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31971000 +0! +#31971500 +1! +#31971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31972000 +0! +#31972500 +1! +#31972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31973000 +0! +#31973500 +1! +#31973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31974000 +0! +#31974500 +1! +#31974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31975000 +0! +#31975500 +1! +#31975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31976000 +0! +#31976500 +1! +#31976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31977000 +0! +#31977500 +1! +#31977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31978000 +0! +#31978500 +1! +#31978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31979000 +0! +#31979500 +1! +#31979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31980000 +0! +#31980500 +1! +#31980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31981000 +0! +#31981500 +1! +#31981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31982000 +0! +#31982500 +1! +#31982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31983000 +0! +#31983500 +1! +#31983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31984000 +0! +#31984500 +1! +#31984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31985000 +0! +#31985500 +1! +#31985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31986000 +0! +#31986500 +1! +#31986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31987000 +0! +#31987500 +1! +#31987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31988000 +0! +#31988500 +1! +#31988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31989000 +0! +#31989500 +1! +#31989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31990000 +0! +#31990500 +1! +#31990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31991000 +0! +#31991500 +1! +#31991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31992000 +0! +#31992500 +1! +#31992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31993000 +0! +#31993500 +1! +#31993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31994000 +0! +#31994500 +1! +#31994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31995000 +0! +#31995500 +1! +#31995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31996000 +0! +#31996500 +1! +#31996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31997000 +0! +#31997500 +1! +#31997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#31998000 +0! +#31998500 +1! +#31998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#31999000 +0! +#31999500 +1! +#31999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32000000 +0! +#32000500 +1! +#32000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32001000 +0! +#32001500 +1! +#32001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32002000 +0! +#32002500 +1! +#32002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32003000 +0! +#32003500 +1! +#32003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32004000 +0! +#32004500 +1! +#32004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32005000 +0! +#32005500 +1! +#32005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32006000 +0! +#32006500 +1! +#32006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32007000 +0! +#32007500 +1! +#32007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32008000 +0! +#32008500 +1! +#32008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32009000 +0! +#32009500 +1! +#32009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32010000 +0! +#32010500 +1! +#32010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32011000 +0! +#32011500 +1! +#32011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32012000 +0! +#32012500 +1! +#32012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32013000 +0! +#32013500 +1! +#32013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32014000 +0! +#32014500 +1! +#32014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32015000 +0! +#32015500 +1! +#32015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32016000 +0! +#32016500 +1! +#32016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32017000 +0! +#32017500 +1! +#32017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32018000 +0! +#32018500 +1! +#32018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32019000 +0! +#32019500 +1! +#32019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32020000 +0! +#32020500 +1! +#32020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32021000 +0! +#32021500 +1! +#32021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32022000 +0! +#32022500 +1! +#32022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32023000 +0! +#32023500 +1! +#32023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32024000 +0! +#32024500 +1! +#32024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32025000 +0! +#32025500 +1! +#32025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32026000 +0! +#32026500 +1! +#32026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32027000 +0! +#32027500 +1! +#32027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32028000 +0! +#32028500 +1! +#32028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32029000 +0! +#32029500 +1! +#32029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32030000 +0! +#32030500 +1! +#32030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32031000 +0! +#32031500 +1! +#32031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32032000 +0! +#32032500 +1! +#32032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32033000 +0! +#32033500 +1! +#32033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32034000 +0! +#32034500 +1! +#32034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32035000 +0! +#32035500 +1! +#32035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32036000 +0! +#32036500 +1! +#32036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32037000 +0! +#32037500 +1! +#32037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32038000 +0! +#32038500 +1! +#32038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32039000 +0! +#32039500 +1! +#32039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32040000 +0! +#32040500 +1! +#32040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32041000 +0! +#32041500 +1! +#32041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32042000 +0! +#32042500 +1! +#32042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32043000 +0! +#32043500 +1! +#32043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32044000 +0! +#32044500 +1! +#32044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32045000 +0! +#32045500 +1! +#32045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32046000 +0! +#32046500 +1! +#32046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32047000 +0! +#32047500 +1! +#32047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32048000 +0! +#32048500 +1! +#32048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32049000 +0! +#32049500 +1! +#32049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32050000 +0! +#32050500 +1! +#32050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32051000 +0! +#32051500 +1! +#32051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32052000 +0! +#32052500 +1! +#32052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32053000 +0! +#32053500 +1! +#32053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32054000 +0! +#32054500 +1! +#32054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32055000 +0! +#32055500 +1! +#32055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32056000 +0! +#32056500 +1! +#32056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32057000 +0! +#32057500 +1! +#32057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32058000 +0! +#32058500 +1! +#32058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32059000 +0! +#32059500 +1! +#32059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32060000 +0! +#32060500 +1! +#32060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32061000 +0! +#32061500 +1! +#32061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32062000 +0! +#32062500 +1! +#32062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32063000 +0! +#32063500 +1! +#32063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32064000 +0! +#32064500 +1! +#32064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32065000 +0! +#32065500 +1! +#32065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32066000 +0! +#32066500 +1! +#32066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32067000 +0! +#32067500 +1! +#32067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32068000 +0! +#32068500 +1! +#32068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32069000 +0! +#32069500 +1! +#32069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32070000 +0! +#32070500 +1! +#32070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32071000 +0! +#32071500 +1! +#32071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32072000 +0! +#32072500 +1! +#32072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32073000 +0! +#32073500 +1! +#32073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32074000 +0! +#32074500 +1! +#32074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32075000 +0! +#32075500 +1! +#32075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32076000 +0! +#32076500 +1! +#32076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32077000 +0! +#32077500 +1! +#32077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32078000 +0! +#32078500 +1! +#32078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32079000 +0! +#32079500 +1! +#32079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32080000 +0! +#32080500 +1! +#32080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32081000 +0! +#32081500 +1! +#32081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32082000 +0! +#32082500 +1! +#32082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32083000 +0! +#32083500 +1! +#32083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32084000 +0! +#32084500 +1! +#32084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32085000 +0! +#32085500 +1! +#32085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32086000 +0! +#32086500 +1! +#32086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32087000 +0! +#32087500 +1! +#32087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32088000 +0! +#32088500 +1! +#32088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32089000 +0! +#32089500 +1! +#32089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32090000 +0! +#32090500 +1! +#32090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32091000 +0! +#32091500 +1! +#32091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32092000 +0! +#32092500 +1! +#32092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32093000 +0! +#32093500 +1! +#32093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32094000 +0! +#32094500 +1! +#32094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32095000 +0! +#32095500 +1! +#32095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32096000 +0! +#32096500 +1! +#32096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32097000 +0! +#32097500 +1! +#32097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32098000 +0! +#32098500 +1! +#32098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32099000 +0! +#32099500 +1! +#32099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32100000 +0! +#32100500 +1! +#32100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32101000 +0! +#32101500 +1! +#32101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32102000 +0! +#32102500 +1! +#32102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32103000 +0! +#32103500 +1! +#32103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32104000 +0! +#32104500 +1! +#32104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32105000 +0! +#32105500 +1! +#32105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32106000 +0! +#32106500 +1! +#32106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32107000 +0! +#32107500 +1! +#32107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#32107700 +bx111 ^ +b101 g +b10100xxx c +xe +#32108000 +0! +#32108500 +1! +#32108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32109000 +0! +#32109500 +1! +#32109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32110000 +0! +#32110500 +1! +#32110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32111000 +0! +#32111500 +1! +#32111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32112000 +0! +#32112500 +1! +#32112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32113000 +0! +#32113500 +1! +#32113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32114000 +0! +#32114500 +1! +#32114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32115000 +0! +#32115500 +1! +#32115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32116000 +0! +#32116500 +1! +#32116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32117000 +0! +#32117500 +1! +#32117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32118000 +0! +#32118500 +1! +#32118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32119000 +0! +#32119500 +1! +#32119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32120000 +0! +#32120500 +1! +#32120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32121000 +0! +#32121500 +1! +#32121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32122000 +0! +#32122500 +1! +#32122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32123000 +0! +#32123500 +1! +#32123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32124000 +0! +#32124500 +1! +#32124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32125000 +0! +#32125500 +1! +#32125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32126000 +0! +#32126500 +1! +#32126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32127000 +0! +#32127500 +1! +#32127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32128000 +0! +#32128500 +1! +#32128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32129000 +0! +#32129500 +1! +#32129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32130000 +0! +#32130500 +1! +#32130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32131000 +0! +#32131500 +1! +#32131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32132000 +0! +#32132500 +1! +#32132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32133000 +0! +#32133500 +1! +#32133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32134000 +0! +#32134500 +1! +#32134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32135000 +0! +#32135500 +1! +#32135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32136000 +0! +#32136500 +1! +#32136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32137000 +0! +#32137500 +1! +#32137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32138000 +0! +#32138500 +1! +#32138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32139000 +0! +#32139500 +1! +#32139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32140000 +0! +#32140500 +1! +#32140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32141000 +0! +#32141500 +1! +#32141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32142000 +0! +#32142500 +1! +#32142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32143000 +0! +#32143500 +1! +#32143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32144000 +0! +#32144500 +1! +#32144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32145000 +0! +#32145500 +1! +#32145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32146000 +0! +#32146500 +1! +#32146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32147000 +0! +#32147500 +1! +#32147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32148000 +0! +#32148500 +1! +#32148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32149000 +0! +#32149500 +1! +#32149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32150000 +0! +#32150500 +1! +#32150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32151000 +0! +#32151500 +1! +#32151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32152000 +0! +#32152500 +1! +#32152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32153000 +0! +#32153500 +1! +#32153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32154000 +0! +#32154500 +1! +#32154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32155000 +0! +#32155500 +1! +#32155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32156000 +0! +#32156500 +1! +#32156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32157000 +0! +#32157500 +1! +#32157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32158000 +0! +#32158500 +1! +#32158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32159000 +0! +#32159500 +1! +#32159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32160000 +0! +#32160500 +1! +#32160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32161000 +0! +#32161500 +1! +#32161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32162000 +0! +#32162500 +1! +#32162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32163000 +0! +#32163500 +1! +#32163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32164000 +0! +#32164500 +1! +#32164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32165000 +0! +#32165500 +1! +#32165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32166000 +0! +#32166500 +1! +#32166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32167000 +0! +#32167500 +1! +#32167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32168000 +0! +#32168500 +1! +#32168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32169000 +0! +#32169500 +1! +#32169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32170000 +0! +#32170500 +1! +#32170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32171000 +0! +#32171500 +1! +#32171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32172000 +0! +#32172500 +1! +#32172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32173000 +0! +#32173500 +1! +#32173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32174000 +0! +#32174500 +1! +#32174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32175000 +0! +#32175500 +1! +#32175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32176000 +0! +#32176500 +1! +#32176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32177000 +0! +#32177500 +1! +#32177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32178000 +0! +#32178500 +1! +#32178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32179000 +0! +#32179500 +1! +#32179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32180000 +0! +#32180500 +1! +#32180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32181000 +0! +#32181500 +1! +#32181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32182000 +0! +#32182500 +1! +#32182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32183000 +0! +#32183500 +1! +#32183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32184000 +0! +#32184500 +1! +#32184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32185000 +0! +#32185500 +1! +#32185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32186000 +0! +#32186500 +1! +#32186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32187000 +0! +#32187500 +1! +#32187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32188000 +0! +#32188500 +1! +#32188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32189000 +0! +#32189500 +1! +#32189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32190000 +0! +#32190500 +1! +#32190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32191000 +0! +#32191500 +1! +#32191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32192000 +0! +#32192500 +1! +#32192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32193000 +0! +#32193500 +1! +#32193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32194000 +0! +#32194500 +1! +#32194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32195000 +0! +#32195500 +1! +#32195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32196000 +0! +#32196500 +1! +#32196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32197000 +0! +#32197500 +1! +#32197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32198000 +0! +#32198500 +1! +#32198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32199000 +0! +#32199500 +1! +#32199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32200000 +0! +#32200500 +1! +#32200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32201000 +0! +#32201500 +1! +#32201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32202000 +0! +#32202500 +1! +#32202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32203000 +0! +#32203500 +1! +#32203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32204000 +0! +#32204500 +1! +#32204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32205000 +0! +#32205500 +1! +#32205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32206000 +0! +#32206500 +1! +#32206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32207000 +0! +#32207500 +1! +#32207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32208000 +0! +#32208500 +1! +#32208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32209000 +0! +#32209500 +1! +#32209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#32209700 +1j +xj +#32210000 +0! +#32210500 +1! +#32210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32211000 +0! +#32211500 +1! +#32211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32212000 +0! +#32212500 +1! +#32212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32213000 +0! +#32213500 +1! +#32213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32214000 +0! +#32214500 +1! +#32214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32215000 +0! +#32215500 +1! +#32215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32216000 +0! +#32216500 +1! +#32216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32217000 +0! +#32217500 +1! +#32217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32218000 +0! +#32218500 +1! +#32218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32219000 +0! +#32219500 +1! +#32219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32220000 +0! +#32220500 +1! +#32220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32221000 +0! +#32221500 +1! +#32221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32222000 +0! +#32222500 +1! +#32222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32223000 +0! +#32223500 +1! +#32223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32224000 +0! +#32224500 +1! +#32224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32225000 +0! +#32225500 +1! +#32225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32226000 +0! +#32226500 +1! +#32226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32227000 +0! +#32227500 +1! +#32227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32228000 +0! +#32228500 +1! +#32228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32229000 +0! +#32229500 +1! +#32229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32230000 +0! +#32230500 +1! +#32230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32231000 +0! +#32231500 +1! +#32231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32232000 +0! +#32232500 +1! +#32232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32233000 +0! +#32233500 +1! +#32233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32234000 +0! +#32234500 +1! +#32234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32235000 +0! +#32235500 +1! +#32235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32236000 +0! +#32236500 +1! +#32236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32237000 +0! +#32237500 +1! +#32237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32238000 +0! +#32238500 +1! +#32238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32239000 +0! +#32239500 +1! +#32239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32240000 +0! +#32240500 +1! +#32240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32241000 +0! +#32241500 +1! +#32241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32242000 +0! +#32242500 +1! +#32242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32243000 +0! +#32243500 +1! +#32243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32244000 +0! +#32244500 +1! +#32244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32245000 +0! +#32245500 +1! +#32245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32246000 +0! +#32246500 +1! +#32246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32247000 +0! +#32247500 +1! +#32247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32248000 +0! +#32248500 +1! +#32248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32249000 +0! +#32249500 +1! +#32249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32250000 +0! +#32250500 +1! +#32250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32251000 +0! +#32251500 +1! +#32251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32252000 +0! +#32252500 +1! +#32252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32253000 +0! +#32253500 +1! +#32253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32254000 +0! +#32254500 +1! +#32254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32255000 +0! +#32255500 +1! +#32255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32256000 +0! +#32256500 +1! +#32256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32257000 +0! +#32257500 +1! +#32257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32258000 +0! +#32258500 +1! +#32258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32259000 +0! +#32259500 +1! +#32259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32260000 +0! +#32260500 +1! +#32260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32261000 +0! +#32261500 +1! +#32261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32262000 +0! +#32262500 +1! +#32262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32263000 +0! +#32263500 +1! +#32263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32264000 +0! +#32264500 +1! +#32264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32265000 +0! +#32265500 +1! +#32265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32266000 +0! +#32266500 +1! +#32266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32267000 +0! +#32267500 +1! +#32267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32268000 +0! +#32268500 +1! +#32268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32269000 +0! +#32269500 +1! +#32269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32270000 +0! +#32270500 +1! +#32270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32271000 +0! +#32271500 +1! +#32271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32272000 +0! +#32272500 +1! +#32272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32273000 +0! +#32273500 +1! +#32273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32274000 +0! +#32274500 +1! +#32274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32275000 +0! +#32275500 +1! +#32275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32276000 +0! +#32276500 +1! +#32276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32277000 +0! +#32277500 +1! +#32277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32278000 +0! +#32278500 +1! +#32278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32279000 +0! +#32279500 +1! +#32279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32280000 +0! +#32280500 +1! +#32280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32281000 +0! +#32281500 +1! +#32281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32282000 +0! +#32282500 +1! +#32282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32283000 +0! +#32283500 +1! +#32283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32284000 +0! +#32284500 +1! +#32284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32285000 +0! +#32285500 +1! +#32285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32286000 +0! +#32286500 +1! +#32286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32287000 +0! +#32287500 +1! +#32287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32288000 +0! +#32288500 +1! +#32288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32289000 +0! +#32289500 +1! +#32289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32290000 +0! +#32290500 +1! +#32290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32291000 +0! +#32291500 +1! +#32291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32292000 +0! +#32292500 +1! +#32292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32293000 +0! +#32293500 +1! +#32293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32294000 +0! +#32294500 +1! +#32294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32295000 +0! +#32295500 +1! +#32295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32296000 +0! +#32296500 +1! +#32296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32297000 +0! +#32297500 +1! +#32297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32298000 +0! +#32298500 +1! +#32298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32299000 +0! +#32299500 +1! +#32299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32300000 +0! +#32300500 +1! +#32300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32301000 +0! +#32301500 +1! +#32301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32302000 +0! +#32302500 +1! +#32302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32303000 +0! +#32303500 +1! +#32303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32304000 +0! +#32304500 +1! +#32304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32305000 +0! +#32305500 +1! +#32305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32306000 +0! +#32306500 +1! +#32306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32307000 +0! +#32307500 +1! +#32307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32308000 +0! +#32308500 +1! +#32308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32309000 +0! +#32309500 +1! +#32309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32310000 +0! +#32310500 +1! +#32310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32311000 +0! +#32311500 +1! +#32311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32312000 +0! +#32312500 +1! +#32312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32313000 +0! +#32313500 +1! +#32313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32314000 +0! +#32314500 +1! +#32314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32315000 +0! +#32315500 +1! +#32315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32316000 +0! +#32316500 +1! +#32316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32317000 +0! +#32317500 +1! +#32317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32318000 +0! +#32318500 +1! +#32318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32319000 +0! +#32319500 +1! +#32319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32320000 +0! +#32320500 +1! +#32320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32321000 +0! +#32321500 +1! +#32321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32322000 +0! +#32322500 +1! +#32322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32323000 +0! +#32323500 +1! +#32323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32324000 +0! +#32324500 +1! +#32324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32325000 +0! +#32325500 +1! +#32325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32326000 +0! +#32326500 +1! +#32326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32327000 +0! +#32327500 +1! +#32327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32328000 +0! +#32328500 +1! +#32328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32329000 +0! +#32329500 +1! +#32329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32330000 +0! +#32330500 +1! +#32330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32331000 +0! +#32331500 +1! +#32331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32332000 +0! +#32332500 +1! +#32332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32333000 +0! +#32333500 +1! +#32333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32334000 +0! +#32334500 +1! +#32334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32335000 +0! +#32335500 +1! +#32335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32336000 +0! +#32336500 +1! +#32336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32337000 +0! +#32337500 +1! +#32337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32338000 +0! +#32338500 +1! +#32338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32339000 +0! +#32339500 +1! +#32339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32340000 +0! +#32340500 +1! +#32340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32341000 +0! +#32341500 +1! +#32341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32342000 +0! +#32342500 +1! +#32342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32343000 +0! +#32343500 +1! +#32343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32344000 +0! +#32344500 +1! +#32344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32345000 +0! +#32345500 +1! +#32345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32346000 +0! +#32346500 +1! +#32346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32347000 +0! +#32347500 +1! +#32347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32348000 +0! +#32348500 +1! +#32348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32349000 +0! +#32349500 +1! +#32349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32350000 +0! +#32350500 +1! +#32350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32351000 +0! +#32351500 +1! +#32351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32352000 +0! +#32352500 +1! +#32352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32353000 +0! +#32353500 +1! +#32353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32354000 +0! +#32354500 +1! +#32354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32355000 +0! +#32355500 +1! +#32355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32356000 +0! +#32356500 +1! +#32356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32357000 +0! +#32357500 +1! +#32357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32358000 +0! +#32358500 +1! +#32358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32359000 +0! +#32359500 +1! +#32359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32360000 +0! +#32360500 +1! +#32360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32361000 +0! +#32361500 +1! +#32361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32362000 +0! +#32362500 +1! +#32362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#32362700 +bx1111 ^ +b100 g +b100xxxx c +0e +#32363000 +0! +#32363500 +1! +#32363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32364000 +0! +#32364500 +1! +#32364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32365000 +0! +#32365500 +1! +#32365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32366000 +0! +#32366500 +1! +#32366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32367000 +0! +#32367500 +1! +#32367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32368000 +0! +#32368500 +1! +#32368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32369000 +0! +#32369500 +1! +#32369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32370000 +0! +#32370500 +1! +#32370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32371000 +0! +#32371500 +1! +#32371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32372000 +0! +#32372500 +1! +#32372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32373000 +0! +#32373500 +1! +#32373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32374000 +0! +#32374500 +1! +#32374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32375000 +0! +#32375500 +1! +#32375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32376000 +0! +#32376500 +1! +#32376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32377000 +0! +#32377500 +1! +#32377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32378000 +0! +#32378500 +1! +#32378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32379000 +0! +#32379500 +1! +#32379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32380000 +0! +#32380500 +1! +#32380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32381000 +0! +#32381500 +1! +#32381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32382000 +0! +#32382500 +1! +#32382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32383000 +0! +#32383500 +1! +#32383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32384000 +0! +#32384500 +1! +#32384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32385000 +0! +#32385500 +1! +#32385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32386000 +0! +#32386500 +1! +#32386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32387000 +0! +#32387500 +1! +#32387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32388000 +0! +#32388500 +1! +#32388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32389000 +0! +#32389500 +1! +#32389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32390000 +0! +#32390500 +1! +#32390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32391000 +0! +#32391500 +1! +#32391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32392000 +0! +#32392500 +1! +#32392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32393000 +0! +#32393500 +1! +#32393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32394000 +0! +#32394500 +1! +#32394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32395000 +0! +#32395500 +1! +#32395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32396000 +0! +#32396500 +1! +#32396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32397000 +0! +#32397500 +1! +#32397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32398000 +0! +#32398500 +1! +#32398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32399000 +0! +#32399500 +1! +#32399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32400000 +0! +#32400500 +1! +#32400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32401000 +0! +#32401500 +1! +#32401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32402000 +0! +#32402500 +1! +#32402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32403000 +0! +#32403500 +1! +#32403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32404000 +0! +#32404500 +1! +#32404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32405000 +0! +#32405500 +1! +#32405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32406000 +0! +#32406500 +1! +#32406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32407000 +0! +#32407500 +1! +#32407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32408000 +0! +#32408500 +1! +#32408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32409000 +0! +#32409500 +1! +#32409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32410000 +0! +#32410500 +1! +#32410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32411000 +0! +#32411500 +1! +#32411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32412000 +0! +#32412500 +1! +#32412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32413000 +0! +#32413500 +1! +#32413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32414000 +0! +#32414500 +1! +#32414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32415000 +0! +#32415500 +1! +#32415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32416000 +0! +#32416500 +1! +#32416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32417000 +0! +#32417500 +1! +#32417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32418000 +0! +#32418500 +1! +#32418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32419000 +0! +#32419500 +1! +#32419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32420000 +0! +#32420500 +1! +#32420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32421000 +0! +#32421500 +1! +#32421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32422000 +0! +#32422500 +1! +#32422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32423000 +0! +#32423500 +1! +#32423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32424000 +0! +#32424500 +1! +#32424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32425000 +0! +#32425500 +1! +#32425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32426000 +0! +#32426500 +1! +#32426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32427000 +0! +#32427500 +1! +#32427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32428000 +0! +#32428500 +1! +#32428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32429000 +0! +#32429500 +1! +#32429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32430000 +0! +#32430500 +1! +#32430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32431000 +0! +#32431500 +1! +#32431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32432000 +0! +#32432500 +1! +#32432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32433000 +0! +#32433500 +1! +#32433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32434000 +0! +#32434500 +1! +#32434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32435000 +0! +#32435500 +1! +#32435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32436000 +0! +#32436500 +1! +#32436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32437000 +0! +#32437500 +1! +#32437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32438000 +0! +#32438500 +1! +#32438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32439000 +0! +#32439500 +1! +#32439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32440000 +0! +#32440500 +1! +#32440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32441000 +0! +#32441500 +1! +#32441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32442000 +0! +#32442500 +1! +#32442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32443000 +0! +#32443500 +1! +#32443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32444000 +0! +#32444500 +1! +#32444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32445000 +0! +#32445500 +1! +#32445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32446000 +0! +#32446500 +1! +#32446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32447000 +0! +#32447500 +1! +#32447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32448000 +0! +#32448500 +1! +#32448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32449000 +0! +#32449500 +1! +#32449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32450000 +0! +#32450500 +1! +#32450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32451000 +0! +#32451500 +1! +#32451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32452000 +0! +#32452500 +1! +#32452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32453000 +0! +#32453500 +1! +#32453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32454000 +0! +#32454500 +1! +#32454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32455000 +0! +#32455500 +1! +#32455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32456000 +0! +#32456500 +1! +#32456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32457000 +0! +#32457500 +1! +#32457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32458000 +0! +#32458500 +1! +#32458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32459000 +0! +#32459500 +1! +#32459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32460000 +0! +#32460500 +1! +#32460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32461000 +0! +#32461500 +1! +#32461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32462000 +0! +#32462500 +1! +#32462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32463000 +0! +#32463500 +1! +#32463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32464000 +0! +#32464500 +1! +#32464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#32464700 +1j +xj +#32465000 +0! +#32465500 +1! +#32465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32466000 +0! +#32466500 +1! +#32466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32467000 +0! +#32467500 +1! +#32467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32468000 +0! +#32468500 +1! +#32468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32469000 +0! +#32469500 +1! +#32469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32470000 +0! +#32470500 +1! +#32470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32471000 +0! +#32471500 +1! +#32471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32472000 +0! +#32472500 +1! +#32472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32473000 +0! +#32473500 +1! +#32473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32474000 +0! +#32474500 +1! +#32474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32475000 +0! +#32475500 +1! +#32475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32476000 +0! +#32476500 +1! +#32476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32477000 +0! +#32477500 +1! +#32477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32478000 +0! +#32478500 +1! +#32478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32479000 +0! +#32479500 +1! +#32479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32480000 +0! +#32480500 +1! +#32480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32481000 +0! +#32481500 +1! +#32481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32482000 +0! +#32482500 +1! +#32482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32483000 +0! +#32483500 +1! +#32483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32484000 +0! +#32484500 +1! +#32484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32485000 +0! +#32485500 +1! +#32485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32486000 +0! +#32486500 +1! +#32486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32487000 +0! +#32487500 +1! +#32487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32488000 +0! +#32488500 +1! +#32488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32489000 +0! +#32489500 +1! +#32489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32490000 +0! +#32490500 +1! +#32490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32491000 +0! +#32491500 +1! +#32491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32492000 +0! +#32492500 +1! +#32492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32493000 +0! +#32493500 +1! +#32493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32494000 +0! +#32494500 +1! +#32494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32495000 +0! +#32495500 +1! +#32495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32496000 +0! +#32496500 +1! +#32496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32497000 +0! +#32497500 +1! +#32497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32498000 +0! +#32498500 +1! +#32498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32499000 +0! +#32499500 +1! +#32499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32500000 +0! +#32500500 +1! +#32500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32501000 +0! +#32501500 +1! +#32501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32502000 +0! +#32502500 +1! +#32502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32503000 +0! +#32503500 +1! +#32503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32504000 +0! +#32504500 +1! +#32504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32505000 +0! +#32505500 +1! +#32505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32506000 +0! +#32506500 +1! +#32506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32507000 +0! +#32507500 +1! +#32507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32508000 +0! +#32508500 +1! +#32508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32509000 +0! +#32509500 +1! +#32509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32510000 +0! +#32510500 +1! +#32510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32511000 +0! +#32511500 +1! +#32511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32512000 +0! +#32512500 +1! +#32512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32513000 +0! +#32513500 +1! +#32513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32514000 +0! +#32514500 +1! +#32514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32515000 +0! +#32515500 +1! +#32515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32516000 +0! +#32516500 +1! +#32516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32517000 +0! +#32517500 +1! +#32517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32518000 +0! +#32518500 +1! +#32518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32519000 +0! +#32519500 +1! +#32519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32520000 +0! +#32520500 +1! +#32520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32521000 +0! +#32521500 +1! +#32521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32522000 +0! +#32522500 +1! +#32522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32523000 +0! +#32523500 +1! +#32523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32524000 +0! +#32524500 +1! +#32524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32525000 +0! +#32525500 +1! +#32525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32526000 +0! +#32526500 +1! +#32526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32527000 +0! +#32527500 +1! +#32527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32528000 +0! +#32528500 +1! +#32528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32529000 +0! +#32529500 +1! +#32529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32530000 +0! +#32530500 +1! +#32530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32531000 +0! +#32531500 +1! +#32531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32532000 +0! +#32532500 +1! +#32532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32533000 +0! +#32533500 +1! +#32533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32534000 +0! +#32534500 +1! +#32534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32535000 +0! +#32535500 +1! +#32535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32536000 +0! +#32536500 +1! +#32536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32537000 +0! +#32537500 +1! +#32537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32538000 +0! +#32538500 +1! +#32538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32539000 +0! +#32539500 +1! +#32539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32540000 +0! +#32540500 +1! +#32540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32541000 +0! +#32541500 +1! +#32541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32542000 +0! +#32542500 +1! +#32542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32543000 +0! +#32543500 +1! +#32543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32544000 +0! +#32544500 +1! +#32544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32545000 +0! +#32545500 +1! +#32545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32546000 +0! +#32546500 +1! +#32546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32547000 +0! +#32547500 +1! +#32547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32548000 +0! +#32548500 +1! +#32548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32549000 +0! +#32549500 +1! +#32549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32550000 +0! +#32550500 +1! +#32550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32551000 +0! +#32551500 +1! +#32551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32552000 +0! +#32552500 +1! +#32552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32553000 +0! +#32553500 +1! +#32553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32554000 +0! +#32554500 +1! +#32554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32555000 +0! +#32555500 +1! +#32555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32556000 +0! +#32556500 +1! +#32556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32557000 +0! +#32557500 +1! +#32557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32558000 +0! +#32558500 +1! +#32558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32559000 +0! +#32559500 +1! +#32559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32560000 +0! +#32560500 +1! +#32560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32561000 +0! +#32561500 +1! +#32561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32562000 +0! +#32562500 +1! +#32562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32563000 +0! +#32563500 +1! +#32563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32564000 +0! +#32564500 +1! +#32564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32565000 +0! +#32565500 +1! +#32565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32566000 +0! +#32566500 +1! +#32566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32567000 +0! +#32567500 +1! +#32567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32568000 +0! +#32568500 +1! +#32568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32569000 +0! +#32569500 +1! +#32569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32570000 +0! +#32570500 +1! +#32570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32571000 +0! +#32571500 +1! +#32571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32572000 +0! +#32572500 +1! +#32572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32573000 +0! +#32573500 +1! +#32573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32574000 +0! +#32574500 +1! +#32574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32575000 +0! +#32575500 +1! +#32575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32576000 +0! +#32576500 +1! +#32576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32577000 +0! +#32577500 +1! +#32577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32578000 +0! +#32578500 +1! +#32578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32579000 +0! +#32579500 +1! +#32579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32580000 +0! +#32580500 +1! +#32580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32581000 +0! +#32581500 +1! +#32581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32582000 +0! +#32582500 +1! +#32582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32583000 +0! +#32583500 +1! +#32583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32584000 +0! +#32584500 +1! +#32584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32585000 +0! +#32585500 +1! +#32585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32586000 +0! +#32586500 +1! +#32586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32587000 +0! +#32587500 +1! +#32587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32588000 +0! +#32588500 +1! +#32588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32589000 +0! +#32589500 +1! +#32589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32590000 +0! +#32590500 +1! +#32590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32591000 +0! +#32591500 +1! +#32591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32592000 +0! +#32592500 +1! +#32592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32593000 +0! +#32593500 +1! +#32593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32594000 +0! +#32594500 +1! +#32594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32595000 +0! +#32595500 +1! +#32595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32596000 +0! +#32596500 +1! +#32596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32597000 +0! +#32597500 +1! +#32597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32598000 +0! +#32598500 +1! +#32598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32599000 +0! +#32599500 +1! +#32599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32600000 +0! +#32600500 +1! +#32600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32601000 +0! +#32601500 +1! +#32601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32602000 +0! +#32602500 +1! +#32602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32603000 +0! +#32603500 +1! +#32603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32604000 +0! +#32604500 +1! +#32604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32605000 +0! +#32605500 +1! +#32605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32606000 +0! +#32606500 +1! +#32606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32607000 +0! +#32607500 +1! +#32607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32608000 +0! +#32608500 +1! +#32608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32609000 +0! +#32609500 +1! +#32609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32610000 +0! +#32610500 +1! +#32610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32611000 +0! +#32611500 +1! +#32611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32612000 +0! +#32612500 +1! +#32612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32613000 +0! +#32613500 +1! +#32613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32614000 +0! +#32614500 +1! +#32614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32615000 +0! +#32615500 +1! +#32615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32616000 +0! +#32616500 +1! +#32616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32617000 +0! +#32617500 +1! +#32617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#32617700 +bx11111 ^ +b11 g +b100xxxxx c +#32618000 +0! +#32618500 +1! +#32618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32619000 +0! +#32619500 +1! +#32619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32620000 +0! +#32620500 +1! +#32620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32621000 +0! +#32621500 +1! +#32621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32622000 +0! +#32622500 +1! +#32622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32623000 +0! +#32623500 +1! +#32623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32624000 +0! +#32624500 +1! +#32624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32625000 +0! +#32625500 +1! +#32625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32626000 +0! +#32626500 +1! +#32626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32627000 +0! +#32627500 +1! +#32627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32628000 +0! +#32628500 +1! +#32628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32629000 +0! +#32629500 +1! +#32629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32630000 +0! +#32630500 +1! +#32630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32631000 +0! +#32631500 +1! +#32631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32632000 +0! +#32632500 +1! +#32632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32633000 +0! +#32633500 +1! +#32633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32634000 +0! +#32634500 +1! +#32634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32635000 +0! +#32635500 +1! +#32635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32636000 +0! +#32636500 +1! +#32636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32637000 +0! +#32637500 +1! +#32637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32638000 +0! +#32638500 +1! +#32638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32639000 +0! +#32639500 +1! +#32639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32640000 +0! +#32640500 +1! +#32640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32641000 +0! +#32641500 +1! +#32641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32642000 +0! +#32642500 +1! +#32642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32643000 +0! +#32643500 +1! +#32643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32644000 +0! +#32644500 +1! +#32644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32645000 +0! +#32645500 +1! +#32645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32646000 +0! +#32646500 +1! +#32646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32647000 +0! +#32647500 +1! +#32647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32648000 +0! +#32648500 +1! +#32648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32649000 +0! +#32649500 +1! +#32649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32650000 +0! +#32650500 +1! +#32650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32651000 +0! +#32651500 +1! +#32651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32652000 +0! +#32652500 +1! +#32652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32653000 +0! +#32653500 +1! +#32653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32654000 +0! +#32654500 +1! +#32654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32655000 +0! +#32655500 +1! +#32655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32656000 +0! +#32656500 +1! +#32656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32657000 +0! +#32657500 +1! +#32657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32658000 +0! +#32658500 +1! +#32658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32659000 +0! +#32659500 +1! +#32659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32660000 +0! +#32660500 +1! +#32660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32661000 +0! +#32661500 +1! +#32661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32662000 +0! +#32662500 +1! +#32662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32663000 +0! +#32663500 +1! +#32663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32664000 +0! +#32664500 +1! +#32664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32665000 +0! +#32665500 +1! +#32665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32666000 +0! +#32666500 +1! +#32666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32667000 +0! +#32667500 +1! +#32667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32668000 +0! +#32668500 +1! +#32668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32669000 +0! +#32669500 +1! +#32669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32670000 +0! +#32670500 +1! +#32670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32671000 +0! +#32671500 +1! +#32671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32672000 +0! +#32672500 +1! +#32672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32673000 +0! +#32673500 +1! +#32673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32674000 +0! +#32674500 +1! +#32674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32675000 +0! +#32675500 +1! +#32675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32676000 +0! +#32676500 +1! +#32676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32677000 +0! +#32677500 +1! +#32677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32678000 +0! +#32678500 +1! +#32678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32679000 +0! +#32679500 +1! +#32679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32680000 +0! +#32680500 +1! +#32680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32681000 +0! +#32681500 +1! +#32681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32682000 +0! +#32682500 +1! +#32682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32683000 +0! +#32683500 +1! +#32683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32684000 +0! +#32684500 +1! +#32684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32685000 +0! +#32685500 +1! +#32685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32686000 +0! +#32686500 +1! +#32686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32687000 +0! +#32687500 +1! +#32687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32688000 +0! +#32688500 +1! +#32688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32689000 +0! +#32689500 +1! +#32689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32690000 +0! +#32690500 +1! +#32690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32691000 +0! +#32691500 +1! +#32691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32692000 +0! +#32692500 +1! +#32692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32693000 +0! +#32693500 +1! +#32693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32694000 +0! +#32694500 +1! +#32694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32695000 +0! +#32695500 +1! +#32695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32696000 +0! +#32696500 +1! +#32696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32697000 +0! +#32697500 +1! +#32697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32698000 +0! +#32698500 +1! +#32698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32699000 +0! +#32699500 +1! +#32699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32700000 +0! +#32700500 +1! +#32700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32701000 +0! +#32701500 +1! +#32701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32702000 +0! +#32702500 +1! +#32702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32703000 +0! +#32703500 +1! +#32703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32704000 +0! +#32704500 +1! +#32704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32705000 +0! +#32705500 +1! +#32705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32706000 +0! +#32706500 +1! +#32706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32707000 +0! +#32707500 +1! +#32707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32708000 +0! +#32708500 +1! +#32708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32709000 +0! +#32709500 +1! +#32709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32710000 +0! +#32710500 +1! +#32710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32711000 +0! +#32711500 +1! +#32711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32712000 +0! +#32712500 +1! +#32712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32713000 +0! +#32713500 +1! +#32713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32714000 +0! +#32714500 +1! +#32714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32715000 +0! +#32715500 +1! +#32715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32716000 +0! +#32716500 +1! +#32716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32717000 +0! +#32717500 +1! +#32717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32718000 +0! +#32718500 +1! +#32718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32719000 +0! +#32719500 +1! +#32719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#32719700 +1j +xj +#32720000 +0! +#32720500 +1! +#32720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32721000 +0! +#32721500 +1! +#32721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32722000 +0! +#32722500 +1! +#32722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32723000 +0! +#32723500 +1! +#32723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32724000 +0! +#32724500 +1! +#32724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32725000 +0! +#32725500 +1! +#32725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32726000 +0! +#32726500 +1! +#32726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32727000 +0! +#32727500 +1! +#32727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32728000 +0! +#32728500 +1! +#32728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32729000 +0! +#32729500 +1! +#32729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32730000 +0! +#32730500 +1! +#32730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32731000 +0! +#32731500 +1! +#32731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32732000 +0! +#32732500 +1! +#32732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32733000 +0! +#32733500 +1! +#32733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32734000 +0! +#32734500 +1! +#32734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32735000 +0! +#32735500 +1! +#32735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32736000 +0! +#32736500 +1! +#32736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32737000 +0! +#32737500 +1! +#32737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32738000 +0! +#32738500 +1! +#32738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32739000 +0! +#32739500 +1! +#32739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32740000 +0! +#32740500 +1! +#32740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32741000 +0! +#32741500 +1! +#32741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32742000 +0! +#32742500 +1! +#32742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32743000 +0! +#32743500 +1! +#32743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32744000 +0! +#32744500 +1! +#32744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32745000 +0! +#32745500 +1! +#32745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32746000 +0! +#32746500 +1! +#32746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32747000 +0! +#32747500 +1! +#32747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32748000 +0! +#32748500 +1! +#32748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32749000 +0! +#32749500 +1! +#32749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32750000 +0! +#32750500 +1! +#32750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32751000 +0! +#32751500 +1! +#32751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32752000 +0! +#32752500 +1! +#32752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32753000 +0! +#32753500 +1! +#32753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32754000 +0! +#32754500 +1! +#32754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32755000 +0! +#32755500 +1! +#32755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32756000 +0! +#32756500 +1! +#32756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32757000 +0! +#32757500 +1! +#32757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32758000 +0! +#32758500 +1! +#32758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32759000 +0! +#32759500 +1! +#32759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32760000 +0! +#32760500 +1! +#32760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32761000 +0! +#32761500 +1! +#32761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32762000 +0! +#32762500 +1! +#32762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32763000 +0! +#32763500 +1! +#32763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32764000 +0! +#32764500 +1! +#32764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32765000 +0! +#32765500 +1! +#32765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32766000 +0! +#32766500 +1! +#32766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32767000 +0! +#32767500 +1! +#32767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32768000 +0! +#32768500 +1! +#32768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32769000 +0! +#32769500 +1! +#32769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32770000 +0! +#32770500 +1! +#32770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32771000 +0! +#32771500 +1! +#32771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32772000 +0! +#32772500 +1! +#32772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32773000 +0! +#32773500 +1! +#32773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32774000 +0! +#32774500 +1! +#32774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32775000 +0! +#32775500 +1! +#32775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32776000 +0! +#32776500 +1! +#32776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32777000 +0! +#32777500 +1! +#32777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32778000 +0! +#32778500 +1! +#32778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32779000 +0! +#32779500 +1! +#32779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32780000 +0! +#32780500 +1! +#32780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32781000 +0! +#32781500 +1! +#32781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32782000 +0! +#32782500 +1! +#32782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32783000 +0! +#32783500 +1! +#32783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32784000 +0! +#32784500 +1! +#32784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32785000 +0! +#32785500 +1! +#32785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32786000 +0! +#32786500 +1! +#32786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32787000 +0! +#32787500 +1! +#32787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32788000 +0! +#32788500 +1! +#32788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32789000 +0! +#32789500 +1! +#32789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32790000 +0! +#32790500 +1! +#32790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32791000 +0! +#32791500 +1! +#32791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32792000 +0! +#32792500 +1! +#32792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32793000 +0! +#32793500 +1! +#32793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32794000 +0! +#32794500 +1! +#32794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32795000 +0! +#32795500 +1! +#32795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32796000 +0! +#32796500 +1! +#32796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32797000 +0! +#32797500 +1! +#32797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32798000 +0! +#32798500 +1! +#32798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32799000 +0! +#32799500 +1! +#32799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32800000 +0! +#32800500 +1! +#32800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32801000 +0! +#32801500 +1! +#32801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32802000 +0! +#32802500 +1! +#32802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32803000 +0! +#32803500 +1! +#32803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32804000 +0! +#32804500 +1! +#32804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32805000 +0! +#32805500 +1! +#32805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32806000 +0! +#32806500 +1! +#32806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32807000 +0! +#32807500 +1! +#32807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32808000 +0! +#32808500 +1! +#32808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32809000 +0! +#32809500 +1! +#32809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32810000 +0! +#32810500 +1! +#32810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32811000 +0! +#32811500 +1! +#32811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32812000 +0! +#32812500 +1! +#32812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32813000 +0! +#32813500 +1! +#32813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32814000 +0! +#32814500 +1! +#32814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32815000 +0! +#32815500 +1! +#32815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32816000 +0! +#32816500 +1! +#32816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32817000 +0! +#32817500 +1! +#32817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32818000 +0! +#32818500 +1! +#32818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32819000 +0! +#32819500 +1! +#32819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32820000 +0! +#32820500 +1! +#32820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32821000 +0! +#32821500 +1! +#32821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32822000 +0! +#32822500 +1! +#32822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32823000 +0! +#32823500 +1! +#32823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32824000 +0! +#32824500 +1! +#32824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32825000 +0! +#32825500 +1! +#32825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32826000 +0! +#32826500 +1! +#32826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32827000 +0! +#32827500 +1! +#32827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32828000 +0! +#32828500 +1! +#32828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32829000 +0! +#32829500 +1! +#32829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32830000 +0! +#32830500 +1! +#32830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32831000 +0! +#32831500 +1! +#32831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32832000 +0! +#32832500 +1! +#32832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32833000 +0! +#32833500 +1! +#32833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32834000 +0! +#32834500 +1! +#32834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32835000 +0! +#32835500 +1! +#32835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32836000 +0! +#32836500 +1! +#32836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32837000 +0! +#32837500 +1! +#32837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32838000 +0! +#32838500 +1! +#32838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32839000 +0! +#32839500 +1! +#32839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32840000 +0! +#32840500 +1! +#32840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32841000 +0! +#32841500 +1! +#32841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32842000 +0! +#32842500 +1! +#32842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32843000 +0! +#32843500 +1! +#32843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32844000 +0! +#32844500 +1! +#32844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32845000 +0! +#32845500 +1! +#32845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32846000 +0! +#32846500 +1! +#32846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32847000 +0! +#32847500 +1! +#32847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32848000 +0! +#32848500 +1! +#32848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32849000 +0! +#32849500 +1! +#32849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32850000 +0! +#32850500 +1! +#32850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32851000 +0! +#32851500 +1! +#32851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32852000 +0! +#32852500 +1! +#32852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32853000 +0! +#32853500 +1! +#32853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32854000 +0! +#32854500 +1! +#32854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32855000 +0! +#32855500 +1! +#32855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32856000 +0! +#32856500 +1! +#32856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32857000 +0! +#32857500 +1! +#32857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32858000 +0! +#32858500 +1! +#32858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32859000 +0! +#32859500 +1! +#32859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32860000 +0! +#32860500 +1! +#32860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32861000 +0! +#32861500 +1! +#32861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32862000 +0! +#32862500 +1! +#32862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32863000 +0! +#32863500 +1! +#32863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32864000 +0! +#32864500 +1! +#32864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32865000 +0! +#32865500 +1! +#32865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32866000 +0! +#32866500 +1! +#32866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32867000 +0! +#32867500 +1! +#32867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32868000 +0! +#32868500 +1! +#32868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32869000 +0! +#32869500 +1! +#32869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32870000 +0! +#32870500 +1! +#32870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32871000 +0! +#32871500 +1! +#32871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32872000 +0! +#32872500 +1! +#32872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#32872700 +bx111111 ^ +b10 g +b0xxxxxx c +#32873000 +0! +#32873500 +1! +#32873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32874000 +0! +#32874500 +1! +#32874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32875000 +0! +#32875500 +1! +#32875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32876000 +0! +#32876500 +1! +#32876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32877000 +0! +#32877500 +1! +#32877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32878000 +0! +#32878500 +1! +#32878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32879000 +0! +#32879500 +1! +#32879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32880000 +0! +#32880500 +1! +#32880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32881000 +0! +#32881500 +1! +#32881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32882000 +0! +#32882500 +1! +#32882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32883000 +0! +#32883500 +1! +#32883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32884000 +0! +#32884500 +1! +#32884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32885000 +0! +#32885500 +1! +#32885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32886000 +0! +#32886500 +1! +#32886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32887000 +0! +#32887500 +1! +#32887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32888000 +0! +#32888500 +1! +#32888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32889000 +0! +#32889500 +1! +#32889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32890000 +0! +#32890500 +1! +#32890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32891000 +0! +#32891500 +1! +#32891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32892000 +0! +#32892500 +1! +#32892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32893000 +0! +#32893500 +1! +#32893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32894000 +0! +#32894500 +1! +#32894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32895000 +0! +#32895500 +1! +#32895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32896000 +0! +#32896500 +1! +#32896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32897000 +0! +#32897500 +1! +#32897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32898000 +0! +#32898500 +1! +#32898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32899000 +0! +#32899500 +1! +#32899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32900000 +0! +#32900500 +1! +#32900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32901000 +0! +#32901500 +1! +#32901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32902000 +0! +#32902500 +1! +#32902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32903000 +0! +#32903500 +1! +#32903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32904000 +0! +#32904500 +1! +#32904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32905000 +0! +#32905500 +1! +#32905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32906000 +0! +#32906500 +1! +#32906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32907000 +0! +#32907500 +1! +#32907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32908000 +0! +#32908500 +1! +#32908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32909000 +0! +#32909500 +1! +#32909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32910000 +0! +#32910500 +1! +#32910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32911000 +0! +#32911500 +1! +#32911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32912000 +0! +#32912500 +1! +#32912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32913000 +0! +#32913500 +1! +#32913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32914000 +0! +#32914500 +1! +#32914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32915000 +0! +#32915500 +1! +#32915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32916000 +0! +#32916500 +1! +#32916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32917000 +0! +#32917500 +1! +#32917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32918000 +0! +#32918500 +1! +#32918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32919000 +0! +#32919500 +1! +#32919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32920000 +0! +#32920500 +1! +#32920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32921000 +0! +#32921500 +1! +#32921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32922000 +0! +#32922500 +1! +#32922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32923000 +0! +#32923500 +1! +#32923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32924000 +0! +#32924500 +1! +#32924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32925000 +0! +#32925500 +1! +#32925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32926000 +0! +#32926500 +1! +#32926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32927000 +0! +#32927500 +1! +#32927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32928000 +0! +#32928500 +1! +#32928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32929000 +0! +#32929500 +1! +#32929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32930000 +0! +#32930500 +1! +#32930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32931000 +0! +#32931500 +1! +#32931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32932000 +0! +#32932500 +1! +#32932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32933000 +0! +#32933500 +1! +#32933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32934000 +0! +#32934500 +1! +#32934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32935000 +0! +#32935500 +1! +#32935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32936000 +0! +#32936500 +1! +#32936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32937000 +0! +#32937500 +1! +#32937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32938000 +0! +#32938500 +1! +#32938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32939000 +0! +#32939500 +1! +#32939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32940000 +0! +#32940500 +1! +#32940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32941000 +0! +#32941500 +1! +#32941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32942000 +0! +#32942500 +1! +#32942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32943000 +0! +#32943500 +1! +#32943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32944000 +0! +#32944500 +1! +#32944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32945000 +0! +#32945500 +1! +#32945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32946000 +0! +#32946500 +1! +#32946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32947000 +0! +#32947500 +1! +#32947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32948000 +0! +#32948500 +1! +#32948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32949000 +0! +#32949500 +1! +#32949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32950000 +0! +#32950500 +1! +#32950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32951000 +0! +#32951500 +1! +#32951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32952000 +0! +#32952500 +1! +#32952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32953000 +0! +#32953500 +1! +#32953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32954000 +0! +#32954500 +1! +#32954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32955000 +0! +#32955500 +1! +#32955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32956000 +0! +#32956500 +1! +#32956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32957000 +0! +#32957500 +1! +#32957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32958000 +0! +#32958500 +1! +#32958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32959000 +0! +#32959500 +1! +#32959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32960000 +0! +#32960500 +1! +#32960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32961000 +0! +#32961500 +1! +#32961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32962000 +0! +#32962500 +1! +#32962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32963000 +0! +#32963500 +1! +#32963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32964000 +0! +#32964500 +1! +#32964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32965000 +0! +#32965500 +1! +#32965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32966000 +0! +#32966500 +1! +#32966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32967000 +0! +#32967500 +1! +#32967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32968000 +0! +#32968500 +1! +#32968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32969000 +0! +#32969500 +1! +#32969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32970000 +0! +#32970500 +1! +#32970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32971000 +0! +#32971500 +1! +#32971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32972000 +0! +#32972500 +1! +#32972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32973000 +0! +#32973500 +1! +#32973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32974000 +0! +#32974500 +1! +#32974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#32974700 +1j +xj +#32975000 +0! +#32975500 +1! +#32975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32976000 +0! +#32976500 +1! +#32976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32977000 +0! +#32977500 +1! +#32977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32978000 +0! +#32978500 +1! +#32978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32979000 +0! +#32979500 +1! +#32979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32980000 +0! +#32980500 +1! +#32980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32981000 +0! +#32981500 +1! +#32981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32982000 +0! +#32982500 +1! +#32982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32983000 +0! +#32983500 +1! +#32983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32984000 +0! +#32984500 +1! +#32984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32985000 +0! +#32985500 +1! +#32985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32986000 +0! +#32986500 +1! +#32986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32987000 +0! +#32987500 +1! +#32987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32988000 +0! +#32988500 +1! +#32988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32989000 +0! +#32989500 +1! +#32989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32990000 +0! +#32990500 +1! +#32990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32991000 +0! +#32991500 +1! +#32991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32992000 +0! +#32992500 +1! +#32992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32993000 +0! +#32993500 +1! +#32993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32994000 +0! +#32994500 +1! +#32994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32995000 +0! +#32995500 +1! +#32995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32996000 +0! +#32996500 +1! +#32996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32997000 +0! +#32997500 +1! +#32997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#32998000 +0! +#32998500 +1! +#32998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#32999000 +0! +#32999500 +1! +#32999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33000000 +0! +#33000500 +1! +#33000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33001000 +0! +#33001500 +1! +#33001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33002000 +0! +#33002500 +1! +#33002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33003000 +0! +#33003500 +1! +#33003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33004000 +0! +#33004500 +1! +#33004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33005000 +0! +#33005500 +1! +#33005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33006000 +0! +#33006500 +1! +#33006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33007000 +0! +#33007500 +1! +#33007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33008000 +0! +#33008500 +1! +#33008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33009000 +0! +#33009500 +1! +#33009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33010000 +0! +#33010500 +1! +#33010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33011000 +0! +#33011500 +1! +#33011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33012000 +0! +#33012500 +1! +#33012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33013000 +0! +#33013500 +1! +#33013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33014000 +0! +#33014500 +1! +#33014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33015000 +0! +#33015500 +1! +#33015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33016000 +0! +#33016500 +1! +#33016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33017000 +0! +#33017500 +1! +#33017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33018000 +0! +#33018500 +1! +#33018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33019000 +0! +#33019500 +1! +#33019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33020000 +0! +#33020500 +1! +#33020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33021000 +0! +#33021500 +1! +#33021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33022000 +0! +#33022500 +1! +#33022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33023000 +0! +#33023500 +1! +#33023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33024000 +0! +#33024500 +1! +#33024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33025000 +0! +#33025500 +1! +#33025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33026000 +0! +#33026500 +1! +#33026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33027000 +0! +#33027500 +1! +#33027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33028000 +0! +#33028500 +1! +#33028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33029000 +0! +#33029500 +1! +#33029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33030000 +0! +#33030500 +1! +#33030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33031000 +0! +#33031500 +1! +#33031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33032000 +0! +#33032500 +1! +#33032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33033000 +0! +#33033500 +1! +#33033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33034000 +0! +#33034500 +1! +#33034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33035000 +0! +#33035500 +1! +#33035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33036000 +0! +#33036500 +1! +#33036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33037000 +0! +#33037500 +1! +#33037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33038000 +0! +#33038500 +1! +#33038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33039000 +0! +#33039500 +1! +#33039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33040000 +0! +#33040500 +1! +#33040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33041000 +0! +#33041500 +1! +#33041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33042000 +0! +#33042500 +1! +#33042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33043000 +0! +#33043500 +1! +#33043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33044000 +0! +#33044500 +1! +#33044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33045000 +0! +#33045500 +1! +#33045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33046000 +0! +#33046500 +1! +#33046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33047000 +0! +#33047500 +1! +#33047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33048000 +0! +#33048500 +1! +#33048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33049000 +0! +#33049500 +1! +#33049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33050000 +0! +#33050500 +1! +#33050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33051000 +0! +#33051500 +1! +#33051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33052000 +0! +#33052500 +1! +#33052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33053000 +0! +#33053500 +1! +#33053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33054000 +0! +#33054500 +1! +#33054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33055000 +0! +#33055500 +1! +#33055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33056000 +0! +#33056500 +1! +#33056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33057000 +0! +#33057500 +1! +#33057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33058000 +0! +#33058500 +1! +#33058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33059000 +0! +#33059500 +1! +#33059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33060000 +0! +#33060500 +1! +#33060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33061000 +0! +#33061500 +1! +#33061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33062000 +0! +#33062500 +1! +#33062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33063000 +0! +#33063500 +1! +#33063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33064000 +0! +#33064500 +1! +#33064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33065000 +0! +#33065500 +1! +#33065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33066000 +0! +#33066500 +1! +#33066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33067000 +0! +#33067500 +1! +#33067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33068000 +0! +#33068500 +1! +#33068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33069000 +0! +#33069500 +1! +#33069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33070000 +0! +#33070500 +1! +#33070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33071000 +0! +#33071500 +1! +#33071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33072000 +0! +#33072500 +1! +#33072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33073000 +0! +#33073500 +1! +#33073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33074000 +0! +#33074500 +1! +#33074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33075000 +0! +#33075500 +1! +#33075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33076000 +0! +#33076500 +1! +#33076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33077000 +0! +#33077500 +1! +#33077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33078000 +0! +#33078500 +1! +#33078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33079000 +0! +#33079500 +1! +#33079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33080000 +0! +#33080500 +1! +#33080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33081000 +0! +#33081500 +1! +#33081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33082000 +0! +#33082500 +1! +#33082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33083000 +0! +#33083500 +1! +#33083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33084000 +0! +#33084500 +1! +#33084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33085000 +0! +#33085500 +1! +#33085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33086000 +0! +#33086500 +1! +#33086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33087000 +0! +#33087500 +1! +#33087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33088000 +0! +#33088500 +1! +#33088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33089000 +0! +#33089500 +1! +#33089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33090000 +0! +#33090500 +1! +#33090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33091000 +0! +#33091500 +1! +#33091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33092000 +0! +#33092500 +1! +#33092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33093000 +0! +#33093500 +1! +#33093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33094000 +0! +#33094500 +1! +#33094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33095000 +0! +#33095500 +1! +#33095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33096000 +0! +#33096500 +1! +#33096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33097000 +0! +#33097500 +1! +#33097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33098000 +0! +#33098500 +1! +#33098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33099000 +0! +#33099500 +1! +#33099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33100000 +0! +#33100500 +1! +#33100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33101000 +0! +#33101500 +1! +#33101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33102000 +0! +#33102500 +1! +#33102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33103000 +0! +#33103500 +1! +#33103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33104000 +0! +#33104500 +1! +#33104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33105000 +0! +#33105500 +1! +#33105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33106000 +0! +#33106500 +1! +#33106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33107000 +0! +#33107500 +1! +#33107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33108000 +0! +#33108500 +1! +#33108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33109000 +0! +#33109500 +1! +#33109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33110000 +0! +#33110500 +1! +#33110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33111000 +0! +#33111500 +1! +#33111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33112000 +0! +#33112500 +1! +#33112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33113000 +0! +#33113500 +1! +#33113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33114000 +0! +#33114500 +1! +#33114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33115000 +0! +#33115500 +1! +#33115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33116000 +0! +#33116500 +1! +#33116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33117000 +0! +#33117500 +1! +#33117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33118000 +0! +#33118500 +1! +#33118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33119000 +0! +#33119500 +1! +#33119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33120000 +0! +#33120500 +1! +#33120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33121000 +0! +#33121500 +1! +#33121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33122000 +0! +#33122500 +1! +#33122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33123000 +0! +#33123500 +1! +#33123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33124000 +0! +#33124500 +1! +#33124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33125000 +0! +#33125500 +1! +#33125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33126000 +0! +#33126500 +1! +#33126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33127000 +0! +#33127500 +1! +#33127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#33127700 +bx1111111 ^ +b1 g +b0xxxxxxx c +#33128000 +0! +#33128500 +1! +#33128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33129000 +0! +#33129500 +1! +#33129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33130000 +0! +#33130500 +1! +#33130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33131000 +0! +#33131500 +1! +#33131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33132000 +0! +#33132500 +1! +#33132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33133000 +0! +#33133500 +1! +#33133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33134000 +0! +#33134500 +1! +#33134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33135000 +0! +#33135500 +1! +#33135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33136000 +0! +#33136500 +1! +#33136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33137000 +0! +#33137500 +1! +#33137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33138000 +0! +#33138500 +1! +#33138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33139000 +0! +#33139500 +1! +#33139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33140000 +0! +#33140500 +1! +#33140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33141000 +0! +#33141500 +1! +#33141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33142000 +0! +#33142500 +1! +#33142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33143000 +0! +#33143500 +1! +#33143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33144000 +0! +#33144500 +1! +#33144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33145000 +0! +#33145500 +1! +#33145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33146000 +0! +#33146500 +1! +#33146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33147000 +0! +#33147500 +1! +#33147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33148000 +0! +#33148500 +1! +#33148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33149000 +0! +#33149500 +1! +#33149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33150000 +0! +#33150500 +1! +#33150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33151000 +0! +#33151500 +1! +#33151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33152000 +0! +#33152500 +1! +#33152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33153000 +0! +#33153500 +1! +#33153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33154000 +0! +#33154500 +1! +#33154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33155000 +0! +#33155500 +1! +#33155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33156000 +0! +#33156500 +1! +#33156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33157000 +0! +#33157500 +1! +#33157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33158000 +0! +#33158500 +1! +#33158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33159000 +0! +#33159500 +1! +#33159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33160000 +0! +#33160500 +1! +#33160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33161000 +0! +#33161500 +1! +#33161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33162000 +0! +#33162500 +1! +#33162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33163000 +0! +#33163500 +1! +#33163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33164000 +0! +#33164500 +1! +#33164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33165000 +0! +#33165500 +1! +#33165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33166000 +0! +#33166500 +1! +#33166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33167000 +0! +#33167500 +1! +#33167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33168000 +0! +#33168500 +1! +#33168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33169000 +0! +#33169500 +1! +#33169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33170000 +0! +#33170500 +1! +#33170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33171000 +0! +#33171500 +1! +#33171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33172000 +0! +#33172500 +1! +#33172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33173000 +0! +#33173500 +1! +#33173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33174000 +0! +#33174500 +1! +#33174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33175000 +0! +#33175500 +1! +#33175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33176000 +0! +#33176500 +1! +#33176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33177000 +0! +#33177500 +1! +#33177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33178000 +0! +#33178500 +1! +#33178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33179000 +0! +#33179500 +1! +#33179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33180000 +0! +#33180500 +1! +#33180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33181000 +0! +#33181500 +1! +#33181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33182000 +0! +#33182500 +1! +#33182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33183000 +0! +#33183500 +1! +#33183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33184000 +0! +#33184500 +1! +#33184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33185000 +0! +#33185500 +1! +#33185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33186000 +0! +#33186500 +1! +#33186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33187000 +0! +#33187500 +1! +#33187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33188000 +0! +#33188500 +1! +#33188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33189000 +0! +#33189500 +1! +#33189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33190000 +0! +#33190500 +1! +#33190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33191000 +0! +#33191500 +1! +#33191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33192000 +0! +#33192500 +1! +#33192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33193000 +0! +#33193500 +1! +#33193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33194000 +0! +#33194500 +1! +#33194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33195000 +0! +#33195500 +1! +#33195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33196000 +0! +#33196500 +1! +#33196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33197000 +0! +#33197500 +1! +#33197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33198000 +0! +#33198500 +1! +#33198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33199000 +0! +#33199500 +1! +#33199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33200000 +0! +#33200500 +1! +#33200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33201000 +0! +#33201500 +1! +#33201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33202000 +0! +#33202500 +1! +#33202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33203000 +0! +#33203500 +1! +#33203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33204000 +0! +#33204500 +1! +#33204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33205000 +0! +#33205500 +1! +#33205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33206000 +0! +#33206500 +1! +#33206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33207000 +0! +#33207500 +1! +#33207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33208000 +0! +#33208500 +1! +#33208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33209000 +0! +#33209500 +1! +#33209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33210000 +0! +#33210500 +1! +#33210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33211000 +0! +#33211500 +1! +#33211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33212000 +0! +#33212500 +1! +#33212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33213000 +0! +#33213500 +1! +#33213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33214000 +0! +#33214500 +1! +#33214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33215000 +0! +#33215500 +1! +#33215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33216000 +0! +#33216500 +1! +#33216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33217000 +0! +#33217500 +1! +#33217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33218000 +0! +#33218500 +1! +#33218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33219000 +0! +#33219500 +1! +#33219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33220000 +0! +#33220500 +1! +#33220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33221000 +0! +#33221500 +1! +#33221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33222000 +0! +#33222500 +1! +#33222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33223000 +0! +#33223500 +1! +#33223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33224000 +0! +#33224500 +1! +#33224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33225000 +0! +#33225500 +1! +#33225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33226000 +0! +#33226500 +1! +#33226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33227000 +0! +#33227500 +1! +#33227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33228000 +0! +#33228500 +1! +#33228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33229000 +0! +#33229500 +1! +#33229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#33229700 +1j +xj +#33230000 +0! +#33230500 +1! +#33230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33231000 +0! +#33231500 +1! +#33231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33232000 +0! +#33232500 +1! +#33232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33233000 +0! +#33233500 +1! +#33233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33234000 +0! +#33234500 +1! +#33234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33235000 +0! +#33235500 +1! +#33235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33236000 +0! +#33236500 +1! +#33236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33237000 +0! +#33237500 +1! +#33237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33238000 +0! +#33238500 +1! +#33238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33239000 +0! +#33239500 +1! +#33239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33240000 +0! +#33240500 +1! +#33240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33241000 +0! +#33241500 +1! +#33241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33242000 +0! +#33242500 +1! +#33242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33243000 +0! +#33243500 +1! +#33243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33244000 +0! +#33244500 +1! +#33244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33245000 +0! +#33245500 +1! +#33245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33246000 +0! +#33246500 +1! +#33246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33247000 +0! +#33247500 +1! +#33247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33248000 +0! +#33248500 +1! +#33248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33249000 +0! +#33249500 +1! +#33249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33250000 +0! +#33250500 +1! +#33250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33251000 +0! +#33251500 +1! +#33251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33252000 +0! +#33252500 +1! +#33252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33253000 +0! +#33253500 +1! +#33253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33254000 +0! +#33254500 +1! +#33254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33255000 +0! +#33255500 +1! +#33255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33256000 +0! +#33256500 +1! +#33256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33257000 +0! +#33257500 +1! +#33257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33258000 +0! +#33258500 +1! +#33258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33259000 +0! +#33259500 +1! +#33259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33260000 +0! +#33260500 +1! +#33260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33261000 +0! +#33261500 +1! +#33261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33262000 +0! +#33262500 +1! +#33262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33263000 +0! +#33263500 +1! +#33263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33264000 +0! +#33264500 +1! +#33264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33265000 +0! +#33265500 +1! +#33265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33266000 +0! +#33266500 +1! +#33266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33267000 +0! +#33267500 +1! +#33267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33268000 +0! +#33268500 +1! +#33268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33269000 +0! +#33269500 +1! +#33269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33270000 +0! +#33270500 +1! +#33270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33271000 +0! +#33271500 +1! +#33271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33272000 +0! +#33272500 +1! +#33272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33273000 +0! +#33273500 +1! +#33273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33274000 +0! +#33274500 +1! +#33274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33275000 +0! +#33275500 +1! +#33275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33276000 +0! +#33276500 +1! +#33276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33277000 +0! +#33277500 +1! +#33277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33278000 +0! +#33278500 +1! +#33278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33279000 +0! +#33279500 +1! +#33279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33280000 +0! +#33280500 +1! +#33280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33281000 +0! +#33281500 +1! +#33281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33282000 +0! +#33282500 +1! +#33282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33283000 +0! +#33283500 +1! +#33283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33284000 +0! +#33284500 +1! +#33284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33285000 +0! +#33285500 +1! +#33285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33286000 +0! +#33286500 +1! +#33286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33287000 +0! +#33287500 +1! +#33287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33288000 +0! +#33288500 +1! +#33288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33289000 +0! +#33289500 +1! +#33289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33290000 +0! +#33290500 +1! +#33290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33291000 +0! +#33291500 +1! +#33291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33292000 +0! +#33292500 +1! +#33292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33293000 +0! +#33293500 +1! +#33293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33294000 +0! +#33294500 +1! +#33294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33295000 +0! +#33295500 +1! +#33295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33296000 +0! +#33296500 +1! +#33296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33297000 +0! +#33297500 +1! +#33297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33298000 +0! +#33298500 +1! +#33298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33299000 +0! +#33299500 +1! +#33299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33300000 +0! +#33300500 +1! +#33300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33301000 +0! +#33301500 +1! +#33301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33302000 +0! +#33302500 +1! +#33302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33303000 +0! +#33303500 +1! +#33303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33304000 +0! +#33304500 +1! +#33304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33305000 +0! +#33305500 +1! +#33305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33306000 +0! +#33306500 +1! +#33306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33307000 +0! +#33307500 +1! +#33307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33308000 +0! +#33308500 +1! +#33308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33309000 +0! +#33309500 +1! +#33309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33310000 +0! +#33310500 +1! +#33310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33311000 +0! +#33311500 +1! +#33311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33312000 +0! +#33312500 +1! +#33312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33313000 +0! +#33313500 +1! +#33313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33314000 +0! +#33314500 +1! +#33314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33315000 +0! +#33315500 +1! +#33315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33316000 +0! +#33316500 +1! +#33316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33317000 +0! +#33317500 +1! +#33317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33318000 +0! +#33318500 +1! +#33318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33319000 +0! +#33319500 +1! +#33319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33320000 +0! +#33320500 +1! +#33320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33321000 +0! +#33321500 +1! +#33321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33322000 +0! +#33322500 +1! +#33322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33323000 +0! +#33323500 +1! +#33323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33324000 +0! +#33324500 +1! +#33324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33325000 +0! +#33325500 +1! +#33325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33326000 +0! +#33326500 +1! +#33326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33327000 +0! +#33327500 +1! +#33327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33328000 +0! +#33328500 +1! +#33328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33329000 +0! +#33329500 +1! +#33329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33330000 +0! +#33330500 +1! +#33330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33331000 +0! +#33331500 +1! +#33331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33332000 +0! +#33332500 +1! +#33332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33333000 +0! +#33333500 +1! +#33333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33334000 +0! +#33334500 +1! +#33334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33335000 +0! +#33335500 +1! +#33335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33336000 +0! +#33336500 +1! +#33336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33337000 +0! +#33337500 +1! +#33337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33338000 +0! +#33338500 +1! +#33338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33339000 +0! +#33339500 +1! +#33339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33340000 +0! +#33340500 +1! +#33340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33341000 +0! +#33341500 +1! +#33341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33342000 +0! +#33342500 +1! +#33342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33343000 +0! +#33343500 +1! +#33343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33344000 +0! +#33344500 +1! +#33344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33345000 +0! +#33345500 +1! +#33345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33346000 +0! +#33346500 +1! +#33346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33347000 +0! +#33347500 +1! +#33347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33348000 +0! +#33348500 +1! +#33348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33349000 +0! +#33349500 +1! +#33349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33350000 +0! +#33350500 +1! +#33350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33351000 +0! +#33351500 +1! +#33351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33352000 +0! +#33352500 +1! +#33352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33353000 +0! +#33353500 +1! +#33353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33354000 +0! +#33354500 +1! +#33354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33355000 +0! +#33355500 +1! +#33355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33356000 +0! +#33356500 +1! +#33356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33357000 +0! +#33357500 +1! +#33357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33358000 +0! +#33358500 +1! +#33358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33359000 +0! +#33359500 +1! +#33359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33360000 +0! +#33360500 +1! +#33360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33361000 +0! +#33361500 +1! +#33361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33362000 +0! +#33362500 +1! +#33362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33363000 +0! +#33363500 +1! +#33363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33364000 +0! +#33364500 +1! +#33364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33365000 +0! +#33365500 +1! +#33365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33366000 +0! +#33366500 +1! +#33366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33367000 +0! +#33367500 +1! +#33367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33368000 +0! +#33368500 +1! +#33368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33369000 +0! +#33369500 +1! +#33369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33370000 +0! +#33370500 +1! +#33370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33371000 +0! +#33371500 +1! +#33371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33372000 +0! +#33372500 +1! +#33372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33373000 +0! +#33373500 +1! +#33373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33374000 +0! +#33374500 +1! +#33374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33375000 +0! +#33375500 +1! +#33375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33376000 +0! +#33376500 +1! +#33376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33377000 +0! +#33377500 +1! +#33377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33378000 +0! +#33378500 +1! +#33378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33379000 +0! +#33379500 +1! +#33379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33380000 +0! +#33380500 +1! +#33380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33381000 +0! +#33381500 +1! +#33381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33382000 +0! +#33382500 +1! +#33382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#33382700 +b11111111 ^ +b0 g +bx c +xe +1h +#33383000 +0! +#33383500 +1! +#33383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33384000 +0! +#33384500 +1! +#33384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33385000 +0! +#33385500 +1! +#33385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33386000 +0! +#33386500 +1! +#33386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33387000 +0! +#33387500 +1! +#33387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33388000 +0! +#33388500 +1! +#33388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33389000 +0! +#33389500 +1! +#33389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33390000 +0! +#33390500 +1! +#33390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33391000 +0! +#33391500 +1! +#33391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33392000 +0! +#33392500 +1! +#33392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33393000 +0! +#33393500 +1! +#33393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33394000 +0! +#33394500 +1! +#33394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33395000 +0! +#33395500 +1! +#33395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33396000 +0! +#33396500 +1! +#33396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33397000 +0! +#33397500 +1! +#33397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33398000 +0! +#33398500 +1! +#33398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33399000 +0! +#33399500 +1! +#33399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33400000 +0! +#33400500 +1! +#33400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33401000 +0! +#33401500 +1! +#33401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33402000 +0! +#33402500 +1! +#33402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33403000 +0! +#33403500 +1! +#33403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33404000 +0! +#33404500 +1! +#33404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33405000 +0! +#33405500 +1! +#33405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33406000 +0! +#33406500 +1! +#33406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33407000 +0! +#33407500 +1! +#33407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33408000 +0! +#33408500 +1! +#33408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33409000 +0! +#33409500 +1! +#33409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33410000 +0! +#33410500 +1! +#33410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33411000 +0! +#33411500 +1! +#33411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33412000 +0! +#33412500 +1! +#33412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33413000 +0! +#33413500 +1! +#33413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33414000 +0! +#33414500 +1! +#33414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33415000 +0! +#33415500 +1! +#33415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33416000 +0! +#33416500 +1! +#33416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33417000 +0! +#33417500 +1! +#33417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33418000 +0! +#33418500 +1! +#33418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33419000 +0! +#33419500 +1! +#33419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33420000 +0! +#33420500 +1! +#33420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33421000 +0! +#33421500 +1! +#33421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33422000 +0! +#33422500 +1! +#33422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33423000 +0! +#33423500 +1! +#33423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33424000 +0! +#33424500 +1! +#33424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33425000 +0! +#33425500 +1! +#33425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33426000 +0! +#33426500 +1! +#33426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33427000 +0! +#33427500 +1! +#33427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33428000 +0! +#33428500 +1! +#33428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33429000 +0! +#33429500 +1! +#33429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33430000 +0! +#33430500 +1! +#33430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33431000 +0! +#33431500 +1! +#33431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33432000 +0! +#33432500 +1! +#33432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33433000 +0! +#33433500 +1! +#33433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33434000 +0! +#33434500 +1! +#33434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33435000 +0! +#33435500 +1! +#33435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33436000 +0! +#33436500 +1! +#33436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33437000 +0! +#33437500 +1! +#33437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33438000 +0! +#33438500 +1! +#33438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33439000 +0! +#33439500 +1! +#33439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33440000 +0! +#33440500 +1! +#33440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33441000 +0! +#33441500 +1! +#33441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33442000 +0! +#33442500 +1! +#33442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33443000 +0! +#33443500 +1! +#33443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33444000 +0! +#33444500 +1! +#33444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33445000 +0! +#33445500 +1! +#33445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33446000 +0! +#33446500 +1! +#33446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33447000 +0! +#33447500 +1! +#33447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33448000 +0! +#33448500 +1! +#33448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33449000 +0! +#33449500 +1! +#33449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33450000 +0! +#33450500 +1! +#33450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33451000 +0! +#33451500 +1! +#33451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33452000 +0! +#33452500 +1! +#33452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33453000 +0! +#33453500 +1! +#33453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33454000 +0! +#33454500 +1! +#33454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33455000 +0! +#33455500 +1! +#33455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33456000 +0! +#33456500 +1! +#33456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33457000 +0! +#33457500 +1! +#33457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33458000 +0! +#33458500 +1! +#33458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33459000 +0! +#33459500 +1! +#33459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33460000 +0! +#33460500 +1! +#33460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33461000 +0! +#33461500 +1! +#33461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33462000 +0! +#33462500 +1! +#33462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33463000 +0! +#33463500 +1! +#33463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33464000 +0! +#33464500 +1! +#33464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33465000 +0! +#33465500 +1! +#33465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33466000 +0! +#33466500 +1! +#33466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33467000 +0! +#33467500 +1! +#33467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33468000 +0! +#33468500 +1! +#33468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33469000 +0! +#33469500 +1! +#33469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33470000 +0! +#33470500 +1! +#33470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33471000 +0! +#33471500 +1! +#33471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33472000 +0! +#33472500 +1! +#33472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33473000 +0! +#33473500 +1! +#33473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33474000 +0! +#33474500 +1! +#33474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33475000 +0! +#33475500 +1! +#33475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33476000 +0! +#33476500 +1! +#33476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33477000 +0! +#33477500 +1! +#33477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33478000 +0! +#33478500 +1! +#33478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33479000 +0! +#33479500 +1! +#33479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33480000 +0! +#33480500 +1! +#33480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33481000 +0! +#33481500 +1! +#33481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33482000 +0! +#33482500 +1! +#33482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33483000 +0! +#33483500 +1! +#33483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33484000 +0! +#33484500 +1! +#33484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#33484700 +1j +b101 q +1O +#33484800 +b100 ] +#33484900 +bx ^ +#33485000 +0! +#33485500 +1! +#33485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33486000 +0! +#33486500 +1! +#33486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33487000 +0! +#33487500 +1! +#33487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33488000 +0! +#33488500 +1! +#33488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33489000 +0! +#33489500 +1! +#33489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33490000 +0! +#33490500 +1! +#33490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33491000 +0! +#33491500 +1! +#33491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33492000 +0! +#33492500 +1! +#33492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33493000 +0! +#33493500 +1! +#33493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33494000 +0! +#33494500 +1! +#33494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33495000 +0! +#33495500 +1! +#33495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33496000 +0! +#33496500 +1! +#33496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33497000 +0! +#33497500 +1! +#33497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33498000 +0! +#33498500 +1! +#33498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33499000 +0! +#33499500 +1! +#33499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33500000 +0! +#33500500 +1! +#33500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33501000 +0! +#33501500 +1! +#33501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33502000 +0! +#33502500 +1! +#33502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33503000 +0! +#33503500 +1! +#33503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33504000 +0! +#33504500 +1! +#33504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33505000 +0! +#33505500 +1! +#33505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33506000 +0! +#33506500 +1! +#33506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33507000 +0! +#33507500 +1! +#33507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33508000 +0! +#33508500 +1! +#33508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33509000 +0! +#33509500 +1! +#33509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33510000 +0! +#33510500 +1! +#33510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33511000 +0! +#33511500 +1! +#33511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33512000 +0! +#33512500 +1! +#33512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33513000 +0! +#33513500 +1! +#33513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33514000 +0! +#33514500 +1! +#33514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33515000 +0! +#33515500 +1! +#33515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33516000 +0! +#33516500 +1! +#33516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33517000 +0! +#33517500 +1! +#33517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33518000 +0! +#33518500 +1! +#33518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33519000 +0! +#33519500 +1! +#33519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33520000 +0! +#33520500 +1! +#33520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33521000 +0! +#33521500 +1! +#33521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33522000 +0! +#33522500 +1! +#33522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33523000 +0! +#33523500 +1! +#33523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33524000 +0! +#33524500 +1! +#33524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33525000 +0! +#33525500 +1! +#33525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33526000 +0! +#33526500 +1! +#33526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33527000 +0! +#33527500 +1! +#33527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33528000 +0! +#33528500 +1! +#33528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33529000 +0! +#33529500 +1! +#33529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33530000 +0! +#33530500 +1! +#33530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33531000 +0! +#33531500 +1! +#33531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33532000 +0! +#33532500 +1! +#33532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33533000 +0! +#33533500 +1! +#33533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33534000 +0! +#33534500 +1! +#33534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33535000 +0! +#33535500 +1! +#33535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33536000 +0! +#33536500 +1! +#33536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33537000 +0! +#33537500 +1! +#33537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33538000 +0! +#33538500 +1! +#33538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33539000 +0! +#33539500 +1! +#33539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33540000 +0! +#33540500 +1! +#33540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33541000 +0! +#33541500 +1! +#33541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33542000 +0! +#33542500 +1! +#33542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33543000 +0! +#33543500 +1! +#33543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33544000 +0! +#33544500 +1! +#33544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33545000 +0! +#33545500 +1! +#33545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33546000 +0! +#33546500 +1! +#33546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33547000 +0! +#33547500 +1! +#33547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33548000 +0! +#33548500 +1! +#33548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33549000 +0! +#33549500 +1! +#33549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33550000 +0! +#33550500 +1! +#33550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33551000 +0! +#33551500 +1! +#33551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33552000 +0! +#33552500 +1! +#33552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33553000 +0! +#33553500 +1! +#33553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33554000 +0! +#33554500 +1! +#33554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33555000 +0! +#33555500 +1! +#33555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33556000 +0! +#33556500 +1! +#33556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33557000 +0! +#33557500 +1! +#33557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33558000 +0! +#33558500 +1! +#33558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33559000 +0! +#33559500 +1! +#33559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33560000 +0! +#33560500 +1! +#33560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33561000 +0! +#33561500 +1! +#33561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33562000 +0! +#33562500 +1! +#33562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33563000 +0! +#33563500 +1! +#33563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33564000 +0! +#33564500 +1! +#33564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33565000 +0! +#33565500 +1! +#33565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33566000 +0! +#33566500 +1! +#33566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33567000 +0! +#33567500 +1! +#33567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33568000 +0! +#33568500 +1! +#33568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33569000 +0! +#33569500 +1! +#33569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33570000 +0! +#33570500 +1! +#33570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33571000 +0! +#33571500 +1! +#33571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33572000 +0! +#33572500 +1! +#33572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33573000 +0! +#33573500 +1! +#33573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33574000 +0! +#33574500 +1! +#33574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33575000 +0! +#33575500 +1! +#33575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33576000 +0! +#33576500 +1! +#33576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33577000 +0! +#33577500 +1! +#33577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33578000 +0! +#33578500 +1! +#33578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33579000 +0! +#33579500 +1! +#33579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33580000 +0! +#33580500 +1! +#33580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33581000 +0! +#33581500 +1! +#33581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33582000 +0! +#33582500 +1! +#33582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33583000 +0! +#33583500 +1! +#33583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33584000 +0! +#33584500 +1! +#33584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33585000 +0! +#33585500 +1! +#33585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33586000 +0! +#33586500 +1! +#33586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#33587000 +0! +#33587500 +1! +#33587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33588000 +0! +#33588500 +1! +#33588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33589000 +0! +#33589500 +1! +#33589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33590000 +0! +#33590500 +1! +#33590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33591000 +0! +#33591500 +1! +#33591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33592000 +0! +#33592500 +1! +#33592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33593000 +0! +#33593500 +1! +#33593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33594000 +0! +#33594500 +1! +#33594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33595000 +0! +#33595500 +1! +#33595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33596000 +0! +#33596500 +1! +#33596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33597000 +0! +#33597500 +1! +#33597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33598000 +0! +#33598500 +1! +#33598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33599000 +0! +#33599500 +1! +#33599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33600000 +0! +#33600500 +1! +#33600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33601000 +0! +#33601500 +1! +#33601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33602000 +0! +#33602500 +1! +#33602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33603000 +0! +#33603500 +1! +#33603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33604000 +0! +#33604500 +1! +#33604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33605000 +0! +#33605500 +1! +#33605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33606000 +0! +#33606500 +1! +#33606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33607000 +0! +#33607500 +1! +#33607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33608000 +0! +#33608500 +1! +#33608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33609000 +0! +#33609500 +1! +#33609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33610000 +0! +#33610500 +1! +#33610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33611000 +0! +#33611500 +1! +#33611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33612000 +0! +#33612500 +1! +#33612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33613000 +0! +#33613500 +1! +#33613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33614000 +0! +#33614500 +1! +#33614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33615000 +0! +#33615500 +1! +#33615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33616000 +0! +#33616500 +1! +#33616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33617000 +0! +#33617500 +1! +#33617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33618000 +0! +#33618500 +1! +#33618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33619000 +0! +#33619500 +1! +#33619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33620000 +0! +#33620500 +1! +#33620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33621000 +0! +#33621500 +1! +#33621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33622000 +0! +#33622500 +1! +#33622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33623000 +0! +#33623500 +1! +#33623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33624000 +0! +#33624500 +1! +#33624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33625000 +0! +#33625500 +1! +#33625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33626000 +0! +#33626500 +1! +#33626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33627000 +0! +#33627500 +1! +#33627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33628000 +0! +#33628500 +1! +#33628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33629000 +0! +#33629500 +1! +#33629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33630000 +0! +#33630500 +1! +#33630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33631000 +0! +#33631500 +1! +#33631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33632000 +0! +#33632500 +1! +#33632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33633000 +0! +#33633500 +1! +#33633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33634000 +0! +#33634500 +1! +#33634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33635000 +0! +#33635500 +1! +#33635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33636000 +0! +#33636500 +1! +#33636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33637000 +0! +#33637500 +1! +#33637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#33637700 +b111 g +bx0 c +0h +#33638000 +0! +#33638500 +1! +#33638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33639000 +0! +#33639500 +1! +#33639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33640000 +0! +#33640500 +1! +#33640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33641000 +0! +#33641500 +1! +#33641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33642000 +0! +#33642500 +1! +#33642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33643000 +0! +#33643500 +1! +#33643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33644000 +0! +#33644500 +1! +#33644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33645000 +0! +#33645500 +1! +#33645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33646000 +0! +#33646500 +1! +#33646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33647000 +0! +#33647500 +1! +#33647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33648000 +0! +#33648500 +1! +#33648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33649000 +0! +#33649500 +1! +#33649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33650000 +0! +#33650500 +1! +#33650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33651000 +0! +#33651500 +1! +#33651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33652000 +0! +#33652500 +1! +#33652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33653000 +0! +#33653500 +1! +#33653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33654000 +0! +#33654500 +1! +#33654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33655000 +0! +#33655500 +1! +#33655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33656000 +0! +#33656500 +1! +#33656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33657000 +0! +#33657500 +1! +#33657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33658000 +0! +#33658500 +1! +#33658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33659000 +0! +#33659500 +1! +#33659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33660000 +0! +#33660500 +1! +#33660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33661000 +0! +#33661500 +1! +#33661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33662000 +0! +#33662500 +1! +#33662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33663000 +0! +#33663500 +1! +#33663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33664000 +0! +#33664500 +1! +#33664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33665000 +0! +#33665500 +1! +#33665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33666000 +0! +#33666500 +1! +#33666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33667000 +0! +#33667500 +1! +#33667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33668000 +0! +#33668500 +1! +#33668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33669000 +0! +#33669500 +1! +#33669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33670000 +0! +#33670500 +1! +#33670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33671000 +0! +#33671500 +1! +#33671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33672000 +0! +#33672500 +1! +#33672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33673000 +0! +#33673500 +1! +#33673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33674000 +0! +#33674500 +1! +#33674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33675000 +0! +#33675500 +1! +#33675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33676000 +0! +#33676500 +1! +#33676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33677000 +0! +#33677500 +1! +#33677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33678000 +0! +#33678500 +1! +#33678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33679000 +0! +#33679500 +1! +#33679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33680000 +0! +#33680500 +1! +#33680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33681000 +0! +#33681500 +1! +#33681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33682000 +0! +#33682500 +1! +#33682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33683000 +0! +#33683500 +1! +#33683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33684000 +0! +#33684500 +1! +#33684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33685000 +0! +#33685500 +1! +#33685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33686000 +0! +#33686500 +1! +#33686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33687000 +0! +#33687500 +1! +#33687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33688000 +0! +#33688500 +1! +#33688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33689000 +0! +#33689500 +1! +#33689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33690000 +0! +#33690500 +1! +#33690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33691000 +0! +#33691500 +1! +#33691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33692000 +0! +#33692500 +1! +#33692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33693000 +0! +#33693500 +1! +#33693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33694000 +0! +#33694500 +1! +#33694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33695000 +0! +#33695500 +1! +#33695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33696000 +0! +#33696500 +1! +#33696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33697000 +0! +#33697500 +1! +#33697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33698000 +0! +#33698500 +1! +#33698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33699000 +0! +#33699500 +1! +#33699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33700000 +0! +#33700500 +1! +#33700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33701000 +0! +#33701500 +1! +#33701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33702000 +0! +#33702500 +1! +#33702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33703000 +0! +#33703500 +1! +#33703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33704000 +0! +#33704500 +1! +#33704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33705000 +0! +#33705500 +1! +#33705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33706000 +0! +#33706500 +1! +#33706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33707000 +0! +#33707500 +1! +#33707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33708000 +0! +#33708500 +1! +#33708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33709000 +0! +#33709500 +1! +#33709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33710000 +0! +#33710500 +1! +#33710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33711000 +0! +#33711500 +1! +#33711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33712000 +0! +#33712500 +1! +#33712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33713000 +0! +#33713500 +1! +#33713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33714000 +0! +#33714500 +1! +#33714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33715000 +0! +#33715500 +1! +#33715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33716000 +0! +#33716500 +1! +#33716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33717000 +0! +#33717500 +1! +#33717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33718000 +0! +#33718500 +1! +#33718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33719000 +0! +#33719500 +1! +#33719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33720000 +0! +#33720500 +1! +#33720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33721000 +0! +#33721500 +1! +#33721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33722000 +0! +#33722500 +1! +#33722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33723000 +0! +#33723500 +1! +#33723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33724000 +0! +#33724500 +1! +#33724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33725000 +0! +#33725500 +1! +#33725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33726000 +0! +#33726500 +1! +#33726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33727000 +0! +#33727500 +1! +#33727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33728000 +0! +#33728500 +1! +#33728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33729000 +0! +#33729500 +1! +#33729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33730000 +0! +#33730500 +1! +#33730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33731000 +0! +#33731500 +1! +#33731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33732000 +0! +#33732500 +1! +#33732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33733000 +0! +#33733500 +1! +#33733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33734000 +0! +#33734500 +1! +#33734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33735000 +0! +#33735500 +1! +#33735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33736000 +0! +#33736500 +1! +#33736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33737000 +0! +#33737500 +1! +#33737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33738000 +0! +#33738500 +1! +#33738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33739000 +0! +#33739500 +1! +#33739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#33739700 +1i +b100 q +xj +xO +#33740000 +0! +#33740500 +1! +#33740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33741000 +0! +#33741500 +1! +#33741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +bx000011 C +#33742000 +0! +#33742500 +1! +#33742600 +bx000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33743000 +0! +#33743500 +1! +#33743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +bx000001 C +1H +#33744000 +0! +#33744500 +1! +#33744600 +bx000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33745000 +0! +#33745500 +1! +#33745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +bx C +1H +#33746000 +0! +#33746500 +1! +#33746600 +bx K +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33747000 +0! +#33747500 +1! +#33747600 +1E +1F +1G +b101000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +bx000001 C +1H +#33748000 +0! +#33748500 +1! +#33748600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33749000 +0! +#33749500 +1! +#33749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +bx000011 C +#33750000 +0! +#33750500 +1! +#33750600 +bx000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33751000 +0! +#33751500 +1! +#33751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33752000 +0! +#33752500 +1! +#33752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33753000 +0! +#33753500 +1! +#33753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33754000 +0! +#33754500 +1! +#33754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33755000 +0! +#33755500 +1! +#33755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33756000 +0! +#33756500 +1! +#33756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33757000 +0! +#33757500 +1! +#33757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33758000 +0! +#33758500 +1! +#33758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33759000 +0! +#33759500 +1! +#33759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33760000 +0! +#33760500 +1! +#33760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33761000 +0! +#33761500 +1! +#33761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33762000 +0! +#33762500 +1! +#33762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33763000 +0! +#33763500 +1! +#33763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33764000 +0! +#33764500 +1! +#33764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33765000 +0! +#33765500 +1! +#33765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33766000 +0! +#33766500 +1! +#33766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33767000 +0! +#33767500 +1! +#33767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33768000 +0! +#33768500 +1! +#33768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33769000 +0! +#33769500 +1! +#33769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33770000 +0! +#33770500 +1! +#33770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33771000 +0! +#33771500 +1! +#33771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33772000 +0! +#33772500 +1! +#33772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33773000 +0! +#33773500 +1! +#33773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33774000 +0! +#33774500 +1! +#33774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33775000 +0! +#33775500 +1! +#33775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33776000 +0! +#33776500 +1! +#33776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33777000 +0! +#33777500 +1! +#33777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33778000 +0! +#33778500 +1! +#33778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33779000 +0! +#33779500 +1! +#33779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33780000 +0! +#33780500 +1! +#33780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33781000 +0! +#33781500 +1! +#33781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33782000 +0! +#33782500 +1! +#33782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33783000 +0! +#33783500 +1! +#33783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33784000 +0! +#33784500 +1! +#33784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33785000 +0! +#33785500 +1! +#33785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33786000 +0! +#33786500 +1! +#33786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33787000 +0! +#33787500 +1! +#33787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33788000 +0! +#33788500 +1! +#33788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33789000 +0! +#33789500 +1! +#33789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33790000 +0! +#33790500 +1! +#33790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33791000 +0! +#33791500 +1! +#33791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33792000 +0! +#33792500 +1! +#33792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33793000 +0! +#33793500 +1! +#33793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33794000 +0! +#33794500 +1! +#33794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33795000 +0! +#33795500 +1! +#33795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33796000 +0! +#33796500 +1! +#33796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33797000 +0! +#33797500 +1! +#33797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33798000 +0! +#33798500 +1! +#33798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33799000 +0! +#33799500 +1! +#33799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33800000 +0! +#33800500 +1! +#33800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33801000 +0! +#33801500 +1! +#33801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33802000 +0! +#33802500 +1! +#33802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33803000 +0! +#33803500 +1! +#33803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33804000 +0! +#33804500 +1! +#33804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33805000 +0! +#33805500 +1! +#33805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33806000 +0! +#33806500 +1! +#33806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33807000 +0! +#33807500 +1! +#33807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33808000 +0! +#33808500 +1! +#33808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33809000 +0! +#33809500 +1! +#33809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33810000 +0! +#33810500 +1! +#33810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33811000 +0! +#33811500 +1! +#33811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33812000 +0! +#33812500 +1! +#33812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33813000 +0! +#33813500 +1! +#33813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33814000 +0! +#33814500 +1! +#33814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33815000 +0! +#33815500 +1! +#33815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33816000 +0! +#33816500 +1! +#33816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33817000 +0! +#33817500 +1! +#33817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33818000 +0! +#33818500 +1! +#33818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33819000 +0! +#33819500 +1! +#33819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33820000 +0! +#33820500 +1! +#33820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33821000 +0! +#33821500 +1! +#33821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33822000 +0! +#33822500 +1! +#33822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33823000 +0! +#33823500 +1! +#33823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33824000 +0! +#33824500 +1! +#33824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33825000 +0! +#33825500 +1! +#33825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33826000 +0! +#33826500 +1! +#33826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33827000 +0! +#33827500 +1! +#33827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33828000 +0! +#33828500 +1! +#33828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33829000 +0! +#33829500 +1! +#33829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33830000 +0! +#33830500 +1! +#33830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33831000 +0! +#33831500 +1! +#33831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33832000 +0! +#33832500 +1! +#33832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33833000 +0! +#33833500 +1! +#33833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33834000 +0! +#33834500 +1! +#33834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33835000 +0! +#33835500 +1! +#33835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33836000 +0! +#33836500 +1! +#33836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33837000 +0! +#33837500 +1! +#33837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33838000 +0! +#33838500 +1! +#33838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33839000 +0! +#33839500 +1! +#33839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33840000 +0! +#33840500 +1! +#33840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33841000 +0! +#33841500 +1! +#33841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +#33842000 +0! +#33842500 +1! +#33842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33843000 +0! +#33843500 +1! +#33843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33844000 +0! +#33844500 +1! +#33844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33845000 +0! +#33845500 +1! +#33845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33846000 +0! +#33846500 +1! +#33846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33847000 +0! +#33847500 +1! +#33847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33848000 +0! +#33848500 +1! +#33848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33849000 +0! +#33849500 +1! +#33849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33850000 +0! +#33850500 +1! +#33850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33851000 +0! +#33851500 +1! +#33851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33852000 +0! +#33852500 +1! +#33852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33853000 +0! +#33853500 +1! +#33853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33854000 +0! +#33854500 +1! +#33854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33855000 +0! +#33855500 +1! +#33855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33856000 +0! +#33856500 +1! +#33856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33857000 +0! +#33857500 +1! +#33857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33858000 +0! +#33858500 +1! +#33858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33859000 +0! +#33859500 +1! +#33859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33860000 +0! +#33860500 +1! +#33860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33861000 +0! +#33861500 +1! +#33861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33862000 +0! +#33862500 +1! +#33862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33863000 +0! +#33863500 +1! +#33863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33864000 +0! +#33864500 +1! +#33864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33865000 +0! +#33865500 +1! +#33865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33866000 +0! +#33866500 +1! +#33866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33867000 +0! +#33867500 +1! +#33867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33868000 +0! +#33868500 +1! +#33868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33869000 +0! +#33869500 +1! +#33869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33870000 +0! +#33870500 +1! +#33870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33871000 +0! +#33871500 +1! +#33871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33872000 +0! +#33872500 +1! +#33872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33873000 +0! +#33873500 +1! +#33873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33874000 +0! +#33874500 +1! +#33874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33875000 +0! +#33875500 +1! +#33875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33876000 +0! +#33876500 +1! +#33876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33877000 +0! +#33877500 +1! +#33877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33878000 +0! +#33878500 +1! +#33878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33879000 +0! +#33879500 +1! +#33879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33880000 +0! +#33880500 +1! +#33880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33881000 +0! +#33881500 +1! +#33881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33882000 +0! +#33882500 +1! +#33882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33883000 +0! +#33883500 +1! +#33883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33884000 +0! +#33884500 +1! +#33884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33885000 +0! +#33885500 +1! +#33885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33886000 +0! +#33886500 +1! +#33886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33887000 +0! +#33887500 +1! +#33887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33888000 +0! +#33888500 +1! +#33888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33889000 +0! +#33889500 +1! +#33889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33890000 +0! +#33890500 +1! +#33890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33891000 +0! +#33891500 +1! +#33891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33892000 +0! +#33892500 +1! +#33892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#33892700 +bx1 ^ +bx0x c +#33893000 +0! +#33893500 +1! +#33893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33894000 +0! +#33894500 +1! +#33894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33895000 +0! +#33895500 +1! +#33895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33896000 +0! +#33896500 +1! +#33896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33897000 +0! +#33897500 +1! +#33897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33898000 +0! +#33898500 +1! +#33898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33899000 +0! +#33899500 +1! +#33899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33900000 +0! +#33900500 +1! +#33900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33901000 +0! +#33901500 +1! +#33901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33902000 +0! +#33902500 +1! +#33902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33903000 +0! +#33903500 +1! +#33903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33904000 +0! +#33904500 +1! +#33904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33905000 +0! +#33905500 +1! +#33905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33906000 +0! +#33906500 +1! +#33906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33907000 +0! +#33907500 +1! +#33907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33908000 +0! +#33908500 +1! +#33908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33909000 +0! +#33909500 +1! +#33909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33910000 +0! +#33910500 +1! +#33910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33911000 +0! +#33911500 +1! +#33911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33912000 +0! +#33912500 +1! +#33912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33913000 +0! +#33913500 +1! +#33913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33914000 +0! +#33914500 +1! +#33914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33915000 +0! +#33915500 +1! +#33915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33916000 +0! +#33916500 +1! +#33916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33917000 +0! +#33917500 +1! +#33917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33918000 +0! +#33918500 +1! +#33918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33919000 +0! +#33919500 +1! +#33919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33920000 +0! +#33920500 +1! +#33920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33921000 +0! +#33921500 +1! +#33921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33922000 +0! +#33922500 +1! +#33922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33923000 +0! +#33923500 +1! +#33923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33924000 +0! +#33924500 +1! +#33924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33925000 +0! +#33925500 +1! +#33925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33926000 +0! +#33926500 +1! +#33926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33927000 +0! +#33927500 +1! +#33927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33928000 +0! +#33928500 +1! +#33928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33929000 +0! +#33929500 +1! +#33929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33930000 +0! +#33930500 +1! +#33930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33931000 +0! +#33931500 +1! +#33931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33932000 +0! +#33932500 +1! +#33932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33933000 +0! +#33933500 +1! +#33933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33934000 +0! +#33934500 +1! +#33934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33935000 +0! +#33935500 +1! +#33935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33936000 +0! +#33936500 +1! +#33936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33937000 +0! +#33937500 +1! +#33937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33938000 +0! +#33938500 +1! +#33938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33939000 +0! +#33939500 +1! +#33939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33940000 +0! +#33940500 +1! +#33940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33941000 +0! +#33941500 +1! +#33941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33942000 +0! +#33942500 +1! +#33942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33943000 +0! +#33943500 +1! +#33943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33944000 +0! +#33944500 +1! +#33944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33945000 +0! +#33945500 +1! +#33945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33946000 +0! +#33946500 +1! +#33946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33947000 +0! +#33947500 +1! +#33947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33948000 +0! +#33948500 +1! +#33948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33949000 +0! +#33949500 +1! +#33949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33950000 +0! +#33950500 +1! +#33950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33951000 +0! +#33951500 +1! +#33951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33952000 +0! +#33952500 +1! +#33952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33953000 +0! +#33953500 +1! +#33953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33954000 +0! +#33954500 +1! +#33954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33955000 +0! +#33955500 +1! +#33955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33956000 +0! +#33956500 +1! +#33956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33957000 +0! +#33957500 +1! +#33957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33958000 +0! +#33958500 +1! +#33958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33959000 +0! +#33959500 +1! +#33959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33960000 +0! +#33960500 +1! +#33960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33961000 +0! +#33961500 +1! +#33961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33962000 +0! +#33962500 +1! +#33962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33963000 +0! +#33963500 +1! +#33963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33964000 +0! +#33964500 +1! +#33964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33965000 +0! +#33965500 +1! +#33965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33966000 +0! +#33966500 +1! +#33966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33967000 +0! +#33967500 +1! +#33967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33968000 +0! +#33968500 +1! +#33968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33969000 +0! +#33969500 +1! +#33969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33970000 +0! +#33970500 +1! +#33970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33971000 +0! +#33971500 +1! +#33971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33972000 +0! +#33972500 +1! +#33972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33973000 +0! +#33973500 +1! +#33973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33974000 +0! +#33974500 +1! +#33974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33975000 +0! +#33975500 +1! +#33975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33976000 +0! +#33976500 +1! +#33976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33977000 +0! +#33977500 +1! +#33977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33978000 +0! +#33978500 +1! +#33978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33979000 +0! +#33979500 +1! +#33979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33980000 +0! +#33980500 +1! +#33980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33981000 +0! +#33981500 +1! +#33981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33982000 +0! +#33982500 +1! +#33982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33983000 +0! +#33983500 +1! +#33983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33984000 +0! +#33984500 +1! +#33984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33985000 +0! +#33985500 +1! +#33985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33986000 +0! +#33986500 +1! +#33986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33987000 +0! +#33987500 +1! +#33987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33988000 +0! +#33988500 +1! +#33988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33989000 +0! +#33989500 +1! +#33989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33990000 +0! +#33990500 +1! +#33990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33991000 +0! +#33991500 +1! +#33991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33992000 +0! +#33992500 +1! +#33992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33993000 +0! +#33993500 +1! +#33993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33994000 +0! +#33994500 +1! +#33994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#33994700 +1j +0i +xj +#33995000 +0! +#33995500 +1! +#33995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33996000 +0! +#33996500 +1! +#33996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33997000 +0! +#33997500 +1! +#33997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#33998000 +0! +#33998500 +1! +#33998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#33999000 +0! +#33999500 +1! +#33999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34000000 +0! +#34000500 +1! +#34000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34001000 +0! +#34001500 +1! +#34001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34002000 +0! +#34002500 +1! +#34002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34003000 +0! +#34003500 +1! +#34003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34004000 +0! +#34004500 +1! +#34004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34005000 +0! +#34005500 +1! +#34005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34006000 +0! +#34006500 +1! +#34006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34007000 +0! +#34007500 +1! +#34007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34008000 +0! +#34008500 +1! +#34008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34009000 +0! +#34009500 +1! +#34009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34010000 +0! +#34010500 +1! +#34010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34011000 +0! +#34011500 +1! +#34011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34012000 +0! +#34012500 +1! +#34012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34013000 +0! +#34013500 +1! +#34013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34014000 +0! +#34014500 +1! +#34014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34015000 +0! +#34015500 +1! +#34015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34016000 +0! +#34016500 +1! +#34016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34017000 +0! +#34017500 +1! +#34017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34018000 +0! +#34018500 +1! +#34018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34019000 +0! +#34019500 +1! +#34019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34020000 +0! +#34020500 +1! +#34020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34021000 +0! +#34021500 +1! +#34021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34022000 +0! +#34022500 +1! +#34022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34023000 +0! +#34023500 +1! +#34023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34024000 +0! +#34024500 +1! +#34024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34025000 +0! +#34025500 +1! +#34025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34026000 +0! +#34026500 +1! +#34026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34027000 +0! +#34027500 +1! +#34027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34028000 +0! +#34028500 +1! +#34028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34029000 +0! +#34029500 +1! +#34029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34030000 +0! +#34030500 +1! +#34030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34031000 +0! +#34031500 +1! +#34031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34032000 +0! +#34032500 +1! +#34032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34033000 +0! +#34033500 +1! +#34033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34034000 +0! +#34034500 +1! +#34034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34035000 +0! +#34035500 +1! +#34035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34036000 +0! +#34036500 +1! +#34036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34037000 +0! +#34037500 +1! +#34037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34038000 +0! +#34038500 +1! +#34038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34039000 +0! +#34039500 +1! +#34039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34040000 +0! +#34040500 +1! +#34040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34041000 +0! +#34041500 +1! +#34041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34042000 +0! +#34042500 +1! +#34042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34043000 +0! +#34043500 +1! +#34043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34044000 +0! +#34044500 +1! +#34044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34045000 +0! +#34045500 +1! +#34045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34046000 +0! +#34046500 +1! +#34046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34047000 +0! +#34047500 +1! +#34047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34048000 +0! +#34048500 +1! +#34048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34049000 +0! +#34049500 +1! +#34049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34050000 +0! +#34050500 +1! +#34050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34051000 +0! +#34051500 +1! +#34051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34052000 +0! +#34052500 +1! +#34052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34053000 +0! +#34053500 +1! +#34053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34054000 +0! +#34054500 +1! +#34054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34055000 +0! +#34055500 +1! +#34055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34056000 +0! +#34056500 +1! +#34056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34057000 +0! +#34057500 +1! +#34057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34058000 +0! +#34058500 +1! +#34058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34059000 +0! +#34059500 +1! +#34059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34060000 +0! +#34060500 +1! +#34060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34061000 +0! +#34061500 +1! +#34061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34062000 +0! +#34062500 +1! +#34062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34063000 +0! +#34063500 +1! +#34063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34064000 +0! +#34064500 +1! +#34064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34065000 +0! +#34065500 +1! +#34065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34066000 +0! +#34066500 +1! +#34066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34067000 +0! +#34067500 +1! +#34067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34068000 +0! +#34068500 +1! +#34068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34069000 +0! +#34069500 +1! +#34069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34070000 +0! +#34070500 +1! +#34070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34071000 +0! +#34071500 +1! +#34071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34072000 +0! +#34072500 +1! +#34072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34073000 +0! +#34073500 +1! +#34073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34074000 +0! +#34074500 +1! +#34074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34075000 +0! +#34075500 +1! +#34075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34076000 +0! +#34076500 +1! +#34076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34077000 +0! +#34077500 +1! +#34077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34078000 +0! +#34078500 +1! +#34078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34079000 +0! +#34079500 +1! +#34079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34080000 +0! +#34080500 +1! +#34080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34081000 +0! +#34081500 +1! +#34081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34082000 +0! +#34082500 +1! +#34082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34083000 +0! +#34083500 +1! +#34083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34084000 +0! +#34084500 +1! +#34084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34085000 +0! +#34085500 +1! +#34085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34086000 +0! +#34086500 +1! +#34086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34087000 +0! +#34087500 +1! +#34087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34088000 +0! +#34088500 +1! +#34088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34089000 +0! +#34089500 +1! +#34089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34090000 +0! +#34090500 +1! +#34090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34091000 +0! +#34091500 +1! +#34091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34092000 +0! +#34092500 +1! +#34092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34093000 +0! +#34093500 +1! +#34093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34094000 +0! +#34094500 +1! +#34094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34095000 +0! +#34095500 +1! +#34095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34096000 +0! +#34096500 +1! +#34096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34097000 +0! +#34097500 +1! +#34097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34098000 +0! +#34098500 +1! +#34098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34099000 +0! +#34099500 +1! +#34099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34100000 +0! +#34100500 +1! +#34100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34101000 +0! +#34101500 +1! +#34101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34102000 +0! +#34102500 +1! +#34102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34103000 +0! +#34103500 +1! +#34103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34104000 +0! +#34104500 +1! +#34104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34105000 +0! +#34105500 +1! +#34105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34106000 +0! +#34106500 +1! +#34106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34107000 +0! +#34107500 +1! +#34107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34108000 +0! +#34108500 +1! +#34108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34109000 +0! +#34109500 +1! +#34109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34110000 +0! +#34110500 +1! +#34110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34111000 +0! +#34111500 +1! +#34111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34112000 +0! +#34112500 +1! +#34112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34113000 +0! +#34113500 +1! +#34113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34114000 +0! +#34114500 +1! +#34114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34115000 +0! +#34115500 +1! +#34115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34116000 +0! +#34116500 +1! +#34116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34117000 +0! +#34117500 +1! +#34117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34118000 +0! +#34118500 +1! +#34118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34119000 +0! +#34119500 +1! +#34119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34120000 +0! +#34120500 +1! +#34120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34121000 +0! +#34121500 +1! +#34121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34122000 +0! +#34122500 +1! +#34122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34123000 +0! +#34123500 +1! +#34123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34124000 +0! +#34124500 +1! +#34124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34125000 +0! +#34125500 +1! +#34125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34126000 +0! +#34126500 +1! +#34126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34127000 +0! +#34127500 +1! +#34127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34128000 +0! +#34128500 +1! +#34128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34129000 +0! +#34129500 +1! +#34129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34130000 +0! +#34130500 +1! +#34130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34131000 +0! +#34131500 +1! +#34131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34132000 +0! +#34132500 +1! +#34132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34133000 +0! +#34133500 +1! +#34133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34134000 +0! +#34134500 +1! +#34134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34135000 +0! +#34135500 +1! +#34135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34136000 +0! +#34136500 +1! +#34136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34137000 +0! +#34137500 +1! +#34137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34138000 +0! +#34138500 +1! +#34138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34139000 +0! +#34139500 +1! +#34139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34140000 +0! +#34140500 +1! +#34140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34141000 +0! +#34141500 +1! +#34141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34142000 +0! +#34142500 +1! +#34142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34143000 +0! +#34143500 +1! +#34143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34144000 +0! +#34144500 +1! +#34144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34145000 +0! +#34145500 +1! +#34145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34146000 +0! +#34146500 +1! +#34146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34147000 +0! +#34147500 +1! +#34147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#34147700 +bx11 ^ +b110 g +bx0xx c +#34148000 +0! +#34148500 +1! +#34148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34149000 +0! +#34149500 +1! +#34149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34150000 +0! +#34150500 +1! +#34150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34151000 +0! +#34151500 +1! +#34151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34152000 +0! +#34152500 +1! +#34152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34153000 +0! +#34153500 +1! +#34153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34154000 +0! +#34154500 +1! +#34154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34155000 +0! +#34155500 +1! +#34155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34156000 +0! +#34156500 +1! +#34156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34157000 +0! +#34157500 +1! +#34157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34158000 +0! +#34158500 +1! +#34158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34159000 +0! +#34159500 +1! +#34159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34160000 +0! +#34160500 +1! +#34160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34161000 +0! +#34161500 +1! +#34161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34162000 +0! +#34162500 +1! +#34162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34163000 +0! +#34163500 +1! +#34163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34164000 +0! +#34164500 +1! +#34164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34165000 +0! +#34165500 +1! +#34165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34166000 +0! +#34166500 +1! +#34166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34167000 +0! +#34167500 +1! +#34167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34168000 +0! +#34168500 +1! +#34168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34169000 +0! +#34169500 +1! +#34169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34170000 +0! +#34170500 +1! +#34170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34171000 +0! +#34171500 +1! +#34171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34172000 +0! +#34172500 +1! +#34172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34173000 +0! +#34173500 +1! +#34173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34174000 +0! +#34174500 +1! +#34174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34175000 +0! +#34175500 +1! +#34175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34176000 +0! +#34176500 +1! +#34176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34177000 +0! +#34177500 +1! +#34177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34178000 +0! +#34178500 +1! +#34178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34179000 +0! +#34179500 +1! +#34179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34180000 +0! +#34180500 +1! +#34180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34181000 +0! +#34181500 +1! +#34181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34182000 +0! +#34182500 +1! +#34182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34183000 +0! +#34183500 +1! +#34183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34184000 +0! +#34184500 +1! +#34184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34185000 +0! +#34185500 +1! +#34185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34186000 +0! +#34186500 +1! +#34186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34187000 +0! +#34187500 +1! +#34187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34188000 +0! +#34188500 +1! +#34188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34189000 +0! +#34189500 +1! +#34189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34190000 +0! +#34190500 +1! +#34190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34191000 +0! +#34191500 +1! +#34191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34192000 +0! +#34192500 +1! +#34192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34193000 +0! +#34193500 +1! +#34193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34194000 +0! +#34194500 +1! +#34194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34195000 +0! +#34195500 +1! +#34195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34196000 +0! +#34196500 +1! +#34196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34197000 +0! +#34197500 +1! +#34197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34198000 +0! +#34198500 +1! +#34198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34199000 +0! +#34199500 +1! +#34199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34200000 +0! +#34200500 +1! +#34200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34201000 +0! +#34201500 +1! +#34201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34202000 +0! +#34202500 +1! +#34202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34203000 +0! +#34203500 +1! +#34203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34204000 +0! +#34204500 +1! +#34204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34205000 +0! +#34205500 +1! +#34205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34206000 +0! +#34206500 +1! +#34206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34207000 +0! +#34207500 +1! +#34207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34208000 +0! +#34208500 +1! +#34208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34209000 +0! +#34209500 +1! +#34209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34210000 +0! +#34210500 +1! +#34210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34211000 +0! +#34211500 +1! +#34211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34212000 +0! +#34212500 +1! +#34212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34213000 +0! +#34213500 +1! +#34213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34214000 +0! +#34214500 +1! +#34214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34215000 +0! +#34215500 +1! +#34215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34216000 +0! +#34216500 +1! +#34216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34217000 +0! +#34217500 +1! +#34217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34218000 +0! +#34218500 +1! +#34218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34219000 +0! +#34219500 +1! +#34219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34220000 +0! +#34220500 +1! +#34220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34221000 +0! +#34221500 +1! +#34221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34222000 +0! +#34222500 +1! +#34222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34223000 +0! +#34223500 +1! +#34223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34224000 +0! +#34224500 +1! +#34224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34225000 +0! +#34225500 +1! +#34225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34226000 +0! +#34226500 +1! +#34226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34227000 +0! +#34227500 +1! +#34227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34228000 +0! +#34228500 +1! +#34228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34229000 +0! +#34229500 +1! +#34229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34230000 +0! +#34230500 +1! +#34230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34231000 +0! +#34231500 +1! +#34231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34232000 +0! +#34232500 +1! +#34232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34233000 +0! +#34233500 +1! +#34233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34234000 +0! +#34234500 +1! +#34234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34235000 +0! +#34235500 +1! +#34235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34236000 +0! +#34236500 +1! +#34236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34237000 +0! +#34237500 +1! +#34237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34238000 +0! +#34238500 +1! +#34238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34239000 +0! +#34239500 +1! +#34239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34240000 +0! +#34240500 +1! +#34240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34241000 +0! +#34241500 +1! +#34241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34242000 +0! +#34242500 +1! +#34242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34243000 +0! +#34243500 +1! +#34243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34244000 +0! +#34244500 +1! +#34244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34245000 +0! +#34245500 +1! +#34245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34246000 +0! +#34246500 +1! +#34246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34247000 +0! +#34247500 +1! +#34247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34248000 +0! +#34248500 +1! +#34248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34249000 +0! +#34249500 +1! +#34249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#34249700 +1j +xj +#34250000 +0! +#34250500 +1! +#34250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34251000 +0! +#34251500 +1! +#34251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34252000 +0! +#34252500 +1! +#34252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34253000 +0! +#34253500 +1! +#34253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34254000 +0! +#34254500 +1! +#34254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34255000 +0! +#34255500 +1! +#34255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34256000 +0! +#34256500 +1! +#34256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34257000 +0! +#34257500 +1! +#34257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34258000 +0! +#34258500 +1! +#34258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34259000 +0! +#34259500 +1! +#34259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34260000 +0! +#34260500 +1! +#34260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34261000 +0! +#34261500 +1! +#34261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34262000 +0! +#34262500 +1! +#34262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34263000 +0! +#34263500 +1! +#34263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34264000 +0! +#34264500 +1! +#34264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34265000 +0! +#34265500 +1! +#34265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34266000 +0! +#34266500 +1! +#34266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34267000 +0! +#34267500 +1! +#34267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34268000 +0! +#34268500 +1! +#34268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34269000 +0! +#34269500 +1! +#34269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34270000 +0! +#34270500 +1! +#34270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34271000 +0! +#34271500 +1! +#34271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34272000 +0! +#34272500 +1! +#34272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34273000 +0! +#34273500 +1! +#34273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34274000 +0! +#34274500 +1! +#34274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34275000 +0! +#34275500 +1! +#34275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34276000 +0! +#34276500 +1! +#34276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34277000 +0! +#34277500 +1! +#34277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34278000 +0! +#34278500 +1! +#34278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34279000 +0! +#34279500 +1! +#34279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34280000 +0! +#34280500 +1! +#34280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34281000 +0! +#34281500 +1! +#34281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34282000 +0! +#34282500 +1! +#34282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34283000 +0! +#34283500 +1! +#34283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34284000 +0! +#34284500 +1! +#34284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34285000 +0! +#34285500 +1! +#34285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34286000 +0! +#34286500 +1! +#34286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34287000 +0! +#34287500 +1! +#34287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34288000 +0! +#34288500 +1! +#34288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34289000 +0! +#34289500 +1! +#34289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34290000 +0! +#34290500 +1! +#34290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34291000 +0! +#34291500 +1! +#34291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34292000 +0! +#34292500 +1! +#34292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34293000 +0! +#34293500 +1! +#34293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34294000 +0! +#34294500 +1! +#34294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34295000 +0! +#34295500 +1! +#34295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34296000 +0! +#34296500 +1! +#34296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34297000 +0! +#34297500 +1! +#34297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34298000 +0! +#34298500 +1! +#34298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34299000 +0! +#34299500 +1! +#34299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34300000 +0! +#34300500 +1! +#34300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34301000 +0! +#34301500 +1! +#34301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34302000 +0! +#34302500 +1! +#34302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34303000 +0! +#34303500 +1! +#34303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34304000 +0! +#34304500 +1! +#34304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34305000 +0! +#34305500 +1! +#34305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34306000 +0! +#34306500 +1! +#34306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34307000 +0! +#34307500 +1! +#34307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34308000 +0! +#34308500 +1! +#34308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34309000 +0! +#34309500 +1! +#34309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34310000 +0! +#34310500 +1! +#34310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34311000 +0! +#34311500 +1! +#34311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34312000 +0! +#34312500 +1! +#34312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34313000 +0! +#34313500 +1! +#34313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34314000 +0! +#34314500 +1! +#34314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34315000 +0! +#34315500 +1! +#34315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34316000 +0! +#34316500 +1! +#34316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34317000 +0! +#34317500 +1! +#34317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34318000 +0! +#34318500 +1! +#34318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34319000 +0! +#34319500 +1! +#34319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34320000 +0! +#34320500 +1! +#34320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34321000 +0! +#34321500 +1! +#34321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34322000 +0! +#34322500 +1! +#34322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34323000 +0! +#34323500 +1! +#34323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34324000 +0! +#34324500 +1! +#34324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34325000 +0! +#34325500 +1! +#34325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34326000 +0! +#34326500 +1! +#34326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34327000 +0! +#34327500 +1! +#34327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34328000 +0! +#34328500 +1! +#34328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34329000 +0! +#34329500 +1! +#34329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34330000 +0! +#34330500 +1! +#34330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34331000 +0! +#34331500 +1! +#34331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34332000 +0! +#34332500 +1! +#34332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34333000 +0! +#34333500 +1! +#34333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34334000 +0! +#34334500 +1! +#34334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34335000 +0! +#34335500 +1! +#34335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34336000 +0! +#34336500 +1! +#34336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34337000 +0! +#34337500 +1! +#34337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34338000 +0! +#34338500 +1! +#34338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34339000 +0! +#34339500 +1! +#34339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34340000 +0! +#34340500 +1! +#34340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34341000 +0! +#34341500 +1! +#34341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34342000 +0! +#34342500 +1! +#34342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34343000 +0! +#34343500 +1! +#34343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34344000 +0! +#34344500 +1! +#34344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34345000 +0! +#34345500 +1! +#34345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34346000 +0! +#34346500 +1! +#34346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34347000 +0! +#34347500 +1! +#34347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34348000 +0! +#34348500 +1! +#34348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34349000 +0! +#34349500 +1! +#34349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34350000 +0! +#34350500 +1! +#34350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34351000 +0! +#34351500 +1! +#34351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34352000 +0! +#34352500 +1! +#34352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34353000 +0! +#34353500 +1! +#34353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34354000 +0! +#34354500 +1! +#34354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34355000 +0! +#34355500 +1! +#34355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34356000 +0! +#34356500 +1! +#34356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34357000 +0! +#34357500 +1! +#34357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34358000 +0! +#34358500 +1! +#34358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34359000 +0! +#34359500 +1! +#34359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34360000 +0! +#34360500 +1! +#34360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34361000 +0! +#34361500 +1! +#34361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34362000 +0! +#34362500 +1! +#34362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34363000 +0! +#34363500 +1! +#34363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34364000 +0! +#34364500 +1! +#34364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34365000 +0! +#34365500 +1! +#34365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34366000 +0! +#34366500 +1! +#34366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34367000 +0! +#34367500 +1! +#34367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34368000 +0! +#34368500 +1! +#34368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34369000 +0! +#34369500 +1! +#34369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34370000 +0! +#34370500 +1! +#34370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34371000 +0! +#34371500 +1! +#34371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34372000 +0! +#34372500 +1! +#34372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34373000 +0! +#34373500 +1! +#34373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34374000 +0! +#34374500 +1! +#34374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34375000 +0! +#34375500 +1! +#34375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34376000 +0! +#34376500 +1! +#34376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34377000 +0! +#34377500 +1! +#34377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34378000 +0! +#34378500 +1! +#34378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34379000 +0! +#34379500 +1! +#34379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34380000 +0! +#34380500 +1! +#34380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34381000 +0! +#34381500 +1! +#34381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34382000 +0! +#34382500 +1! +#34382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34383000 +0! +#34383500 +1! +#34383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34384000 +0! +#34384500 +1! +#34384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34385000 +0! +#34385500 +1! +#34385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34386000 +0! +#34386500 +1! +#34386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34387000 +0! +#34387500 +1! +#34387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34388000 +0! +#34388500 +1! +#34388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34389000 +0! +#34389500 +1! +#34389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34390000 +0! +#34390500 +1! +#34390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34391000 +0! +#34391500 +1! +#34391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34392000 +0! +#34392500 +1! +#34392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34393000 +0! +#34393500 +1! +#34393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34394000 +0! +#34394500 +1! +#34394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34395000 +0! +#34395500 +1! +#34395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34396000 +0! +#34396500 +1! +#34396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34397000 +0! +#34397500 +1! +#34397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34398000 +0! +#34398500 +1! +#34398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34399000 +0! +#34399500 +1! +#34399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34400000 +0! +#34400500 +1! +#34400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34401000 +0! +#34401500 +1! +#34401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34402000 +0! +#34402500 +1! +#34402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#34402700 +bx111 ^ +b101 g +bx0xxx c +#34403000 +0! +#34403500 +1! +#34403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34404000 +0! +#34404500 +1! +#34404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34405000 +0! +#34405500 +1! +#34405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34406000 +0! +#34406500 +1! +#34406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34407000 +0! +#34407500 +1! +#34407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34408000 +0! +#34408500 +1! +#34408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34409000 +0! +#34409500 +1! +#34409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34410000 +0! +#34410500 +1! +#34410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34411000 +0! +#34411500 +1! +#34411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34412000 +0! +#34412500 +1! +#34412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34413000 +0! +#34413500 +1! +#34413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34414000 +0! +#34414500 +1! +#34414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34415000 +0! +#34415500 +1! +#34415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34416000 +0! +#34416500 +1! +#34416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34417000 +0! +#34417500 +1! +#34417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34418000 +0! +#34418500 +1! +#34418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34419000 +0! +#34419500 +1! +#34419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34420000 +0! +#34420500 +1! +#34420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34421000 +0! +#34421500 +1! +#34421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34422000 +0! +#34422500 +1! +#34422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34423000 +0! +#34423500 +1! +#34423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34424000 +0! +#34424500 +1! +#34424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34425000 +0! +#34425500 +1! +#34425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34426000 +0! +#34426500 +1! +#34426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34427000 +0! +#34427500 +1! +#34427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34428000 +0! +#34428500 +1! +#34428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34429000 +0! +#34429500 +1! +#34429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34430000 +0! +#34430500 +1! +#34430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34431000 +0! +#34431500 +1! +#34431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34432000 +0! +#34432500 +1! +#34432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34433000 +0! +#34433500 +1! +#34433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34434000 +0! +#34434500 +1! +#34434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34435000 +0! +#34435500 +1! +#34435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34436000 +0! +#34436500 +1! +#34436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34437000 +0! +#34437500 +1! +#34437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34438000 +0! +#34438500 +1! +#34438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34439000 +0! +#34439500 +1! +#34439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34440000 +0! +#34440500 +1! +#34440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34441000 +0! +#34441500 +1! +#34441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34442000 +0! +#34442500 +1! +#34442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34443000 +0! +#34443500 +1! +#34443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34444000 +0! +#34444500 +1! +#34444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34445000 +0! +#34445500 +1! +#34445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34446000 +0! +#34446500 +1! +#34446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34447000 +0! +#34447500 +1! +#34447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34448000 +0! +#34448500 +1! +#34448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34449000 +0! +#34449500 +1! +#34449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34450000 +0! +#34450500 +1! +#34450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34451000 +0! +#34451500 +1! +#34451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34452000 +0! +#34452500 +1! +#34452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34453000 +0! +#34453500 +1! +#34453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34454000 +0! +#34454500 +1! +#34454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34455000 +0! +#34455500 +1! +#34455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34456000 +0! +#34456500 +1! +#34456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34457000 +0! +#34457500 +1! +#34457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34458000 +0! +#34458500 +1! +#34458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34459000 +0! +#34459500 +1! +#34459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34460000 +0! +#34460500 +1! +#34460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34461000 +0! +#34461500 +1! +#34461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34462000 +0! +#34462500 +1! +#34462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34463000 +0! +#34463500 +1! +#34463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34464000 +0! +#34464500 +1! +#34464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34465000 +0! +#34465500 +1! +#34465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34466000 +0! +#34466500 +1! +#34466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34467000 +0! +#34467500 +1! +#34467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34468000 +0! +#34468500 +1! +#34468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34469000 +0! +#34469500 +1! +#34469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34470000 +0! +#34470500 +1! +#34470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34471000 +0! +#34471500 +1! +#34471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34472000 +0! +#34472500 +1! +#34472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34473000 +0! +#34473500 +1! +#34473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34474000 +0! +#34474500 +1! +#34474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34475000 +0! +#34475500 +1! +#34475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34476000 +0! +#34476500 +1! +#34476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34477000 +0! +#34477500 +1! +#34477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34478000 +0! +#34478500 +1! +#34478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34479000 +0! +#34479500 +1! +#34479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34480000 +0! +#34480500 +1! +#34480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34481000 +0! +#34481500 +1! +#34481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34482000 +0! +#34482500 +1! +#34482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34483000 +0! +#34483500 +1! +#34483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34484000 +0! +#34484500 +1! +#34484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34485000 +0! +#34485500 +1! +#34485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34486000 +0! +#34486500 +1! +#34486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34487000 +0! +#34487500 +1! +#34487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34488000 +0! +#34488500 +1! +#34488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34489000 +0! +#34489500 +1! +#34489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34490000 +0! +#34490500 +1! +#34490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34491000 +0! +#34491500 +1! +#34491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34492000 +0! +#34492500 +1! +#34492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34493000 +0! +#34493500 +1! +#34493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34494000 +0! +#34494500 +1! +#34494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34495000 +0! +#34495500 +1! +#34495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34496000 +0! +#34496500 +1! +#34496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34497000 +0! +#34497500 +1! +#34497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34498000 +0! +#34498500 +1! +#34498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34499000 +0! +#34499500 +1! +#34499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34500000 +0! +#34500500 +1! +#34500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34501000 +0! +#34501500 +1! +#34501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34502000 +0! +#34502500 +1! +#34502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34503000 +0! +#34503500 +1! +#34503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34504000 +0! +#34504500 +1! +#34504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#34504700 +1j +xj +#34505000 +0! +#34505500 +1! +#34505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34506000 +0! +#34506500 +1! +#34506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34507000 +0! +#34507500 +1! +#34507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34508000 +0! +#34508500 +1! +#34508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34509000 +0! +#34509500 +1! +#34509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34510000 +0! +#34510500 +1! +#34510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34511000 +0! +#34511500 +1! +#34511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34512000 +0! +#34512500 +1! +#34512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34513000 +0! +#34513500 +1! +#34513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34514000 +0! +#34514500 +1! +#34514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34515000 +0! +#34515500 +1! +#34515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34516000 +0! +#34516500 +1! +#34516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34517000 +0! +#34517500 +1! +#34517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34518000 +0! +#34518500 +1! +#34518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34519000 +0! +#34519500 +1! +#34519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34520000 +0! +#34520500 +1! +#34520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34521000 +0! +#34521500 +1! +#34521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34522000 +0! +#34522500 +1! +#34522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34523000 +0! +#34523500 +1! +#34523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34524000 +0! +#34524500 +1! +#34524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34525000 +0! +#34525500 +1! +#34525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34526000 +0! +#34526500 +1! +#34526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34527000 +0! +#34527500 +1! +#34527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34528000 +0! +#34528500 +1! +#34528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34529000 +0! +#34529500 +1! +#34529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34530000 +0! +#34530500 +1! +#34530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34531000 +0! +#34531500 +1! +#34531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34532000 +0! +#34532500 +1! +#34532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34533000 +0! +#34533500 +1! +#34533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34534000 +0! +#34534500 +1! +#34534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34535000 +0! +#34535500 +1! +#34535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34536000 +0! +#34536500 +1! +#34536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34537000 +0! +#34537500 +1! +#34537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34538000 +0! +#34538500 +1! +#34538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34539000 +0! +#34539500 +1! +#34539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34540000 +0! +#34540500 +1! +#34540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34541000 +0! +#34541500 +1! +#34541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34542000 +0! +#34542500 +1! +#34542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34543000 +0! +#34543500 +1! +#34543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34544000 +0! +#34544500 +1! +#34544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34545000 +0! +#34545500 +1! +#34545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34546000 +0! +#34546500 +1! +#34546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34547000 +0! +#34547500 +1! +#34547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34548000 +0! +#34548500 +1! +#34548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34549000 +0! +#34549500 +1! +#34549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34550000 +0! +#34550500 +1! +#34550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34551000 +0! +#34551500 +1! +#34551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34552000 +0! +#34552500 +1! +#34552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34553000 +0! +#34553500 +1! +#34553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34554000 +0! +#34554500 +1! +#34554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34555000 +0! +#34555500 +1! +#34555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34556000 +0! +#34556500 +1! +#34556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34557000 +0! +#34557500 +1! +#34557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34558000 +0! +#34558500 +1! +#34558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34559000 +0! +#34559500 +1! +#34559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34560000 +0! +#34560500 +1! +#34560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34561000 +0! +#34561500 +1! +#34561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34562000 +0! +#34562500 +1! +#34562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34563000 +0! +#34563500 +1! +#34563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34564000 +0! +#34564500 +1! +#34564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34565000 +0! +#34565500 +1! +#34565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34566000 +0! +#34566500 +1! +#34566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34567000 +0! +#34567500 +1! +#34567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34568000 +0! +#34568500 +1! +#34568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34569000 +0! +#34569500 +1! +#34569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34570000 +0! +#34570500 +1! +#34570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34571000 +0! +#34571500 +1! +#34571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34572000 +0! +#34572500 +1! +#34572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34573000 +0! +#34573500 +1! +#34573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34574000 +0! +#34574500 +1! +#34574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34575000 +0! +#34575500 +1! +#34575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34576000 +0! +#34576500 +1! +#34576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34577000 +0! +#34577500 +1! +#34577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34578000 +0! +#34578500 +1! +#34578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34579000 +0! +#34579500 +1! +#34579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34580000 +0! +#34580500 +1! +#34580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34581000 +0! +#34581500 +1! +#34581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34582000 +0! +#34582500 +1! +#34582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34583000 +0! +#34583500 +1! +#34583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34584000 +0! +#34584500 +1! +#34584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34585000 +0! +#34585500 +1! +#34585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34586000 +0! +#34586500 +1! +#34586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34587000 +0! +#34587500 +1! +#34587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34588000 +0! +#34588500 +1! +#34588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34589000 +0! +#34589500 +1! +#34589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34590000 +0! +#34590500 +1! +#34590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34591000 +0! +#34591500 +1! +#34591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34592000 +0! +#34592500 +1! +#34592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34593000 +0! +#34593500 +1! +#34593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34594000 +0! +#34594500 +1! +#34594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34595000 +0! +#34595500 +1! +#34595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34596000 +0! +#34596500 +1! +#34596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34597000 +0! +#34597500 +1! +#34597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34598000 +0! +#34598500 +1! +#34598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34599000 +0! +#34599500 +1! +#34599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34600000 +0! +#34600500 +1! +#34600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34601000 +0! +#34601500 +1! +#34601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34602000 +0! +#34602500 +1! +#34602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34603000 +0! +#34603500 +1! +#34603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34604000 +0! +#34604500 +1! +#34604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34605000 +0! +#34605500 +1! +#34605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34606000 +0! +#34606500 +1! +#34606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34607000 +0! +#34607500 +1! +#34607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34608000 +0! +#34608500 +1! +#34608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34609000 +0! +#34609500 +1! +#34609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34610000 +0! +#34610500 +1! +#34610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34611000 +0! +#34611500 +1! +#34611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34612000 +0! +#34612500 +1! +#34612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34613000 +0! +#34613500 +1! +#34613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34614000 +0! +#34614500 +1! +#34614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34615000 +0! +#34615500 +1! +#34615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34616000 +0! +#34616500 +1! +#34616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34617000 +0! +#34617500 +1! +#34617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34618000 +0! +#34618500 +1! +#34618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34619000 +0! +#34619500 +1! +#34619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34620000 +0! +#34620500 +1! +#34620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34621000 +0! +#34621500 +1! +#34621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34622000 +0! +#34622500 +1! +#34622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34623000 +0! +#34623500 +1! +#34623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34624000 +0! +#34624500 +1! +#34624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34625000 +0! +#34625500 +1! +#34625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34626000 +0! +#34626500 +1! +#34626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34627000 +0! +#34627500 +1! +#34627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34628000 +0! +#34628500 +1! +#34628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34629000 +0! +#34629500 +1! +#34629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34630000 +0! +#34630500 +1! +#34630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34631000 +0! +#34631500 +1! +#34631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34632000 +0! +#34632500 +1! +#34632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34633000 +0! +#34633500 +1! +#34633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34634000 +0! +#34634500 +1! +#34634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34635000 +0! +#34635500 +1! +#34635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34636000 +0! +#34636500 +1! +#34636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34637000 +0! +#34637500 +1! +#34637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34638000 +0! +#34638500 +1! +#34638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34639000 +0! +#34639500 +1! +#34639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34640000 +0! +#34640500 +1! +#34640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34641000 +0! +#34641500 +1! +#34641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34642000 +0! +#34642500 +1! +#34642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34643000 +0! +#34643500 +1! +#34643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34644000 +0! +#34644500 +1! +#34644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34645000 +0! +#34645500 +1! +#34645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34646000 +0! +#34646500 +1! +#34646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34647000 +0! +#34647500 +1! +#34647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34648000 +0! +#34648500 +1! +#34648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34649000 +0! +#34649500 +1! +#34649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34650000 +0! +#34650500 +1! +#34650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34651000 +0! +#34651500 +1! +#34651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34652000 +0! +#34652500 +1! +#34652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34653000 +0! +#34653500 +1! +#34653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34654000 +0! +#34654500 +1! +#34654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34655000 +0! +#34655500 +1! +#34655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34656000 +0! +#34656500 +1! +#34656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34657000 +0! +#34657500 +1! +#34657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#34657700 +bx1111 ^ +b100 g +bx0xxxx c +#34658000 +0! +#34658500 +1! +#34658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34659000 +0! +#34659500 +1! +#34659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34660000 +0! +#34660500 +1! +#34660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34661000 +0! +#34661500 +1! +#34661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34662000 +0! +#34662500 +1! +#34662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34663000 +0! +#34663500 +1! +#34663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34664000 +0! +#34664500 +1! +#34664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34665000 +0! +#34665500 +1! +#34665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34666000 +0! +#34666500 +1! +#34666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34667000 +0! +#34667500 +1! +#34667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34668000 +0! +#34668500 +1! +#34668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34669000 +0! +#34669500 +1! +#34669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34670000 +0! +#34670500 +1! +#34670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34671000 +0! +#34671500 +1! +#34671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34672000 +0! +#34672500 +1! +#34672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34673000 +0! +#34673500 +1! +#34673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34674000 +0! +#34674500 +1! +#34674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34675000 +0! +#34675500 +1! +#34675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34676000 +0! +#34676500 +1! +#34676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34677000 +0! +#34677500 +1! +#34677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34678000 +0! +#34678500 +1! +#34678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34679000 +0! +#34679500 +1! +#34679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34680000 +0! +#34680500 +1! +#34680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34681000 +0! +#34681500 +1! +#34681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34682000 +0! +#34682500 +1! +#34682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34683000 +0! +#34683500 +1! +#34683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34684000 +0! +#34684500 +1! +#34684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34685000 +0! +#34685500 +1! +#34685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34686000 +0! +#34686500 +1! +#34686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34687000 +0! +#34687500 +1! +#34687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34688000 +0! +#34688500 +1! +#34688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34689000 +0! +#34689500 +1! +#34689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34690000 +0! +#34690500 +1! +#34690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34691000 +0! +#34691500 +1! +#34691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34692000 +0! +#34692500 +1! +#34692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34693000 +0! +#34693500 +1! +#34693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34694000 +0! +#34694500 +1! +#34694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34695000 +0! +#34695500 +1! +#34695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34696000 +0! +#34696500 +1! +#34696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34697000 +0! +#34697500 +1! +#34697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34698000 +0! +#34698500 +1! +#34698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34699000 +0! +#34699500 +1! +#34699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34700000 +0! +#34700500 +1! +#34700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34701000 +0! +#34701500 +1! +#34701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34702000 +0! +#34702500 +1! +#34702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34703000 +0! +#34703500 +1! +#34703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34704000 +0! +#34704500 +1! +#34704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34705000 +0! +#34705500 +1! +#34705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34706000 +0! +#34706500 +1! +#34706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34707000 +0! +#34707500 +1! +#34707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34708000 +0! +#34708500 +1! +#34708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34709000 +0! +#34709500 +1! +#34709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34710000 +0! +#34710500 +1! +#34710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34711000 +0! +#34711500 +1! +#34711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34712000 +0! +#34712500 +1! +#34712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34713000 +0! +#34713500 +1! +#34713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34714000 +0! +#34714500 +1! +#34714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34715000 +0! +#34715500 +1! +#34715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34716000 +0! +#34716500 +1! +#34716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34717000 +0! +#34717500 +1! +#34717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34718000 +0! +#34718500 +1! +#34718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34719000 +0! +#34719500 +1! +#34719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34720000 +0! +#34720500 +1! +#34720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34721000 +0! +#34721500 +1! +#34721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34722000 +0! +#34722500 +1! +#34722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34723000 +0! +#34723500 +1! +#34723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34724000 +0! +#34724500 +1! +#34724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34725000 +0! +#34725500 +1! +#34725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34726000 +0! +#34726500 +1! +#34726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34727000 +0! +#34727500 +1! +#34727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34728000 +0! +#34728500 +1! +#34728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34729000 +0! +#34729500 +1! +#34729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34730000 +0! +#34730500 +1! +#34730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34731000 +0! +#34731500 +1! +#34731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34732000 +0! +#34732500 +1! +#34732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34733000 +0! +#34733500 +1! +#34733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34734000 +0! +#34734500 +1! +#34734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34735000 +0! +#34735500 +1! +#34735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34736000 +0! +#34736500 +1! +#34736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34737000 +0! +#34737500 +1! +#34737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34738000 +0! +#34738500 +1! +#34738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34739000 +0! +#34739500 +1! +#34739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34740000 +0! +#34740500 +1! +#34740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34741000 +0! +#34741500 +1! +#34741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34742000 +0! +#34742500 +1! +#34742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34743000 +0! +#34743500 +1! +#34743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34744000 +0! +#34744500 +1! +#34744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34745000 +0! +#34745500 +1! +#34745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34746000 +0! +#34746500 +1! +#34746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34747000 +0! +#34747500 +1! +#34747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34748000 +0! +#34748500 +1! +#34748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34749000 +0! +#34749500 +1! +#34749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34750000 +0! +#34750500 +1! +#34750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34751000 +0! +#34751500 +1! +#34751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34752000 +0! +#34752500 +1! +#34752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34753000 +0! +#34753500 +1! +#34753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34754000 +0! +#34754500 +1! +#34754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34755000 +0! +#34755500 +1! +#34755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34756000 +0! +#34756500 +1! +#34756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34757000 +0! +#34757500 +1! +#34757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34758000 +0! +#34758500 +1! +#34758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34759000 +0! +#34759500 +1! +#34759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#34759700 +1j +xj +#34760000 +0! +#34760500 +1! +#34760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34761000 +0! +#34761500 +1! +#34761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34762000 +0! +#34762500 +1! +#34762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34763000 +0! +#34763500 +1! +#34763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34764000 +0! +#34764500 +1! +#34764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34765000 +0! +#34765500 +1! +#34765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34766000 +0! +#34766500 +1! +#34766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34767000 +0! +#34767500 +1! +#34767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34768000 +0! +#34768500 +1! +#34768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34769000 +0! +#34769500 +1! +#34769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34770000 +0! +#34770500 +1! +#34770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34771000 +0! +#34771500 +1! +#34771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34772000 +0! +#34772500 +1! +#34772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34773000 +0! +#34773500 +1! +#34773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34774000 +0! +#34774500 +1! +#34774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34775000 +0! +#34775500 +1! +#34775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34776000 +0! +#34776500 +1! +#34776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34777000 +0! +#34777500 +1! +#34777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34778000 +0! +#34778500 +1! +#34778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34779000 +0! +#34779500 +1! +#34779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34780000 +0! +#34780500 +1! +#34780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34781000 +0! +#34781500 +1! +#34781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34782000 +0! +#34782500 +1! +#34782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34783000 +0! +#34783500 +1! +#34783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34784000 +0! +#34784500 +1! +#34784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34785000 +0! +#34785500 +1! +#34785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34786000 +0! +#34786500 +1! +#34786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34787000 +0! +#34787500 +1! +#34787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34788000 +0! +#34788500 +1! +#34788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34789000 +0! +#34789500 +1! +#34789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34790000 +0! +#34790500 +1! +#34790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34791000 +0! +#34791500 +1! +#34791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34792000 +0! +#34792500 +1! +#34792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34793000 +0! +#34793500 +1! +#34793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34794000 +0! +#34794500 +1! +#34794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34795000 +0! +#34795500 +1! +#34795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34796000 +0! +#34796500 +1! +#34796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34797000 +0! +#34797500 +1! +#34797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34798000 +0! +#34798500 +1! +#34798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34799000 +0! +#34799500 +1! +#34799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34800000 +0! +#34800500 +1! +#34800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34801000 +0! +#34801500 +1! +#34801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34802000 +0! +#34802500 +1! +#34802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34803000 +0! +#34803500 +1! +#34803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34804000 +0! +#34804500 +1! +#34804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34805000 +0! +#34805500 +1! +#34805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34806000 +0! +#34806500 +1! +#34806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34807000 +0! +#34807500 +1! +#34807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34808000 +0! +#34808500 +1! +#34808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34809000 +0! +#34809500 +1! +#34809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34810000 +0! +#34810500 +1! +#34810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34811000 +0! +#34811500 +1! +#34811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34812000 +0! +#34812500 +1! +#34812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34813000 +0! +#34813500 +1! +#34813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34814000 +0! +#34814500 +1! +#34814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34815000 +0! +#34815500 +1! +#34815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34816000 +0! +#34816500 +1! +#34816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34817000 +0! +#34817500 +1! +#34817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34818000 +0! +#34818500 +1! +#34818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34819000 +0! +#34819500 +1! +#34819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34820000 +0! +#34820500 +1! +#34820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34821000 +0! +#34821500 +1! +#34821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34822000 +0! +#34822500 +1! +#34822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34823000 +0! +#34823500 +1! +#34823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34824000 +0! +#34824500 +1! +#34824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34825000 +0! +#34825500 +1! +#34825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34826000 +0! +#34826500 +1! +#34826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34827000 +0! +#34827500 +1! +#34827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34828000 +0! +#34828500 +1! +#34828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34829000 +0! +#34829500 +1! +#34829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34830000 +0! +#34830500 +1! +#34830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34831000 +0! +#34831500 +1! +#34831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34832000 +0! +#34832500 +1! +#34832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34833000 +0! +#34833500 +1! +#34833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34834000 +0! +#34834500 +1! +#34834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34835000 +0! +#34835500 +1! +#34835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34836000 +0! +#34836500 +1! +#34836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34837000 +0! +#34837500 +1! +#34837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34838000 +0! +#34838500 +1! +#34838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34839000 +0! +#34839500 +1! +#34839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34840000 +0! +#34840500 +1! +#34840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34841000 +0! +#34841500 +1! +#34841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34842000 +0! +#34842500 +1! +#34842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34843000 +0! +#34843500 +1! +#34843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34844000 +0! +#34844500 +1! +#34844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34845000 +0! +#34845500 +1! +#34845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34846000 +0! +#34846500 +1! +#34846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34847000 +0! +#34847500 +1! +#34847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34848000 +0! +#34848500 +1! +#34848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34849000 +0! +#34849500 +1! +#34849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34850000 +0! +#34850500 +1! +#34850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34851000 +0! +#34851500 +1! +#34851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34852000 +0! +#34852500 +1! +#34852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34853000 +0! +#34853500 +1! +#34853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34854000 +0! +#34854500 +1! +#34854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34855000 +0! +#34855500 +1! +#34855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34856000 +0! +#34856500 +1! +#34856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34857000 +0! +#34857500 +1! +#34857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34858000 +0! +#34858500 +1! +#34858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34859000 +0! +#34859500 +1! +#34859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34860000 +0! +#34860500 +1! +#34860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34861000 +0! +#34861500 +1! +#34861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34862000 +0! +#34862500 +1! +#34862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34863000 +0! +#34863500 +1! +#34863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34864000 +0! +#34864500 +1! +#34864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34865000 +0! +#34865500 +1! +#34865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34866000 +0! +#34866500 +1! +#34866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34867000 +0! +#34867500 +1! +#34867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34868000 +0! +#34868500 +1! +#34868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34869000 +0! +#34869500 +1! +#34869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34870000 +0! +#34870500 +1! +#34870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34871000 +0! +#34871500 +1! +#34871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34872000 +0! +#34872500 +1! +#34872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34873000 +0! +#34873500 +1! +#34873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34874000 +0! +#34874500 +1! +#34874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34875000 +0! +#34875500 +1! +#34875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34876000 +0! +#34876500 +1! +#34876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34877000 +0! +#34877500 +1! +#34877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34878000 +0! +#34878500 +1! +#34878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34879000 +0! +#34879500 +1! +#34879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34880000 +0! +#34880500 +1! +#34880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34881000 +0! +#34881500 +1! +#34881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34882000 +0! +#34882500 +1! +#34882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34883000 +0! +#34883500 +1! +#34883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34884000 +0! +#34884500 +1! +#34884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34885000 +0! +#34885500 +1! +#34885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34886000 +0! +#34886500 +1! +#34886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34887000 +0! +#34887500 +1! +#34887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34888000 +0! +#34888500 +1! +#34888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34889000 +0! +#34889500 +1! +#34889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34890000 +0! +#34890500 +1! +#34890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34891000 +0! +#34891500 +1! +#34891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34892000 +0! +#34892500 +1! +#34892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34893000 +0! +#34893500 +1! +#34893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34894000 +0! +#34894500 +1! +#34894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34895000 +0! +#34895500 +1! +#34895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34896000 +0! +#34896500 +1! +#34896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34897000 +0! +#34897500 +1! +#34897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34898000 +0! +#34898500 +1! +#34898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34899000 +0! +#34899500 +1! +#34899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34900000 +0! +#34900500 +1! +#34900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34901000 +0! +#34901500 +1! +#34901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34902000 +0! +#34902500 +1! +#34902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34903000 +0! +#34903500 +1! +#34903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34904000 +0! +#34904500 +1! +#34904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34905000 +0! +#34905500 +1! +#34905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34906000 +0! +#34906500 +1! +#34906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34907000 +0! +#34907500 +1! +#34907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34908000 +0! +#34908500 +1! +#34908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34909000 +0! +#34909500 +1! +#34909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34910000 +0! +#34910500 +1! +#34910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34911000 +0! +#34911500 +1! +#34911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34912000 +0! +#34912500 +1! +#34912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#34912700 +bx11111 ^ +b11 g +bx0xxxxx c +0e +#34913000 +0! +#34913500 +1! +#34913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34914000 +0! +#34914500 +1! +#34914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34915000 +0! +#34915500 +1! +#34915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34916000 +0! +#34916500 +1! +#34916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34917000 +0! +#34917500 +1! +#34917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34918000 +0! +#34918500 +1! +#34918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34919000 +0! +#34919500 +1! +#34919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34920000 +0! +#34920500 +1! +#34920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34921000 +0! +#34921500 +1! +#34921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34922000 +0! +#34922500 +1! +#34922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34923000 +0! +#34923500 +1! +#34923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34924000 +0! +#34924500 +1! +#34924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34925000 +0! +#34925500 +1! +#34925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34926000 +0! +#34926500 +1! +#34926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34927000 +0! +#34927500 +1! +#34927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34928000 +0! +#34928500 +1! +#34928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34929000 +0! +#34929500 +1! +#34929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34930000 +0! +#34930500 +1! +#34930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34931000 +0! +#34931500 +1! +#34931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34932000 +0! +#34932500 +1! +#34932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34933000 +0! +#34933500 +1! +#34933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34934000 +0! +#34934500 +1! +#34934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34935000 +0! +#34935500 +1! +#34935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34936000 +0! +#34936500 +1! +#34936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34937000 +0! +#34937500 +1! +#34937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34938000 +0! +#34938500 +1! +#34938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34939000 +0! +#34939500 +1! +#34939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34940000 +0! +#34940500 +1! +#34940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34941000 +0! +#34941500 +1! +#34941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34942000 +0! +#34942500 +1! +#34942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34943000 +0! +#34943500 +1! +#34943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34944000 +0! +#34944500 +1! +#34944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34945000 +0! +#34945500 +1! +#34945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34946000 +0! +#34946500 +1! +#34946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34947000 +0! +#34947500 +1! +#34947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34948000 +0! +#34948500 +1! +#34948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34949000 +0! +#34949500 +1! +#34949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34950000 +0! +#34950500 +1! +#34950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34951000 +0! +#34951500 +1! +#34951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34952000 +0! +#34952500 +1! +#34952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34953000 +0! +#34953500 +1! +#34953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34954000 +0! +#34954500 +1! +#34954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34955000 +0! +#34955500 +1! +#34955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34956000 +0! +#34956500 +1! +#34956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34957000 +0! +#34957500 +1! +#34957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34958000 +0! +#34958500 +1! +#34958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34959000 +0! +#34959500 +1! +#34959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34960000 +0! +#34960500 +1! +#34960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34961000 +0! +#34961500 +1! +#34961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34962000 +0! +#34962500 +1! +#34962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34963000 +0! +#34963500 +1! +#34963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34964000 +0! +#34964500 +1! +#34964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34965000 +0! +#34965500 +1! +#34965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34966000 +0! +#34966500 +1! +#34966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34967000 +0! +#34967500 +1! +#34967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34968000 +0! +#34968500 +1! +#34968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34969000 +0! +#34969500 +1! +#34969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34970000 +0! +#34970500 +1! +#34970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34971000 +0! +#34971500 +1! +#34971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34972000 +0! +#34972500 +1! +#34972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34973000 +0! +#34973500 +1! +#34973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34974000 +0! +#34974500 +1! +#34974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34975000 +0! +#34975500 +1! +#34975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34976000 +0! +#34976500 +1! +#34976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34977000 +0! +#34977500 +1! +#34977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34978000 +0! +#34978500 +1! +#34978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34979000 +0! +#34979500 +1! +#34979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34980000 +0! +#34980500 +1! +#34980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34981000 +0! +#34981500 +1! +#34981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34982000 +0! +#34982500 +1! +#34982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34983000 +0! +#34983500 +1! +#34983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34984000 +0! +#34984500 +1! +#34984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34985000 +0! +#34985500 +1! +#34985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34986000 +0! +#34986500 +1! +#34986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34987000 +0! +#34987500 +1! +#34987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34988000 +0! +#34988500 +1! +#34988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34989000 +0! +#34989500 +1! +#34989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34990000 +0! +#34990500 +1! +#34990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34991000 +0! +#34991500 +1! +#34991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34992000 +0! +#34992500 +1! +#34992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34993000 +0! +#34993500 +1! +#34993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34994000 +0! +#34994500 +1! +#34994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34995000 +0! +#34995500 +1! +#34995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34996000 +0! +#34996500 +1! +#34996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34997000 +0! +#34997500 +1! +#34997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#34998000 +0! +#34998500 +1! +#34998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#34999000 +0! +#34999500 +1! +#34999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35000000 +0! +#35000500 +1! +#35000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35001000 +0! +#35001500 +1! +#35001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35002000 +0! +#35002500 +1! +#35002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35003000 +0! +#35003500 +1! +#35003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35004000 +0! +#35004500 +1! +#35004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35005000 +0! +#35005500 +1! +#35005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35006000 +0! +#35006500 +1! +#35006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35007000 +0! +#35007500 +1! +#35007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35008000 +0! +#35008500 +1! +#35008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35009000 +0! +#35009500 +1! +#35009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35010000 +0! +#35010500 +1! +#35010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35011000 +0! +#35011500 +1! +#35011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35012000 +0! +#35012500 +1! +#35012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35013000 +0! +#35013500 +1! +#35013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35014000 +0! +#35014500 +1! +#35014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#35014700 +1j +xj +#35015000 +0! +#35015500 +1! +#35015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35016000 +0! +#35016500 +1! +#35016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35017000 +0! +#35017500 +1! +#35017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35018000 +0! +#35018500 +1! +#35018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35019000 +0! +#35019500 +1! +#35019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35020000 +0! +#35020500 +1! +#35020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35021000 +0! +#35021500 +1! +#35021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35022000 +0! +#35022500 +1! +#35022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35023000 +0! +#35023500 +1! +#35023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35024000 +0! +#35024500 +1! +#35024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35025000 +0! +#35025500 +1! +#35025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35026000 +0! +#35026500 +1! +#35026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35027000 +0! +#35027500 +1! +#35027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35028000 +0! +#35028500 +1! +#35028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35029000 +0! +#35029500 +1! +#35029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35030000 +0! +#35030500 +1! +#35030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35031000 +0! +#35031500 +1! +#35031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35032000 +0! +#35032500 +1! +#35032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35033000 +0! +#35033500 +1! +#35033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35034000 +0! +#35034500 +1! +#35034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35035000 +0! +#35035500 +1! +#35035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35036000 +0! +#35036500 +1! +#35036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35037000 +0! +#35037500 +1! +#35037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35038000 +0! +#35038500 +1! +#35038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35039000 +0! +#35039500 +1! +#35039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35040000 +0! +#35040500 +1! +#35040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35041000 +0! +#35041500 +1! +#35041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35042000 +0! +#35042500 +1! +#35042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35043000 +0! +#35043500 +1! +#35043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35044000 +0! +#35044500 +1! +#35044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35045000 +0! +#35045500 +1! +#35045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35046000 +0! +#35046500 +1! +#35046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35047000 +0! +#35047500 +1! +#35047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35048000 +0! +#35048500 +1! +#35048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35049000 +0! +#35049500 +1! +#35049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35050000 +0! +#35050500 +1! +#35050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35051000 +0! +#35051500 +1! +#35051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35052000 +0! +#35052500 +1! +#35052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35053000 +0! +#35053500 +1! +#35053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35054000 +0! +#35054500 +1! +#35054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35055000 +0! +#35055500 +1! +#35055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35056000 +0! +#35056500 +1! +#35056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35057000 +0! +#35057500 +1! +#35057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35058000 +0! +#35058500 +1! +#35058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35059000 +0! +#35059500 +1! +#35059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35060000 +0! +#35060500 +1! +#35060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35061000 +0! +#35061500 +1! +#35061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35062000 +0! +#35062500 +1! +#35062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35063000 +0! +#35063500 +1! +#35063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35064000 +0! +#35064500 +1! +#35064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35065000 +0! +#35065500 +1! +#35065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35066000 +0! +#35066500 +1! +#35066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35067000 +0! +#35067500 +1! +#35067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35068000 +0! +#35068500 +1! +#35068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35069000 +0! +#35069500 +1! +#35069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35070000 +0! +#35070500 +1! +#35070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35071000 +0! +#35071500 +1! +#35071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35072000 +0! +#35072500 +1! +#35072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35073000 +0! +#35073500 +1! +#35073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35074000 +0! +#35074500 +1! +#35074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35075000 +0! +#35075500 +1! +#35075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35076000 +0! +#35076500 +1! +#35076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35077000 +0! +#35077500 +1! +#35077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35078000 +0! +#35078500 +1! +#35078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35079000 +0! +#35079500 +1! +#35079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35080000 +0! +#35080500 +1! +#35080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35081000 +0! +#35081500 +1! +#35081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35082000 +0! +#35082500 +1! +#35082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35083000 +0! +#35083500 +1! +#35083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35084000 +0! +#35084500 +1! +#35084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35085000 +0! +#35085500 +1! +#35085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35086000 +0! +#35086500 +1! +#35086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35087000 +0! +#35087500 +1! +#35087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35088000 +0! +#35088500 +1! +#35088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35089000 +0! +#35089500 +1! +#35089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35090000 +0! +#35090500 +1! +#35090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35091000 +0! +#35091500 +1! +#35091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35092000 +0! +#35092500 +1! +#35092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35093000 +0! +#35093500 +1! +#35093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35094000 +0! +#35094500 +1! +#35094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35095000 +0! +#35095500 +1! +#35095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35096000 +0! +#35096500 +1! +#35096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35097000 +0! +#35097500 +1! +#35097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35098000 +0! +#35098500 +1! +#35098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35099000 +0! +#35099500 +1! +#35099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35100000 +0! +#35100500 +1! +#35100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35101000 +0! +#35101500 +1! +#35101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35102000 +0! +#35102500 +1! +#35102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35103000 +0! +#35103500 +1! +#35103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35104000 +0! +#35104500 +1! +#35104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35105000 +0! +#35105500 +1! +#35105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35106000 +0! +#35106500 +1! +#35106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35107000 +0! +#35107500 +1! +#35107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35108000 +0! +#35108500 +1! +#35108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35109000 +0! +#35109500 +1! +#35109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35110000 +0! +#35110500 +1! +#35110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35111000 +0! +#35111500 +1! +#35111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35112000 +0! +#35112500 +1! +#35112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35113000 +0! +#35113500 +1! +#35113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35114000 +0! +#35114500 +1! +#35114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35115000 +0! +#35115500 +1! +#35115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35116000 +0! +#35116500 +1! +#35116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35117000 +0! +#35117500 +1! +#35117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35118000 +0! +#35118500 +1! +#35118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35119000 +0! +#35119500 +1! +#35119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35120000 +0! +#35120500 +1! +#35120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35121000 +0! +#35121500 +1! +#35121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35122000 +0! +#35122500 +1! +#35122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35123000 +0! +#35123500 +1! +#35123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35124000 +0! +#35124500 +1! +#35124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35125000 +0! +#35125500 +1! +#35125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35126000 +0! +#35126500 +1! +#35126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35127000 +0! +#35127500 +1! +#35127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35128000 +0! +#35128500 +1! +#35128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35129000 +0! +#35129500 +1! +#35129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35130000 +0! +#35130500 +1! +#35130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35131000 +0! +#35131500 +1! +#35131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35132000 +0! +#35132500 +1! +#35132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35133000 +0! +#35133500 +1! +#35133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35134000 +0! +#35134500 +1! +#35134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35135000 +0! +#35135500 +1! +#35135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35136000 +0! +#35136500 +1! +#35136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35137000 +0! +#35137500 +1! +#35137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35138000 +0! +#35138500 +1! +#35138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35139000 +0! +#35139500 +1! +#35139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35140000 +0! +#35140500 +1! +#35140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35141000 +0! +#35141500 +1! +#35141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35142000 +0! +#35142500 +1! +#35142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35143000 +0! +#35143500 +1! +#35143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35144000 +0! +#35144500 +1! +#35144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35145000 +0! +#35145500 +1! +#35145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35146000 +0! +#35146500 +1! +#35146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35147000 +0! +#35147500 +1! +#35147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35148000 +0! +#35148500 +1! +#35148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35149000 +0! +#35149500 +1! +#35149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35150000 +0! +#35150500 +1! +#35150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35151000 +0! +#35151500 +1! +#35151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35152000 +0! +#35152500 +1! +#35152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35153000 +0! +#35153500 +1! +#35153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35154000 +0! +#35154500 +1! +#35154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35155000 +0! +#35155500 +1! +#35155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35156000 +0! +#35156500 +1! +#35156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35157000 +0! +#35157500 +1! +#35157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35158000 +0! +#35158500 +1! +#35158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35159000 +0! +#35159500 +1! +#35159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35160000 +0! +#35160500 +1! +#35160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35161000 +0! +#35161500 +1! +#35161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35162000 +0! +#35162500 +1! +#35162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35163000 +0! +#35163500 +1! +#35163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35164000 +0! +#35164500 +1! +#35164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35165000 +0! +#35165500 +1! +#35165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35166000 +0! +#35166500 +1! +#35166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35167000 +0! +#35167500 +1! +#35167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#35167700 +bx111111 ^ +b10 g +bx0xxxxxx c +xe +#35168000 +0! +#35168500 +1! +#35168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35169000 +0! +#35169500 +1! +#35169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35170000 +0! +#35170500 +1! +#35170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35171000 +0! +#35171500 +1! +#35171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35172000 +0! +#35172500 +1! +#35172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35173000 +0! +#35173500 +1! +#35173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35174000 +0! +#35174500 +1! +#35174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35175000 +0! +#35175500 +1! +#35175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35176000 +0! +#35176500 +1! +#35176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35177000 +0! +#35177500 +1! +#35177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35178000 +0! +#35178500 +1! +#35178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35179000 +0! +#35179500 +1! +#35179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35180000 +0! +#35180500 +1! +#35180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35181000 +0! +#35181500 +1! +#35181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35182000 +0! +#35182500 +1! +#35182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35183000 +0! +#35183500 +1! +#35183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35184000 +0! +#35184500 +1! +#35184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35185000 +0! +#35185500 +1! +#35185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35186000 +0! +#35186500 +1! +#35186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35187000 +0! +#35187500 +1! +#35187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35188000 +0! +#35188500 +1! +#35188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35189000 +0! +#35189500 +1! +#35189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35190000 +0! +#35190500 +1! +#35190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35191000 +0! +#35191500 +1! +#35191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35192000 +0! +#35192500 +1! +#35192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35193000 +0! +#35193500 +1! +#35193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35194000 +0! +#35194500 +1! +#35194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35195000 +0! +#35195500 +1! +#35195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35196000 +0! +#35196500 +1! +#35196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35197000 +0! +#35197500 +1! +#35197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35198000 +0! +#35198500 +1! +#35198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35199000 +0! +#35199500 +1! +#35199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35200000 +0! +#35200500 +1! +#35200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35201000 +0! +#35201500 +1! +#35201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35202000 +0! +#35202500 +1! +#35202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35203000 +0! +#35203500 +1! +#35203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35204000 +0! +#35204500 +1! +#35204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35205000 +0! +#35205500 +1! +#35205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35206000 +0! +#35206500 +1! +#35206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35207000 +0! +#35207500 +1! +#35207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35208000 +0! +#35208500 +1! +#35208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35209000 +0! +#35209500 +1! +#35209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35210000 +0! +#35210500 +1! +#35210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35211000 +0! +#35211500 +1! +#35211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35212000 +0! +#35212500 +1! +#35212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35213000 +0! +#35213500 +1! +#35213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35214000 +0! +#35214500 +1! +#35214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35215000 +0! +#35215500 +1! +#35215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35216000 +0! +#35216500 +1! +#35216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35217000 +0! +#35217500 +1! +#35217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35218000 +0! +#35218500 +1! +#35218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35219000 +0! +#35219500 +1! +#35219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35220000 +0! +#35220500 +1! +#35220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35221000 +0! +#35221500 +1! +#35221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35222000 +0! +#35222500 +1! +#35222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35223000 +0! +#35223500 +1! +#35223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35224000 +0! +#35224500 +1! +#35224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35225000 +0! +#35225500 +1! +#35225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35226000 +0! +#35226500 +1! +#35226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35227000 +0! +#35227500 +1! +#35227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35228000 +0! +#35228500 +1! +#35228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35229000 +0! +#35229500 +1! +#35229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35230000 +0! +#35230500 +1! +#35230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35231000 +0! +#35231500 +1! +#35231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35232000 +0! +#35232500 +1! +#35232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35233000 +0! +#35233500 +1! +#35233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35234000 +0! +#35234500 +1! +#35234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35235000 +0! +#35235500 +1! +#35235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35236000 +0! +#35236500 +1! +#35236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35237000 +0! +#35237500 +1! +#35237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35238000 +0! +#35238500 +1! +#35238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35239000 +0! +#35239500 +1! +#35239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35240000 +0! +#35240500 +1! +#35240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35241000 +0! +#35241500 +1! +#35241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35242000 +0! +#35242500 +1! +#35242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35243000 +0! +#35243500 +1! +#35243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35244000 +0! +#35244500 +1! +#35244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35245000 +0! +#35245500 +1! +#35245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35246000 +0! +#35246500 +1! +#35246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35247000 +0! +#35247500 +1! +#35247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35248000 +0! +#35248500 +1! +#35248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35249000 +0! +#35249500 +1! +#35249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35250000 +0! +#35250500 +1! +#35250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35251000 +0! +#35251500 +1! +#35251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35252000 +0! +#35252500 +1! +#35252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35253000 +0! +#35253500 +1! +#35253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35254000 +0! +#35254500 +1! +#35254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35255000 +0! +#35255500 +1! +#35255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35256000 +0! +#35256500 +1! +#35256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35257000 +0! +#35257500 +1! +#35257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35258000 +0! +#35258500 +1! +#35258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35259000 +0! +#35259500 +1! +#35259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35260000 +0! +#35260500 +1! +#35260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35261000 +0! +#35261500 +1! +#35261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35262000 +0! +#35262500 +1! +#35262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35263000 +0! +#35263500 +1! +#35263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35264000 +0! +#35264500 +1! +#35264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35265000 +0! +#35265500 +1! +#35265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35266000 +0! +#35266500 +1! +#35266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35267000 +0! +#35267500 +1! +#35267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35268000 +0! +#35268500 +1! +#35268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35269000 +0! +#35269500 +1! +#35269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#35269700 +1j +xj +#35270000 +0! +#35270500 +1! +#35270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35271000 +0! +#35271500 +1! +#35271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35272000 +0! +#35272500 +1! +#35272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35273000 +0! +#35273500 +1! +#35273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35274000 +0! +#35274500 +1! +#35274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35275000 +0! +#35275500 +1! +#35275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35276000 +0! +#35276500 +1! +#35276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35277000 +0! +#35277500 +1! +#35277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35278000 +0! +#35278500 +1! +#35278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35279000 +0! +#35279500 +1! +#35279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35280000 +0! +#35280500 +1! +#35280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35281000 +0! +#35281500 +1! +#35281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35282000 +0! +#35282500 +1! +#35282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35283000 +0! +#35283500 +1! +#35283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35284000 +0! +#35284500 +1! +#35284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35285000 +0! +#35285500 +1! +#35285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35286000 +0! +#35286500 +1! +#35286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35287000 +0! +#35287500 +1! +#35287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35288000 +0! +#35288500 +1! +#35288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35289000 +0! +#35289500 +1! +#35289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35290000 +0! +#35290500 +1! +#35290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35291000 +0! +#35291500 +1! +#35291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35292000 +0! +#35292500 +1! +#35292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35293000 +0! +#35293500 +1! +#35293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35294000 +0! +#35294500 +1! +#35294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35295000 +0! +#35295500 +1! +#35295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35296000 +0! +#35296500 +1! +#35296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35297000 +0! +#35297500 +1! +#35297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35298000 +0! +#35298500 +1! +#35298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35299000 +0! +#35299500 +1! +#35299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35300000 +0! +#35300500 +1! +#35300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35301000 +0! +#35301500 +1! +#35301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35302000 +0! +#35302500 +1! +#35302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35303000 +0! +#35303500 +1! +#35303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35304000 +0! +#35304500 +1! +#35304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35305000 +0! +#35305500 +1! +#35305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35306000 +0! +#35306500 +1! +#35306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35307000 +0! +#35307500 +1! +#35307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35308000 +0! +#35308500 +1! +#35308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35309000 +0! +#35309500 +1! +#35309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35310000 +0! +#35310500 +1! +#35310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35311000 +0! +#35311500 +1! +#35311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35312000 +0! +#35312500 +1! +#35312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35313000 +0! +#35313500 +1! +#35313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35314000 +0! +#35314500 +1! +#35314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35315000 +0! +#35315500 +1! +#35315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35316000 +0! +#35316500 +1! +#35316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35317000 +0! +#35317500 +1! +#35317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35318000 +0! +#35318500 +1! +#35318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35319000 +0! +#35319500 +1! +#35319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35320000 +0! +#35320500 +1! +#35320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35321000 +0! +#35321500 +1! +#35321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35322000 +0! +#35322500 +1! +#35322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35323000 +0! +#35323500 +1! +#35323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35324000 +0! +#35324500 +1! +#35324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35325000 +0! +#35325500 +1! +#35325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35326000 +0! +#35326500 +1! +#35326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35327000 +0! +#35327500 +1! +#35327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35328000 +0! +#35328500 +1! +#35328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35329000 +0! +#35329500 +1! +#35329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35330000 +0! +#35330500 +1! +#35330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35331000 +0! +#35331500 +1! +#35331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35332000 +0! +#35332500 +1! +#35332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35333000 +0! +#35333500 +1! +#35333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35334000 +0! +#35334500 +1! +#35334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35335000 +0! +#35335500 +1! +#35335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35336000 +0! +#35336500 +1! +#35336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35337000 +0! +#35337500 +1! +#35337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35338000 +0! +#35338500 +1! +#35338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35339000 +0! +#35339500 +1! +#35339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35340000 +0! +#35340500 +1! +#35340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35341000 +0! +#35341500 +1! +#35341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35342000 +0! +#35342500 +1! +#35342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35343000 +0! +#35343500 +1! +#35343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35344000 +0! +#35344500 +1! +#35344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35345000 +0! +#35345500 +1! +#35345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35346000 +0! +#35346500 +1! +#35346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35347000 +0! +#35347500 +1! +#35347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35348000 +0! +#35348500 +1! +#35348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35349000 +0! +#35349500 +1! +#35349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35350000 +0! +#35350500 +1! +#35350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35351000 +0! +#35351500 +1! +#35351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35352000 +0! +#35352500 +1! +#35352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35353000 +0! +#35353500 +1! +#35353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35354000 +0! +#35354500 +1! +#35354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35355000 +0! +#35355500 +1! +#35355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35356000 +0! +#35356500 +1! +#35356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35357000 +0! +#35357500 +1! +#35357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35358000 +0! +#35358500 +1! +#35358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35359000 +0! +#35359500 +1! +#35359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35360000 +0! +#35360500 +1! +#35360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35361000 +0! +#35361500 +1! +#35361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35362000 +0! +#35362500 +1! +#35362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35363000 +0! +#35363500 +1! +#35363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35364000 +0! +#35364500 +1! +#35364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35365000 +0! +#35365500 +1! +#35365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35366000 +0! +#35366500 +1! +#35366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35367000 +0! +#35367500 +1! +#35367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35368000 +0! +#35368500 +1! +#35368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35369000 +0! +#35369500 +1! +#35369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35370000 +0! +#35370500 +1! +#35370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35371000 +0! +#35371500 +1! +#35371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35372000 +0! +#35372500 +1! +#35372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35373000 +0! +#35373500 +1! +#35373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35374000 +0! +#35374500 +1! +#35374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35375000 +0! +#35375500 +1! +#35375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35376000 +0! +#35376500 +1! +#35376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35377000 +0! +#35377500 +1! +#35377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35378000 +0! +#35378500 +1! +#35378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35379000 +0! +#35379500 +1! +#35379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35380000 +0! +#35380500 +1! +#35380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35381000 +0! +#35381500 +1! +#35381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35382000 +0! +#35382500 +1! +#35382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35383000 +0! +#35383500 +1! +#35383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35384000 +0! +#35384500 +1! +#35384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35385000 +0! +#35385500 +1! +#35385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35386000 +0! +#35386500 +1! +#35386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35387000 +0! +#35387500 +1! +#35387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35388000 +0! +#35388500 +1! +#35388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35389000 +0! +#35389500 +1! +#35389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35390000 +0! +#35390500 +1! +#35390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35391000 +0! +#35391500 +1! +#35391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35392000 +0! +#35392500 +1! +#35392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35393000 +0! +#35393500 +1! +#35393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35394000 +0! +#35394500 +1! +#35394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35395000 +0! +#35395500 +1! +#35395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35396000 +0! +#35396500 +1! +#35396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35397000 +0! +#35397500 +1! +#35397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35398000 +0! +#35398500 +1! +#35398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35399000 +0! +#35399500 +1! +#35399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35400000 +0! +#35400500 +1! +#35400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35401000 +0! +#35401500 +1! +#35401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35402000 +0! +#35402500 +1! +#35402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35403000 +0! +#35403500 +1! +#35403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35404000 +0! +#35404500 +1! +#35404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35405000 +0! +#35405500 +1! +#35405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35406000 +0! +#35406500 +1! +#35406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35407000 +0! +#35407500 +1! +#35407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35408000 +0! +#35408500 +1! +#35408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35409000 +0! +#35409500 +1! +#35409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35410000 +0! +#35410500 +1! +#35410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35411000 +0! +#35411500 +1! +#35411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35412000 +0! +#35412500 +1! +#35412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35413000 +0! +#35413500 +1! +#35413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35414000 +0! +#35414500 +1! +#35414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35415000 +0! +#35415500 +1! +#35415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35416000 +0! +#35416500 +1! +#35416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35417000 +0! +#35417500 +1! +#35417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35418000 +0! +#35418500 +1! +#35418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35419000 +0! +#35419500 +1! +#35419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35420000 +0! +#35420500 +1! +#35420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35421000 +0! +#35421500 +1! +#35421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35422000 +0! +#35422500 +1! +#35422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#35422700 +bx1111111 ^ +b1 g +b0xxxxxxx c +0e +#35423000 +0! +#35423500 +1! +#35423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35424000 +0! +#35424500 +1! +#35424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35425000 +0! +#35425500 +1! +#35425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35426000 +0! +#35426500 +1! +#35426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35427000 +0! +#35427500 +1! +#35427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35428000 +0! +#35428500 +1! +#35428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35429000 +0! +#35429500 +1! +#35429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35430000 +0! +#35430500 +1! +#35430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35431000 +0! +#35431500 +1! +#35431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35432000 +0! +#35432500 +1! +#35432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35433000 +0! +#35433500 +1! +#35433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35434000 +0! +#35434500 +1! +#35434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35435000 +0! +#35435500 +1! +#35435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35436000 +0! +#35436500 +1! +#35436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35437000 +0! +#35437500 +1! +#35437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35438000 +0! +#35438500 +1! +#35438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35439000 +0! +#35439500 +1! +#35439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35440000 +0! +#35440500 +1! +#35440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35441000 +0! +#35441500 +1! +#35441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35442000 +0! +#35442500 +1! +#35442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35443000 +0! +#35443500 +1! +#35443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35444000 +0! +#35444500 +1! +#35444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35445000 +0! +#35445500 +1! +#35445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35446000 +0! +#35446500 +1! +#35446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35447000 +0! +#35447500 +1! +#35447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35448000 +0! +#35448500 +1! +#35448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35449000 +0! +#35449500 +1! +#35449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35450000 +0! +#35450500 +1! +#35450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35451000 +0! +#35451500 +1! +#35451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35452000 +0! +#35452500 +1! +#35452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35453000 +0! +#35453500 +1! +#35453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35454000 +0! +#35454500 +1! +#35454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35455000 +0! +#35455500 +1! +#35455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35456000 +0! +#35456500 +1! +#35456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35457000 +0! +#35457500 +1! +#35457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35458000 +0! +#35458500 +1! +#35458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35459000 +0! +#35459500 +1! +#35459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35460000 +0! +#35460500 +1! +#35460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35461000 +0! +#35461500 +1! +#35461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35462000 +0! +#35462500 +1! +#35462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35463000 +0! +#35463500 +1! +#35463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35464000 +0! +#35464500 +1! +#35464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35465000 +0! +#35465500 +1! +#35465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35466000 +0! +#35466500 +1! +#35466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35467000 +0! +#35467500 +1! +#35467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35468000 +0! +#35468500 +1! +#35468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35469000 +0! +#35469500 +1! +#35469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35470000 +0! +#35470500 +1! +#35470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35471000 +0! +#35471500 +1! +#35471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35472000 +0! +#35472500 +1! +#35472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35473000 +0! +#35473500 +1! +#35473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35474000 +0! +#35474500 +1! +#35474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35475000 +0! +#35475500 +1! +#35475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35476000 +0! +#35476500 +1! +#35476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35477000 +0! +#35477500 +1! +#35477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35478000 +0! +#35478500 +1! +#35478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35479000 +0! +#35479500 +1! +#35479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35480000 +0! +#35480500 +1! +#35480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35481000 +0! +#35481500 +1! +#35481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35482000 +0! +#35482500 +1! +#35482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35483000 +0! +#35483500 +1! +#35483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35484000 +0! +#35484500 +1! +#35484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35485000 +0! +#35485500 +1! +#35485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35486000 +0! +#35486500 +1! +#35486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35487000 +0! +#35487500 +1! +#35487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35488000 +0! +#35488500 +1! +#35488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35489000 +0! +#35489500 +1! +#35489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35490000 +0! +#35490500 +1! +#35490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35491000 +0! +#35491500 +1! +#35491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35492000 +0! +#35492500 +1! +#35492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35493000 +0! +#35493500 +1! +#35493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35494000 +0! +#35494500 +1! +#35494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35495000 +0! +#35495500 +1! +#35495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35496000 +0! +#35496500 +1! +#35496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35497000 +0! +#35497500 +1! +#35497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35498000 +0! +#35498500 +1! +#35498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35499000 +0! +#35499500 +1! +#35499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35500000 +0! +#35500500 +1! +#35500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35501000 +0! +#35501500 +1! +#35501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35502000 +0! +#35502500 +1! +#35502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35503000 +0! +#35503500 +1! +#35503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35504000 +0! +#35504500 +1! +#35504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35505000 +0! +#35505500 +1! +#35505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35506000 +0! +#35506500 +1! +#35506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35507000 +0! +#35507500 +1! +#35507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35508000 +0! +#35508500 +1! +#35508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35509000 +0! +#35509500 +1! +#35509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35510000 +0! +#35510500 +1! +#35510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35511000 +0! +#35511500 +1! +#35511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35512000 +0! +#35512500 +1! +#35512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35513000 +0! +#35513500 +1! +#35513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35514000 +0! +#35514500 +1! +#35514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35515000 +0! +#35515500 +1! +#35515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35516000 +0! +#35516500 +1! +#35516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35517000 +0! +#35517500 +1! +#35517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35518000 +0! +#35518500 +1! +#35518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35519000 +0! +#35519500 +1! +#35519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35520000 +0! +#35520500 +1! +#35520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35521000 +0! +#35521500 +1! +#35521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35522000 +0! +#35522500 +1! +#35522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35523000 +0! +#35523500 +1! +#35523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35524000 +0! +#35524500 +1! +#35524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#35524700 +1j +xj +#35525000 +0! +#35525500 +1! +#35525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35526000 +0! +#35526500 +1! +#35526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35527000 +0! +#35527500 +1! +#35527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35528000 +0! +#35528500 +1! +#35528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35529000 +0! +#35529500 +1! +#35529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35530000 +0! +#35530500 +1! +#35530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35531000 +0! +#35531500 +1! +#35531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35532000 +0! +#35532500 +1! +#35532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35533000 +0! +#35533500 +1! +#35533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35534000 +0! +#35534500 +1! +#35534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35535000 +0! +#35535500 +1! +#35535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35536000 +0! +#35536500 +1! +#35536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35537000 +0! +#35537500 +1! +#35537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35538000 +0! +#35538500 +1! +#35538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35539000 +0! +#35539500 +1! +#35539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35540000 +0! +#35540500 +1! +#35540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35541000 +0! +#35541500 +1! +#35541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35542000 +0! +#35542500 +1! +#35542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35543000 +0! +#35543500 +1! +#35543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35544000 +0! +#35544500 +1! +#35544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35545000 +0! +#35545500 +1! +#35545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35546000 +0! +#35546500 +1! +#35546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35547000 +0! +#35547500 +1! +#35547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35548000 +0! +#35548500 +1! +#35548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35549000 +0! +#35549500 +1! +#35549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35550000 +0! +#35550500 +1! +#35550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35551000 +0! +#35551500 +1! +#35551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35552000 +0! +#35552500 +1! +#35552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35553000 +0! +#35553500 +1! +#35553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35554000 +0! +#35554500 +1! +#35554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35555000 +0! +#35555500 +1! +#35555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35556000 +0! +#35556500 +1! +#35556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35557000 +0! +#35557500 +1! +#35557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35558000 +0! +#35558500 +1! +#35558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35559000 +0! +#35559500 +1! +#35559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35560000 +0! +#35560500 +1! +#35560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35561000 +0! +#35561500 +1! +#35561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35562000 +0! +#35562500 +1! +#35562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35563000 +0! +#35563500 +1! +#35563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35564000 +0! +#35564500 +1! +#35564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35565000 +0! +#35565500 +1! +#35565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35566000 +0! +#35566500 +1! +#35566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35567000 +0! +#35567500 +1! +#35567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35568000 +0! +#35568500 +1! +#35568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35569000 +0! +#35569500 +1! +#35569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35570000 +0! +#35570500 +1! +#35570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35571000 +0! +#35571500 +1! +#35571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35572000 +0! +#35572500 +1! +#35572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35573000 +0! +#35573500 +1! +#35573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35574000 +0! +#35574500 +1! +#35574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35575000 +0! +#35575500 +1! +#35575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35576000 +0! +#35576500 +1! +#35576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35577000 +0! +#35577500 +1! +#35577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35578000 +0! +#35578500 +1! +#35578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35579000 +0! +#35579500 +1! +#35579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35580000 +0! +#35580500 +1! +#35580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35581000 +0! +#35581500 +1! +#35581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35582000 +0! +#35582500 +1! +#35582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35583000 +0! +#35583500 +1! +#35583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35584000 +0! +#35584500 +1! +#35584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35585000 +0! +#35585500 +1! +#35585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35586000 +0! +#35586500 +1! +#35586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35587000 +0! +#35587500 +1! +#35587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35588000 +0! +#35588500 +1! +#35588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35589000 +0! +#35589500 +1! +#35589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35590000 +0! +#35590500 +1! +#35590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35591000 +0! +#35591500 +1! +#35591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35592000 +0! +#35592500 +1! +#35592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35593000 +0! +#35593500 +1! +#35593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35594000 +0! +#35594500 +1! +#35594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35595000 +0! +#35595500 +1! +#35595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35596000 +0! +#35596500 +1! +#35596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35597000 +0! +#35597500 +1! +#35597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35598000 +0! +#35598500 +1! +#35598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35599000 +0! +#35599500 +1! +#35599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35600000 +0! +#35600500 +1! +#35600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35601000 +0! +#35601500 +1! +#35601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35602000 +0! +#35602500 +1! +#35602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35603000 +0! +#35603500 +1! +#35603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35604000 +0! +#35604500 +1! +#35604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35605000 +0! +#35605500 +1! +#35605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35606000 +0! +#35606500 +1! +#35606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35607000 +0! +#35607500 +1! +#35607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35608000 +0! +#35608500 +1! +#35608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35609000 +0! +#35609500 +1! +#35609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35610000 +0! +#35610500 +1! +#35610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35611000 +0! +#35611500 +1! +#35611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35612000 +0! +#35612500 +1! +#35612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35613000 +0! +#35613500 +1! +#35613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35614000 +0! +#35614500 +1! +#35614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35615000 +0! +#35615500 +1! +#35615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35616000 +0! +#35616500 +1! +#35616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35617000 +0! +#35617500 +1! +#35617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35618000 +0! +#35618500 +1! +#35618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35619000 +0! +#35619500 +1! +#35619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35620000 +0! +#35620500 +1! +#35620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35621000 +0! +#35621500 +1! +#35621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35622000 +0! +#35622500 +1! +#35622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35623000 +0! +#35623500 +1! +#35623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35624000 +0! +#35624500 +1! +#35624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35625000 +0! +#35625500 +1! +#35625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35626000 +0! +#35626500 +1! +#35626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35627000 +0! +#35627500 +1! +#35627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35628000 +0! +#35628500 +1! +#35628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35629000 +0! +#35629500 +1! +#35629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35630000 +0! +#35630500 +1! +#35630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35631000 +0! +#35631500 +1! +#35631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35632000 +0! +#35632500 +1! +#35632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35633000 +0! +#35633500 +1! +#35633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35634000 +0! +#35634500 +1! +#35634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35635000 +0! +#35635500 +1! +#35635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35636000 +0! +#35636500 +1! +#35636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35637000 +0! +#35637500 +1! +#35637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35638000 +0! +#35638500 +1! +#35638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35639000 +0! +#35639500 +1! +#35639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35640000 +0! +#35640500 +1! +#35640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35641000 +0! +#35641500 +1! +#35641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35642000 +0! +#35642500 +1! +#35642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35643000 +0! +#35643500 +1! +#35643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35644000 +0! +#35644500 +1! +#35644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35645000 +0! +#35645500 +1! +#35645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35646000 +0! +#35646500 +1! +#35646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35647000 +0! +#35647500 +1! +#35647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35648000 +0! +#35648500 +1! +#35648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35649000 +0! +#35649500 +1! +#35649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35650000 +0! +#35650500 +1! +#35650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35651000 +0! +#35651500 +1! +#35651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35652000 +0! +#35652500 +1! +#35652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35653000 +0! +#35653500 +1! +#35653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35654000 +0! +#35654500 +1! +#35654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35655000 +0! +#35655500 +1! +#35655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35656000 +0! +#35656500 +1! +#35656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35657000 +0! +#35657500 +1! +#35657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35658000 +0! +#35658500 +1! +#35658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35659000 +0! +#35659500 +1! +#35659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35660000 +0! +#35660500 +1! +#35660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35661000 +0! +#35661500 +1! +#35661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35662000 +0! +#35662500 +1! +#35662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35663000 +0! +#35663500 +1! +#35663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35664000 +0! +#35664500 +1! +#35664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35665000 +0! +#35665500 +1! +#35665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35666000 +0! +#35666500 +1! +#35666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35667000 +0! +#35667500 +1! +#35667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35668000 +0! +#35668500 +1! +#35668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35669000 +0! +#35669500 +1! +#35669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35670000 +0! +#35670500 +1! +#35670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35671000 +0! +#35671500 +1! +#35671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35672000 +0! +#35672500 +1! +#35672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35673000 +0! +#35673500 +1! +#35673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35674000 +0! +#35674500 +1! +#35674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35675000 +0! +#35675500 +1! +#35675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35676000 +0! +#35676500 +1! +#35676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35677000 +0! +#35677500 +1! +#35677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#35677700 +b11111111 ^ +b0 g +bx c +xe +1h +#35678000 +0! +#35678500 +1! +#35678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35679000 +0! +#35679500 +1! +#35679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35680000 +0! +#35680500 +1! +#35680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35681000 +0! +#35681500 +1! +#35681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35682000 +0! +#35682500 +1! +#35682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35683000 +0! +#35683500 +1! +#35683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35684000 +0! +#35684500 +1! +#35684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35685000 +0! +#35685500 +1! +#35685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35686000 +0! +#35686500 +1! +#35686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35687000 +0! +#35687500 +1! +#35687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35688000 +0! +#35688500 +1! +#35688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35689000 +0! +#35689500 +1! +#35689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35690000 +0! +#35690500 +1! +#35690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35691000 +0! +#35691500 +1! +#35691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35692000 +0! +#35692500 +1! +#35692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35693000 +0! +#35693500 +1! +#35693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35694000 +0! +#35694500 +1! +#35694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35695000 +0! +#35695500 +1! +#35695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35696000 +0! +#35696500 +1! +#35696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35697000 +0! +#35697500 +1! +#35697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35698000 +0! +#35698500 +1! +#35698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35699000 +0! +#35699500 +1! +#35699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35700000 +0! +#35700500 +1! +#35700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35701000 +0! +#35701500 +1! +#35701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35702000 +0! +#35702500 +1! +#35702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35703000 +0! +#35703500 +1! +#35703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35704000 +0! +#35704500 +1! +#35704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35705000 +0! +#35705500 +1! +#35705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35706000 +0! +#35706500 +1! +#35706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35707000 +0! +#35707500 +1! +#35707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35708000 +0! +#35708500 +1! +#35708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35709000 +0! +#35709500 +1! +#35709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35710000 +0! +#35710500 +1! +#35710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35711000 +0! +#35711500 +1! +#35711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35712000 +0! +#35712500 +1! +#35712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35713000 +0! +#35713500 +1! +#35713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35714000 +0! +#35714500 +1! +#35714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35715000 +0! +#35715500 +1! +#35715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35716000 +0! +#35716500 +1! +#35716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35717000 +0! +#35717500 +1! +#35717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35718000 +0! +#35718500 +1! +#35718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35719000 +0! +#35719500 +1! +#35719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35720000 +0! +#35720500 +1! +#35720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35721000 +0! +#35721500 +1! +#35721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35722000 +0! +#35722500 +1! +#35722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35723000 +0! +#35723500 +1! +#35723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35724000 +0! +#35724500 +1! +#35724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35725000 +0! +#35725500 +1! +#35725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35726000 +0! +#35726500 +1! +#35726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35727000 +0! +#35727500 +1! +#35727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35728000 +0! +#35728500 +1! +#35728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35729000 +0! +#35729500 +1! +#35729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35730000 +0! +#35730500 +1! +#35730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35731000 +0! +#35731500 +1! +#35731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35732000 +0! +#35732500 +1! +#35732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35733000 +0! +#35733500 +1! +#35733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35734000 +0! +#35734500 +1! +#35734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35735000 +0! +#35735500 +1! +#35735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35736000 +0! +#35736500 +1! +#35736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35737000 +0! +#35737500 +1! +#35737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35738000 +0! +#35738500 +1! +#35738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35739000 +0! +#35739500 +1! +#35739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35740000 +0! +#35740500 +1! +#35740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35741000 +0! +#35741500 +1! +#35741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35742000 +0! +#35742500 +1! +#35742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35743000 +0! +#35743500 +1! +#35743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35744000 +0! +#35744500 +1! +#35744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35745000 +0! +#35745500 +1! +#35745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35746000 +0! +#35746500 +1! +#35746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35747000 +0! +#35747500 +1! +#35747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35748000 +0! +#35748500 +1! +#35748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35749000 +0! +#35749500 +1! +#35749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35750000 +0! +#35750500 +1! +#35750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35751000 +0! +#35751500 +1! +#35751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35752000 +0! +#35752500 +1! +#35752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35753000 +0! +#35753500 +1! +#35753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35754000 +0! +#35754500 +1! +#35754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35755000 +0! +#35755500 +1! +#35755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35756000 +0! +#35756500 +1! +#35756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35757000 +0! +#35757500 +1! +#35757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35758000 +0! +#35758500 +1! +#35758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35759000 +0! +#35759500 +1! +#35759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35760000 +0! +#35760500 +1! +#35760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35761000 +0! +#35761500 +1! +#35761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35762000 +0! +#35762500 +1! +#35762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35763000 +0! +#35763500 +1! +#35763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35764000 +0! +#35764500 +1! +#35764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35765000 +0! +#35765500 +1! +#35765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35766000 +0! +#35766500 +1! +#35766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35767000 +0! +#35767500 +1! +#35767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35768000 +0! +#35768500 +1! +#35768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35769000 +0! +#35769500 +1! +#35769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35770000 +0! +#35770500 +1! +#35770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35771000 +0! +#35771500 +1! +#35771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35772000 +0! +#35772500 +1! +#35772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35773000 +0! +#35773500 +1! +#35773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35774000 +0! +#35774500 +1! +#35774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35775000 +0! +#35775500 +1! +#35775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35776000 +0! +#35776500 +1! +#35776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35777000 +0! +#35777500 +1! +#35777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35778000 +0! +#35778500 +1! +#35778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35779000 +0! +#35779500 +1! +#35779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#35779700 +1j +b101 q +1O +#35779800 +b101 ] +#35779900 +bx ^ +#35780000 +0! +#35780500 +1! +#35780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35781000 +0! +#35781500 +1! +#35781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35782000 +0! +#35782500 +1! +#35782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35783000 +0! +#35783500 +1! +#35783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35784000 +0! +#35784500 +1! +#35784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35785000 +0! +#35785500 +1! +#35785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35786000 +0! +#35786500 +1! +#35786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35787000 +0! +#35787500 +1! +#35787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35788000 +0! +#35788500 +1! +#35788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35789000 +0! +#35789500 +1! +#35789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35790000 +0! +#35790500 +1! +#35790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35791000 +0! +#35791500 +1! +#35791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35792000 +0! +#35792500 +1! +#35792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35793000 +0! +#35793500 +1! +#35793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35794000 +0! +#35794500 +1! +#35794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35795000 +0! +#35795500 +1! +#35795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35796000 +0! +#35796500 +1! +#35796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35797000 +0! +#35797500 +1! +#35797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35798000 +0! +#35798500 +1! +#35798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35799000 +0! +#35799500 +1! +#35799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35800000 +0! +#35800500 +1! +#35800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35801000 +0! +#35801500 +1! +#35801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35802000 +0! +#35802500 +1! +#35802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35803000 +0! +#35803500 +1! +#35803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35804000 +0! +#35804500 +1! +#35804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35805000 +0! +#35805500 +1! +#35805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35806000 +0! +#35806500 +1! +#35806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35807000 +0! +#35807500 +1! +#35807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35808000 +0! +#35808500 +1! +#35808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35809000 +0! +#35809500 +1! +#35809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35810000 +0! +#35810500 +1! +#35810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35811000 +0! +#35811500 +1! +#35811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35812000 +0! +#35812500 +1! +#35812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35813000 +0! +#35813500 +1! +#35813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35814000 +0! +#35814500 +1! +#35814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35815000 +0! +#35815500 +1! +#35815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35816000 +0! +#35816500 +1! +#35816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35817000 +0! +#35817500 +1! +#35817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35818000 +0! +#35818500 +1! +#35818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35819000 +0! +#35819500 +1! +#35819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35820000 +0! +#35820500 +1! +#35820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35821000 +0! +#35821500 +1! +#35821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35822000 +0! +#35822500 +1! +#35822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35823000 +0! +#35823500 +1! +#35823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35824000 +0! +#35824500 +1! +#35824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35825000 +0! +#35825500 +1! +#35825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35826000 +0! +#35826500 +1! +#35826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35827000 +0! +#35827500 +1! +#35827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35828000 +0! +#35828500 +1! +#35828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35829000 +0! +#35829500 +1! +#35829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35830000 +0! +#35830500 +1! +#35830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35831000 +0! +#35831500 +1! +#35831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35832000 +0! +#35832500 +1! +#35832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35833000 +0! +#35833500 +1! +#35833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35834000 +0! +#35834500 +1! +#35834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35835000 +0! +#35835500 +1! +#35835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35836000 +0! +#35836500 +1! +#35836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35837000 +0! +#35837500 +1! +#35837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35838000 +0! +#35838500 +1! +#35838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35839000 +0! +#35839500 +1! +#35839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35840000 +0! +#35840500 +1! +#35840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35841000 +0! +#35841500 +1! +#35841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35842000 +0! +#35842500 +1! +#35842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35843000 +0! +#35843500 +1! +#35843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35844000 +0! +#35844500 +1! +#35844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35845000 +0! +#35845500 +1! +#35845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35846000 +0! +#35846500 +1! +#35846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35847000 +0! +#35847500 +1! +#35847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35848000 +0! +#35848500 +1! +#35848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35849000 +0! +#35849500 +1! +#35849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35850000 +0! +#35850500 +1! +#35850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35851000 +0! +#35851500 +1! +#35851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35852000 +0! +#35852500 +1! +#35852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35853000 +0! +#35853500 +1! +#35853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35854000 +0! +#35854500 +1! +#35854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35855000 +0! +#35855500 +1! +#35855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35856000 +0! +#35856500 +1! +#35856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35857000 +0! +#35857500 +1! +#35857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35858000 +0! +#35858500 +1! +#35858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35859000 +0! +#35859500 +1! +#35859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35860000 +0! +#35860500 +1! +#35860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35861000 +0! +#35861500 +1! +#35861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35862000 +0! +#35862500 +1! +#35862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35863000 +0! +#35863500 +1! +#35863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35864000 +0! +#35864500 +1! +#35864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35865000 +0! +#35865500 +1! +#35865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35866000 +0! +#35866500 +1! +#35866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35867000 +0! +#35867500 +1! +#35867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35868000 +0! +#35868500 +1! +#35868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35869000 +0! +#35869500 +1! +#35869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35870000 +0! +#35870500 +1! +#35870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35871000 +0! +#35871500 +1! +#35871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35872000 +0! +#35872500 +1! +#35872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35873000 +0! +#35873500 +1! +#35873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35874000 +0! +#35874500 +1! +#35874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35875000 +0! +#35875500 +1! +#35875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35876000 +0! +#35876500 +1! +#35876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35877000 +0! +#35877500 +1! +#35877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35878000 +0! +#35878500 +1! +#35878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35879000 +0! +#35879500 +1! +#35879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35880000 +0! +#35880500 +1! +#35880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35881000 +0! +#35881500 +1! +#35881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35882000 +0! +#35882500 +1! +#35882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35883000 +0! +#35883500 +1! +#35883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35884000 +0! +#35884500 +1! +#35884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35885000 +0! +#35885500 +1! +#35885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35886000 +0! +#35886500 +1! +#35886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35887000 +0! +#35887500 +1! +#35887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35888000 +0! +#35888500 +1! +#35888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35889000 +0! +#35889500 +1! +#35889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35890000 +0! +#35890500 +1! +#35890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35891000 +0! +#35891500 +1! +#35891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35892000 +0! +#35892500 +1! +#35892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35893000 +0! +#35893500 +1! +#35893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35894000 +0! +#35894500 +1! +#35894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35895000 +0! +#35895500 +1! +#35895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35896000 +0! +#35896500 +1! +#35896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35897000 +0! +#35897500 +1! +#35897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35898000 +0! +#35898500 +1! +#35898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35899000 +0! +#35899500 +1! +#35899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35900000 +0! +#35900500 +1! +#35900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35901000 +0! +#35901500 +1! +#35901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35902000 +0! +#35902500 +1! +#35902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35903000 +0! +#35903500 +1! +#35903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35904000 +0! +#35904500 +1! +#35904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35905000 +0! +#35905500 +1! +#35905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35906000 +0! +#35906500 +1! +#35906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35907000 +0! +#35907500 +1! +#35907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35908000 +0! +#35908500 +1! +#35908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35909000 +0! +#35909500 +1! +#35909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35910000 +0! +#35910500 +1! +#35910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35911000 +0! +#35911500 +1! +#35911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35912000 +0! +#35912500 +1! +#35912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35913000 +0! +#35913500 +1! +#35913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35914000 +0! +#35914500 +1! +#35914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35915000 +0! +#35915500 +1! +#35915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35916000 +0! +#35916500 +1! +#35916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35917000 +0! +#35917500 +1! +#35917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35918000 +0! +#35918500 +1! +#35918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35919000 +0! +#35919500 +1! +#35919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35920000 +0! +#35920500 +1! +#35920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35921000 +0! +#35921500 +1! +#35921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35922000 +0! +#35922500 +1! +#35922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35923000 +0! +#35923500 +1! +#35923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35924000 +0! +#35924500 +1! +#35924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35925000 +0! +#35925500 +1! +#35925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35926000 +0! +#35926500 +1! +#35926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35927000 +0! +#35927500 +1! +#35927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35928000 +0! +#35928500 +1! +#35928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35929000 +0! +#35929500 +1! +#35929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35930000 +0! +#35930500 +1! +#35930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35931000 +0! +#35931500 +1! +#35931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35932000 +0! +#35932500 +1! +#35932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#35932700 +b111 g +bx1 c +0h +#35933000 +0! +#35933500 +1! +#35933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35934000 +0! +#35934500 +1! +#35934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35935000 +0! +#35935500 +1! +#35935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35936000 +0! +#35936500 +1! +#35936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35937000 +0! +#35937500 +1! +#35937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35938000 +0! +#35938500 +1! +#35938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35939000 +0! +#35939500 +1! +#35939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35940000 +0! +#35940500 +1! +#35940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35941000 +0! +#35941500 +1! +#35941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35942000 +0! +#35942500 +1! +#35942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35943000 +0! +#35943500 +1! +#35943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35944000 +0! +#35944500 +1! +#35944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35945000 +0! +#35945500 +1! +#35945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35946000 +0! +#35946500 +1! +#35946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35947000 +0! +#35947500 +1! +#35947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35948000 +0! +#35948500 +1! +#35948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35949000 +0! +#35949500 +1! +#35949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35950000 +0! +#35950500 +1! +#35950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35951000 +0! +#35951500 +1! +#35951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35952000 +0! +#35952500 +1! +#35952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35953000 +0! +#35953500 +1! +#35953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35954000 +0! +#35954500 +1! +#35954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35955000 +0! +#35955500 +1! +#35955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35956000 +0! +#35956500 +1! +#35956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35957000 +0! +#35957500 +1! +#35957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35958000 +0! +#35958500 +1! +#35958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35959000 +0! +#35959500 +1! +#35959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35960000 +0! +#35960500 +1! +#35960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35961000 +0! +#35961500 +1! +#35961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35962000 +0! +#35962500 +1! +#35962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35963000 +0! +#35963500 +1! +#35963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35964000 +0! +#35964500 +1! +#35964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35965000 +0! +#35965500 +1! +#35965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35966000 +0! +#35966500 +1! +#35966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35967000 +0! +#35967500 +1! +#35967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35968000 +0! +#35968500 +1! +#35968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35969000 +0! +#35969500 +1! +#35969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35970000 +0! +#35970500 +1! +#35970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35971000 +0! +#35971500 +1! +#35971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35972000 +0! +#35972500 +1! +#35972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35973000 +0! +#35973500 +1! +#35973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35974000 +0! +#35974500 +1! +#35974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35975000 +0! +#35975500 +1! +#35975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35976000 +0! +#35976500 +1! +#35976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35977000 +0! +#35977500 +1! +#35977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35978000 +0! +#35978500 +1! +#35978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35979000 +0! +#35979500 +1! +#35979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35980000 +0! +#35980500 +1! +#35980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35981000 +0! +#35981500 +1! +#35981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35982000 +0! +#35982500 +1! +#35982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35983000 +0! +#35983500 +1! +#35983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35984000 +0! +#35984500 +1! +#35984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35985000 +0! +#35985500 +1! +#35985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35986000 +0! +#35986500 +1! +#35986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35987000 +0! +#35987500 +1! +#35987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35988000 +0! +#35988500 +1! +#35988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35989000 +0! +#35989500 +1! +#35989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35990000 +0! +#35990500 +1! +#35990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35991000 +0! +#35991500 +1! +#35991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35992000 +0! +#35992500 +1! +#35992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35993000 +0! +#35993500 +1! +#35993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35994000 +0! +#35994500 +1! +#35994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35995000 +0! +#35995500 +1! +#35995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35996000 +0! +#35996500 +1! +#35996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35997000 +0! +#35997500 +1! +#35997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#35998000 +0! +#35998500 +1! +#35998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#35999000 +0! +#35999500 +1! +#35999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36000000 +0! +#36000500 +1! +#36000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36001000 +0! +#36001500 +1! +#36001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36002000 +0! +#36002500 +1! +#36002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36003000 +0! +#36003500 +1! +#36003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36004000 +0! +#36004500 +1! +#36004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36005000 +0! +#36005500 +1! +#36005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36006000 +0! +#36006500 +1! +#36006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36007000 +0! +#36007500 +1! +#36007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36008000 +0! +#36008500 +1! +#36008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36009000 +0! +#36009500 +1! +#36009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36010000 +0! +#36010500 +1! +#36010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36011000 +0! +#36011500 +1! +#36011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36012000 +0! +#36012500 +1! +#36012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36013000 +0! +#36013500 +1! +#36013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36014000 +0! +#36014500 +1! +#36014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36015000 +0! +#36015500 +1! +#36015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36016000 +0! +#36016500 +1! +#36016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36017000 +0! +#36017500 +1! +#36017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36018000 +0! +#36018500 +1! +#36018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36019000 +0! +#36019500 +1! +#36019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36020000 +0! +#36020500 +1! +#36020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36021000 +0! +#36021500 +1! +#36021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36022000 +0! +#36022500 +1! +#36022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36023000 +0! +#36023500 +1! +#36023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36024000 +0! +#36024500 +1! +#36024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36025000 +0! +#36025500 +1! +#36025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36026000 +0! +#36026500 +1! +#36026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36027000 +0! +#36027500 +1! +#36027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36028000 +0! +#36028500 +1! +#36028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36029000 +0! +#36029500 +1! +#36029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36030000 +0! +#36030500 +1! +#36030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36031000 +0! +#36031500 +1! +#36031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36032000 +0! +#36032500 +1! +#36032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36033000 +0! +#36033500 +1! +#36033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36034000 +0! +#36034500 +1! +#36034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#36034700 +1i +b0 q +#36035000 +0! +#36035500 +1! +#36035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36036000 +0! +#36036500 +1! +#36036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36037000 +0! +#36037500 +1! +#36037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +bx000001 C +#36038000 +0! +#36038500 +1! +#36038600 +bx000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36039000 +0! +#36039500 +1! +#36039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +bx C +1H +#36040000 +0! +#36040500 +1! +#36040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36041000 +0! +#36041500 +1! +#36041600 +1E +1F +1G +b10100000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +1H +#36042000 +0! +#36042500 +1! +#36042600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36042700 +1E +1F +1G +b10010000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +bx000001 C +1H +#36043000 +0! +#36043500 +1! +#36043600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36044000 +0! +#36044500 +1! +#36044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +bx000011 C +#36045000 +0! +#36045500 +1! +#36045600 +bx000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36046000 +0! +#36046500 +1! +#36046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36047000 +0! +#36047500 +1! +#36047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36048000 +0! +#36048500 +1! +#36048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36049000 +0! +#36049500 +1! +#36049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36050000 +0! +#36050500 +1! +#36050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36051000 +0! +#36051500 +1! +#36051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36052000 +0! +#36052500 +1! +#36052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36053000 +0! +#36053500 +1! +#36053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36054000 +0! +#36054500 +1! +#36054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36055000 +0! +#36055500 +1! +#36055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36056000 +0! +#36056500 +1! +#36056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36057000 +0! +#36057500 +1! +#36057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36058000 +0! +#36058500 +1! +#36058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36059000 +0! +#36059500 +1! +#36059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36060000 +0! +#36060500 +1! +#36060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36061000 +0! +#36061500 +1! +#36061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36062000 +0! +#36062500 +1! +#36062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36063000 +0! +#36063500 +1! +#36063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36064000 +0! +#36064500 +1! +#36064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36065000 +0! +#36065500 +1! +#36065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36066000 +0! +#36066500 +1! +#36066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36067000 +0! +#36067500 +1! +#36067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36068000 +0! +#36068500 +1! +#36068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36069000 +0! +#36069500 +1! +#36069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36070000 +0! +#36070500 +1! +#36070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36071000 +0! +#36071500 +1! +#36071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36072000 +0! +#36072500 +1! +#36072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36073000 +0! +#36073500 +1! +#36073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36074000 +0! +#36074500 +1! +#36074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36075000 +0! +#36075500 +1! +#36075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36076000 +0! +#36076500 +1! +#36076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36077000 +0! +#36077500 +1! +#36077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36078000 +0! +#36078500 +1! +#36078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36079000 +0! +#36079500 +1! +#36079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36080000 +0! +#36080500 +1! +#36080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36081000 +0! +#36081500 +1! +#36081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36082000 +0! +#36082500 +1! +#36082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36083000 +0! +#36083500 +1! +#36083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36084000 +0! +#36084500 +1! +#36084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36085000 +0! +#36085500 +1! +#36085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36086000 +0! +#36086500 +1! +#36086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36087000 +0! +#36087500 +1! +#36087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36088000 +0! +#36088500 +1! +#36088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36089000 +0! +#36089500 +1! +#36089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36090000 +0! +#36090500 +1! +#36090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36091000 +0! +#36091500 +1! +#36091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36092000 +0! +#36092500 +1! +#36092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36093000 +0! +#36093500 +1! +#36093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36094000 +0! +#36094500 +1! +#36094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36095000 +0! +#36095500 +1! +#36095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36096000 +0! +#36096500 +1! +#36096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36097000 +0! +#36097500 +1! +#36097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36098000 +0! +#36098500 +1! +#36098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36099000 +0! +#36099500 +1! +#36099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36100000 +0! +#36100500 +1! +#36100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36101000 +0! +#36101500 +1! +#36101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36102000 +0! +#36102500 +1! +#36102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36103000 +0! +#36103500 +1! +#36103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36104000 +0! +#36104500 +1! +#36104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36105000 +0! +#36105500 +1! +#36105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36106000 +0! +#36106500 +1! +#36106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36107000 +0! +#36107500 +1! +#36107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36108000 +0! +#36108500 +1! +#36108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36109000 +0! +#36109500 +1! +#36109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36110000 +0! +#36110500 +1! +#36110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36111000 +0! +#36111500 +1! +#36111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36112000 +0! +#36112500 +1! +#36112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36113000 +0! +#36113500 +1! +#36113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36114000 +0! +#36114500 +1! +#36114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36115000 +0! +#36115500 +1! +#36115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36116000 +0! +#36116500 +1! +#36116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36117000 +0! +#36117500 +1! +#36117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36118000 +0! +#36118500 +1! +#36118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36119000 +0! +#36119500 +1! +#36119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36120000 +0! +#36120500 +1! +#36120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36121000 +0! +#36121500 +1! +#36121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36122000 +0! +#36122500 +1! +#36122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36123000 +0! +#36123500 +1! +#36123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36124000 +0! +#36124500 +1! +#36124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36125000 +0! +#36125500 +1! +#36125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36126000 +0! +#36126500 +1! +#36126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36127000 +0! +#36127500 +1! +#36127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36128000 +0! +#36128500 +1! +#36128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36129000 +0! +#36129500 +1! +#36129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36130000 +0! +#36130500 +1! +#36130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36131000 +0! +#36131500 +1! +#36131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36132000 +0! +#36132500 +1! +#36132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36133000 +0! +#36133500 +1! +#36133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36134000 +0! +#36134500 +1! +#36134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36135000 +0! +#36135500 +1! +#36135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36136000 +0! +#36136500 +1! +#36136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36137000 +0! +#36137500 +1! +#36137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36138000 +0! +#36138500 +1! +#36138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36139000 +0! +#36139500 +1! +#36139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36140000 +0! +#36140500 +1! +#36140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36141000 +0! +#36141500 +1! +#36141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36142000 +0! +#36142500 +1! +#36142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36143000 +0! +#36143500 +1! +#36143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36144000 +0! +#36144500 +1! +#36144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36145000 +0! +#36145500 +1! +#36145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36146000 +0! +#36146500 +1! +#36146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36147000 +0! +#36147500 +1! +#36147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36148000 +0! +#36148500 +1! +#36148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36149000 +0! +#36149500 +1! +#36149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36150000 +0! +#36150500 +1! +#36150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36151000 +0! +#36151500 +1! +#36151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36152000 +0! +#36152500 +1! +#36152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36153000 +0! +#36153500 +1! +#36153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36154000 +0! +#36154500 +1! +#36154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36155000 +0! +#36155500 +1! +#36155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36156000 +0! +#36156500 +1! +#36156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36157000 +0! +#36157500 +1! +#36157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36158000 +0! +#36158500 +1! +#36158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36159000 +0! +#36159500 +1! +#36159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36160000 +0! +#36160500 +1! +#36160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36161000 +0! +#36161500 +1! +#36161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36162000 +0! +#36162500 +1! +#36162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36163000 +0! +#36163500 +1! +#36163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36164000 +0! +#36164500 +1! +#36164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36165000 +0! +#36165500 +1! +#36165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36166000 +0! +#36166500 +1! +#36166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36167000 +0! +#36167500 +1! +#36167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36168000 +0! +#36168500 +1! +#36168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36169000 +0! +#36169500 +1! +#36169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36170000 +0! +#36170500 +1! +#36170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36171000 +0! +#36171500 +1! +#36171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36172000 +0! +#36172500 +1! +#36172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36173000 +0! +#36173500 +1! +#36173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36174000 +0! +#36174500 +1! +#36174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36175000 +0! +#36175500 +1! +#36175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36176000 +0! +#36176500 +1! +#36176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36177000 +0! +#36177500 +1! +#36177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36178000 +0! +#36178500 +1! +#36178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36179000 +0! +#36179500 +1! +#36179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36180000 +0! +#36180500 +1! +#36180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36181000 +0! +#36181500 +1! +#36181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36182000 +0! +#36182500 +1! +#36182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36183000 +0! +#36183500 +1! +#36183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36184000 +0! +#36184500 +1! +#36184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36185000 +0! +#36185500 +1! +#36185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36186000 +0! +#36186500 +1! +#36186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36187000 +0! +#36187500 +1! +#36187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#36187700 +bx1 ^ +bx11 c +0e +#36188000 +0! +#36188500 +1! +#36188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36189000 +0! +#36189500 +1! +#36189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36190000 +0! +#36190500 +1! +#36190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36191000 +0! +#36191500 +1! +#36191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36192000 +0! +#36192500 +1! +#36192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36193000 +0! +#36193500 +1! +#36193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36194000 +0! +#36194500 +1! +#36194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36195000 +0! +#36195500 +1! +#36195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36196000 +0! +#36196500 +1! +#36196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36197000 +0! +#36197500 +1! +#36197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36198000 +0! +#36198500 +1! +#36198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36199000 +0! +#36199500 +1! +#36199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36200000 +0! +#36200500 +1! +#36200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36201000 +0! +#36201500 +1! +#36201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36202000 +0! +#36202500 +1! +#36202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36203000 +0! +#36203500 +1! +#36203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36204000 +0! +#36204500 +1! +#36204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36205000 +0! +#36205500 +1! +#36205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36206000 +0! +#36206500 +1! +#36206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36207000 +0! +#36207500 +1! +#36207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36208000 +0! +#36208500 +1! +#36208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36209000 +0! +#36209500 +1! +#36209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36210000 +0! +#36210500 +1! +#36210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36211000 +0! +#36211500 +1! +#36211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36212000 +0! +#36212500 +1! +#36212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36213000 +0! +#36213500 +1! +#36213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36214000 +0! +#36214500 +1! +#36214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36215000 +0! +#36215500 +1! +#36215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36216000 +0! +#36216500 +1! +#36216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36217000 +0! +#36217500 +1! +#36217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36218000 +0! +#36218500 +1! +#36218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36219000 +0! +#36219500 +1! +#36219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36220000 +0! +#36220500 +1! +#36220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36221000 +0! +#36221500 +1! +#36221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36222000 +0! +#36222500 +1! +#36222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36223000 +0! +#36223500 +1! +#36223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36224000 +0! +#36224500 +1! +#36224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36225000 +0! +#36225500 +1! +#36225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36226000 +0! +#36226500 +1! +#36226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36227000 +0! +#36227500 +1! +#36227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36228000 +0! +#36228500 +1! +#36228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36229000 +0! +#36229500 +1! +#36229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36230000 +0! +#36230500 +1! +#36230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36231000 +0! +#36231500 +1! +#36231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36232000 +0! +#36232500 +1! +#36232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36233000 +0! +#36233500 +1! +#36233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36234000 +0! +#36234500 +1! +#36234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36235000 +0! +#36235500 +1! +#36235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36236000 +0! +#36236500 +1! +#36236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36237000 +0! +#36237500 +1! +#36237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36238000 +0! +#36238500 +1! +#36238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#36238700 +1_ +1f +#36239000 +0! +#36239500 +1! +#36239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36240000 +0! +#36240500 +1! +#36240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36241000 +0! +#36241500 +1! +bx1000011 C +#36241600 +bx1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36242000 +0! +#36242500 +1! +#36242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36243000 +0! +#36243500 +1! +#36243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36244000 +0! +#36244500 +1! +#36244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36245000 +0! +#36245500 +1! +#36245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36246000 +0! +#36246500 +1! +#36246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36247000 +0! +#36247500 +1! +#36247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36248000 +0! +#36248500 +1! +#36248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36249000 +0! +#36249500 +1! +#36249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36250000 +0! +#36250500 +1! +#36250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36251000 +0! +#36251500 +1! +#36251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36252000 +0! +#36252500 +1! +#36252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36253000 +0! +#36253500 +1! +#36253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36254000 +0! +#36254500 +1! +#36254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36255000 +0! +#36255500 +1! +#36255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36256000 +0! +#36256500 +1! +#36256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36257000 +0! +#36257500 +1! +#36257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36258000 +0! +#36258500 +1! +#36258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36259000 +0! +#36259500 +1! +#36259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36260000 +0! +#36260500 +1! +#36260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36261000 +0! +#36261500 +1! +#36261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36262000 +0! +#36262500 +1! +#36262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36263000 +0! +#36263500 +1! +#36263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36264000 +0! +#36264500 +1! +#36264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36265000 +0! +#36265500 +1! +#36265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36266000 +0! +#36266500 +1! +#36266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36267000 +0! +#36267500 +1! +#36267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36268000 +0! +#36268500 +1! +#36268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36269000 +0! +#36269500 +1! +#36269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36270000 +0! +#36270500 +1! +#36270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36271000 +0! +#36271500 +1! +#36271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36272000 +0! +#36272500 +1! +#36272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36273000 +0! +#36273500 +1! +#36273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36274000 +0! +#36274500 +1! +#36274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36275000 +0! +#36275500 +1! +#36275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36276000 +0! +#36276500 +1! +#36276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36277000 +0! +#36277500 +1! +#36277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36278000 +0! +#36278500 +1! +#36278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36279000 +0! +#36279500 +1! +#36279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36280000 +0! +#36280500 +1! +#36280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36281000 +0! +#36281500 +1! +#36281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36282000 +0! +#36282500 +1! +#36282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36283000 +0! +#36283500 +1! +#36283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36284000 +0! +#36284500 +1! +#36284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36285000 +0! +#36285500 +1! +#36285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36286000 +0! +#36286500 +1! +#36286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36287000 +0! +#36287500 +1! +#36287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36288000 +0! +#36288500 +1! +#36288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36289000 +0! +#36289500 +1! +#36289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#36290000 +0! +#36290500 +1! +#36290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36291000 +0! +#36291500 +1! +#36291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36292000 +0! +#36292500 +1! +#36292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36293000 +0! +#36293500 +1! +#36293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36294000 +0! +#36294500 +1! +#36294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36295000 +0! +#36295500 +1! +#36295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36296000 +0! +#36296500 +1! +#36296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36297000 +0! +#36297500 +1! +#36297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36298000 +0! +#36298500 +1! +#36298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36299000 +0! +#36299500 +1! +#36299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36300000 +0! +#36300500 +1! +#36300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36301000 +0! +#36301500 +1! +#36301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36302000 +0! +#36302500 +1! +#36302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36303000 +0! +#36303500 +1! +#36303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36304000 +0! +#36304500 +1! +#36304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36305000 +0! +#36305500 +1! +#36305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36306000 +0! +#36306500 +1! +#36306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36307000 +0! +#36307500 +1! +#36307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36308000 +0! +#36308500 +1! +#36308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36309000 +0! +#36309500 +1! +#36309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36310000 +0! +#36310500 +1! +#36310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36311000 +0! +#36311500 +1! +#36311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36312000 +0! +#36312500 +1! +#36312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36313000 +0! +#36313500 +1! +#36313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36314000 +0! +#36314500 +1! +#36314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36315000 +0! +#36315500 +1! +#36315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36316000 +0! +#36316500 +1! +#36316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36317000 +0! +#36317500 +1! +#36317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36318000 +0! +#36318500 +1! +#36318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36319000 +0! +#36319500 +1! +#36319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36320000 +0! +#36320500 +1! +#36320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36321000 +0! +#36321500 +1! +#36321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36322000 +0! +#36322500 +1! +#36322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36323000 +0! +#36323500 +1! +#36323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36324000 +0! +#36324500 +1! +#36324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36325000 +0! +#36325500 +1! +#36325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36326000 +0! +#36326500 +1! +#36326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36327000 +0! +#36327500 +1! +#36327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36328000 +0! +#36328500 +1! +#36328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36329000 +0! +#36329500 +1! +#36329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36330000 +0! +#36330500 +1! +#36330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36331000 +0! +#36331500 +1! +#36331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36332000 +0! +#36332500 +1! +#36332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36333000 +0! +#36333500 +1! +#36333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36334000 +0! +#36334500 +1! +#36334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36335000 +0! +#36335500 +1! +#36335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36336000 +0! +#36336500 +1! +#36336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36337000 +0! +#36337500 +1! +#36337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36338000 +0! +#36338500 +1! +#36338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36339000 +0! +#36339500 +1! +#36339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36340000 +0! +#36340500 +1! +#36340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36341000 +0! +#36341500 +1! +#36341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36342000 +0! +#36342500 +1! +#36342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36343000 +0! +#36343500 +1! +#36343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36344000 +0! +#36344500 +1! +#36344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36345000 +0! +#36345500 +1! +#36345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36346000 +0! +#36346500 +1! +#36346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36347000 +0! +#36347500 +1! +#36347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36348000 +0! +#36348500 +1! +#36348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36349000 +0! +#36349500 +1! +#36349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36350000 +0! +#36350500 +1! +#36350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36351000 +0! +#36351500 +1! +#36351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36352000 +0! +#36352500 +1! +#36352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36353000 +0! +#36353500 +1! +#36353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36354000 +0! +#36354500 +1! +#36354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36355000 +0! +#36355500 +1! +#36355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36356000 +0! +#36356500 +1! +#36356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36357000 +0! +#36357500 +1! +#36357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36358000 +0! +#36358500 +1! +#36358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36359000 +0! +#36359500 +1! +#36359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36360000 +0! +#36360500 +1! +#36360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36361000 +0! +#36361500 +1! +#36361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36362000 +0! +#36362500 +1! +#36362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36363000 +0! +#36363500 +1! +#36363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36364000 +0! +#36364500 +1! +#36364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36365000 +0! +#36365500 +1! +#36365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36366000 +0! +#36366500 +1! +#36366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36367000 +0! +#36367500 +1! +#36367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36368000 +0! +#36368500 +1! +#36368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36369000 +0! +#36369500 +1! +#36369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36370000 +0! +#36370500 +1! +#36370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36371000 +0! +#36371500 +1! +#36371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36372000 +0! +#36372500 +1! +#36372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36373000 +0! +#36373500 +1! +#36373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36374000 +0! +#36374500 +1! +#36374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36375000 +0! +#36375500 +1! +#36375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36376000 +0! +#36376500 +1! +#36376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36377000 +0! +#36377500 +1! +#36377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36378000 +0! +#36378500 +1! +#36378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36379000 +0! +#36379500 +1! +#36379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36380000 +0! +#36380500 +1! +#36380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36381000 +0! +#36381500 +1! +#36381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36382000 +0! +#36382500 +1! +#36382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36383000 +0! +#36383500 +1! +#36383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36384000 +0! +#36384500 +1! +#36384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36385000 +0! +#36385500 +1! +#36385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36386000 +0! +#36386500 +1! +#36386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36387000 +0! +#36387500 +1! +#36387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36388000 +0! +#36388500 +1! +#36388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36389000 +0! +#36389500 +1! +#36389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36390000 +0! +#36390500 +1! +#36390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36391000 +0! +#36391500 +1! +#36391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#36392000 +0! +#36392500 +1! +#36392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36393000 +0! +#36393500 +1! +#36393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36394000 +0! +#36394500 +1! +#36394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36395000 +0! +#36395500 +1! +#36395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36396000 +0! +#36396500 +1! +#36396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36397000 +0! +#36397500 +1! +#36397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36398000 +0! +#36398500 +1! +#36398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36399000 +0! +#36399500 +1! +#36399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36400000 +0! +#36400500 +1! +#36400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36401000 +0! +#36401500 +1! +#36401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36402000 +0! +#36402500 +1! +#36402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36403000 +0! +#36403500 +1! +#36403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36404000 +0! +#36404500 +1! +#36404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36405000 +0! +#36405500 +1! +#36405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36406000 +0! +#36406500 +1! +#36406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36407000 +0! +#36407500 +1! +#36407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36408000 +0! +#36408500 +1! +#36408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36409000 +0! +#36409500 +1! +#36409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36410000 +0! +#36410500 +1! +#36410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36411000 +0! +#36411500 +1! +#36411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36412000 +0! +#36412500 +1! +#36412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36413000 +0! +#36413500 +1! +#36413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36414000 +0! +#36414500 +1! +#36414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36415000 +0! +#36415500 +1! +#36415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36416000 +0! +#36416500 +1! +#36416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36417000 +0! +#36417500 +1! +#36417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36418000 +0! +#36418500 +1! +#36418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36419000 +0! +#36419500 +1! +#36419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36420000 +0! +#36420500 +1! +#36420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36421000 +0! +#36421500 +1! +#36421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36422000 +0! +#36422500 +1! +#36422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36423000 +0! +#36423500 +1! +#36423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36424000 +0! +#36424500 +1! +#36424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36425000 +0! +#36425500 +1! +#36425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36426000 +0! +#36426500 +1! +#36426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36427000 +0! +#36427500 +1! +#36427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36428000 +0! +#36428500 +1! +#36428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36429000 +0! +#36429500 +1! +#36429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36430000 +0! +#36430500 +1! +#36430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36431000 +0! +#36431500 +1! +#36431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36432000 +0! +#36432500 +1! +#36432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36433000 +0! +#36433500 +1! +#36433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36434000 +0! +#36434500 +1! +#36434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36435000 +0! +#36435500 +1! +#36435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36436000 +0! +#36436500 +1! +#36436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36437000 +0! +#36437500 +1! +#36437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36438000 +0! +#36438500 +1! +#36438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36439000 +0! +#36439500 +1! +#36439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36440000 +0! +#36440500 +1! +#36440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36441000 +0! +#36441500 +1! +#36441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36442000 +0! +#36442500 +1! +#36442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#36442700 +bx11 ^ +1` +bx111 c +#36443000 +0! +#36443500 +1! +#36443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36444000 +0! +#36444500 +1! +#36444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36445000 +0! +#36445500 +1! +#36445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36446000 +0! +#36446500 +1! +#36446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36447000 +0! +#36447500 +1! +#36447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36448000 +0! +#36448500 +1! +#36448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36449000 +0! +#36449500 +1! +#36449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36450000 +0! +#36450500 +1! +#36450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36451000 +0! +#36451500 +1! +#36451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36452000 +0! +#36452500 +1! +#36452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36453000 +0! +#36453500 +1! +#36453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36454000 +0! +#36454500 +1! +#36454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36455000 +0! +#36455500 +1! +#36455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36456000 +0! +#36456500 +1! +#36456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36457000 +0! +#36457500 +1! +#36457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36458000 +0! +#36458500 +1! +#36458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36459000 +0! +#36459500 +1! +#36459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36460000 +0! +#36460500 +1! +#36460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36461000 +0! +#36461500 +1! +#36461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36462000 +0! +#36462500 +1! +#36462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36463000 +0! +#36463500 +1! +#36463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36464000 +0! +#36464500 +1! +#36464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36465000 +0! +#36465500 +1! +#36465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36466000 +0! +#36466500 +1! +#36466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36467000 +0! +#36467500 +1! +#36467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36468000 +0! +#36468500 +1! +#36468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36469000 +0! +#36469500 +1! +#36469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36470000 +0! +#36470500 +1! +#36470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36471000 +0! +#36471500 +1! +#36471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36472000 +0! +#36472500 +1! +#36472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36473000 +0! +#36473500 +1! +#36473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36474000 +0! +#36474500 +1! +#36474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36475000 +0! +#36475500 +1! +#36475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36476000 +0! +#36476500 +1! +#36476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36477000 +0! +#36477500 +1! +#36477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36478000 +0! +#36478500 +1! +#36478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36479000 +0! +#36479500 +1! +#36479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36480000 +0! +#36480500 +1! +#36480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36481000 +0! +#36481500 +1! +#36481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36482000 +0! +#36482500 +1! +#36482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36483000 +0! +#36483500 +1! +#36483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36484000 +0! +#36484500 +1! +#36484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36485000 +0! +#36485500 +1! +#36485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36486000 +0! +#36486500 +1! +#36486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36487000 +0! +#36487500 +1! +#36487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36488000 +0! +#36488500 +1! +#36488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36489000 +0! +#36489500 +1! +#36489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36490000 +0! +#36490500 +1! +#36490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36491000 +0! +#36491500 +1! +#36491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36492000 +0! +#36492500 +1! +#36492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36493000 +0! +#36493500 +1! +#36493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36494000 +0! +#36494500 +1! +#36494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36495000 +0! +#36495500 +1! +#36495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36496000 +0! +#36496500 +1! +#36496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36497000 +0! +#36497500 +1! +#36497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36498000 +0! +#36498500 +1! +#36498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36499000 +0! +#36499500 +1! +#36499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36500000 +0! +#36500500 +1! +#36500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36501000 +0! +#36501500 +1! +#36501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36502000 +0! +#36502500 +1! +#36502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36503000 +0! +#36503500 +1! +#36503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36504000 +0! +#36504500 +1! +#36504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36505000 +0! +#36505500 +1! +#36505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36506000 +0! +#36506500 +1! +#36506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36507000 +0! +#36507500 +1! +#36507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36508000 +0! +#36508500 +1! +#36508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36509000 +0! +#36509500 +1! +#36509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36510000 +0! +#36510500 +1! +#36510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36511000 +0! +#36511500 +1! +#36511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36512000 +0! +#36512500 +1! +#36512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36513000 +0! +#36513500 +1! +#36513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36514000 +0! +#36514500 +1! +#36514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36515000 +0! +#36515500 +1! +#36515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36516000 +0! +#36516500 +1! +#36516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36517000 +0! +#36517500 +1! +#36517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36518000 +0! +#36518500 +1! +#36518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36519000 +0! +#36519500 +1! +#36519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36520000 +0! +#36520500 +1! +#36520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36521000 +0! +#36521500 +1! +#36521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36522000 +0! +#36522500 +1! +#36522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36523000 +0! +#36523500 +1! +#36523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36524000 +0! +#36524500 +1! +#36524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36525000 +0! +#36525500 +1! +#36525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36526000 +0! +#36526500 +1! +#36526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36527000 +0! +#36527500 +1! +#36527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36528000 +0! +#36528500 +1! +#36528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36529000 +0! +#36529500 +1! +#36529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36530000 +0! +#36530500 +1! +#36530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36531000 +0! +#36531500 +1! +#36531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36532000 +0! +#36532500 +1! +#36532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36533000 +0! +#36533500 +1! +#36533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36534000 +0! +#36534500 +1! +#36534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36535000 +0! +#36535500 +1! +#36535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36536000 +0! +#36536500 +1! +#36536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36537000 +0! +#36537500 +1! +#36537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36538000 +0! +#36538500 +1! +#36538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36539000 +0! +#36539500 +1! +#36539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36540000 +0! +#36540500 +1! +#36540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36541000 +0! +#36541500 +1! +#36541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36542000 +0! +#36542500 +1! +#36542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36543000 +0! +#36543500 +1! +#36543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36544000 +0! +#36544500 +1! +#36544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#36544700 +0i +#36545000 +0! +#36545500 +1! +#36545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36546000 +0! +#36546500 +1! +#36546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36547000 +0! +#36547500 +1! +#36547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36548000 +0! +#36548500 +1! +#36548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36549000 +0! +#36549500 +1! +#36549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36550000 +0! +#36550500 +1! +#36550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36551000 +0! +#36551500 +1! +#36551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36552000 +0! +#36552500 +1! +#36552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36553000 +0! +#36553500 +1! +#36553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36554000 +0! +#36554500 +1! +#36554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36555000 +0! +#36555500 +1! +#36555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36556000 +0! +#36556500 +1! +#36556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36557000 +0! +#36557500 +1! +#36557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36558000 +0! +#36558500 +1! +#36558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36559000 +0! +#36559500 +1! +#36559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36560000 +0! +#36560500 +1! +#36560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36561000 +0! +#36561500 +1! +#36561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36562000 +0! +#36562500 +1! +#36562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36563000 +0! +#36563500 +1! +#36563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36564000 +0! +#36564500 +1! +#36564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36565000 +0! +#36565500 +1! +#36565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36566000 +0! +#36566500 +1! +#36566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36567000 +0! +#36567500 +1! +#36567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36568000 +0! +#36568500 +1! +#36568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36569000 +0! +#36569500 +1! +#36569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36570000 +0! +#36570500 +1! +#36570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36571000 +0! +#36571500 +1! +#36571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36572000 +0! +#36572500 +1! +#36572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36573000 +0! +#36573500 +1! +#36573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36574000 +0! +#36574500 +1! +#36574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36575000 +0! +#36575500 +1! +#36575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36576000 +0! +#36576500 +1! +#36576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36577000 +0! +#36577500 +1! +#36577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36578000 +0! +#36578500 +1! +#36578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36579000 +0! +#36579500 +1! +#36579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36580000 +0! +#36580500 +1! +#36580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36581000 +0! +#36581500 +1! +#36581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36582000 +0! +#36582500 +1! +#36582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36583000 +0! +#36583500 +1! +#36583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36584000 +0! +#36584500 +1! +#36584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36585000 +0! +#36585500 +1! +#36585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36586000 +0! +#36586500 +1! +#36586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36587000 +0! +#36587500 +1! +#36587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36588000 +0! +#36588500 +1! +#36588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36589000 +0! +#36589500 +1! +#36589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36590000 +0! +#36590500 +1! +#36590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36591000 +0! +#36591500 +1! +#36591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36592000 +0! +#36592500 +1! +#36592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36593000 +0! +#36593500 +1! +#36593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36594000 +0! +#36594500 +1! +#36594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36595000 +0! +#36595500 +1! +#36595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36596000 +0! +#36596500 +1! +#36596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36597000 +0! +#36597500 +1! +#36597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36598000 +0! +#36598500 +1! +#36598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36599000 +0! +#36599500 +1! +#36599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36600000 +0! +#36600500 +1! +#36600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36601000 +0! +#36601500 +1! +#36601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36602000 +0! +#36602500 +1! +#36602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36603000 +0! +#36603500 +1! +#36603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36604000 +0! +#36604500 +1! +#36604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36605000 +0! +#36605500 +1! +#36605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36606000 +0! +#36606500 +1! +#36606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36607000 +0! +#36607500 +1! +#36607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36608000 +0! +#36608500 +1! +#36608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36609000 +0! +#36609500 +1! +#36609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36610000 +0! +#36610500 +1! +#36610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36611000 +0! +#36611500 +1! +#36611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36612000 +0! +#36612500 +1! +#36612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36613000 +0! +#36613500 +1! +#36613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36614000 +0! +#36614500 +1! +#36614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36615000 +0! +#36615500 +1! +#36615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36616000 +0! +#36616500 +1! +#36616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36617000 +0! +#36617500 +1! +#36617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36618000 +0! +#36618500 +1! +#36618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36619000 +0! +#36619500 +1! +#36619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36620000 +0! +#36620500 +1! +#36620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36621000 +0! +#36621500 +1! +#36621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36622000 +0! +#36622500 +1! +#36622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36623000 +0! +#36623500 +1! +#36623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36624000 +0! +#36624500 +1! +#36624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36625000 +0! +#36625500 +1! +#36625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36626000 +0! +#36626500 +1! +#36626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36627000 +0! +#36627500 +1! +#36627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36628000 +0! +#36628500 +1! +#36628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36629000 +0! +#36629500 +1! +#36629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36630000 +0! +#36630500 +1! +#36630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36631000 +0! +#36631500 +1! +#36631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36632000 +0! +#36632500 +1! +#36632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36633000 +0! +#36633500 +1! +#36633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36634000 +0! +#36634500 +1! +#36634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36635000 +0! +#36635500 +1! +#36635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36636000 +0! +#36636500 +1! +#36636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36637000 +0! +#36637500 +1! +#36637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36638000 +0! +#36638500 +1! +#36638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36639000 +0! +#36639500 +1! +#36639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36640000 +0! +#36640500 +1! +#36640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36641000 +0! +#36641500 +1! +#36641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36642000 +0! +#36642500 +1! +#36642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36643000 +0! +#36643500 +1! +#36643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36644000 +0! +#36644500 +1! +#36644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36645000 +0! +#36645500 +1! +#36645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36646000 +0! +#36646500 +1! +#36646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#36646700 +0_ +0f +#36647000 +0! +#36647500 +1! +#36647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36648000 +0! +#36648500 +1! +#36648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36649000 +0! +#36649500 +1! +#36649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36650000 +0! +#36650500 +1! +#36650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36651000 +0! +#36651500 +1! +#36651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36652000 +0! +#36652500 +1! +#36652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36653000 +0! +#36653500 +1! +#36653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36654000 +0! +#36654500 +1! +#36654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36655000 +0! +#36655500 +1! +#36655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36656000 +0! +#36656500 +1! +#36656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36657000 +0! +#36657500 +1! +#36657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36658000 +0! +#36658500 +1! +#36658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36659000 +0! +#36659500 +1! +#36659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36660000 +0! +#36660500 +1! +#36660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36661000 +0! +#36661500 +1! +#36661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36662000 +0! +#36662500 +1! +#36662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36663000 +0! +#36663500 +1! +#36663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36664000 +0! +#36664500 +1! +#36664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36665000 +0! +#36665500 +1! +#36665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36666000 +0! +#36666500 +1! +#36666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36667000 +0! +#36667500 +1! +#36667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36668000 +0! +#36668500 +1! +#36668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36669000 +0! +#36669500 +1! +#36669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36670000 +0! +#36670500 +1! +#36670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36671000 +0! +#36671500 +1! +#36671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36672000 +0! +#36672500 +1! +#36672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36673000 +0! +#36673500 +1! +#36673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36674000 +0! +#36674500 +1! +#36674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36675000 +0! +#36675500 +1! +#36675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36676000 +0! +#36676500 +1! +#36676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36677000 +0! +#36677500 +1! +#36677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36678000 +0! +#36678500 +1! +#36678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36679000 +0! +#36679500 +1! +#36679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36680000 +0! +#36680500 +1! +#36680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36681000 +0! +#36681500 +1! +#36681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36682000 +0! +#36682500 +1! +#36682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36683000 +0! +#36683500 +1! +#36683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36684000 +0! +#36684500 +1! +#36684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36685000 +0! +#36685500 +1! +#36685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36686000 +0! +#36686500 +1! +#36686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36687000 +0! +#36687500 +1! +#36687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36688000 +0! +#36688500 +1! +#36688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36689000 +0! +#36689500 +1! +#36689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36690000 +0! +#36690500 +1! +#36690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36691000 +0! +#36691500 +1! +#36691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36692000 +0! +#36692500 +1! +#36692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36693000 +0! +#36693500 +1! +#36693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36694000 +0! +#36694500 +1! +#36694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36695000 +0! +#36695500 +1! +#36695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36696000 +0! +#36696500 +1! +#36696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36697000 +0! +#36697500 +1! +#36697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#36697700 +bx111 ^ +0` +b110 g +bx1110 c +#36698000 +0! +#36698500 +1! +#36698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36699000 +0! +#36699500 +1! +#36699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36700000 +0! +#36700500 +1! +#36700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36701000 +0! +#36701500 +1! +#36701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36702000 +0! +#36702500 +1! +#36702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36703000 +0! +#36703500 +1! +#36703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36704000 +0! +#36704500 +1! +#36704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36705000 +0! +#36705500 +1! +#36705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36706000 +0! +#36706500 +1! +#36706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36707000 +0! +#36707500 +1! +#36707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36708000 +0! +#36708500 +1! +#36708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36709000 +0! +#36709500 +1! +#36709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36710000 +0! +#36710500 +1! +#36710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36711000 +0! +#36711500 +1! +#36711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36712000 +0! +#36712500 +1! +#36712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36713000 +0! +#36713500 +1! +#36713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36714000 +0! +#36714500 +1! +#36714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36715000 +0! +#36715500 +1! +#36715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36716000 +0! +#36716500 +1! +#36716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36717000 +0! +#36717500 +1! +#36717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36718000 +0! +#36718500 +1! +#36718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36719000 +0! +#36719500 +1! +#36719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36720000 +0! +#36720500 +1! +#36720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36721000 +0! +#36721500 +1! +#36721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36722000 +0! +#36722500 +1! +#36722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36723000 +0! +#36723500 +1! +#36723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36724000 +0! +#36724500 +1! +#36724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36725000 +0! +#36725500 +1! +#36725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36726000 +0! +#36726500 +1! +#36726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36727000 +0! +#36727500 +1! +#36727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36728000 +0! +#36728500 +1! +#36728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36729000 +0! +#36729500 +1! +#36729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36730000 +0! +#36730500 +1! +#36730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36731000 +0! +#36731500 +1! +#36731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36732000 +0! +#36732500 +1! +#36732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36733000 +0! +#36733500 +1! +#36733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36734000 +0! +#36734500 +1! +#36734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36735000 +0! +#36735500 +1! +#36735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36736000 +0! +#36736500 +1! +#36736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36737000 +0! +#36737500 +1! +#36737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36738000 +0! +#36738500 +1! +#36738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36739000 +0! +#36739500 +1! +#36739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36740000 +0! +#36740500 +1! +#36740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36741000 +0! +#36741500 +1! +#36741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36742000 +0! +#36742500 +1! +#36742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36743000 +0! +#36743500 +1! +#36743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36744000 +0! +#36744500 +1! +#36744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36745000 +0! +#36745500 +1! +#36745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36746000 +0! +#36746500 +1! +#36746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36747000 +0! +#36747500 +1! +#36747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36748000 +0! +#36748500 +1! +#36748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36749000 +0! +#36749500 +1! +#36749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36750000 +0! +#36750500 +1! +#36750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36751000 +0! +#36751500 +1! +#36751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36752000 +0! +#36752500 +1! +#36752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36753000 +0! +#36753500 +1! +#36753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36754000 +0! +#36754500 +1! +#36754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36755000 +0! +#36755500 +1! +#36755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36756000 +0! +#36756500 +1! +#36756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36757000 +0! +#36757500 +1! +#36757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36758000 +0! +#36758500 +1! +#36758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36759000 +0! +#36759500 +1! +#36759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36760000 +0! +#36760500 +1! +#36760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36761000 +0! +#36761500 +1! +#36761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36762000 +0! +#36762500 +1! +#36762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36763000 +0! +#36763500 +1! +#36763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36764000 +0! +#36764500 +1! +#36764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36765000 +0! +#36765500 +1! +#36765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36766000 +0! +#36766500 +1! +#36766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36767000 +0! +#36767500 +1! +#36767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36768000 +0! +#36768500 +1! +#36768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36769000 +0! +#36769500 +1! +#36769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36770000 +0! +#36770500 +1! +#36770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36771000 +0! +#36771500 +1! +#36771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36772000 +0! +#36772500 +1! +#36772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36773000 +0! +#36773500 +1! +#36773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36774000 +0! +#36774500 +1! +#36774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36775000 +0! +#36775500 +1! +#36775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36776000 +0! +#36776500 +1! +#36776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36777000 +0! +#36777500 +1! +#36777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36778000 +0! +#36778500 +1! +#36778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36779000 +0! +#36779500 +1! +#36779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36780000 +0! +#36780500 +1! +#36780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36781000 +0! +#36781500 +1! +#36781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36782000 +0! +#36782500 +1! +#36782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36783000 +0! +#36783500 +1! +#36783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36784000 +0! +#36784500 +1! +#36784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36785000 +0! +#36785500 +1! +#36785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36786000 +0! +#36786500 +1! +#36786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36787000 +0! +#36787500 +1! +#36787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36788000 +0! +#36788500 +1! +#36788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36789000 +0! +#36789500 +1! +#36789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36790000 +0! +#36790500 +1! +#36790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36791000 +0! +#36791500 +1! +#36791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36792000 +0! +#36792500 +1! +#36792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36793000 +0! +#36793500 +1! +#36793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36794000 +0! +#36794500 +1! +#36794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36795000 +0! +#36795500 +1! +#36795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36796000 +0! +#36796500 +1! +#36796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36797000 +0! +#36797500 +1! +#36797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36798000 +0! +#36798500 +1! +#36798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36799000 +0! +#36799500 +1! +#36799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#36800000 +0! +#36800500 +1! +#36800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36801000 +0! +#36801500 +1! +#36801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36802000 +0! +#36802500 +1! +#36802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36803000 +0! +#36803500 +1! +#36803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36804000 +0! +#36804500 +1! +#36804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36805000 +0! +#36805500 +1! +#36805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36806000 +0! +#36806500 +1! +#36806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36807000 +0! +#36807500 +1! +#36807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36808000 +0! +#36808500 +1! +#36808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36809000 +0! +#36809500 +1! +#36809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36810000 +0! +#36810500 +1! +#36810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36811000 +0! +#36811500 +1! +#36811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36812000 +0! +#36812500 +1! +#36812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36813000 +0! +#36813500 +1! +#36813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36814000 +0! +#36814500 +1! +#36814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36815000 +0! +#36815500 +1! +#36815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36816000 +0! +#36816500 +1! +#36816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36817000 +0! +#36817500 +1! +#36817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36818000 +0! +#36818500 +1! +#36818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36819000 +0! +#36819500 +1! +#36819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36820000 +0! +#36820500 +1! +#36820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36821000 +0! +#36821500 +1! +#36821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36822000 +0! +#36822500 +1! +#36822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36823000 +0! +#36823500 +1! +#36823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36824000 +0! +#36824500 +1! +#36824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36825000 +0! +#36825500 +1! +#36825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36826000 +0! +#36826500 +1! +#36826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36827000 +0! +#36827500 +1! +#36827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36828000 +0! +#36828500 +1! +#36828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36829000 +0! +#36829500 +1! +#36829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36830000 +0! +#36830500 +1! +#36830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36831000 +0! +#36831500 +1! +#36831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36832000 +0! +#36832500 +1! +#36832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36833000 +0! +#36833500 +1! +#36833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36834000 +0! +#36834500 +1! +#36834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36835000 +0! +#36835500 +1! +#36835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36836000 +0! +#36836500 +1! +#36836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36837000 +0! +#36837500 +1! +#36837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36838000 +0! +#36838500 +1! +#36838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36839000 +0! +#36839500 +1! +#36839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36840000 +0! +#36840500 +1! +#36840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36841000 +0! +#36841500 +1! +#36841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36842000 +0! +#36842500 +1! +#36842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36843000 +0! +#36843500 +1! +#36843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36844000 +0! +#36844500 +1! +#36844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36845000 +0! +#36845500 +1! +#36845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36846000 +0! +#36846500 +1! +#36846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36847000 +0! +#36847500 +1! +#36847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36848000 +0! +#36848500 +1! +#36848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36849000 +0! +#36849500 +1! +#36849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36850000 +0! +#36850500 +1! +#36850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36851000 +0! +#36851500 +1! +#36851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36852000 +0! +#36852500 +1! +#36852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36853000 +0! +#36853500 +1! +#36853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36854000 +0! +#36854500 +1! +#36854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36855000 +0! +#36855500 +1! +#36855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36856000 +0! +#36856500 +1! +#36856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36857000 +0! +#36857500 +1! +#36857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36858000 +0! +#36858500 +1! +#36858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36859000 +0! +#36859500 +1! +#36859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36860000 +0! +#36860500 +1! +#36860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36861000 +0! +#36861500 +1! +#36861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36862000 +0! +#36862500 +1! +#36862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36863000 +0! +#36863500 +1! +#36863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36864000 +0! +#36864500 +1! +#36864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36865000 +0! +#36865500 +1! +#36865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36866000 +0! +#36866500 +1! +#36866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36867000 +0! +#36867500 +1! +#36867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36868000 +0! +#36868500 +1! +#36868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36869000 +0! +#36869500 +1! +#36869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36870000 +0! +#36870500 +1! +#36870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36871000 +0! +#36871500 +1! +#36871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36872000 +0! +#36872500 +1! +#36872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36873000 +0! +#36873500 +1! +#36873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36874000 +0! +#36874500 +1! +#36874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36875000 +0! +#36875500 +1! +#36875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36876000 +0! +#36876500 +1! +#36876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36877000 +0! +#36877500 +1! +#36877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36878000 +0! +#36878500 +1! +#36878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36879000 +0! +#36879500 +1! +#36879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36880000 +0! +#36880500 +1! +#36880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36881000 +0! +#36881500 +1! +#36881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36882000 +0! +#36882500 +1! +#36882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36883000 +0! +#36883500 +1! +#36883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36884000 +0! +#36884500 +1! +#36884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36885000 +0! +#36885500 +1! +#36885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36886000 +0! +#36886500 +1! +#36886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36887000 +0! +#36887500 +1! +#36887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36888000 +0! +#36888500 +1! +#36888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36889000 +0! +#36889500 +1! +#36889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36890000 +0! +#36890500 +1! +#36890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36891000 +0! +#36891500 +1! +#36891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36892000 +0! +#36892500 +1! +#36892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36893000 +0! +#36893500 +1! +#36893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36894000 +0! +#36894500 +1! +#36894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36895000 +0! +#36895500 +1! +#36895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36896000 +0! +#36896500 +1! +#36896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36897000 +0! +#36897500 +1! +#36897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36898000 +0! +#36898500 +1! +#36898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36899000 +0! +#36899500 +1! +#36899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36900000 +0! +#36900500 +1! +#36900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36901000 +0! +#36901500 +1! +#36901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#36902000 +0! +#36902500 +1! +#36902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36903000 +0! +#36903500 +1! +#36903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36904000 +0! +#36904500 +1! +#36904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36905000 +0! +#36905500 +1! +#36905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36906000 +0! +#36906500 +1! +#36906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36907000 +0! +#36907500 +1! +#36907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36908000 +0! +#36908500 +1! +#36908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36909000 +0! +#36909500 +1! +#36909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36910000 +0! +#36910500 +1! +#36910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36911000 +0! +#36911500 +1! +#36911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36912000 +0! +#36912500 +1! +#36912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36913000 +0! +#36913500 +1! +#36913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36914000 +0! +#36914500 +1! +#36914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36915000 +0! +#36915500 +1! +#36915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36916000 +0! +#36916500 +1! +#36916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36917000 +0! +#36917500 +1! +#36917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36918000 +0! +#36918500 +1! +#36918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36919000 +0! +#36919500 +1! +#36919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36920000 +0! +#36920500 +1! +#36920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36921000 +0! +#36921500 +1! +#36921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36922000 +0! +#36922500 +1! +#36922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36923000 +0! +#36923500 +1! +#36923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36924000 +0! +#36924500 +1! +#36924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36925000 +0! +#36925500 +1! +#36925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36926000 +0! +#36926500 +1! +#36926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36927000 +0! +#36927500 +1! +#36927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36928000 +0! +#36928500 +1! +#36928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36929000 +0! +#36929500 +1! +#36929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36930000 +0! +#36930500 +1! +#36930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36931000 +0! +#36931500 +1! +#36931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36932000 +0! +#36932500 +1! +#36932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36933000 +0! +#36933500 +1! +#36933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36934000 +0! +#36934500 +1! +#36934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36935000 +0! +#36935500 +1! +#36935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36936000 +0! +#36936500 +1! +#36936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36937000 +0! +#36937500 +1! +#36937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36938000 +0! +#36938500 +1! +#36938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36939000 +0! +#36939500 +1! +#36939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36940000 +0! +#36940500 +1! +#36940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36941000 +0! +#36941500 +1! +#36941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36942000 +0! +#36942500 +1! +#36942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36943000 +0! +#36943500 +1! +#36943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36944000 +0! +#36944500 +1! +#36944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36945000 +0! +#36945500 +1! +#36945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36946000 +0! +#36946500 +1! +#36946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36947000 +0! +#36947500 +1! +#36947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36948000 +0! +#36948500 +1! +#36948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36949000 +0! +#36949500 +1! +#36949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36950000 +0! +#36950500 +1! +#36950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36951000 +0! +#36951500 +1! +#36951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36952000 +0! +#36952500 +1! +#36952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#36952700 +bx1111 ^ +b101 g +bx11101 c +#36953000 +0! +#36953500 +1! +#36953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36954000 +0! +#36954500 +1! +#36954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36955000 +0! +#36955500 +1! +#36955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36956000 +0! +#36956500 +1! +#36956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36957000 +0! +#36957500 +1! +#36957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36958000 +0! +#36958500 +1! +#36958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36959000 +0! +#36959500 +1! +#36959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36960000 +0! +#36960500 +1! +#36960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36961000 +0! +#36961500 +1! +#36961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36962000 +0! +#36962500 +1! +#36962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36963000 +0! +#36963500 +1! +#36963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36964000 +0! +#36964500 +1! +#36964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36965000 +0! +#36965500 +1! +#36965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36966000 +0! +#36966500 +1! +#36966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36967000 +0! +#36967500 +1! +#36967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36968000 +0! +#36968500 +1! +#36968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36969000 +0! +#36969500 +1! +#36969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36970000 +0! +#36970500 +1! +#36970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36971000 +0! +#36971500 +1! +#36971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36972000 +0! +#36972500 +1! +#36972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36973000 +0! +#36973500 +1! +#36973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36974000 +0! +#36974500 +1! +#36974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36975000 +0! +#36975500 +1! +#36975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36976000 +0! +#36976500 +1! +#36976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36977000 +0! +#36977500 +1! +#36977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36978000 +0! +#36978500 +1! +#36978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36979000 +0! +#36979500 +1! +#36979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36980000 +0! +#36980500 +1! +#36980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36981000 +0! +#36981500 +1! +#36981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36982000 +0! +#36982500 +1! +#36982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36983000 +0! +#36983500 +1! +#36983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36984000 +0! +#36984500 +1! +#36984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36985000 +0! +#36985500 +1! +#36985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36986000 +0! +#36986500 +1! +#36986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36987000 +0! +#36987500 +1! +#36987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36988000 +0! +#36988500 +1! +#36988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36989000 +0! +#36989500 +1! +#36989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36990000 +0! +#36990500 +1! +#36990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36991000 +0! +#36991500 +1! +#36991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36992000 +0! +#36992500 +1! +#36992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36993000 +0! +#36993500 +1! +#36993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36994000 +0! +#36994500 +1! +#36994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36995000 +0! +#36995500 +1! +#36995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36996000 +0! +#36996500 +1! +#36996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36997000 +0! +#36997500 +1! +#36997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#36998000 +0! +#36998500 +1! +#36998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#36999000 +0! +#36999500 +1! +#36999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37000000 +0! +#37000500 +1! +#37000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37001000 +0! +#37001500 +1! +#37001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37002000 +0! +#37002500 +1! +#37002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37003000 +0! +#37003500 +1! +#37003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37004000 +0! +#37004500 +1! +#37004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37005000 +0! +#37005500 +1! +#37005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37006000 +0! +#37006500 +1! +#37006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37007000 +0! +#37007500 +1! +#37007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37008000 +0! +#37008500 +1! +#37008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37009000 +0! +#37009500 +1! +#37009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37010000 +0! +#37010500 +1! +#37010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37011000 +0! +#37011500 +1! +#37011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37012000 +0! +#37012500 +1! +#37012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37013000 +0! +#37013500 +1! +#37013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37014000 +0! +#37014500 +1! +#37014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37015000 +0! +#37015500 +1! +#37015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37016000 +0! +#37016500 +1! +#37016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37017000 +0! +#37017500 +1! +#37017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37018000 +0! +#37018500 +1! +#37018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37019000 +0! +#37019500 +1! +#37019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37020000 +0! +#37020500 +1! +#37020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37021000 +0! +#37021500 +1! +#37021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37022000 +0! +#37022500 +1! +#37022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37023000 +0! +#37023500 +1! +#37023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37024000 +0! +#37024500 +1! +#37024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37025000 +0! +#37025500 +1! +#37025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37026000 +0! +#37026500 +1! +#37026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37027000 +0! +#37027500 +1! +#37027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37028000 +0! +#37028500 +1! +#37028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37029000 +0! +#37029500 +1! +#37029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37030000 +0! +#37030500 +1! +#37030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37031000 +0! +#37031500 +1! +#37031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37032000 +0! +#37032500 +1! +#37032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37033000 +0! +#37033500 +1! +#37033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37034000 +0! +#37034500 +1! +#37034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37035000 +0! +#37035500 +1! +#37035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37036000 +0! +#37036500 +1! +#37036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37037000 +0! +#37037500 +1! +#37037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37038000 +0! +#37038500 +1! +#37038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37039000 +0! +#37039500 +1! +#37039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37040000 +0! +#37040500 +1! +#37040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37041000 +0! +#37041500 +1! +#37041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37042000 +0! +#37042500 +1! +#37042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37043000 +0! +#37043500 +1! +#37043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37044000 +0! +#37044500 +1! +#37044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37045000 +0! +#37045500 +1! +#37045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37046000 +0! +#37046500 +1! +#37046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37047000 +0! +#37047500 +1! +#37047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37048000 +0! +#37048500 +1! +#37048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37049000 +0! +#37049500 +1! +#37049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37050000 +0! +#37050500 +1! +#37050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37051000 +0! +#37051500 +1! +#37051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37052000 +0! +#37052500 +1! +#37052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37053000 +0! +#37053500 +1! +#37053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37054000 +0! +#37054500 +1! +#37054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#37055000 +0! +#37055500 +1! +#37055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37056000 +0! +#37056500 +1! +#37056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37057000 +0! +#37057500 +1! +#37057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37058000 +0! +#37058500 +1! +#37058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37059000 +0! +#37059500 +1! +#37059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37060000 +0! +#37060500 +1! +#37060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37061000 +0! +#37061500 +1! +#37061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37062000 +0! +#37062500 +1! +#37062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37063000 +0! +#37063500 +1! +#37063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37064000 +0! +#37064500 +1! +#37064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37065000 +0! +#37065500 +1! +#37065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37066000 +0! +#37066500 +1! +#37066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37067000 +0! +#37067500 +1! +#37067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37068000 +0! +#37068500 +1! +#37068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37069000 +0! +#37069500 +1! +#37069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37070000 +0! +#37070500 +1! +#37070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37071000 +0! +#37071500 +1! +#37071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37072000 +0! +#37072500 +1! +#37072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37073000 +0! +#37073500 +1! +#37073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37074000 +0! +#37074500 +1! +#37074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37075000 +0! +#37075500 +1! +#37075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37076000 +0! +#37076500 +1! +#37076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37077000 +0! +#37077500 +1! +#37077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37078000 +0! +#37078500 +1! +#37078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37079000 +0! +#37079500 +1! +#37079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37080000 +0! +#37080500 +1! +#37080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37081000 +0! +#37081500 +1! +#37081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37082000 +0! +#37082500 +1! +#37082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37083000 +0! +#37083500 +1! +#37083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37084000 +0! +#37084500 +1! +#37084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37085000 +0! +#37085500 +1! +#37085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37086000 +0! +#37086500 +1! +#37086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37087000 +0! +#37087500 +1! +#37087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37088000 +0! +#37088500 +1! +#37088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37089000 +0! +#37089500 +1! +#37089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37090000 +0! +#37090500 +1! +#37090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37091000 +0! +#37091500 +1! +#37091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37092000 +0! +#37092500 +1! +#37092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37093000 +0! +#37093500 +1! +#37093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37094000 +0! +#37094500 +1! +#37094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37095000 +0! +#37095500 +1! +#37095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37096000 +0! +#37096500 +1! +#37096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37097000 +0! +#37097500 +1! +#37097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37098000 +0! +#37098500 +1! +#37098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37099000 +0! +#37099500 +1! +#37099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37100000 +0! +#37100500 +1! +#37100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37101000 +0! +#37101500 +1! +#37101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37102000 +0! +#37102500 +1! +#37102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37103000 +0! +#37103500 +1! +#37103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37104000 +0! +#37104500 +1! +#37104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37105000 +0! +#37105500 +1! +#37105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37106000 +0! +#37106500 +1! +#37106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37107000 +0! +#37107500 +1! +#37107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37108000 +0! +#37108500 +1! +#37108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37109000 +0! +#37109500 +1! +#37109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37110000 +0! +#37110500 +1! +#37110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37111000 +0! +#37111500 +1! +#37111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37112000 +0! +#37112500 +1! +#37112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37113000 +0! +#37113500 +1! +#37113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37114000 +0! +#37114500 +1! +#37114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37115000 +0! +#37115500 +1! +#37115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37116000 +0! +#37116500 +1! +#37116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37117000 +0! +#37117500 +1! +#37117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37118000 +0! +#37118500 +1! +#37118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37119000 +0! +#37119500 +1! +#37119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37120000 +0! +#37120500 +1! +#37120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37121000 +0! +#37121500 +1! +#37121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37122000 +0! +#37122500 +1! +#37122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37123000 +0! +#37123500 +1! +#37123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37124000 +0! +#37124500 +1! +#37124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37125000 +0! +#37125500 +1! +#37125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37126000 +0! +#37126500 +1! +#37126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37127000 +0! +#37127500 +1! +#37127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37128000 +0! +#37128500 +1! +#37128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37129000 +0! +#37129500 +1! +#37129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37130000 +0! +#37130500 +1! +#37130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37131000 +0! +#37131500 +1! +#37131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37132000 +0! +#37132500 +1! +#37132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37133000 +0! +#37133500 +1! +#37133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37134000 +0! +#37134500 +1! +#37134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37135000 +0! +#37135500 +1! +#37135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37136000 +0! +#37136500 +1! +#37136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37137000 +0! +#37137500 +1! +#37137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37138000 +0! +#37138500 +1! +#37138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37139000 +0! +#37139500 +1! +#37139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37140000 +0! +#37140500 +1! +#37140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37141000 +0! +#37141500 +1! +#37141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37142000 +0! +#37142500 +1! +#37142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37143000 +0! +#37143500 +1! +#37143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37144000 +0! +#37144500 +1! +#37144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37145000 +0! +#37145500 +1! +#37145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37146000 +0! +#37146500 +1! +#37146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37147000 +0! +#37147500 +1! +#37147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37148000 +0! +#37148500 +1! +#37148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37149000 +0! +#37149500 +1! +#37149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37150000 +0! +#37150500 +1! +#37150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37151000 +0! +#37151500 +1! +#37151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37152000 +0! +#37152500 +1! +#37152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37153000 +0! +#37153500 +1! +#37153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37154000 +0! +#37154500 +1! +#37154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37155000 +0! +#37155500 +1! +#37155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37156000 +0! +#37156500 +1! +#37156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0Q +0O +#37157000 +0! +#37157500 +1! +#37157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37158000 +0! +#37158500 +1! +#37158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37159000 +0! +#37159500 +1! +#37159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37160000 +0! +#37160500 +1! +#37160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37161000 +0! +#37161500 +1! +#37161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37162000 +0! +#37162500 +1! +#37162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37163000 +0! +#37163500 +1! +#37163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37164000 +0! +#37164500 +1! +#37164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37165000 +0! +#37165500 +1! +#37165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37166000 +0! +#37166500 +1! +#37166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37167000 +0! +#37167500 +1! +#37167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37168000 +0! +#37168500 +1! +#37168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37169000 +0! +#37169500 +1! +#37169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37170000 +0! +#37170500 +1! +#37170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37171000 +0! +#37171500 +1! +#37171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37172000 +0! +#37172500 +1! +#37172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37173000 +0! +#37173500 +1! +#37173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37174000 +0! +#37174500 +1! +#37174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37175000 +0! +#37175500 +1! +#37175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37176000 +0! +#37176500 +1! +#37176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37177000 +0! +#37177500 +1! +#37177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37178000 +0! +#37178500 +1! +#37178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37179000 +0! +#37179500 +1! +#37179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37180000 +0! +#37180500 +1! +#37180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37181000 +0! +#37181500 +1! +#37181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37182000 +0! +#37182500 +1! +#37182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37183000 +0! +#37183500 +1! +#37183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37184000 +0! +#37184500 +1! +#37184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37185000 +0! +#37185500 +1! +#37185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37186000 +0! +#37186500 +1! +#37186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37187000 +0! +#37187500 +1! +#37187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37188000 +0! +#37188500 +1! +#37188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37189000 +0! +#37189500 +1! +#37189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37190000 +0! +#37190500 +1! +#37190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37191000 +0! +#37191500 +1! +#37191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37192000 +0! +#37192500 +1! +#37192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37193000 +0! +#37193500 +1! +#37193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37194000 +0! +#37194500 +1! +#37194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37195000 +0! +#37195500 +1! +#37195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37196000 +0! +#37196500 +1! +#37196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37197000 +0! +#37197500 +1! +#37197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37198000 +0! +#37198500 +1! +#37198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37199000 +0! +#37199500 +1! +#37199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37200000 +0! +#37200500 +1! +#37200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37201000 +0! +#37201500 +1! +#37201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37202000 +0! +#37202500 +1! +#37202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37203000 +0! +#37203500 +1! +#37203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37204000 +0! +#37204500 +1! +#37204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37205000 +0! +#37205500 +1! +#37205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37206000 +0! +#37206500 +1! +#37206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37207000 +0! +#37207500 +1! +#37207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#37207700 +bx11111 ^ +b100 g +bx111010 c +#37208000 +0! +#37208500 +1! +#37208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37209000 +0! +#37209500 +1! +#37209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37210000 +0! +#37210500 +1! +#37210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37211000 +0! +#37211500 +1! +#37211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37212000 +0! +#37212500 +1! +#37212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37213000 +0! +#37213500 +1! +#37213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37214000 +0! +#37214500 +1! +#37214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37215000 +0! +#37215500 +1! +#37215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37216000 +0! +#37216500 +1! +#37216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37217000 +0! +#37217500 +1! +#37217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37218000 +0! +#37218500 +1! +#37218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37219000 +0! +#37219500 +1! +#37219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37220000 +0! +#37220500 +1! +#37220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37221000 +0! +#37221500 +1! +#37221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37222000 +0! +#37222500 +1! +#37222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37223000 +0! +#37223500 +1! +#37223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37224000 +0! +#37224500 +1! +#37224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37225000 +0! +#37225500 +1! +#37225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37226000 +0! +#37226500 +1! +#37226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37227000 +0! +#37227500 +1! +#37227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37228000 +0! +#37228500 +1! +#37228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37229000 +0! +#37229500 +1! +#37229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37230000 +0! +#37230500 +1! +#37230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37231000 +0! +#37231500 +1! +#37231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37232000 +0! +#37232500 +1! +#37232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37233000 +0! +#37233500 +1! +#37233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37234000 +0! +#37234500 +1! +#37234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37235000 +0! +#37235500 +1! +#37235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37236000 +0! +#37236500 +1! +#37236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37237000 +0! +#37237500 +1! +#37237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37238000 +0! +#37238500 +1! +#37238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37239000 +0! +#37239500 +1! +#37239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37240000 +0! +#37240500 +1! +#37240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37241000 +0! +#37241500 +1! +#37241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37242000 +0! +#37242500 +1! +#37242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37243000 +0! +#37243500 +1! +#37243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37244000 +0! +#37244500 +1! +#37244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37245000 +0! +#37245500 +1! +#37245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37246000 +0! +#37246500 +1! +#37246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37247000 +0! +#37247500 +1! +#37247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37248000 +0! +#37248500 +1! +#37248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37249000 +0! +#37249500 +1! +#37249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37250000 +0! +#37250500 +1! +#37250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37251000 +0! +#37251500 +1! +#37251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37252000 +0! +#37252500 +1! +#37252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37253000 +0! +#37253500 +1! +#37253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37254000 +0! +#37254500 +1! +#37254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37255000 +0! +#37255500 +1! +#37255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37256000 +0! +#37256500 +1! +#37256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37257000 +0! +#37257500 +1! +#37257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37258000 +0! +#37258500 +1! +#37258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37259000 +0! +#37259500 +1! +#37259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37260000 +0! +#37260500 +1! +#37260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37261000 +0! +#37261500 +1! +#37261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37262000 +0! +#37262500 +1! +#37262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37263000 +0! +#37263500 +1! +#37263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37264000 +0! +#37264500 +1! +#37264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37265000 +0! +#37265500 +1! +#37265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37266000 +0! +#37266500 +1! +#37266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37267000 +0! +#37267500 +1! +#37267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37268000 +0! +#37268500 +1! +#37268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37269000 +0! +#37269500 +1! +#37269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37270000 +0! +#37270500 +1! +#37270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37271000 +0! +#37271500 +1! +#37271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37272000 +0! +#37272500 +1! +#37272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37273000 +0! +#37273500 +1! +#37273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37274000 +0! +#37274500 +1! +#37274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37275000 +0! +#37275500 +1! +#37275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37276000 +0! +#37276500 +1! +#37276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37277000 +0! +#37277500 +1! +#37277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37278000 +0! +#37278500 +1! +#37278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37279000 +0! +#37279500 +1! +#37279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37280000 +0! +#37280500 +1! +#37280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37281000 +0! +#37281500 +1! +#37281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37282000 +0! +#37282500 +1! +#37282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37283000 +0! +#37283500 +1! +#37283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37284000 +0! +#37284500 +1! +#37284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37285000 +0! +#37285500 +1! +#37285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37286000 +0! +#37286500 +1! +#37286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37287000 +0! +#37287500 +1! +#37287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37288000 +0! +#37288500 +1! +#37288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37289000 +0! +#37289500 +1! +#37289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37290000 +0! +#37290500 +1! +#37290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37291000 +0! +#37291500 +1! +#37291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37292000 +0! +#37292500 +1! +#37292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37293000 +0! +#37293500 +1! +#37293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37294000 +0! +#37294500 +1! +#37294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37295000 +0! +#37295500 +1! +#37295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37296000 +0! +#37296500 +1! +#37296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37297000 +0! +#37297500 +1! +#37297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37298000 +0! +#37298500 +1! +#37298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37299000 +0! +#37299500 +1! +#37299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37300000 +0! +#37300500 +1! +#37300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37301000 +0! +#37301500 +1! +#37301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37302000 +0! +#37302500 +1! +#37302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37303000 +0! +#37303500 +1! +#37303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37304000 +0! +#37304500 +1! +#37304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37305000 +0! +#37305500 +1! +#37305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37306000 +0! +#37306500 +1! +#37306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37307000 +0! +#37307500 +1! +#37307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37308000 +0! +#37308500 +1! +#37308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37309000 +0! +#37309500 +1! +#37309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#37310000 +0! +#37310500 +1! +#37310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37311000 +0! +#37311500 +1! +#37311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37312000 +0! +#37312500 +1! +#37312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37313000 +0! +#37313500 +1! +#37313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37314000 +0! +#37314500 +1! +#37314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37315000 +0! +#37315500 +1! +#37315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37316000 +0! +#37316500 +1! +#37316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37317000 +0! +#37317500 +1! +#37317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37318000 +0! +#37318500 +1! +#37318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37319000 +0! +#37319500 +1! +#37319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37320000 +0! +#37320500 +1! +#37320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37321000 +0! +#37321500 +1! +#37321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37322000 +0! +#37322500 +1! +#37322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37323000 +0! +#37323500 +1! +#37323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37324000 +0! +#37324500 +1! +#37324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37325000 +0! +#37325500 +1! +#37325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37326000 +0! +#37326500 +1! +#37326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37327000 +0! +#37327500 +1! +#37327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37328000 +0! +#37328500 +1! +#37328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37329000 +0! +#37329500 +1! +#37329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37330000 +0! +#37330500 +1! +#37330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37331000 +0! +#37331500 +1! +#37331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37332000 +0! +#37332500 +1! +#37332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37333000 +0! +#37333500 +1! +#37333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37334000 +0! +#37334500 +1! +#37334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37335000 +0! +#37335500 +1! +#37335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37336000 +0! +#37336500 +1! +#37336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37337000 +0! +#37337500 +1! +#37337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37338000 +0! +#37338500 +1! +#37338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37339000 +0! +#37339500 +1! +#37339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37340000 +0! +#37340500 +1! +#37340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37341000 +0! +#37341500 +1! +#37341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37342000 +0! +#37342500 +1! +#37342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37343000 +0! +#37343500 +1! +#37343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37344000 +0! +#37344500 +1! +#37344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37345000 +0! +#37345500 +1! +#37345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37346000 +0! +#37346500 +1! +#37346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37347000 +0! +#37347500 +1! +#37347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37348000 +0! +#37348500 +1! +#37348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37349000 +0! +#37349500 +1! +#37349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37350000 +0! +#37350500 +1! +#37350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37351000 +0! +#37351500 +1! +#37351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37352000 +0! +#37352500 +1! +#37352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37353000 +0! +#37353500 +1! +#37353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37354000 +0! +#37354500 +1! +#37354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37355000 +0! +#37355500 +1! +#37355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37356000 +0! +#37356500 +1! +#37356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37357000 +0! +#37357500 +1! +#37357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37358000 +0! +#37358500 +1! +#37358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37359000 +0! +#37359500 +1! +#37359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37360000 +0! +#37360500 +1! +#37360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37361000 +0! +#37361500 +1! +#37361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37362000 +0! +#37362500 +1! +#37362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37363000 +0! +#37363500 +1! +#37363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37364000 +0! +#37364500 +1! +#37364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37365000 +0! +#37365500 +1! +#37365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37366000 +0! +#37366500 +1! +#37366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37367000 +0! +#37367500 +1! +#37367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37368000 +0! +#37368500 +1! +#37368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37369000 +0! +#37369500 +1! +#37369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37370000 +0! +#37370500 +1! +#37370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37371000 +0! +#37371500 +1! +#37371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37372000 +0! +#37372500 +1! +#37372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37373000 +0! +#37373500 +1! +#37373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37374000 +0! +#37374500 +1! +#37374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37375000 +0! +#37375500 +1! +#37375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37376000 +0! +#37376500 +1! +#37376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37377000 +0! +#37377500 +1! +#37377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37378000 +0! +#37378500 +1! +#37378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37379000 +0! +#37379500 +1! +#37379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37380000 +0! +#37380500 +1! +#37380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37381000 +0! +#37381500 +1! +#37381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37382000 +0! +#37382500 +1! +#37382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37383000 +0! +#37383500 +1! +#37383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37384000 +0! +#37384500 +1! +#37384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37385000 +0! +#37385500 +1! +#37385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37386000 +0! +#37386500 +1! +#37386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37387000 +0! +#37387500 +1! +#37387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37388000 +0! +#37388500 +1! +#37388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37389000 +0! +#37389500 +1! +#37389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37390000 +0! +#37390500 +1! +#37390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37391000 +0! +#37391500 +1! +#37391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37392000 +0! +#37392500 +1! +#37392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37393000 +0! +#37393500 +1! +#37393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37394000 +0! +#37394500 +1! +#37394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37395000 +0! +#37395500 +1! +#37395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37396000 +0! +#37396500 +1! +#37396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37397000 +0! +#37397500 +1! +#37397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37398000 +0! +#37398500 +1! +#37398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37399000 +0! +#37399500 +1! +#37399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37400000 +0! +#37400500 +1! +#37400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37401000 +0! +#37401500 +1! +#37401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37402000 +0! +#37402500 +1! +#37402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37403000 +0! +#37403500 +1! +#37403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37404000 +0! +#37404500 +1! +#37404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37405000 +0! +#37405500 +1! +#37405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37406000 +0! +#37406500 +1! +#37406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37407000 +0! +#37407500 +1! +#37407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37408000 +0! +#37408500 +1! +#37408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37409000 +0! +#37409500 +1! +#37409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37410000 +0! +#37410500 +1! +#37410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37411000 +0! +#37411500 +1! +#37411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37412000 +0! +#37412500 +1! +#37412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37413000 +0! +#37413500 +1! +#37413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37414000 +0! +#37414500 +1! +#37414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37415000 +0! +#37415500 +1! +#37415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37416000 +0! +#37416500 +1! +#37416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37417000 +0! +#37417500 +1! +#37417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37418000 +0! +#37418500 +1! +#37418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37419000 +0! +#37419500 +1! +#37419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37420000 +0! +#37420500 +1! +#37420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37421000 +0! +#37421500 +1! +#37421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37422000 +0! +#37422500 +1! +#37422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37423000 +0! +#37423500 +1! +#37423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37424000 +0! +#37424500 +1! +#37424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37425000 +0! +#37425500 +1! +#37425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37426000 +0! +#37426500 +1! +#37426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37427000 +0! +#37427500 +1! +#37427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37428000 +0! +#37428500 +1! +#37428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37429000 +0! +#37429500 +1! +#37429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37430000 +0! +#37430500 +1! +#37430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37431000 +0! +#37431500 +1! +#37431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37432000 +0! +#37432500 +1! +#37432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37433000 +0! +#37433500 +1! +#37433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37434000 +0! +#37434500 +1! +#37434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37435000 +0! +#37435500 +1! +#37435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37436000 +0! +#37436500 +1! +#37436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37437000 +0! +#37437500 +1! +#37437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37438000 +0! +#37438500 +1! +#37438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37439000 +0! +#37439500 +1! +#37439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37440000 +0! +#37440500 +1! +#37440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37441000 +0! +#37441500 +1! +#37441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37442000 +0! +#37442500 +1! +#37442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37443000 +0! +#37443500 +1! +#37443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37444000 +0! +#37444500 +1! +#37444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37445000 +0! +#37445500 +1! +#37445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37446000 +0! +#37446500 +1! +#37446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37447000 +0! +#37447500 +1! +#37447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37448000 +0! +#37448500 +1! +#37448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37449000 +0! +#37449500 +1! +#37449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37450000 +0! +#37450500 +1! +#37450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37451000 +0! +#37451500 +1! +#37451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37452000 +0! +#37452500 +1! +#37452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37453000 +0! +#37453500 +1! +#37453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37454000 +0! +#37454500 +1! +#37454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37455000 +0! +#37455500 +1! +#37455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37456000 +0! +#37456500 +1! +#37456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37457000 +0! +#37457500 +1! +#37457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37458000 +0! +#37458500 +1! +#37458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37459000 +0! +#37459500 +1! +#37459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37460000 +0! +#37460500 +1! +#37460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37461000 +0! +#37461500 +1! +#37461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37462000 +0! +#37462500 +1! +#37462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#37462700 +bx111111 ^ +b11 g +bx1110100 c +#37463000 +0! +#37463500 +1! +#37463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37464000 +0! +#37464500 +1! +#37464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37465000 +0! +#37465500 +1! +#37465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37466000 +0! +#37466500 +1! +#37466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37467000 +0! +#37467500 +1! +#37467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37468000 +0! +#37468500 +1! +#37468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37469000 +0! +#37469500 +1! +#37469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37470000 +0! +#37470500 +1! +#37470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37471000 +0! +#37471500 +1! +#37471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37472000 +0! +#37472500 +1! +#37472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37473000 +0! +#37473500 +1! +#37473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37474000 +0! +#37474500 +1! +#37474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37475000 +0! +#37475500 +1! +#37475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37476000 +0! +#37476500 +1! +#37476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37477000 +0! +#37477500 +1! +#37477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37478000 +0! +#37478500 +1! +#37478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37479000 +0! +#37479500 +1! +#37479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37480000 +0! +#37480500 +1! +#37480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37481000 +0! +#37481500 +1! +#37481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37482000 +0! +#37482500 +1! +#37482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37483000 +0! +#37483500 +1! +#37483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37484000 +0! +#37484500 +1! +#37484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37485000 +0! +#37485500 +1! +#37485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37486000 +0! +#37486500 +1! +#37486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37487000 +0! +#37487500 +1! +#37487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37488000 +0! +#37488500 +1! +#37488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37489000 +0! +#37489500 +1! +#37489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37490000 +0! +#37490500 +1! +#37490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37491000 +0! +#37491500 +1! +#37491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37492000 +0! +#37492500 +1! +#37492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37493000 +0! +#37493500 +1! +#37493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37494000 +0! +#37494500 +1! +#37494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37495000 +0! +#37495500 +1! +#37495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37496000 +0! +#37496500 +1! +#37496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37497000 +0! +#37497500 +1! +#37497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37498000 +0! +#37498500 +1! +#37498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37499000 +0! +#37499500 +1! +#37499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37500000 +0! +#37500500 +1! +#37500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37501000 +0! +#37501500 +1! +#37501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37502000 +0! +#37502500 +1! +#37502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37503000 +0! +#37503500 +1! +#37503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37504000 +0! +#37504500 +1! +#37504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37505000 +0! +#37505500 +1! +#37505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37506000 +0! +#37506500 +1! +#37506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37507000 +0! +#37507500 +1! +#37507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37508000 +0! +#37508500 +1! +#37508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37509000 +0! +#37509500 +1! +#37509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37510000 +0! +#37510500 +1! +#37510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37511000 +0! +#37511500 +1! +#37511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37512000 +0! +#37512500 +1! +#37512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37513000 +0! +#37513500 +1! +#37513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37514000 +0! +#37514500 +1! +#37514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37515000 +0! +#37515500 +1! +#37515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37516000 +0! +#37516500 +1! +#37516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37517000 +0! +#37517500 +1! +#37517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37518000 +0! +#37518500 +1! +#37518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37519000 +0! +#37519500 +1! +#37519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37520000 +0! +#37520500 +1! +#37520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37521000 +0! +#37521500 +1! +#37521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37522000 +0! +#37522500 +1! +#37522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37523000 +0! +#37523500 +1! +#37523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37524000 +0! +#37524500 +1! +#37524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37525000 +0! +#37525500 +1! +#37525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37526000 +0! +#37526500 +1! +#37526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37527000 +0! +#37527500 +1! +#37527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37528000 +0! +#37528500 +1! +#37528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37529000 +0! +#37529500 +1! +#37529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37530000 +0! +#37530500 +1! +#37530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37531000 +0! +#37531500 +1! +#37531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37532000 +0! +#37532500 +1! +#37532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37533000 +0! +#37533500 +1! +#37533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37534000 +0! +#37534500 +1! +#37534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37535000 +0! +#37535500 +1! +#37535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37536000 +0! +#37536500 +1! +#37536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37537000 +0! +#37537500 +1! +#37537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37538000 +0! +#37538500 +1! +#37538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37539000 +0! +#37539500 +1! +#37539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37540000 +0! +#37540500 +1! +#37540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37541000 +0! +#37541500 +1! +#37541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37542000 +0! +#37542500 +1! +#37542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37543000 +0! +#37543500 +1! +#37543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37544000 +0! +#37544500 +1! +#37544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37545000 +0! +#37545500 +1! +#37545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37546000 +0! +#37546500 +1! +#37546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37547000 +0! +#37547500 +1! +#37547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37548000 +0! +#37548500 +1! +#37548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37549000 +0! +#37549500 +1! +#37549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37550000 +0! +#37550500 +1! +#37550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37551000 +0! +#37551500 +1! +#37551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37552000 +0! +#37552500 +1! +#37552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37553000 +0! +#37553500 +1! +#37553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37554000 +0! +#37554500 +1! +#37554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37555000 +0! +#37555500 +1! +#37555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37556000 +0! +#37556500 +1! +#37556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37557000 +0! +#37557500 +1! +#37557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37558000 +0! +#37558500 +1! +#37558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37559000 +0! +#37559500 +1! +#37559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37560000 +0! +#37560500 +1! +#37560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37561000 +0! +#37561500 +1! +#37561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37562000 +0! +#37562500 +1! +#37562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37563000 +0! +#37563500 +1! +#37563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37564000 +0! +#37564500 +1! +#37564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#37565000 +0! +#37565500 +1! +#37565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37566000 +0! +#37566500 +1! +#37566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37567000 +0! +#37567500 +1! +#37567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37568000 +0! +#37568500 +1! +#37568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37569000 +0! +#37569500 +1! +#37569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37570000 +0! +#37570500 +1! +#37570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37571000 +0! +#37571500 +1! +#37571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37572000 +0! +#37572500 +1! +#37572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37573000 +0! +#37573500 +1! +#37573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37574000 +0! +#37574500 +1! +#37574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37575000 +0! +#37575500 +1! +#37575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37576000 +0! +#37576500 +1! +#37576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37577000 +0! +#37577500 +1! +#37577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37578000 +0! +#37578500 +1! +#37578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37579000 +0! +#37579500 +1! +#37579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37580000 +0! +#37580500 +1! +#37580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37581000 +0! +#37581500 +1! +#37581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37582000 +0! +#37582500 +1! +#37582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37583000 +0! +#37583500 +1! +#37583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37584000 +0! +#37584500 +1! +#37584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37585000 +0! +#37585500 +1! +#37585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37586000 +0! +#37586500 +1! +#37586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37587000 +0! +#37587500 +1! +#37587600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37588000 +0! +#37588500 +1! +#37588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37589000 +0! +#37589500 +1! +#37589600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37590000 +0! +#37590500 +1! +#37590600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37591000 +0! +#37591500 +1! +#37591600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37592000 +0! +#37592500 +1! +#37592600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37593000 +0! +#37593500 +1! +#37593600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37594000 +0! +#37594500 +1! +#37594600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37595000 +0! +#37595500 +1! +#37595600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37596000 +0! +#37596500 +1! +#37596600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37597000 +0! +#37597500 +1! +#37597600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37598000 +0! +#37598500 +1! +#37598600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37599000 +0! +#37599500 +1! +#37599600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37600000 +0! +#37600500 +1! +#37600600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37601000 +0! +#37601500 +1! +#37601600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37602000 +0! +#37602500 +1! +#37602600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37603000 +0! +#37603500 +1! +#37603600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37604000 +0! +#37604500 +1! +#37604600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37605000 +0! +#37605500 +1! +#37605600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37606000 +0! +#37606500 +1! +#37606600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37607000 +0! +#37607500 +1! +#37607600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37608000 +0! +#37608500 +1! +#37608600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37609000 +0! +#37609500 +1! +#37609600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37610000 +0! +#37610500 +1! +#37610600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37611000 +0! +#37611500 +1! +#37611600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37612000 +0! +#37612500 +1! +#37612600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37613000 +0! +#37613500 +1! +#37613600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37614000 +0! +#37614500 +1! +#37614600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37615000 +0! +#37615500 +1! +#37615600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37616000 +0! +#37616500 +1! +#37616600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37617000 +0! +#37617500 +1! +#37617600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37618000 +0! +#37618500 +1! +#37618600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37619000 +0! +#37619500 +1! +#37619600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37620000 +0! +#37620500 +1! +#37620600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37621000 +0! +#37621500 +1! +#37621600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37622000 +0! +#37622500 +1! +#37622600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37623000 +0! +#37623500 +1! +#37623600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37624000 +0! +#37624500 +1! +#37624600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37625000 +0! +#37625500 +1! +#37625600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37626000 +0! +#37626500 +1! +#37626600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37627000 +0! +#37627500 +1! +#37627600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37628000 +0! +#37628500 +1! +#37628600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37629000 +0! +#37629500 +1! +#37629600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37630000 +0! +#37630500 +1! +#37630600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37631000 +0! +#37631500 +1! +#37631600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37632000 +0! +#37632500 +1! +#37632600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37633000 +0! +#37633500 +1! +#37633600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37634000 +0! +#37634500 +1! +#37634600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37635000 +0! +#37635500 +1! +#37635600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37636000 +0! +#37636500 +1! +#37636600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37637000 +0! +#37637500 +1! +#37637600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37638000 +0! +#37638500 +1! +#37638600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37639000 +0! +#37639500 +1! +#37639600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37640000 +0! +#37640500 +1! +#37640600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37641000 +0! +#37641500 +1! +#37641600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37642000 +0! +#37642500 +1! +#37642600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37643000 +0! +#37643500 +1! +#37643600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37644000 +0! +#37644500 +1! +#37644600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37645000 +0! +#37645500 +1! +#37645600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37646000 +0! +#37646500 +1! +#37646600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37647000 +0! +#37647500 +1! +#37647600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37648000 +0! +#37648500 +1! +#37648600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37649000 +0! +#37649500 +1! +#37649600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37650000 +0! +#37650500 +1! +#37650600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37651000 +0! +#37651500 +1! +#37651600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37652000 +0! +#37652500 +1! +#37652600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37653000 +0! +#37653500 +1! +#37653600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37654000 +0! +#37654500 +1! +#37654600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37655000 +0! +#37655500 +1! +#37655600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37656000 +0! +#37656500 +1! +#37656600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37657000 +0! +#37657500 +1! +#37657600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37658000 +0! +#37658500 +1! +#37658600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37659000 +0! +#37659500 +1! +#37659600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37660000 +0! +#37660500 +1! +#37660600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37661000 +0! +#37661500 +1! +#37661600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37662000 +0! +#37662500 +1! +#37662600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37663000 +0! +#37663500 +1! +#37663600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37664000 +0! +#37664500 +1! +#37664600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37665000 +0! +#37665500 +1! +#37665600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37666000 +0! +#37666500 +1! +#37666600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37667000 +0! +#37667500 +1! +#37667600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37668000 +0! +#37668500 +1! +#37668600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37669000 +0! +#37669500 +1! +#37669600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37670000 +0! +#37670500 +1! +#37670600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37671000 +0! +#37671500 +1! +#37671600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37672000 +0! +#37672500 +1! +#37672600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37673000 +0! +#37673500 +1! +#37673600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37674000 +0! +#37674500 +1! +#37674600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37675000 +0! +#37675500 +1! +#37675600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37676000 +0! +#37676500 +1! +#37676600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37677000 +0! +#37677500 +1! +#37677600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37678000 +0! +#37678500 +1! +#37678600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37679000 +0! +#37679500 +1! +#37679600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37680000 +0! +#37680500 +1! +#37680600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37681000 +0! +#37681500 +1! +#37681600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37682000 +0! +#37682500 +1! +#37682600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37683000 +0! +#37683500 +1! +#37683600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37684000 +0! +#37684500 +1! +#37684600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37685000 +0! +#37685500 +1! +#37685600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37686000 +0! +#37686500 +1! +#37686600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37687000 +0! +#37687500 +1! +#37687600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37688000 +0! +#37688500 +1! +#37688600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37689000 +0! +#37689500 +1! +#37689600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37690000 +0! +#37690500 +1! +#37690600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37691000 +0! +#37691500 +1! +#37691600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37692000 +0! +#37692500 +1! +#37692600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37693000 +0! +#37693500 +1! +#37693600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37694000 +0! +#37694500 +1! +#37694600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37695000 +0! +#37695500 +1! +#37695600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37696000 +0! +#37696500 +1! +#37696600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37697000 +0! +#37697500 +1! +#37697600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37698000 +0! +#37698500 +1! +#37698600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37699000 +0! +#37699500 +1! +#37699600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37700000 +0! +#37700500 +1! +#37700600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37701000 +0! +#37701500 +1! +#37701600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37702000 +0! +#37702500 +1! +#37702600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37703000 +0! +#37703500 +1! +#37703600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37704000 +0! +#37704500 +1! +#37704600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37705000 +0! +#37705500 +1! +#37705600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37706000 +0! +#37706500 +1! +#37706600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37707000 +0! +#37707500 +1! +#37707600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37708000 +0! +#37708500 +1! +#37708600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37709000 +0! +#37709500 +1! +#37709600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37710000 +0! +#37710500 +1! +#37710600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37711000 +0! +#37711500 +1! +#37711600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37712000 +0! +#37712500 +1! +#37712600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37713000 +0! +#37713500 +1! +#37713600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37714000 +0! +#37714500 +1! +#37714600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37715000 +0! +#37715500 +1! +#37715600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37716000 +0! +#37716500 +1! +#37716600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37717000 +0! +#37717500 +1! +#37717600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#37717700 +bx1111111 ^ +b10 g +b11101000 c +#37718000 +0! +#37718500 +1! +#37718600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37719000 +0! +#37719500 +1! +#37719600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37720000 +0! +#37720500 +1! +#37720600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37721000 +0! +#37721500 +1! +#37721600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37722000 +0! +#37722500 +1! +#37722600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37723000 +0! +#37723500 +1! +#37723600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37724000 +0! +#37724500 +1! +#37724600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37725000 +0! +#37725500 +1! +#37725600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37726000 +0! +#37726500 +1! +#37726600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37727000 +0! +#37727500 +1! +#37727600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37728000 +0! +#37728500 +1! +#37728600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37729000 +0! +#37729500 +1! +#37729600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37730000 +0! +#37730500 +1! +#37730600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37731000 +0! +#37731500 +1! +#37731600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37732000 +0! +#37732500 +1! +#37732600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37733000 +0! +#37733500 +1! +#37733600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37734000 +0! +#37734500 +1! +#37734600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37735000 +0! +#37735500 +1! +#37735600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37736000 +0! +#37736500 +1! +#37736600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37737000 +0! +#37737500 +1! +#37737600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37738000 +0! +#37738500 +1! +#37738600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37739000 +0! +#37739500 +1! +#37739600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37740000 +0! +#37740500 +1! +#37740600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37741000 +0! +#37741500 +1! +#37741600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37742000 +0! +#37742500 +1! +#37742600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37743000 +0! +#37743500 +1! +#37743600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37744000 +0! +#37744500 +1! +#37744600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37745000 +0! +#37745500 +1! +#37745600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37746000 +0! +#37746500 +1! +#37746600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37747000 +0! +#37747500 +1! +#37747600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37748000 +0! +#37748500 +1! +#37748600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37749000 +0! +#37749500 +1! +#37749600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37750000 +0! +#37750500 +1! +#37750600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37751000 +0! +#37751500 +1! +#37751600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37752000 +0! +#37752500 +1! +#37752600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37753000 +0! +#37753500 +1! +#37753600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37754000 +0! +#37754500 +1! +#37754600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37755000 +0! +#37755500 +1! +#37755600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37756000 +0! +#37756500 +1! +#37756600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37757000 +0! +#37757500 +1! +#37757600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37758000 +0! +#37758500 +1! +#37758600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37759000 +0! +#37759500 +1! +#37759600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37760000 +0! +#37760500 +1! +#37760600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37761000 +0! +#37761500 +1! +#37761600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37762000 +0! +#37762500 +1! +#37762600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37763000 +0! +#37763500 +1! +#37763600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37764000 +0! +#37764500 +1! +#37764600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37765000 +0! +#37765500 +1! +#37765600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37766000 +0! +#37766500 +1! +#37766600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37767000 +0! +#37767500 +1! +#37767600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37768000 +0! +#37768500 +1! +#37768600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37769000 +0! +#37769500 +1! +#37769600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37770000 +0! +#37770500 +1! +#37770600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37771000 +0! +#37771500 +1! +#37771600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37772000 +0! +#37772500 +1! +#37772600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37773000 +0! +#37773500 +1! +#37773600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37774000 +0! +#37774500 +1! +#37774600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37775000 +0! +#37775500 +1! +#37775600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37776000 +0! +#37776500 +1! +#37776600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37777000 +0! +#37777500 +1! +#37777600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37778000 +0! +#37778500 +1! +#37778600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37779000 +0! +#37779500 +1! +#37779600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37780000 +0! +#37780500 +1! +#37780600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37781000 +0! +#37781500 +1! +#37781600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37782000 +0! +#37782500 +1! +#37782600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37783000 +0! +#37783500 +1! +#37783600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37784000 +0! +#37784500 +1! +#37784600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37785000 +0! +#37785500 +1! +#37785600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37786000 +0! +#37786500 +1! +#37786600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37787000 +0! +#37787500 +1! +#37787600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37788000 +0! +#37788500 +1! +#37788600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37789000 +0! +#37789500 +1! +#37789600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37790000 +0! +#37790500 +1! +#37790600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37791000 +0! +#37791500 +1! +#37791600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37792000 +0! +#37792500 +1! +#37792600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37793000 +0! +#37793500 +1! +#37793600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37794000 +0! +#37794500 +1! +#37794600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37795000 +0! +#37795500 +1! +#37795600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37796000 +0! +#37796500 +1! +#37796600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37797000 +0! +#37797500 +1! +#37797600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37798000 +0! +#37798500 +1! +#37798600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37799000 +0! +#37799500 +1! +#37799600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37800000 +0! +#37800500 +1! +#37800600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37801000 +0! +#37801500 +1! +#37801600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37802000 +0! +#37802500 +1! +#37802600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37803000 +0! +#37803500 +1! +#37803600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37804000 +0! +#37804500 +1! +#37804600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37805000 +0! +#37805500 +1! +#37805600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37806000 +0! +#37806500 +1! +#37806600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37807000 +0! +#37807500 +1! +#37807600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37808000 +0! +#37808500 +1! +#37808600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37809000 +0! +#37809500 +1! +#37809600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37810000 +0! +#37810500 +1! +#37810600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37811000 +0! +#37811500 +1! +#37811600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37812000 +0! +#37812500 +1! +#37812600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37813000 +0! +#37813500 +1! +#37813600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37814000 +0! +#37814500 +1! +#37814600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37815000 +0! +#37815500 +1! +#37815600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37816000 +0! +#37816500 +1! +#37816600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37817000 +0! +#37817500 +1! +#37817600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37818000 +0! +#37818500 +1! +#37818600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37819000 +0! +#37819500 +1! +#37819600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#37820000 +0! +#37820500 +1! +#37820600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37821000 +0! +#37821500 +1! +#37821600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37822000 +0! +#37822500 +1! +#37822600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37823000 +0! +#37823500 +1! +#37823600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37824000 +0! +#37824500 +1! +#37824600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37825000 +0! +#37825500 +1! +#37825600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37826000 +0! +#37826500 +1! +#37826600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37827000 +0! +#37827500 +1! +#37827600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37828000 +0! +#37828500 +1! +#37828600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37829000 +0! +#37829500 +1! +#37829600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37830000 +0! +#37830500 +1! +#37830600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37831000 +0! +#37831500 +1! +#37831600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37832000 +0! +#37832500 +1! +#37832600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37833000 +0! +#37833500 +1! +#37833600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37834000 +0! +#37834500 +1! +#37834600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37835000 +0! +#37835500 +1! +#37835600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37836000 +0! +#37836500 +1! +#37836600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37837000 +0! +#37837500 +1! +#37837600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37838000 +0! +#37838500 +1! +#37838600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37839000 +0! +#37839500 +1! +#37839600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37840000 +0! +#37840500 +1! +#37840600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37841000 +0! +#37841500 +1! +#37841600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37842000 +0! +#37842500 +1! +#37842600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37843000 +0! +#37843500 +1! +#37843600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37844000 +0! +#37844500 +1! +#37844600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37845000 +0! +#37845500 +1! +#37845600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37846000 +0! +#37846500 +1! +#37846600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37847000 +0! +#37847500 +1! +#37847600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37848000 +0! +#37848500 +1! +#37848600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37849000 +0! +#37849500 +1! +#37849600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37850000 +0! +#37850500 +1! +#37850600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37851000 +0! +#37851500 +1! +#37851600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37852000 +0! +#37852500 +1! +#37852600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37853000 +0! +#37853500 +1! +#37853600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37854000 +0! +#37854500 +1! +#37854600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37855000 +0! +#37855500 +1! +#37855600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37856000 +0! +#37856500 +1! +#37856600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37857000 +0! +#37857500 +1! +#37857600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37858000 +0! +#37858500 +1! +#37858600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37859000 +0! +#37859500 +1! +#37859600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37860000 +0! +#37860500 +1! +#37860600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37861000 +0! +#37861500 +1! +#37861600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37862000 +0! +#37862500 +1! +#37862600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37863000 +0! +#37863500 +1! +#37863600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37864000 +0! +#37864500 +1! +#37864600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37865000 +0! +#37865500 +1! +#37865600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37866000 +0! +#37866500 +1! +#37866600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37867000 +0! +#37867500 +1! +#37867600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37868000 +0! +#37868500 +1! +#37868600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37869000 +0! +#37869500 +1! +#37869600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37870000 +0! +#37870500 +1! +#37870600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37871000 +0! +#37871500 +1! +#37871600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37872000 +0! +#37872500 +1! +#37872600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37873000 +0! +#37873500 +1! +#37873600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37874000 +0! +#37874500 +1! +#37874600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37875000 +0! +#37875500 +1! +#37875600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37876000 +0! +#37876500 +1! +#37876600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37877000 +0! +#37877500 +1! +#37877600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37878000 +0! +#37878500 +1! +#37878600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37879000 +0! +#37879500 +1! +#37879600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37880000 +0! +#37880500 +1! +#37880600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37881000 +0! +#37881500 +1! +#37881600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37882000 +0! +#37882500 +1! +#37882600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37883000 +0! +#37883500 +1! +#37883600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37884000 +0! +#37884500 +1! +#37884600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37885000 +0! +#37885500 +1! +#37885600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37886000 +0! +#37886500 +1! +#37886600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37887000 +0! +#37887500 +1! +#37887600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37888000 +0! +#37888500 +1! +#37888600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37889000 +0! +#37889500 +1! +#37889600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37890000 +0! +#37890500 +1! +#37890600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37891000 +0! +#37891500 +1! +#37891600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37892000 +0! +#37892500 +1! +#37892600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37893000 +0! +#37893500 +1! +#37893600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37894000 +0! +#37894500 +1! +#37894600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37895000 +0! +#37895500 +1! +#37895600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37896000 +0! +#37896500 +1! +#37896600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37897000 +0! +#37897500 +1! +#37897600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37898000 +0! +#37898500 +1! +#37898600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37899000 +0! +#37899500 +1! +#37899600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37900000 +0! +#37900500 +1! +#37900600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37901000 +0! +#37901500 +1! +#37901600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37902000 +0! +#37902500 +1! +#37902600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37903000 +0! +#37903500 +1! +#37903600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37904000 +0! +#37904500 +1! +#37904600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37905000 +0! +#37905500 +1! +#37905600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37906000 +0! +#37906500 +1! +#37906600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37907000 +0! +#37907500 +1! +#37907600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37908000 +0! +#37908500 +1! +#37908600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37909000 +0! +#37909500 +1! +#37909600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37910000 +0! +#37910500 +1! +#37910600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37911000 +0! +#37911500 +1! +#37911600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37912000 +0! +#37912500 +1! +#37912600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37913000 +0! +#37913500 +1! +#37913600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37914000 +0! +#37914500 +1! +#37914600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37915000 +0! +#37915500 +1! +#37915600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37916000 +0! +#37916500 +1! +#37916600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37917000 +0! +#37917500 +1! +#37917600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37918000 +0! +#37918500 +1! +#37918600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37919000 +0! +#37919500 +1! +#37919600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37920000 +0! +#37920500 +1! +#37920600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37921000 +0! +#37921500 +1! +#37921600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37922000 +0! +#37922500 +1! +#37922600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37923000 +0! +#37923500 +1! +#37923600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37924000 +0! +#37924500 +1! +#37924600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37925000 +0! +#37925500 +1! +#37925600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37926000 +0! +#37926500 +1! +#37926600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37927000 +0! +#37927500 +1! +#37927600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37928000 +0! +#37928500 +1! +#37928600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37929000 +0! +#37929500 +1! +#37929600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37930000 +0! +#37930500 +1! +#37930600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37931000 +0! +#37931500 +1! +#37931600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37932000 +0! +#37932500 +1! +#37932600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37933000 +0! +#37933500 +1! +#37933600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37934000 +0! +#37934500 +1! +#37934600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37935000 +0! +#37935500 +1! +#37935600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37936000 +0! +#37936500 +1! +#37936600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37937000 +0! +#37937500 +1! +#37937600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37938000 +0! +#37938500 +1! +#37938600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37939000 +0! +#37939500 +1! +#37939600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37940000 +0! +#37940500 +1! +#37940600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37941000 +0! +#37941500 +1! +#37941600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37942000 +0! +#37942500 +1! +#37942600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37943000 +0! +#37943500 +1! +#37943600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37944000 +0! +#37944500 +1! +#37944600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37945000 +0! +#37945500 +1! +#37945600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37946000 +0! +#37946500 +1! +#37946600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37947000 +0! +#37947500 +1! +#37947600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37948000 +0! +#37948500 +1! +#37948600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37949000 +0! +#37949500 +1! +#37949600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37950000 +0! +#37950500 +1! +#37950600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37951000 +0! +#37951500 +1! +#37951600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37952000 +0! +#37952500 +1! +#37952600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37953000 +0! +#37953500 +1! +#37953600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37954000 +0! +#37954500 +1! +#37954600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37955000 +0! +#37955500 +1! +#37955600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37956000 +0! +#37956500 +1! +#37956600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37957000 +0! +#37957500 +1! +#37957600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37958000 +0! +#37958500 +1! +#37958600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37959000 +0! +#37959500 +1! +#37959600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37960000 +0! +#37960500 +1! +#37960600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37961000 +0! +#37961500 +1! +#37961600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37962000 +0! +#37962500 +1! +#37962600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37963000 +0! +#37963500 +1! +#37963600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37964000 +0! +#37964500 +1! +#37964600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37965000 +0! +#37965500 +1! +#37965600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37966000 +0! +#37966500 +1! +#37966600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37967000 +0! +#37967500 +1! +#37967600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37968000 +0! +#37968500 +1! +#37968600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37969000 +0! +#37969500 +1! +#37969600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37970000 +0! +#37970500 +1! +#37970600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37971000 +0! +#37971500 +1! +#37971600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37972000 +0! +#37972500 +1! +#37972600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#37972700 +b11111111 ^ +b1 g +b11010000 c +#37973000 +0! +#37973500 +1! +#37973600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37974000 +0! +#37974500 +1! +#37974600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37975000 +0! +#37975500 +1! +#37975600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37976000 +0! +#37976500 +1! +#37976600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37977000 +0! +#37977500 +1! +#37977600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37978000 +0! +#37978500 +1! +#37978600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37979000 +0! +#37979500 +1! +#37979600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37980000 +0! +#37980500 +1! +#37980600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37981000 +0! +#37981500 +1! +#37981600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37982000 +0! +#37982500 +1! +#37982600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37983000 +0! +#37983500 +1! +#37983600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37984000 +0! +#37984500 +1! +#37984600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37985000 +0! +#37985500 +1! +#37985600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37986000 +0! +#37986500 +1! +#37986600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37987000 +0! +#37987500 +1! +#37987600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37988000 +0! +#37988500 +1! +#37988600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37989000 +0! +#37989500 +1! +#37989600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37990000 +0! +#37990500 +1! +#37990600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37991000 +0! +#37991500 +1! +#37991600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37992000 +0! +#37992500 +1! +#37992600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37993000 +0! +#37993500 +1! +#37993600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37994000 +0! +#37994500 +1! +#37994600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37995000 +0! +#37995500 +1! +#37995600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37996000 +0! +#37996500 +1! +#37996600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37997000 +0! +#37997500 +1! +#37997600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#37998000 +0! +#37998500 +1! +#37998600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#37999000 +0! +#37999500 +1! +#37999600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38000000 +0! +#38000500 +1! +#38000600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38001000 +0! +#38001500 +1! +#38001600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38002000 +0! +#38002500 +1! +#38002600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38003000 +0! +#38003500 +1! +#38003600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38004000 +0! +#38004500 +1! +#38004600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38005000 +0! +#38005500 +1! +#38005600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38006000 +0! +#38006500 +1! +#38006600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38007000 +0! +#38007500 +1! +#38007600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38008000 +0! +#38008500 +1! +#38008600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38009000 +0! +#38009500 +1! +#38009600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38010000 +0! +#38010500 +1! +#38010600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38011000 +0! +#38011500 +1! +#38011600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38012000 +0! +#38012500 +1! +#38012600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38013000 +0! +#38013500 +1! +#38013600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38014000 +0! +#38014500 +1! +#38014600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38015000 +0! +#38015500 +1! +#38015600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38016000 +0! +#38016500 +1! +#38016600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38017000 +0! +#38017500 +1! +#38017600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38018000 +0! +#38018500 +1! +#38018600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38019000 +0! +#38019500 +1! +#38019600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38020000 +0! +#38020500 +1! +#38020600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38021000 +0! +#38021500 +1! +#38021600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38022000 +0! +#38022500 +1! +#38022600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38023000 +0! +#38023500 +1! +#38023600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38024000 +0! +#38024500 +1! +#38024600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38025000 +0! +#38025500 +1! +#38025600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38026000 +0! +#38026500 +1! +#38026600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38027000 +0! +#38027500 +1! +#38027600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38028000 +0! +#38028500 +1! +#38028600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38029000 +0! +#38029500 +1! +#38029600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38030000 +0! +#38030500 +1! +#38030600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38031000 +0! +#38031500 +1! +#38031600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38032000 +0! +#38032500 +1! +#38032600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38033000 +0! +#38033500 +1! +#38033600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38034000 +0! +#38034500 +1! +#38034600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38035000 +0! +#38035500 +1! +#38035600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38036000 +0! +#38036500 +1! +#38036600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38037000 +0! +#38037500 +1! +#38037600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38038000 +0! +#38038500 +1! +#38038600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38039000 +0! +#38039500 +1! +#38039600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38040000 +0! +#38040500 +1! +#38040600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38041000 +0! +#38041500 +1! +#38041600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38042000 +0! +#38042500 +1! +#38042600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38043000 +0! +#38043500 +1! +#38043600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38044000 +0! +#38044500 +1! +#38044600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38045000 +0! +#38045500 +1! +#38045600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38046000 +0! +#38046500 +1! +#38046600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38047000 +0! +#38047500 +1! +#38047600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38048000 +0! +#38048500 +1! +#38048600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38049000 +0! +#38049500 +1! +#38049600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38050000 +0! +#38050500 +1! +#38050600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38051000 +0! +#38051500 +1! +#38051600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38052000 +0! +#38052500 +1! +#38052600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38053000 +0! +#38053500 +1! +#38053600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38054000 +0! +#38054500 +1! +#38054600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38055000 +0! +#38055500 +1! +#38055600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38056000 +0! +#38056500 +1! +#38056600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38057000 +0! +#38057500 +1! +#38057600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38058000 +0! +#38058500 +1! +#38058600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38059000 +0! +#38059500 +1! +#38059600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38060000 +0! +#38060500 +1! +#38060600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38061000 +0! +#38061500 +1! +#38061600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38062000 +0! +#38062500 +1! +#38062600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38063000 +0! +#38063500 +1! +#38063600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38064000 +0! +#38064500 +1! +#38064600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38065000 +0! +#38065500 +1! +#38065600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38066000 +0! +#38066500 +1! +#38066600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38067000 +0! +#38067500 +1! +#38067600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38068000 +0! +#38068500 +1! +#38068600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38069000 +0! +#38069500 +1! +#38069600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38070000 +0! +#38070500 +1! +#38070600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38071000 +0! +#38071500 +1! +#38071600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38072000 +0! +#38072500 +1! +#38072600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38073000 +0! +#38073500 +1! +#38073600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38074000 +0! +#38074500 +1! +#38074600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#38075000 +0! +#38075500 +1! +#38075600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38076000 +0! +#38076500 +1! +#38076600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38077000 +0! +#38077500 +1! +#38077600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38078000 +0! +#38078500 +1! +#38078600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38079000 +0! +#38079500 +1! +#38079600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38080000 +0! +#38080500 +1! +#38080600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38081000 +0! +#38081500 +1! +#38081600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38082000 +0! +#38082500 +1! +#38082600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38083000 +0! +#38083500 +1! +#38083600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38084000 +0! +#38084500 +1! +#38084600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38085000 +0! +#38085500 +1! +#38085600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38086000 +0! +#38086500 +1! +#38086600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38087000 +0! +#38087500 +1! +#38087600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38088000 +0! +#38088500 +1! +#38088600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38089000 +0! +#38089500 +1! +#38089600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38090000 +0! +#38090500 +1! +#38090600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38091000 +0! +#38091500 +1! +#38091600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38092000 +0! +#38092500 +1! +#38092600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38093000 +0! +#38093500 +1! +#38093600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38094000 +0! +#38094500 +1! +#38094600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38095000 +0! +#38095500 +1! +#38095600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38096000 +0! +#38096500 +1! +#38096600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38097000 +0! +#38097500 +1! +#38097600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38098000 +0! +#38098500 +1! +#38098600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38099000 +0! +#38099500 +1! +#38099600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38100000 +0! +#38100500 +1! +#38100600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38101000 +0! +#38101500 +1! +#38101600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38102000 +0! +#38102500 +1! +#38102600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38103000 +0! +#38103500 +1! +#38103600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38104000 +0! +#38104500 +1! +#38104600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38105000 +0! +#38105500 +1! +#38105600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38106000 +0! +#38106500 +1! +#38106600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38107000 +0! +#38107500 +1! +#38107600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38108000 +0! +#38108500 +1! +#38108600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38109000 +0! +#38109500 +1! +#38109600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38110000 +0! +#38110500 +1! +#38110600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38111000 +0! +#38111500 +1! +#38111600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38112000 +0! +#38112500 +1! +#38112600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38113000 +0! +#38113500 +1! +#38113600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38114000 +0! +#38114500 +1! +#38114600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38115000 +0! +#38115500 +1! +#38115600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38116000 +0! +#38116500 +1! +#38116600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38117000 +0! +#38117500 +1! +#38117600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38118000 +0! +#38118500 +1! +#38118600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38119000 +0! +#38119500 +1! +#38119600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38120000 +0! +#38120500 +1! +#38120600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38121000 +0! +#38121500 +1! +#38121600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38122000 +0! +#38122500 +1! +#38122600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38123000 +0! +#38123500 +1! +#38123600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38124000 +0! +#38124500 +1! +#38124600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38125000 +0! +#38125500 +1! +#38125600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38126000 +0! +#38126500 +1! +#38126600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38127000 +0! +#38127500 +1! +#38127600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38128000 +0! +#38128500 +1! +#38128600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38129000 +0! +#38129500 +1! +#38129600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38130000 +0! +#38130500 +1! +#38130600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38131000 +0! +#38131500 +1! +#38131600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38132000 +0! +#38132500 +1! +#38132600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38133000 +0! +#38133500 +1! +#38133600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38134000 +0! +#38134500 +1! +#38134600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38135000 +0! +#38135500 +1! +#38135600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38136000 +0! +#38136500 +1! +#38136600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38137000 +0! +#38137500 +1! +#38137600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38138000 +0! +#38138500 +1! +#38138600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38139000 +0! +#38139500 +1! +#38139600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38140000 +0! +#38140500 +1! +#38140600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38141000 +0! +#38141500 +1! +#38141600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38142000 +0! +#38142500 +1! +#38142600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38143000 +0! +#38143500 +1! +#38143600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38144000 +0! +#38144500 +1! +#38144600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38145000 +0! +#38145500 +1! +#38145600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38146000 +0! +#38146500 +1! +#38146600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38147000 +0! +#38147500 +1! +#38147600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38148000 +0! +#38148500 +1! +#38148600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38149000 +0! +#38149500 +1! +#38149600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38150000 +0! +#38150500 +1! +#38150600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38151000 +0! +#38151500 +1! +#38151600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38152000 +0! +#38152500 +1! +#38152600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38153000 +0! +#38153500 +1! +#38153600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38154000 +0! +#38154500 +1! +#38154600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38155000 +0! +#38155500 +1! +#38155600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38156000 +0! +#38156500 +1! +#38156600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38157000 +0! +#38157500 +1! +#38157600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38158000 +0! +#38158500 +1! +#38158600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38159000 +0! +#38159500 +1! +#38159600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38160000 +0! +#38160500 +1! +#38160600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38161000 +0! +#38161500 +1! +#38161600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38162000 +0! +#38162500 +1! +#38162600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38163000 +0! +#38163500 +1! +#38163600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38164000 +0! +#38164500 +1! +#38164600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38165000 +0! +#38165500 +1! +#38165600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38166000 +0! +#38166500 +1! +#38166600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38167000 +0! +#38167500 +1! +#38167600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38168000 +0! +#38168500 +1! +#38168600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38169000 +0! +#38169500 +1! +#38169600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38170000 +0! +#38170500 +1! +#38170600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38171000 +0! +#38171500 +1! +#38171600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38172000 +0! +#38172500 +1! +#38172600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38173000 +0! +#38173500 +1! +#38173600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38174000 +0! +#38174500 +1! +#38174600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38175000 +0! +#38175500 +1! +#38175600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38176000 +0! +#38176500 +1! +#38176600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38177000 +0! +#38177500 +1! +#38177600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38178000 +0! +#38178500 +1! +#38178600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38179000 +0! +#38179500 +1! +#38179600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38180000 +0! +#38180500 +1! +#38180600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38181000 +0! +#38181500 +1! +#38181600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38182000 +0! +#38182500 +1! +#38182600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38183000 +0! +#38183500 +1! +#38183600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38184000 +0! +#38184500 +1! +#38184600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38185000 +0! +#38185500 +1! +#38185600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38186000 +0! +#38186500 +1! +#38186600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38187000 +0! +#38187500 +1! +#38187600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38188000 +0! +#38188500 +1! +#38188600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38189000 +0! +#38189500 +1! +#38189600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38190000 +0! +#38190500 +1! +#38190600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38191000 +0! +#38191500 +1! +#38191600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38192000 +0! +#38192500 +1! +#38192600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38193000 +0! +#38193500 +1! +#38193600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38194000 +0! +#38194500 +1! +#38194600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38195000 +0! +#38195500 +1! +#38195600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38196000 +0! +#38196500 +1! +#38196600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38197000 +0! +#38197500 +1! +#38197600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38198000 +0! +#38198500 +1! +#38198600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38199000 +0! +#38199500 +1! +#38199600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38200000 +0! +#38200500 +1! +#38200600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38201000 +0! +#38201500 +1! +#38201600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38202000 +0! +#38202500 +1! +#38202600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38203000 +0! +#38203500 +1! +#38203600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38204000 +0! +#38204500 +1! +#38204600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38205000 +0! +#38205500 +1! +#38205600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38206000 +0! +#38206500 +1! +#38206600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38207000 +0! +#38207500 +1! +#38207600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38208000 +0! +#38208500 +1! +#38208600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38209000 +0! +#38209500 +1! +#38209600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38210000 +0! +#38210500 +1! +#38210600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38211000 +0! +#38211500 +1! +#38211600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38212000 +0! +#38212500 +1! +#38212600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38213000 +0! +#38213500 +1! +#38213600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38214000 +0! +#38214500 +1! +#38214600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38215000 +0! +#38215500 +1! +#38215600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38216000 +0! +#38216500 +1! +#38216600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38217000 +0! +#38217500 +1! +#38217600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38218000 +0! +#38218500 +1! +#38218600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38219000 +0! +#38219500 +1! +#38219600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38220000 +0! +#38220500 +1! +#38220600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38221000 +0! +#38221500 +1! +#38221600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38222000 +0! +#38222500 +1! +#38222600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38223000 +0! +#38223500 +1! +#38223600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38224000 +0! +#38224500 +1! +#38224600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38225000 +0! +#38225500 +1! +#38225600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38226000 +0! +#38226500 +1! +#38226600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38227000 +0! +#38227500 +1! +#38227600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#38227700 +b0 g +b10100000 c +1e +1h +#38228000 +0! +#38228500 +1! +#38228600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38229000 +0! +#38229500 +1! +#38229600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38230000 +0! +#38230500 +1! +#38230600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38231000 +0! +#38231500 +1! +#38231600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38232000 +0! +#38232500 +1! +#38232600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38233000 +0! +#38233500 +1! +#38233600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38234000 +0! +#38234500 +1! +#38234600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38235000 +0! +#38235500 +1! +#38235600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38236000 +0! +#38236500 +1! +#38236600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38237000 +0! +#38237500 +1! +#38237600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38238000 +0! +#38238500 +1! +#38238600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38239000 +0! +#38239500 +1! +#38239600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38240000 +0! +#38240500 +1! +#38240600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38241000 +0! +#38241500 +1! +#38241600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38242000 +0! +#38242500 +1! +#38242600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38243000 +0! +#38243500 +1! +#38243600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38244000 +0! +#38244500 +1! +#38244600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38245000 +0! +#38245500 +1! +#38245600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38246000 +0! +#38246500 +1! +#38246600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38247000 +0! +#38247500 +1! +#38247600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38248000 +0! +#38248500 +1! +#38248600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38249000 +0! +#38249500 +1! +#38249600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38250000 +0! +#38250500 +1! +#38250600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38251000 +0! +#38251500 +1! +#38251600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38252000 +0! +#38252500 +1! +#38252600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38253000 +0! +#38253500 +1! +#38253600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38254000 +0! +#38254500 +1! +#38254600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38255000 +0! +#38255500 +1! +#38255600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38256000 +0! +#38256500 +1! +#38256600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38257000 +0! +#38257500 +1! +#38257600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38258000 +0! +#38258500 +1! +#38258600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38259000 +0! +#38259500 +1! +#38259600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38260000 +0! +#38260500 +1! +#38260600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38261000 +0! +#38261500 +1! +#38261600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38262000 +0! +#38262500 +1! +#38262600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38263000 +0! +#38263500 +1! +#38263600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38264000 +0! +#38264500 +1! +#38264600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38265000 +0! +#38265500 +1! +#38265600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38266000 +0! +#38266500 +1! +#38266600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38267000 +0! +#38267500 +1! +#38267600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38268000 +0! +#38268500 +1! +#38268600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38269000 +0! +#38269500 +1! +#38269600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38270000 +0! +#38270500 +1! +#38270600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38271000 +0! +#38271500 +1! +#38271600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38272000 +0! +#38272500 +1! +#38272600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38273000 +0! +#38273500 +1! +#38273600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38274000 +0! +#38274500 +1! +#38274600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38275000 +0! +#38275500 +1! +#38275600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38276000 +0! +#38276500 +1! +#38276600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38277000 +0! +#38277500 +1! +#38277600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38278000 +0! +#38278500 +1! +#38278600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38279000 +0! +#38279500 +1! +#38279600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38280000 +0! +#38280500 +1! +#38280600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38281000 +0! +#38281500 +1! +#38281600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38282000 +0! +#38282500 +1! +#38282600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38283000 +0! +#38283500 +1! +#38283600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38284000 +0! +#38284500 +1! +#38284600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38285000 +0! +#38285500 +1! +#38285600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38286000 +0! +#38286500 +1! +#38286600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38287000 +0! +#38287500 +1! +#38287600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38288000 +0! +#38288500 +1! +#38288600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38289000 +0! +#38289500 +1! +#38289600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38290000 +0! +#38290500 +1! +#38290600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38291000 +0! +#38291500 +1! +#38291600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38292000 +0! +#38292500 +1! +#38292600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38293000 +0! +#38293500 +1! +#38293600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38294000 +0! +#38294500 +1! +#38294600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38295000 +0! +#38295500 +1! +#38295600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38296000 +0! +#38296500 +1! +#38296600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38297000 +0! +#38297500 +1! +#38297600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38298000 +0! +#38298500 +1! +#38298600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38299000 +0! +#38299500 +1! +#38299600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38300000 +0! +#38300500 +1! +#38300600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38301000 +0! +#38301500 +1! +#38301600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38302000 +0! +#38302500 +1! +#38302600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38303000 +0! +#38303500 +1! +#38303600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38304000 +0! +#38304500 +1! +#38304600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38305000 +0! +#38305500 +1! +#38305600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38306000 +0! +#38306500 +1! +#38306600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38307000 +0! +#38307500 +1! +#38307600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38308000 +0! +#38308500 +1! +#38308600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38309000 +0! +#38309500 +1! +#38309600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38310000 +0! +#38310500 +1! +#38310600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38311000 +0! +#38311500 +1! +#38311600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38312000 +0! +#38312500 +1! +#38312600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38313000 +0! +#38313500 +1! +#38313600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38314000 +0! +#38314500 +1! +#38314600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38315000 +0! +#38315500 +1! +#38315600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38316000 +0! +#38316500 +1! +#38316600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38317000 +0! +#38317500 +1! +#38317600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38318000 +0! +#38318500 +1! +#38318600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38319000 +0! +#38319500 +1! +#38319600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38320000 +0! +#38320500 +1! +#38320600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38321000 +0! +#38321500 +1! +#38321600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38322000 +0! +#38322500 +1! +#38322600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38323000 +0! +#38323500 +1! +#38323600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38324000 +0! +#38324500 +1! +#38324600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38325000 +0! +#38325500 +1! +#38325600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38326000 +0! +#38326500 +1! +#38326600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38327000 +0! +#38327500 +1! +#38327600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38328000 +0! +#38328500 +1! +#38328600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38329000 +0! +#38329500 +1! +#38329600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#38329700 +b1 q +0d +0j +#38330000 +0! +#38330500 +1! +#38330600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38331000 +0! +#38331500 +1! +#38331600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38332000 +0! +#38332500 +1! +#38332600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38333000 +0! +#38333500 +1! +#38333600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38334000 +0! +#38334500 +1! +#38334600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38335000 +0! +#38335500 +1! +#38335600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38336000 +0! +#38336500 +1! +#38336600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38337000 +0! +#38337500 +1! +#38337600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38338000 +0! +#38338500 +1! +#38338600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38339000 +0! +#38339500 +1! +#38339600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38340000 +0! +#38340500 +1! +#38340600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38341000 +0! +#38341500 +1! +#38341600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38342000 +0! +#38342500 +1! +#38342600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38343000 +0! +#38343500 +1! +#38343600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38344000 +0! +#38344500 +1! +#38344600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38345000 +0! +#38345500 +1! +#38345600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38346000 +0! +#38346500 +1! +#38346600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38347000 +0! +#38347500 +1! +#38347600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38348000 +0! +#38348500 +1! +#38348600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38349000 +0! +#38349500 +1! +#38349600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38350000 +0! +#38350500 +1! +#38350600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38351000 +0! +#38351500 +1! +#38351600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38352000 +0! +#38352500 +1! +#38352600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38353000 +0! +#38353500 +1! +#38353600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38354000 +0! +#38354500 +1! +#38354600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38355000 +0! +#38355500 +1! +#38355600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38356000 +0! +#38356500 +1! +#38356600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38357000 +0! +#38357500 +1! +#38357600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38358000 +0! +#38358500 +1! +#38358600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38359000 +0! +#38359500 +1! +#38359600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38360000 +0! +#38360500 +1! +#38360600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38361000 +0! +#38361500 +1! +#38361600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38362000 +0! +#38362500 +1! +#38362600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38363000 +0! +#38363500 +1! +#38363600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38364000 +0! +#38364500 +1! +#38364600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38365000 +0! +#38365500 +1! +#38365600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38366000 +0! +#38366500 +1! +#38366600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38367000 +0! +#38367500 +1! +#38367600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38368000 +0! +#38368500 +1! +#38368600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38369000 +0! +#38369500 +1! +#38369600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38370000 +0! +#38370500 +1! +#38370600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38371000 +0! +#38371500 +1! +#38371600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38372000 +0! +#38372500 +1! +#38372600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38373000 +0! +#38373500 +1! +#38373600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38374000 +0! +#38374500 +1! +#38374600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38375000 +0! +#38375500 +1! +#38375600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38376000 +0! +#38376500 +1! +#38376600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38377000 +0! +#38377500 +1! +#38377600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38378000 +0! +#38378500 +1! +#38378600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38379000 +0! +#38379500 +1! +#38379600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38380000 +0! +#38380500 +1! +#38380600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38381000 +0! +#38381500 +1! +#38381600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38382000 +0! +#38382500 +1! +#38382600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38383000 +0! +#38383500 +1! +#38383600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38384000 +0! +#38384500 +1! +#38384600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38385000 +0! +#38385500 +1! +#38385600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38386000 +0! +#38386500 +1! +#38386600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38387000 +0! +#38387500 +1! +#38387600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38388000 +0! +#38388500 +1! +#38388600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38389000 +0! +#38389500 +1! +#38389600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38390000 +0! +#38390500 +1! +#38390600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38391000 +0! +#38391500 +1! +#38391600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38392000 +0! +#38392500 +1! +#38392600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38393000 +0! +#38393500 +1! +#38393600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38394000 +0! +#38394500 +1! +#38394600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38395000 +0! +#38395500 +1! +#38395600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38396000 +0! +#38396500 +1! +#38396600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38397000 +0! +#38397500 +1! +#38397600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38398000 +0! +#38398500 +1! +#38398600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38399000 +0! +#38399500 +1! +#38399600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38400000 +0! +#38400500 +1! +#38400600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38401000 +0! +#38401500 +1! +#38401600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38402000 +0! +#38402500 +1! +#38402600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38403000 +0! +#38403500 +1! +#38403600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38404000 +0! +#38404500 +1! +#38404600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38405000 +0! +#38405500 +1! +#38405600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38406000 +0! +#38406500 +1! +#38406600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38407000 +0! +#38407500 +1! +#38407600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38408000 +0! +#38408500 +1! +#38408600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38409000 +0! +#38409500 +1! +#38409600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38410000 +0! +#38410500 +1! +#38410600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38411000 +0! +#38411500 +1! +#38411600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38412000 +0! +#38412500 +1! +#38412600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38413000 +0! +#38413500 +1! +#38413600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38414000 +0! +#38414500 +1! +#38414600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38415000 +0! +#38415500 +1! +#38415600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38416000 +0! +#38416500 +1! +#38416600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38417000 +0! +#38417500 +1! +#38417600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38418000 +0! +#38418500 +1! +#38418600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38419000 +0! +#38419500 +1! +#38419600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38420000 +0! +#38420500 +1! +#38420600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38421000 +0! +#38421500 +1! +#38421600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38422000 +0! +#38422500 +1! +#38422600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38423000 +0! +#38423500 +1! +#38423600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38424000 +0! +#38424500 +1! +#38424600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38425000 +0! +#38425500 +1! +#38425600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38426000 +0! +#38426500 +1! +#38426600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38427000 +0! +#38427500 +1! +#38427600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38428000 +0! +#38428500 +1! +#38428600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38429000 +0! +#38429500 +1! +#38429600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38430000 +0! +#38430500 +1! +#38430600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38431000 +0! +#38431500 +1! +#38431600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +#38432000 +0! +#38432500 +1! +#38432600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38433000 +0! +#38433500 +1! +#38433600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38434000 +0! +#38434500 +1! +#38434600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38435000 +0! +#38435500 +1! +#38435600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38436000 +0! +#38436500 +1! +#38436600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38437000 +0! +#38437500 +1! +#38437600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38438000 +0! +#38438500 +1! +#38438600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38439000 +0! +#38439500 +1! +#38439600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38440000 +0! +#38440500 +1! +#38440600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38441000 +0! +#38441500 +1! +#38441600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38442000 +0! +#38442500 +1! +#38442600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38443000 +0! +#38443500 +1! +#38443600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38444000 +0! +#38444500 +1! +#38444600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38445000 +0! +#38445500 +1! +#38445600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38446000 +0! +#38446500 +1! +#38446600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38447000 +0! +#38447500 +1! +#38447600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38448000 +0! +#38448500 +1! +#38448600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38449000 +0! +#38449500 +1! +#38449600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38450000 +0! +#38450500 +1! +#38450600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38451000 +0! +#38451500 +1! +#38451600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38452000 +0! +#38452500 +1! +#38452600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38453000 +0! +#38453500 +1! +#38453600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38454000 +0! +#38454500 +1! +#38454600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38455000 +0! +#38455500 +1! +#38455600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38456000 +0! +#38456500 +1! +#38456600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38457000 +0! +#38457500 +1! +#38457600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38458000 +0! +#38458500 +1! +#38458600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38459000 +0! +#38459500 +1! +#38459600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38460000 +0! +#38460500 +1! +#38460600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38461000 +0! +#38461500 +1! +#38461600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38462000 +0! +#38462500 +1! +#38462600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38463000 +0! +#38463500 +1! +#38463600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38464000 +0! +#38464500 +1! +#38464600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38465000 +0! +#38465500 +1! +#38465600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38466000 +0! +#38466500 +1! +#38466600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38467000 +0! +#38467500 +1! +#38467600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38468000 +0! +#38468500 +1! +#38468600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38469000 +0! +#38469500 +1! +#38469600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38470000 +0! +#38470500 +1! +#38470600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38471000 +0! +#38471500 +1! +#38471600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38472000 +0! +#38472500 +1! +#38472600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38473000 +0! +#38473500 +1! +#38473600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38474000 +0! +#38474500 +1! +#38474600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38475000 +0! +#38475500 +1! +#38475600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38476000 +0! +#38476500 +1! +#38476600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38477000 +0! +#38477500 +1! +#38477600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38478000 +0! +#38478500 +1! +#38478600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38479000 +0! +#38479500 +1! +#38479600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38480000 +0! +#38480500 +1! +#38480600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38481000 +0! +#38481500 +1! +#38481600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38482000 +0! +#38482500 +1! +#38482600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#38482700 +b111 g +b1000000 c +0e +0h +#38483000 +0! +#38483500 +1! +#38483600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38484000 +0! +#38484500 +1! +#38484600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38485000 +0! +#38485500 +1! +#38485600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38486000 +0! +#38486500 +1! +#38486600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38487000 +0! +#38487500 +1! +#38487600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38488000 +0! +#38488500 +1! +#38488600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38489000 +0! +#38489500 +1! +#38489600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38490000 +0! +#38490500 +1! +#38490600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38491000 +0! +#38491500 +1! +#38491600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38492000 +0! +#38492500 +1! +#38492600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38493000 +0! +#38493500 +1! +#38493600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38494000 +0! +#38494500 +1! +#38494600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38495000 +0! +#38495500 +1! +#38495600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38496000 +0! +#38496500 +1! +#38496600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38497000 +0! +#38497500 +1! +#38497600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38498000 +0! +#38498500 +1! +#38498600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38499000 +0! +#38499500 +1! +#38499600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38500000 +0! +#38500500 +1! +#38500600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38501000 +0! +#38501500 +1! +#38501600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38502000 +0! +#38502500 +1! +#38502600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38503000 +0! +#38503500 +1! +#38503600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38504000 +0! +#38504500 +1! +#38504600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38505000 +0! +#38505500 +1! +#38505600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38506000 +0! +#38506500 +1! +#38506600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38507000 +0! +#38507500 +1! +#38507600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38508000 +0! +#38508500 +1! +#38508600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38509000 +0! +#38509500 +1! +#38509600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38510000 +0! +#38510500 +1! +#38510600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38511000 +0! +#38511500 +1! +#38511600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38512000 +0! +#38512500 +1! +#38512600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38513000 +0! +#38513500 +1! +#38513600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38514000 +0! +#38514500 +1! +#38514600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38515000 +0! +#38515500 +1! +#38515600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38516000 +0! +#38516500 +1! +#38516600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38517000 +0! +#38517500 +1! +#38517600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38518000 +0! +#38518500 +1! +#38518600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38519000 +0! +#38519500 +1! +#38519600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38520000 +0! +#38520500 +1! +#38520600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38521000 +0! +#38521500 +1! +#38521600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38522000 +0! +#38522500 +1! +#38522600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38523000 +0! +#38523500 +1! +#38523600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38524000 +0! +#38524500 +1! +#38524600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38525000 +0! +#38525500 +1! +#38525600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38526000 +0! +#38526500 +1! +#38526600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38527000 +0! +#38527500 +1! +#38527600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38528000 +0! +#38528500 +1! +#38528600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38529000 +0! +#38529500 +1! +#38529600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38530000 +0! +#38530500 +1! +#38530600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38531000 +0! +#38531500 +1! +#38531600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38532000 +0! +#38532500 +1! +#38532600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38533000 +0! +#38533500 +1! +#38533600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38534000 +0! +#38534500 +1! +#38534600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38535000 +0! +#38535500 +1! +#38535600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38536000 +0! +#38536500 +1! +#38536600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38537000 +0! +#38537500 +1! +#38537600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38538000 +0! +#38538500 +1! +#38538600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38539000 +0! +#38539500 +1! +#38539600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38540000 +0! +#38540500 +1! +#38540600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38541000 +0! +#38541500 +1! +#38541600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38542000 +0! +#38542500 +1! +#38542600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38543000 +0! +#38543500 +1! +#38543600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38544000 +0! +#38544500 +1! +#38544600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38545000 +0! +#38545500 +1! +#38545600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38546000 +0! +#38546500 +1! +#38546600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38547000 +0! +#38547500 +1! +#38547600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38548000 +0! +#38548500 +1! +#38548600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38549000 +0! +#38549500 +1! +#38549600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38550000 +0! +#38550500 +1! +#38550600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38551000 +0! +#38551500 +1! +#38551600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38552000 +0! +#38552500 +1! +#38552600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38553000 +0! +#38553500 +1! +#38553600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38554000 +0! +#38554500 +1! +#38554600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38555000 +0! +#38555500 +1! +#38555600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38556000 +0! +#38556500 +1! +#38556600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38557000 +0! +#38557500 +1! +#38557600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38558000 +0! +#38558500 +1! +#38558600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38559000 +0! +#38559500 +1! +#38559600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38560000 +0! +#38560500 +1! +#38560600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38561000 +0! +#38561500 +1! +#38561600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38562000 +0! +#38562500 +1! +#38562600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38563000 +0! +#38563500 +1! +#38563600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38564000 +0! +#38564500 +1! +#38564600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38565000 +0! +#38565500 +1! +#38565600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38566000 +0! +#38566500 +1! +#38566600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38567000 +0! +#38567500 +1! +#38567600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38568000 +0! +#38568500 +1! +#38568600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38569000 +0! +#38569500 +1! +#38569600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38570000 +0! +#38570500 +1! +#38570600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38571000 +0! +#38571500 +1! +#38571600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38572000 +0! +#38572500 +1! +#38572600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38573000 +0! +#38573500 +1! +#38573600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38574000 +0! +#38574500 +1! +#38574600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38575000 +0! +#38575500 +1! +#38575600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38576000 +0! +#38576500 +1! +#38576600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38577000 +0! +#38577500 +1! +#38577600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38578000 +0! +#38578500 +1! +#38578600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38579000 +0! +#38579500 +1! +#38579600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38580000 +0! +#38580500 +1! +#38580600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38581000 +0! +#38581500 +1! +#38581600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38582000 +0! +#38582500 +1! +#38582600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38583000 +0! +#38583500 +1! +#38583600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38584000 +0! +#38584500 +1! +#38584600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#38584700 +1j +b10 q +1i +1O +#38585000 +0! +#38585500 +1! +#38585600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38586000 +0! +#38586500 +1! +#38586600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#38587000 +0! +#38587500 +1! +#38587600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38588000 +0! +#38588500 +1! +#38588600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#38589000 +0! +#38589500 +1! +#38589600 +b1000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38590000 +0! +#38590500 +1! +#38590600 +1E +1F +1G +b10000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +1A +1B +b0xxxxxxx C +1H +#38591000 +0! +#38591500 +1! +#38591600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38591700 +1E +1F +1G +b10000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b1000001 C +1H +#38592000 +0! +#38592500 +1! +#38592600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38593000 +0! +#38593500 +1! +#38593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b1000011 C +#38594000 +0! +#38594500 +1! +#38594600 +b1000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38595000 +0! +#38595500 +1! +#38595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38596000 +0! +#38596500 +1! +#38596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38597000 +0! +#38597500 +1! +#38597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38598000 +0! +#38598500 +1! +#38598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38599000 +0! +#38599500 +1! +#38599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38600000 +0! +#38600500 +1! +#38600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38601000 +0! +#38601500 +1! +#38601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38602000 +0! +#38602500 +1! +#38602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38603000 +0! +#38603500 +1! +#38603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38604000 +0! +#38604500 +1! +#38604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38605000 +0! +#38605500 +1! +#38605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38606000 +0! +#38606500 +1! +#38606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38607000 +0! +#38607500 +1! +#38607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38608000 +0! +#38608500 +1! +#38608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38609000 +0! +#38609500 +1! +#38609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38610000 +0! +#38610500 +1! +#38610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38611000 +0! +#38611500 +1! +#38611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38612000 +0! +#38612500 +1! +#38612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38613000 +0! +#38613500 +1! +#38613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38614000 +0! +#38614500 +1! +#38614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38615000 +0! +#38615500 +1! +#38615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38616000 +0! +#38616500 +1! +#38616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38617000 +0! +#38617500 +1! +#38617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38618000 +0! +#38618500 +1! +#38618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38619000 +0! +#38619500 +1! +#38619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38620000 +0! +#38620500 +1! +#38620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38621000 +0! +#38621500 +1! +#38621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38622000 +0! +#38622500 +1! +#38622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38623000 +0! +#38623500 +1! +#38623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38624000 +0! +#38624500 +1! +#38624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38625000 +0! +#38625500 +1! +#38625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38626000 +0! +#38626500 +1! +#38626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38627000 +0! +#38627500 +1! +#38627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38628000 +0! +#38628500 +1! +#38628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38629000 +0! +#38629500 +1! +#38629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38630000 +0! +#38630500 +1! +#38630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38631000 +0! +#38631500 +1! +#38631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38632000 +0! +#38632500 +1! +#38632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38633000 +0! +#38633500 +1! +#38633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38634000 +0! +#38634500 +1! +#38634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38635000 +0! +#38635500 +1! +#38635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38636000 +0! +#38636500 +1! +#38636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38637000 +0! +#38637500 +1! +#38637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38638000 +0! +#38638500 +1! +#38638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38639000 +0! +#38639500 +1! +#38639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38640000 +0! +#38640500 +1! +#38640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38641000 +0! +#38641500 +1! +#38641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38642000 +0! +#38642500 +1! +#38642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38643000 +0! +#38643500 +1! +#38643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38644000 +0! +#38644500 +1! +#38644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38645000 +0! +#38645500 +1! +#38645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38646000 +0! +#38646500 +1! +#38646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38647000 +0! +#38647500 +1! +#38647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38648000 +0! +#38648500 +1! +#38648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38649000 +0! +#38649500 +1! +#38649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38650000 +0! +#38650500 +1! +#38650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38651000 +0! +#38651500 +1! +#38651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38652000 +0! +#38652500 +1! +#38652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38653000 +0! +#38653500 +1! +#38653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38654000 +0! +#38654500 +1! +#38654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38655000 +0! +#38655500 +1! +#38655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38656000 +0! +#38656500 +1! +#38656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38657000 +0! +#38657500 +1! +#38657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38658000 +0! +#38658500 +1! +#38658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38659000 +0! +#38659500 +1! +#38659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38660000 +0! +#38660500 +1! +#38660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38661000 +0! +#38661500 +1! +#38661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38662000 +0! +#38662500 +1! +#38662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38663000 +0! +#38663500 +1! +#38663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38664000 +0! +#38664500 +1! +#38664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38665000 +0! +#38665500 +1! +#38665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38666000 +0! +#38666500 +1! +#38666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38667000 +0! +#38667500 +1! +#38667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38668000 +0! +#38668500 +1! +#38668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38669000 +0! +#38669500 +1! +#38669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38670000 +0! +#38670500 +1! +#38670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38671000 +0! +#38671500 +1! +#38671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38672000 +0! +#38672500 +1! +#38672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38673000 +0! +#38673500 +1! +#38673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38674000 +0! +#38674500 +1! +#38674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38675000 +0! +#38675500 +1! +#38675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38676000 +0! +#38676500 +1! +#38676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38677000 +0! +#38677500 +1! +#38677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38678000 +0! +#38678500 +1! +#38678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38679000 +0! +#38679500 +1! +#38679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38680000 +0! +#38680500 +1! +#38680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38681000 +0! +#38681500 +1! +#38681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38682000 +0! +#38682500 +1! +#38682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38683000 +0! +#38683500 +1! +#38683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38684000 +0! +#38684500 +1! +#38684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38685000 +0! +#38685500 +1! +#38685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38686000 +0! +#38686500 +1! +#38686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#38687000 +0! +#38687500 +1! +#38687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38688000 +0! +#38688500 +1! +#38688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38689000 +0! +#38689500 +1! +#38689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38690000 +0! +#38690500 +1! +#38690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38691000 +0! +#38691500 +1! +#38691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38692000 +0! +#38692500 +1! +#38692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38693000 +0! +#38693500 +1! +#38693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38694000 +0! +#38694500 +1! +#38694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38695000 +0! +#38695500 +1! +#38695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38696000 +0! +#38696500 +1! +#38696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38697000 +0! +#38697500 +1! +#38697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38698000 +0! +#38698500 +1! +#38698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38699000 +0! +#38699500 +1! +#38699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38700000 +0! +#38700500 +1! +#38700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38701000 +0! +#38701500 +1! +#38701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38702000 +0! +#38702500 +1! +#38702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38703000 +0! +#38703500 +1! +#38703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38704000 +0! +#38704500 +1! +#38704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38705000 +0! +#38705500 +1! +#38705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38706000 +0! +#38706500 +1! +#38706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38707000 +0! +#38707500 +1! +#38707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38708000 +0! +#38708500 +1! +#38708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38709000 +0! +#38709500 +1! +#38709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38710000 +0! +#38710500 +1! +#38710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38711000 +0! +#38711500 +1! +#38711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38712000 +0! +#38712500 +1! +#38712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38713000 +0! +#38713500 +1! +#38713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38714000 +0! +#38714500 +1! +#38714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38715000 +0! +#38715500 +1! +#38715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38716000 +0! +#38716500 +1! +#38716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38717000 +0! +#38717500 +1! +#38717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38718000 +0! +#38718500 +1! +#38718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38719000 +0! +#38719500 +1! +#38719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38720000 +0! +#38720500 +1! +#38720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38721000 +0! +#38721500 +1! +#38721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38722000 +0! +#38722500 +1! +#38722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38723000 +0! +#38723500 +1! +#38723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38724000 +0! +#38724500 +1! +#38724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38725000 +0! +#38725500 +1! +#38725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38726000 +0! +#38726500 +1! +#38726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38727000 +0! +#38727500 +1! +#38727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38728000 +0! +#38728500 +1! +#38728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38729000 +0! +#38729500 +1! +#38729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38730000 +0! +#38730500 +1! +#38730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38731000 +0! +#38731500 +1! +#38731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38732000 +0! +#38732500 +1! +#38732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38733000 +0! +#38733500 +1! +#38733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38734000 +0! +#38734500 +1! +#38734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38735000 +0! +#38735500 +1! +#38735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38736000 +0! +#38736500 +1! +#38736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38737000 +0! +#38737500 +1! +#38737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#38737700 +b10000000 c +#38738000 +0! +#38738500 +1! +#38738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38739000 +0! +#38739500 +1! +#38739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38740000 +0! +#38740500 +1! +#38740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38741000 +0! +#38741500 +1! +#38741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38742000 +0! +#38742500 +1! +#38742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38743000 +0! +#38743500 +1! +#38743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38744000 +0! +#38744500 +1! +#38744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38745000 +0! +#38745500 +1! +#38745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38746000 +0! +#38746500 +1! +#38746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38747000 +0! +#38747500 +1! +#38747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38748000 +0! +#38748500 +1! +#38748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38749000 +0! +#38749500 +1! +#38749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38750000 +0! +#38750500 +1! +#38750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38751000 +0! +#38751500 +1! +#38751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38752000 +0! +#38752500 +1! +#38752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38753000 +0! +#38753500 +1! +#38753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38754000 +0! +#38754500 +1! +#38754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38755000 +0! +#38755500 +1! +#38755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38756000 +0! +#38756500 +1! +#38756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38757000 +0! +#38757500 +1! +#38757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38758000 +0! +#38758500 +1! +#38758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38759000 +0! +#38759500 +1! +#38759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38760000 +0! +#38760500 +1! +#38760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38761000 +0! +#38761500 +1! +#38761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38762000 +0! +#38762500 +1! +#38762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38763000 +0! +#38763500 +1! +#38763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38764000 +0! +#38764500 +1! +#38764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38765000 +0! +#38765500 +1! +#38765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38766000 +0! +#38766500 +1! +#38766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38767000 +0! +#38767500 +1! +#38767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38768000 +0! +#38768500 +1! +#38768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38769000 +0! +#38769500 +1! +#38769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38770000 +0! +#38770500 +1! +#38770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38771000 +0! +#38771500 +1! +#38771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38772000 +0! +#38772500 +1! +#38772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38773000 +0! +#38773500 +1! +#38773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38774000 +0! +#38774500 +1! +#38774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38775000 +0! +#38775500 +1! +#38775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38776000 +0! +#38776500 +1! +#38776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38777000 +0! +#38777500 +1! +#38777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38778000 +0! +#38778500 +1! +#38778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38779000 +0! +#38779500 +1! +#38779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38780000 +0! +#38780500 +1! +#38780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38781000 +0! +#38781500 +1! +#38781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38782000 +0! +#38782500 +1! +#38782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38783000 +0! +#38783500 +1! +#38783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38784000 +0! +#38784500 +1! +#38784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38785000 +0! +#38785500 +1! +#38785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38786000 +0! +#38786500 +1! +#38786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38787000 +0! +#38787500 +1! +#38787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38788000 +0! +#38788500 +1! +#38788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38789000 +0! +#38789500 +1! +#38789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38790000 +0! +#38790500 +1! +#38790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38791000 +0! +#38791500 +1! +#38791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38792000 +0! +#38792500 +1! +#38792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38793000 +0! +#38793500 +1! +#38793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38794000 +0! +#38794500 +1! +#38794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38795000 +0! +#38795500 +1! +#38795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38796000 +0! +#38796500 +1! +#38796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38797000 +0! +#38797500 +1! +#38797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38798000 +0! +#38798500 +1! +#38798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38799000 +0! +#38799500 +1! +#38799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38800000 +0! +#38800500 +1! +#38800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38801000 +0! +#38801500 +1! +#38801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38802000 +0! +#38802500 +1! +#38802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38803000 +0! +#38803500 +1! +#38803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38804000 +0! +#38804500 +1! +#38804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38805000 +0! +#38805500 +1! +#38805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38806000 +0! +#38806500 +1! +#38806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38807000 +0! +#38807500 +1! +#38807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38808000 +0! +#38808500 +1! +#38808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38809000 +0! +#38809500 +1! +#38809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38810000 +0! +#38810500 +1! +#38810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38811000 +0! +#38811500 +1! +#38811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38812000 +0! +#38812500 +1! +#38812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38813000 +0! +#38813500 +1! +#38813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38814000 +0! +#38814500 +1! +#38814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38815000 +0! +#38815500 +1! +#38815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38816000 +0! +#38816500 +1! +#38816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38817000 +0! +#38817500 +1! +#38817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38818000 +0! +#38818500 +1! +#38818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38819000 +0! +#38819500 +1! +#38819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38820000 +0! +#38820500 +1! +#38820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38821000 +0! +#38821500 +1! +#38821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38822000 +0! +#38822500 +1! +#38822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38823000 +0! +#38823500 +1! +#38823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38824000 +0! +#38824500 +1! +#38824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38825000 +0! +#38825500 +1! +#38825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38826000 +0! +#38826500 +1! +#38826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38827000 +0! +#38827500 +1! +#38827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38828000 +0! +#38828500 +1! +#38828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38829000 +0! +#38829500 +1! +#38829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38830000 +0! +#38830500 +1! +#38830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38831000 +0! +#38831500 +1! +#38831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38832000 +0! +#38832500 +1! +#38832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38833000 +0! +#38833500 +1! +#38833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38834000 +0! +#38834500 +1! +#38834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38835000 +0! +#38835500 +1! +#38835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38836000 +0! +#38836500 +1! +#38836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38837000 +0! +#38837500 +1! +#38837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38838000 +0! +#38838500 +1! +#38838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38839000 +0! +#38839500 +1! +#38839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#38839700 +0i +#38840000 +0! +#38840500 +1! +#38840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38841000 +0! +#38841500 +1! +#38841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38842000 +0! +#38842500 +1! +#38842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38843000 +0! +#38843500 +1! +#38843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38844000 +0! +#38844500 +1! +#38844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38845000 +0! +#38845500 +1! +#38845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38846000 +0! +#38846500 +1! +#38846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38847000 +0! +#38847500 +1! +#38847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38848000 +0! +#38848500 +1! +#38848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38849000 +0! +#38849500 +1! +#38849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38850000 +0! +#38850500 +1! +#38850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38851000 +0! +#38851500 +1! +#38851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38852000 +0! +#38852500 +1! +#38852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38853000 +0! +#38853500 +1! +#38853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38854000 +0! +#38854500 +1! +#38854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38855000 +0! +#38855500 +1! +#38855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38856000 +0! +#38856500 +1! +#38856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38857000 +0! +#38857500 +1! +#38857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38858000 +0! +#38858500 +1! +#38858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38859000 +0! +#38859500 +1! +#38859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38860000 +0! +#38860500 +1! +#38860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38861000 +0! +#38861500 +1! +#38861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38862000 +0! +#38862500 +1! +#38862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38863000 +0! +#38863500 +1! +#38863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38864000 +0! +#38864500 +1! +#38864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38865000 +0! +#38865500 +1! +#38865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38866000 +0! +#38866500 +1! +#38866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38867000 +0! +#38867500 +1! +#38867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38868000 +0! +#38868500 +1! +#38868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38869000 +0! +#38869500 +1! +#38869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38870000 +0! +#38870500 +1! +#38870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38871000 +0! +#38871500 +1! +#38871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38872000 +0! +#38872500 +1! +#38872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38873000 +0! +#38873500 +1! +#38873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38874000 +0! +#38874500 +1! +#38874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38875000 +0! +#38875500 +1! +#38875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38876000 +0! +#38876500 +1! +#38876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38877000 +0! +#38877500 +1! +#38877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38878000 +0! +#38878500 +1! +#38878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38879000 +0! +#38879500 +1! +#38879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38880000 +0! +#38880500 +1! +#38880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38881000 +0! +#38881500 +1! +#38881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38882000 +0! +#38882500 +1! +#38882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38883000 +0! +#38883500 +1! +#38883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38884000 +0! +#38884500 +1! +#38884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38885000 +0! +#38885500 +1! +#38885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38886000 +0! +#38886500 +1! +#38886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38887000 +0! +#38887500 +1! +#38887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38888000 +0! +#38888500 +1! +#38888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38889000 +0! +#38889500 +1! +#38889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38890000 +0! +#38890500 +1! +#38890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38891000 +0! +#38891500 +1! +#38891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38892000 +0! +#38892500 +1! +#38892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38893000 +0! +#38893500 +1! +#38893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38894000 +0! +#38894500 +1! +#38894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38895000 +0! +#38895500 +1! +#38895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38896000 +0! +#38896500 +1! +#38896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38897000 +0! +#38897500 +1! +#38897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38898000 +0! +#38898500 +1! +#38898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38899000 +0! +#38899500 +1! +#38899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38900000 +0! +#38900500 +1! +#38900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38901000 +0! +#38901500 +1! +#38901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38902000 +0! +#38902500 +1! +#38902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38903000 +0! +#38903500 +1! +#38903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38904000 +0! +#38904500 +1! +#38904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38905000 +0! +#38905500 +1! +#38905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38906000 +0! +#38906500 +1! +#38906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38907000 +0! +#38907500 +1! +#38907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38908000 +0! +#38908500 +1! +#38908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38909000 +0! +#38909500 +1! +#38909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38910000 +0! +#38910500 +1! +#38910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38911000 +0! +#38911500 +1! +#38911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38912000 +0! +#38912500 +1! +#38912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38913000 +0! +#38913500 +1! +#38913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38914000 +0! +#38914500 +1! +#38914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38915000 +0! +#38915500 +1! +#38915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38916000 +0! +#38916500 +1! +#38916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38917000 +0! +#38917500 +1! +#38917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38918000 +0! +#38918500 +1! +#38918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38919000 +0! +#38919500 +1! +#38919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38920000 +0! +#38920500 +1! +#38920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38921000 +0! +#38921500 +1! +#38921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38922000 +0! +#38922500 +1! +#38922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38923000 +0! +#38923500 +1! +#38923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38924000 +0! +#38924500 +1! +#38924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38925000 +0! +#38925500 +1! +#38925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38926000 +0! +#38926500 +1! +#38926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38927000 +0! +#38927500 +1! +#38927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38928000 +0! +#38928500 +1! +#38928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38929000 +0! +#38929500 +1! +#38929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38930000 +0! +#38930500 +1! +#38930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38931000 +0! +#38931500 +1! +#38931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38932000 +0! +#38932500 +1! +#38932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38933000 +0! +#38933500 +1! +#38933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38934000 +0! +#38934500 +1! +#38934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38935000 +0! +#38935500 +1! +#38935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38936000 +0! +#38936500 +1! +#38936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38937000 +0! +#38937500 +1! +#38937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38938000 +0! +#38938500 +1! +#38938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38939000 +0! +#38939500 +1! +#38939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38940000 +0! +#38940500 +1! +#38940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38941000 +0! +#38941500 +1! +#38941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38942000 +0! +#38942500 +1! +#38942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38943000 +0! +#38943500 +1! +#38943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38944000 +0! +#38944500 +1! +#38944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38945000 +0! +#38945500 +1! +#38945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38946000 +0! +#38946500 +1! +#38946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38947000 +0! +#38947500 +1! +#38947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38948000 +0! +#38948500 +1! +#38948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38949000 +0! +#38949500 +1! +#38949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38950000 +0! +#38950500 +1! +#38950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38951000 +0! +#38951500 +1! +#38951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38952000 +0! +#38952500 +1! +#38952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38953000 +0! +#38953500 +1! +#38953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38954000 +0! +#38954500 +1! +#38954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38955000 +0! +#38955500 +1! +#38955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38956000 +0! +#38956500 +1! +#38956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38957000 +0! +#38957500 +1! +#38957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38958000 +0! +#38958500 +1! +#38958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38959000 +0! +#38959500 +1! +#38959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38960000 +0! +#38960500 +1! +#38960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38961000 +0! +#38961500 +1! +#38961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38962000 +0! +#38962500 +1! +#38962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38963000 +0! +#38963500 +1! +#38963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38964000 +0! +#38964500 +1! +#38964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38965000 +0! +#38965500 +1! +#38965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38966000 +0! +#38966500 +1! +#38966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38967000 +0! +#38967500 +1! +#38967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38968000 +0! +#38968500 +1! +#38968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38969000 +0! +#38969500 +1! +#38969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38970000 +0! +#38970500 +1! +#38970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38971000 +0! +#38971500 +1! +#38971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38972000 +0! +#38972500 +1! +#38972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38973000 +0! +#38973500 +1! +#38973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38974000 +0! +#38974500 +1! +#38974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38975000 +0! +#38975500 +1! +#38975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38976000 +0! +#38976500 +1! +#38976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38977000 +0! +#38977500 +1! +#38977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38978000 +0! +#38978500 +1! +#38978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38979000 +0! +#38979500 +1! +#38979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38980000 +0! +#38980500 +1! +#38980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38981000 +0! +#38981500 +1! +#38981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38982000 +0! +#38982500 +1! +#38982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38983000 +0! +#38983500 +1! +#38983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38984000 +0! +#38984500 +1! +#38984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38985000 +0! +#38985500 +1! +#38985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38986000 +0! +#38986500 +1! +#38986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38987000 +0! +#38987500 +1! +#38987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38988000 +0! +#38988500 +1! +#38988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38989000 +0! +#38989500 +1! +#38989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38990000 +0! +#38990500 +1! +#38990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38991000 +0! +#38991500 +1! +#38991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38992000 +0! +#38992500 +1! +#38992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#38992700 +b110 g +b0 c +#38993000 +0! +#38993500 +1! +#38993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38994000 +0! +#38994500 +1! +#38994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38995000 +0! +#38995500 +1! +#38995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38996000 +0! +#38996500 +1! +#38996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38997000 +0! +#38997500 +1! +#38997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#38998000 +0! +#38998500 +1! +#38998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#38999000 +0! +#38999500 +1! +#38999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39000000 +0! +#39000500 +1! +#39000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39001000 +0! +#39001500 +1! +#39001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39002000 +0! +#39002500 +1! +#39002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39003000 +0! +#39003500 +1! +#39003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39004000 +0! +#39004500 +1! +#39004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39005000 +0! +#39005500 +1! +#39005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39006000 +0! +#39006500 +1! +#39006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39007000 +0! +#39007500 +1! +#39007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39008000 +0! +#39008500 +1! +#39008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39009000 +0! +#39009500 +1! +#39009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39010000 +0! +#39010500 +1! +#39010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39011000 +0! +#39011500 +1! +#39011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39012000 +0! +#39012500 +1! +#39012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39013000 +0! +#39013500 +1! +#39013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39014000 +0! +#39014500 +1! +#39014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39015000 +0! +#39015500 +1! +#39015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39016000 +0! +#39016500 +1! +#39016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39017000 +0! +#39017500 +1! +#39017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39018000 +0! +#39018500 +1! +#39018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39019000 +0! +#39019500 +1! +#39019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39020000 +0! +#39020500 +1! +#39020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39021000 +0! +#39021500 +1! +#39021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39022000 +0! +#39022500 +1! +#39022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39023000 +0! +#39023500 +1! +#39023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39024000 +0! +#39024500 +1! +#39024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39025000 +0! +#39025500 +1! +#39025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39026000 +0! +#39026500 +1! +#39026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39027000 +0! +#39027500 +1! +#39027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39028000 +0! +#39028500 +1! +#39028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39029000 +0! +#39029500 +1! +#39029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39030000 +0! +#39030500 +1! +#39030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39031000 +0! +#39031500 +1! +#39031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39032000 +0! +#39032500 +1! +#39032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39033000 +0! +#39033500 +1! +#39033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39034000 +0! +#39034500 +1! +#39034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39035000 +0! +#39035500 +1! +#39035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39036000 +0! +#39036500 +1! +#39036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39037000 +0! +#39037500 +1! +#39037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39038000 +0! +#39038500 +1! +#39038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39039000 +0! +#39039500 +1! +#39039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39040000 +0! +#39040500 +1! +#39040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39041000 +0! +#39041500 +1! +#39041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39042000 +0! +#39042500 +1! +#39042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39043000 +0! +#39043500 +1! +#39043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39044000 +0! +#39044500 +1! +#39044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39045000 +0! +#39045500 +1! +#39045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39046000 +0! +#39046500 +1! +#39046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39047000 +0! +#39047500 +1! +#39047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39048000 +0! +#39048500 +1! +#39048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39049000 +0! +#39049500 +1! +#39049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39050000 +0! +#39050500 +1! +#39050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39051000 +0! +#39051500 +1! +#39051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39052000 +0! +#39052500 +1! +#39052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39053000 +0! +#39053500 +1! +#39053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39054000 +0! +#39054500 +1! +#39054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39055000 +0! +#39055500 +1! +#39055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39056000 +0! +#39056500 +1! +#39056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39057000 +0! +#39057500 +1! +#39057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39058000 +0! +#39058500 +1! +#39058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39059000 +0! +#39059500 +1! +#39059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39060000 +0! +#39060500 +1! +#39060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39061000 +0! +#39061500 +1! +#39061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39062000 +0! +#39062500 +1! +#39062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39063000 +0! +#39063500 +1! +#39063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39064000 +0! +#39064500 +1! +#39064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39065000 +0! +#39065500 +1! +#39065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39066000 +0! +#39066500 +1! +#39066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39067000 +0! +#39067500 +1! +#39067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39068000 +0! +#39068500 +1! +#39068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39069000 +0! +#39069500 +1! +#39069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39070000 +0! +#39070500 +1! +#39070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39071000 +0! +#39071500 +1! +#39071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39072000 +0! +#39072500 +1! +#39072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39073000 +0! +#39073500 +1! +#39073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39074000 +0! +#39074500 +1! +#39074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39075000 +0! +#39075500 +1! +#39075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39076000 +0! +#39076500 +1! +#39076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39077000 +0! +#39077500 +1! +#39077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39078000 +0! +#39078500 +1! +#39078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39079000 +0! +#39079500 +1! +#39079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39080000 +0! +#39080500 +1! +#39080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39081000 +0! +#39081500 +1! +#39081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39082000 +0! +#39082500 +1! +#39082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39083000 +0! +#39083500 +1! +#39083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39084000 +0! +#39084500 +1! +#39084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39085000 +0! +#39085500 +1! +#39085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39086000 +0! +#39086500 +1! +#39086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39087000 +0! +#39087500 +1! +#39087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39088000 +0! +#39088500 +1! +#39088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39089000 +0! +#39089500 +1! +#39089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39090000 +0! +#39090500 +1! +#39090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39091000 +0! +#39091500 +1! +#39091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39092000 +0! +#39092500 +1! +#39092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39093000 +0! +#39093500 +1! +#39093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39094000 +0! +#39094500 +1! +#39094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#39095000 +0! +#39095500 +1! +#39095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39096000 +0! +#39096500 +1! +#39096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39097000 +0! +#39097500 +1! +#39097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39098000 +0! +#39098500 +1! +#39098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39099000 +0! +#39099500 +1! +#39099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39100000 +0! +#39100500 +1! +#39100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39101000 +0! +#39101500 +1! +#39101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39102000 +0! +#39102500 +1! +#39102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39103000 +0! +#39103500 +1! +#39103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39104000 +0! +#39104500 +1! +#39104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39105000 +0! +#39105500 +1! +#39105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39106000 +0! +#39106500 +1! +#39106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39107000 +0! +#39107500 +1! +#39107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39108000 +0! +#39108500 +1! +#39108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39109000 +0! +#39109500 +1! +#39109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39110000 +0! +#39110500 +1! +#39110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39111000 +0! +#39111500 +1! +#39111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39112000 +0! +#39112500 +1! +#39112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39113000 +0! +#39113500 +1! +#39113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39114000 +0! +#39114500 +1! +#39114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39115000 +0! +#39115500 +1! +#39115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39116000 +0! +#39116500 +1! +#39116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39117000 +0! +#39117500 +1! +#39117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39118000 +0! +#39118500 +1! +#39118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39119000 +0! +#39119500 +1! +#39119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39120000 +0! +#39120500 +1! +#39120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39121000 +0! +#39121500 +1! +#39121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39122000 +0! +#39122500 +1! +#39122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39123000 +0! +#39123500 +1! +#39123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39124000 +0! +#39124500 +1! +#39124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39125000 +0! +#39125500 +1! +#39125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39126000 +0! +#39126500 +1! +#39126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39127000 +0! +#39127500 +1! +#39127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39128000 +0! +#39128500 +1! +#39128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39129000 +0! +#39129500 +1! +#39129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39130000 +0! +#39130500 +1! +#39130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39131000 +0! +#39131500 +1! +#39131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39132000 +0! +#39132500 +1! +#39132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39133000 +0! +#39133500 +1! +#39133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39134000 +0! +#39134500 +1! +#39134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39135000 +0! +#39135500 +1! +#39135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39136000 +0! +#39136500 +1! +#39136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39137000 +0! +#39137500 +1! +#39137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39138000 +0! +#39138500 +1! +#39138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39139000 +0! +#39139500 +1! +#39139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39140000 +0! +#39140500 +1! +#39140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39141000 +0! +#39141500 +1! +#39141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39142000 +0! +#39142500 +1! +#39142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39143000 +0! +#39143500 +1! +#39143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39144000 +0! +#39144500 +1! +#39144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39145000 +0! +#39145500 +1! +#39145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39146000 +0! +#39146500 +1! +#39146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39147000 +0! +#39147500 +1! +#39147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39148000 +0! +#39148500 +1! +#39148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39149000 +0! +#39149500 +1! +#39149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39150000 +0! +#39150500 +1! +#39150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39151000 +0! +#39151500 +1! +#39151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39152000 +0! +#39152500 +1! +#39152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39153000 +0! +#39153500 +1! +#39153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39154000 +0! +#39154500 +1! +#39154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39155000 +0! +#39155500 +1! +#39155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39156000 +0! +#39156500 +1! +#39156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39157000 +0! +#39157500 +1! +#39157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39158000 +0! +#39158500 +1! +#39158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39159000 +0! +#39159500 +1! +#39159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39160000 +0! +#39160500 +1! +#39160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39161000 +0! +#39161500 +1! +#39161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39162000 +0! +#39162500 +1! +#39162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39163000 +0! +#39163500 +1! +#39163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39164000 +0! +#39164500 +1! +#39164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39165000 +0! +#39165500 +1! +#39165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39166000 +0! +#39166500 +1! +#39166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39167000 +0! +#39167500 +1! +#39167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39168000 +0! +#39168500 +1! +#39168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39169000 +0! +#39169500 +1! +#39169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39170000 +0! +#39170500 +1! +#39170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39171000 +0! +#39171500 +1! +#39171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39172000 +0! +#39172500 +1! +#39172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39173000 +0! +#39173500 +1! +#39173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39174000 +0! +#39174500 +1! +#39174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39175000 +0! +#39175500 +1! +#39175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39176000 +0! +#39176500 +1! +#39176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39177000 +0! +#39177500 +1! +#39177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39178000 +0! +#39178500 +1! +#39178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39179000 +0! +#39179500 +1! +#39179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39180000 +0! +#39180500 +1! +#39180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39181000 +0! +#39181500 +1! +#39181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39182000 +0! +#39182500 +1! +#39182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39183000 +0! +#39183500 +1! +#39183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39184000 +0! +#39184500 +1! +#39184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39185000 +0! +#39185500 +1! +#39185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39186000 +0! +#39186500 +1! +#39186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39187000 +0! +#39187500 +1! +#39187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39188000 +0! +#39188500 +1! +#39188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39189000 +0! +#39189500 +1! +#39189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39190000 +0! +#39190500 +1! +#39190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39191000 +0! +#39191500 +1! +#39191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39192000 +0! +#39192500 +1! +#39192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39193000 +0! +#39193500 +1! +#39193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39194000 +0! +#39194500 +1! +#39194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39195000 +0! +#39195500 +1! +#39195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39196000 +0! +#39196500 +1! +#39196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39197000 +0! +#39197500 +1! +#39197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39198000 +0! +#39198500 +1! +#39198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39199000 +0! +#39199500 +1! +#39199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39200000 +0! +#39200500 +1! +#39200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39201000 +0! +#39201500 +1! +#39201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39202000 +0! +#39202500 +1! +#39202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39203000 +0! +#39203500 +1! +#39203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39204000 +0! +#39204500 +1! +#39204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39205000 +0! +#39205500 +1! +#39205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39206000 +0! +#39206500 +1! +#39206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39207000 +0! +#39207500 +1! +#39207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39208000 +0! +#39208500 +1! +#39208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39209000 +0! +#39209500 +1! +#39209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39210000 +0! +#39210500 +1! +#39210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39211000 +0! +#39211500 +1! +#39211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39212000 +0! +#39212500 +1! +#39212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39213000 +0! +#39213500 +1! +#39213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39214000 +0! +#39214500 +1! +#39214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39215000 +0! +#39215500 +1! +#39215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39216000 +0! +#39216500 +1! +#39216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39217000 +0! +#39217500 +1! +#39217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39218000 +0! +#39218500 +1! +#39218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39219000 +0! +#39219500 +1! +#39219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39220000 +0! +#39220500 +1! +#39220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39221000 +0! +#39221500 +1! +#39221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39222000 +0! +#39222500 +1! +#39222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39223000 +0! +#39223500 +1! +#39223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39224000 +0! +#39224500 +1! +#39224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39225000 +0! +#39225500 +1! +#39225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39226000 +0! +#39226500 +1! +#39226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39227000 +0! +#39227500 +1! +#39227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39228000 +0! +#39228500 +1! +#39228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39229000 +0! +#39229500 +1! +#39229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39230000 +0! +#39230500 +1! +#39230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39231000 +0! +#39231500 +1! +#39231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39232000 +0! +#39232500 +1! +#39232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39233000 +0! +#39233500 +1! +#39233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39234000 +0! +#39234500 +1! +#39234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39235000 +0! +#39235500 +1! +#39235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39236000 +0! +#39236500 +1! +#39236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39237000 +0! +#39237500 +1! +#39237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39238000 +0! +#39238500 +1! +#39238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39239000 +0! +#39239500 +1! +#39239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39240000 +0! +#39240500 +1! +#39240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39241000 +0! +#39241500 +1! +#39241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39242000 +0! +#39242500 +1! +#39242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39243000 +0! +#39243500 +1! +#39243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39244000 +0! +#39244500 +1! +#39244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39245000 +0! +#39245500 +1! +#39245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39246000 +0! +#39246500 +1! +#39246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39247000 +0! +#39247500 +1! +#39247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#39247700 +b101 g +#39248000 +0! +#39248500 +1! +#39248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39249000 +0! +#39249500 +1! +#39249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39250000 +0! +#39250500 +1! +#39250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39251000 +0! +#39251500 +1! +#39251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39252000 +0! +#39252500 +1! +#39252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39253000 +0! +#39253500 +1! +#39253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39254000 +0! +#39254500 +1! +#39254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39255000 +0! +#39255500 +1! +#39255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39256000 +0! +#39256500 +1! +#39256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39257000 +0! +#39257500 +1! +#39257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39258000 +0! +#39258500 +1! +#39258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39259000 +0! +#39259500 +1! +#39259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39260000 +0! +#39260500 +1! +#39260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39261000 +0! +#39261500 +1! +#39261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39262000 +0! +#39262500 +1! +#39262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39263000 +0! +#39263500 +1! +#39263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39264000 +0! +#39264500 +1! +#39264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39265000 +0! +#39265500 +1! +#39265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39266000 +0! +#39266500 +1! +#39266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39267000 +0! +#39267500 +1! +#39267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39268000 +0! +#39268500 +1! +#39268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39269000 +0! +#39269500 +1! +#39269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39270000 +0! +#39270500 +1! +#39270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39271000 +0! +#39271500 +1! +#39271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39272000 +0! +#39272500 +1! +#39272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39273000 +0! +#39273500 +1! +#39273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39274000 +0! +#39274500 +1! +#39274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39275000 +0! +#39275500 +1! +#39275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39276000 +0! +#39276500 +1! +#39276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39277000 +0! +#39277500 +1! +#39277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39278000 +0! +#39278500 +1! +#39278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39279000 +0! +#39279500 +1! +#39279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39280000 +0! +#39280500 +1! +#39280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39281000 +0! +#39281500 +1! +#39281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39282000 +0! +#39282500 +1! +#39282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39283000 +0! +#39283500 +1! +#39283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39284000 +0! +#39284500 +1! +#39284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39285000 +0! +#39285500 +1! +#39285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39286000 +0! +#39286500 +1! +#39286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39287000 +0! +#39287500 +1! +#39287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39288000 +0! +#39288500 +1! +#39288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39289000 +0! +#39289500 +1! +#39289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39290000 +0! +#39290500 +1! +#39290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39291000 +0! +#39291500 +1! +#39291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39292000 +0! +#39292500 +1! +#39292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39293000 +0! +#39293500 +1! +#39293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39294000 +0! +#39294500 +1! +#39294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39295000 +0! +#39295500 +1! +#39295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39296000 +0! +#39296500 +1! +#39296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39297000 +0! +#39297500 +1! +#39297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39298000 +0! +#39298500 +1! +#39298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39299000 +0! +#39299500 +1! +#39299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39300000 +0! +#39300500 +1! +#39300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39301000 +0! +#39301500 +1! +#39301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39302000 +0! +#39302500 +1! +#39302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39303000 +0! +#39303500 +1! +#39303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39304000 +0! +#39304500 +1! +#39304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39305000 +0! +#39305500 +1! +#39305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39306000 +0! +#39306500 +1! +#39306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39307000 +0! +#39307500 +1! +#39307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39308000 +0! +#39308500 +1! +#39308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39309000 +0! +#39309500 +1! +#39309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39310000 +0! +#39310500 +1! +#39310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39311000 +0! +#39311500 +1! +#39311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39312000 +0! +#39312500 +1! +#39312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39313000 +0! +#39313500 +1! +#39313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39314000 +0! +#39314500 +1! +#39314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39315000 +0! +#39315500 +1! +#39315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39316000 +0! +#39316500 +1! +#39316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39317000 +0! +#39317500 +1! +#39317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39318000 +0! +#39318500 +1! +#39318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39319000 +0! +#39319500 +1! +#39319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39320000 +0! +#39320500 +1! +#39320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39321000 +0! +#39321500 +1! +#39321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39322000 +0! +#39322500 +1! +#39322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39323000 +0! +#39323500 +1! +#39323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39324000 +0! +#39324500 +1! +#39324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39325000 +0! +#39325500 +1! +#39325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39326000 +0! +#39326500 +1! +#39326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39327000 +0! +#39327500 +1! +#39327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39328000 +0! +#39328500 +1! +#39328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39329000 +0! +#39329500 +1! +#39329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39330000 +0! +#39330500 +1! +#39330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39331000 +0! +#39331500 +1! +#39331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39332000 +0! +#39332500 +1! +#39332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39333000 +0! +#39333500 +1! +#39333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39334000 +0! +#39334500 +1! +#39334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39335000 +0! +#39335500 +1! +#39335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39336000 +0! +#39336500 +1! +#39336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39337000 +0! +#39337500 +1! +#39337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39338000 +0! +#39338500 +1! +#39338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39339000 +0! +#39339500 +1! +#39339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39340000 +0! +#39340500 +1! +#39340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39341000 +0! +#39341500 +1! +#39341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39342000 +0! +#39342500 +1! +#39342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39343000 +0! +#39343500 +1! +#39343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39344000 +0! +#39344500 +1! +#39344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39345000 +0! +#39345500 +1! +#39345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39346000 +0! +#39346500 +1! +#39346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39347000 +0! +#39347500 +1! +#39347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39348000 +0! +#39348500 +1! +#39348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39349000 +0! +#39349500 +1! +#39349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#39350000 +0! +#39350500 +1! +#39350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39351000 +0! +#39351500 +1! +#39351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39352000 +0! +#39352500 +1! +#39352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39353000 +0! +#39353500 +1! +#39353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39354000 +0! +#39354500 +1! +#39354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39355000 +0! +#39355500 +1! +#39355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39356000 +0! +#39356500 +1! +#39356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39357000 +0! +#39357500 +1! +#39357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39358000 +0! +#39358500 +1! +#39358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39359000 +0! +#39359500 +1! +#39359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39360000 +0! +#39360500 +1! +#39360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39361000 +0! +#39361500 +1! +#39361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39362000 +0! +#39362500 +1! +#39362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39363000 +0! +#39363500 +1! +#39363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39364000 +0! +#39364500 +1! +#39364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39365000 +0! +#39365500 +1! +#39365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39366000 +0! +#39366500 +1! +#39366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39367000 +0! +#39367500 +1! +#39367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39368000 +0! +#39368500 +1! +#39368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39369000 +0! +#39369500 +1! +#39369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39370000 +0! +#39370500 +1! +#39370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39371000 +0! +#39371500 +1! +#39371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39372000 +0! +#39372500 +1! +#39372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39373000 +0! +#39373500 +1! +#39373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39374000 +0! +#39374500 +1! +#39374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39375000 +0! +#39375500 +1! +#39375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39376000 +0! +#39376500 +1! +#39376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39377000 +0! +#39377500 +1! +#39377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39378000 +0! +#39378500 +1! +#39378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39379000 +0! +#39379500 +1! +#39379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39380000 +0! +#39380500 +1! +#39380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39381000 +0! +#39381500 +1! +#39381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39382000 +0! +#39382500 +1! +#39382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39383000 +0! +#39383500 +1! +#39383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39384000 +0! +#39384500 +1! +#39384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39385000 +0! +#39385500 +1! +#39385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39386000 +0! +#39386500 +1! +#39386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39387000 +0! +#39387500 +1! +#39387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39388000 +0! +#39388500 +1! +#39388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39389000 +0! +#39389500 +1! +#39389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39390000 +0! +#39390500 +1! +#39390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39391000 +0! +#39391500 +1! +#39391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39392000 +0! +#39392500 +1! +#39392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39393000 +0! +#39393500 +1! +#39393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39394000 +0! +#39394500 +1! +#39394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39395000 +0! +#39395500 +1! +#39395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39396000 +0! +#39396500 +1! +#39396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39397000 +0! +#39397500 +1! +#39397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39398000 +0! +#39398500 +1! +#39398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39399000 +0! +#39399500 +1! +#39399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39400000 +0! +#39400500 +1! +#39400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39401000 +0! +#39401500 +1! +#39401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39402000 +0! +#39402500 +1! +#39402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39403000 +0! +#39403500 +1! +#39403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39404000 +0! +#39404500 +1! +#39404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39405000 +0! +#39405500 +1! +#39405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39406000 +0! +#39406500 +1! +#39406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39407000 +0! +#39407500 +1! +#39407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39408000 +0! +#39408500 +1! +#39408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39409000 +0! +#39409500 +1! +#39409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39410000 +0! +#39410500 +1! +#39410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39411000 +0! +#39411500 +1! +#39411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39412000 +0! +#39412500 +1! +#39412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39413000 +0! +#39413500 +1! +#39413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39414000 +0! +#39414500 +1! +#39414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39415000 +0! +#39415500 +1! +#39415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39416000 +0! +#39416500 +1! +#39416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39417000 +0! +#39417500 +1! +#39417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39418000 +0! +#39418500 +1! +#39418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39419000 +0! +#39419500 +1! +#39419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39420000 +0! +#39420500 +1! +#39420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39421000 +0! +#39421500 +1! +#39421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39422000 +0! +#39422500 +1! +#39422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39423000 +0! +#39423500 +1! +#39423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39424000 +0! +#39424500 +1! +#39424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39425000 +0! +#39425500 +1! +#39425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39426000 +0! +#39426500 +1! +#39426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39427000 +0! +#39427500 +1! +#39427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39428000 +0! +#39428500 +1! +#39428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39429000 +0! +#39429500 +1! +#39429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39430000 +0! +#39430500 +1! +#39430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39431000 +0! +#39431500 +1! +#39431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39432000 +0! +#39432500 +1! +#39432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39433000 +0! +#39433500 +1! +#39433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39434000 +0! +#39434500 +1! +#39434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39435000 +0! +#39435500 +1! +#39435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39436000 +0! +#39436500 +1! +#39436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39437000 +0! +#39437500 +1! +#39437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39438000 +0! +#39438500 +1! +#39438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39439000 +0! +#39439500 +1! +#39439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39440000 +0! +#39440500 +1! +#39440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39441000 +0! +#39441500 +1! +#39441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39442000 +0! +#39442500 +1! +#39442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39443000 +0! +#39443500 +1! +#39443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39444000 +0! +#39444500 +1! +#39444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39445000 +0! +#39445500 +1! +#39445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39446000 +0! +#39446500 +1! +#39446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39447000 +0! +#39447500 +1! +#39447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39448000 +0! +#39448500 +1! +#39448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39449000 +0! +#39449500 +1! +#39449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39450000 +0! +#39450500 +1! +#39450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39451000 +0! +#39451500 +1! +#39451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1Q +1O +#39452000 +0! +#39452500 +1! +#39452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39453000 +0! +#39453500 +1! +#39453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39454000 +0! +#39454500 +1! +#39454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39455000 +0! +#39455500 +1! +#39455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39456000 +0! +#39456500 +1! +#39456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39457000 +0! +#39457500 +1! +#39457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39458000 +0! +#39458500 +1! +#39458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39459000 +0! +#39459500 +1! +#39459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39460000 +0! +#39460500 +1! +#39460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39461000 +0! +#39461500 +1! +#39461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39462000 +0! +#39462500 +1! +#39462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39463000 +0! +#39463500 +1! +#39463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39464000 +0! +#39464500 +1! +#39464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39465000 +0! +#39465500 +1! +#39465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39466000 +0! +#39466500 +1! +#39466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39467000 +0! +#39467500 +1! +#39467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39468000 +0! +#39468500 +1! +#39468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39469000 +0! +#39469500 +1! +#39469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39470000 +0! +#39470500 +1! +#39470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39471000 +0! +#39471500 +1! +#39471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39472000 +0! +#39472500 +1! +#39472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39473000 +0! +#39473500 +1! +#39473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39474000 +0! +#39474500 +1! +#39474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39475000 +0! +#39475500 +1! +#39475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39476000 +0! +#39476500 +1! +#39476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39477000 +0! +#39477500 +1! +#39477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39478000 +0! +#39478500 +1! +#39478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39479000 +0! +#39479500 +1! +#39479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39480000 +0! +#39480500 +1! +#39480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39481000 +0! +#39481500 +1! +#39481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39482000 +0! +#39482500 +1! +#39482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39483000 +0! +#39483500 +1! +#39483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39484000 +0! +#39484500 +1! +#39484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39485000 +0! +#39485500 +1! +#39485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39486000 +0! +#39486500 +1! +#39486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39487000 +0! +#39487500 +1! +#39487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39488000 +0! +#39488500 +1! +#39488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39489000 +0! +#39489500 +1! +#39489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39490000 +0! +#39490500 +1! +#39490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39491000 +0! +#39491500 +1! +#39491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39492000 +0! +#39492500 +1! +#39492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39493000 +0! +#39493500 +1! +#39493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39494000 +0! +#39494500 +1! +#39494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39495000 +0! +#39495500 +1! +#39495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39496000 +0! +#39496500 +1! +#39496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39497000 +0! +#39497500 +1! +#39497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39498000 +0! +#39498500 +1! +#39498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39499000 +0! +#39499500 +1! +#39499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39500000 +0! +#39500500 +1! +#39500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39501000 +0! +#39501500 +1! +#39501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39502000 +0! +#39502500 +1! +#39502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#39502700 +b100 g +b1 c +#39503000 +0! +#39503500 +1! +#39503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39504000 +0! +#39504500 +1! +#39504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39505000 +0! +#39505500 +1! +#39505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39506000 +0! +#39506500 +1! +#39506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39507000 +0! +#39507500 +1! +#39507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39508000 +0! +#39508500 +1! +#39508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39509000 +0! +#39509500 +1! +#39509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39510000 +0! +#39510500 +1! +#39510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39511000 +0! +#39511500 +1! +#39511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39512000 +0! +#39512500 +1! +#39512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39513000 +0! +#39513500 +1! +#39513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39514000 +0! +#39514500 +1! +#39514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39515000 +0! +#39515500 +1! +#39515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39516000 +0! +#39516500 +1! +#39516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39517000 +0! +#39517500 +1! +#39517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39518000 +0! +#39518500 +1! +#39518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39519000 +0! +#39519500 +1! +#39519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39520000 +0! +#39520500 +1! +#39520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39521000 +0! +#39521500 +1! +#39521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39522000 +0! +#39522500 +1! +#39522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39523000 +0! +#39523500 +1! +#39523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39524000 +0! +#39524500 +1! +#39524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39525000 +0! +#39525500 +1! +#39525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39526000 +0! +#39526500 +1! +#39526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39527000 +0! +#39527500 +1! +#39527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39528000 +0! +#39528500 +1! +#39528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39529000 +0! +#39529500 +1! +#39529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39530000 +0! +#39530500 +1! +#39530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39531000 +0! +#39531500 +1! +#39531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39532000 +0! +#39532500 +1! +#39532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39533000 +0! +#39533500 +1! +#39533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39534000 +0! +#39534500 +1! +#39534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39535000 +0! +#39535500 +1! +#39535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39536000 +0! +#39536500 +1! +#39536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39537000 +0! +#39537500 +1! +#39537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39538000 +0! +#39538500 +1! +#39538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39539000 +0! +#39539500 +1! +#39539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39540000 +0! +#39540500 +1! +#39540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39541000 +0! +#39541500 +1! +#39541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39542000 +0! +#39542500 +1! +#39542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39543000 +0! +#39543500 +1! +#39543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39544000 +0! +#39544500 +1! +#39544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39545000 +0! +#39545500 +1! +#39545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39546000 +0! +#39546500 +1! +#39546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39547000 +0! +#39547500 +1! +#39547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39548000 +0! +#39548500 +1! +#39548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39549000 +0! +#39549500 +1! +#39549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39550000 +0! +#39550500 +1! +#39550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39551000 +0! +#39551500 +1! +#39551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39552000 +0! +#39552500 +1! +#39552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39553000 +0! +#39553500 +1! +#39553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39554000 +0! +#39554500 +1! +#39554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39555000 +0! +#39555500 +1! +#39555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39556000 +0! +#39556500 +1! +#39556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39557000 +0! +#39557500 +1! +#39557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39558000 +0! +#39558500 +1! +#39558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39559000 +0! +#39559500 +1! +#39559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39560000 +0! +#39560500 +1! +#39560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39561000 +0! +#39561500 +1! +#39561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39562000 +0! +#39562500 +1! +#39562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39563000 +0! +#39563500 +1! +#39563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39564000 +0! +#39564500 +1! +#39564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39565000 +0! +#39565500 +1! +#39565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39566000 +0! +#39566500 +1! +#39566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39567000 +0! +#39567500 +1! +#39567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39568000 +0! +#39568500 +1! +#39568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39569000 +0! +#39569500 +1! +#39569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39570000 +0! +#39570500 +1! +#39570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39571000 +0! +#39571500 +1! +#39571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39572000 +0! +#39572500 +1! +#39572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39573000 +0! +#39573500 +1! +#39573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39574000 +0! +#39574500 +1! +#39574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39575000 +0! +#39575500 +1! +#39575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39576000 +0! +#39576500 +1! +#39576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39577000 +0! +#39577500 +1! +#39577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39578000 +0! +#39578500 +1! +#39578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39579000 +0! +#39579500 +1! +#39579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39580000 +0! +#39580500 +1! +#39580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39581000 +0! +#39581500 +1! +#39581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39582000 +0! +#39582500 +1! +#39582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39583000 +0! +#39583500 +1! +#39583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39584000 +0! +#39584500 +1! +#39584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39585000 +0! +#39585500 +1! +#39585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39586000 +0! +#39586500 +1! +#39586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39587000 +0! +#39587500 +1! +#39587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39588000 +0! +#39588500 +1! +#39588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39589000 +0! +#39589500 +1! +#39589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39590000 +0! +#39590500 +1! +#39590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39591000 +0! +#39591500 +1! +#39591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39592000 +0! +#39592500 +1! +#39592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39593000 +0! +#39593500 +1! +#39593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39594000 +0! +#39594500 +1! +#39594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39595000 +0! +#39595500 +1! +#39595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39596000 +0! +#39596500 +1! +#39596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39597000 +0! +#39597500 +1! +#39597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39598000 +0! +#39598500 +1! +#39598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39599000 +0! +#39599500 +1! +#39599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39600000 +0! +#39600500 +1! +#39600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39601000 +0! +#39601500 +1! +#39601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39602000 +0! +#39602500 +1! +#39602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39603000 +0! +#39603500 +1! +#39603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39604000 +0! +#39604500 +1! +#39604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#39605000 +0! +#39605500 +1! +#39605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39606000 +0! +#39606500 +1! +#39606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39607000 +0! +#39607500 +1! +#39607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39608000 +0! +#39608500 +1! +#39608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39609000 +0! +#39609500 +1! +#39609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39610000 +0! +#39610500 +1! +#39610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39611000 +0! +#39611500 +1! +#39611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39612000 +0! +#39612500 +1! +#39612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39613000 +0! +#39613500 +1! +#39613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39614000 +0! +#39614500 +1! +#39614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39615000 +0! +#39615500 +1! +#39615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39616000 +0! +#39616500 +1! +#39616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39617000 +0! +#39617500 +1! +#39617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39618000 +0! +#39618500 +1! +#39618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39619000 +0! +#39619500 +1! +#39619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39620000 +0! +#39620500 +1! +#39620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39621000 +0! +#39621500 +1! +#39621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39622000 +0! +#39622500 +1! +#39622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39623000 +0! +#39623500 +1! +#39623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39624000 +0! +#39624500 +1! +#39624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39625000 +0! +#39625500 +1! +#39625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39626000 +0! +#39626500 +1! +#39626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39627000 +0! +#39627500 +1! +#39627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39628000 +0! +#39628500 +1! +#39628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39629000 +0! +#39629500 +1! +#39629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39630000 +0! +#39630500 +1! +#39630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39631000 +0! +#39631500 +1! +#39631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39632000 +0! +#39632500 +1! +#39632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39633000 +0! +#39633500 +1! +#39633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39634000 +0! +#39634500 +1! +#39634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39635000 +0! +#39635500 +1! +#39635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39636000 +0! +#39636500 +1! +#39636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39637000 +0! +#39637500 +1! +#39637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39638000 +0! +#39638500 +1! +#39638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39639000 +0! +#39639500 +1! +#39639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39640000 +0! +#39640500 +1! +#39640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39641000 +0! +#39641500 +1! +#39641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39642000 +0! +#39642500 +1! +#39642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39643000 +0! +#39643500 +1! +#39643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39644000 +0! +#39644500 +1! +#39644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39645000 +0! +#39645500 +1! +#39645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39646000 +0! +#39646500 +1! +#39646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39647000 +0! +#39647500 +1! +#39647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39648000 +0! +#39648500 +1! +#39648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39649000 +0! +#39649500 +1! +#39649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39650000 +0! +#39650500 +1! +#39650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39651000 +0! +#39651500 +1! +#39651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39652000 +0! +#39652500 +1! +#39652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39653000 +0! +#39653500 +1! +#39653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39654000 +0! +#39654500 +1! +#39654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39655000 +0! +#39655500 +1! +#39655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39656000 +0! +#39656500 +1! +#39656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39657000 +0! +#39657500 +1! +#39657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39658000 +0! +#39658500 +1! +#39658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39659000 +0! +#39659500 +1! +#39659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39660000 +0! +#39660500 +1! +#39660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39661000 +0! +#39661500 +1! +#39661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39662000 +0! +#39662500 +1! +#39662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39663000 +0! +#39663500 +1! +#39663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39664000 +0! +#39664500 +1! +#39664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39665000 +0! +#39665500 +1! +#39665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39666000 +0! +#39666500 +1! +#39666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39667000 +0! +#39667500 +1! +#39667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39668000 +0! +#39668500 +1! +#39668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39669000 +0! +#39669500 +1! +#39669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39670000 +0! +#39670500 +1! +#39670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39671000 +0! +#39671500 +1! +#39671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39672000 +0! +#39672500 +1! +#39672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39673000 +0! +#39673500 +1! +#39673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39674000 +0! +#39674500 +1! +#39674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39675000 +0! +#39675500 +1! +#39675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39676000 +0! +#39676500 +1! +#39676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39677000 +0! +#39677500 +1! +#39677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39678000 +0! +#39678500 +1! +#39678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39679000 +0! +#39679500 +1! +#39679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39680000 +0! +#39680500 +1! +#39680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39681000 +0! +#39681500 +1! +#39681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39682000 +0! +#39682500 +1! +#39682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39683000 +0! +#39683500 +1! +#39683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39684000 +0! +#39684500 +1! +#39684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39685000 +0! +#39685500 +1! +#39685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39686000 +0! +#39686500 +1! +#39686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39687000 +0! +#39687500 +1! +#39687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39688000 +0! +#39688500 +1! +#39688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39689000 +0! +#39689500 +1! +#39689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39690000 +0! +#39690500 +1! +#39690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39691000 +0! +#39691500 +1! +#39691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39692000 +0! +#39692500 +1! +#39692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39693000 +0! +#39693500 +1! +#39693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39694000 +0! +#39694500 +1! +#39694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39695000 +0! +#39695500 +1! +#39695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39696000 +0! +#39696500 +1! +#39696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39697000 +0! +#39697500 +1! +#39697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39698000 +0! +#39698500 +1! +#39698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39699000 +0! +#39699500 +1! +#39699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39700000 +0! +#39700500 +1! +#39700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39701000 +0! +#39701500 +1! +#39701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39702000 +0! +#39702500 +1! +#39702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39703000 +0! +#39703500 +1! +#39703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39704000 +0! +#39704500 +1! +#39704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39705000 +0! +#39705500 +1! +#39705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39706000 +0! +#39706500 +1! +#39706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0Q +0O +#39707000 +0! +#39707500 +1! +#39707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39708000 +0! +#39708500 +1! +#39708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39709000 +0! +#39709500 +1! +#39709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39710000 +0! +#39710500 +1! +#39710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39711000 +0! +#39711500 +1! +#39711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39712000 +0! +#39712500 +1! +#39712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39713000 +0! +#39713500 +1! +#39713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39714000 +0! +#39714500 +1! +#39714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39715000 +0! +#39715500 +1! +#39715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39716000 +0! +#39716500 +1! +#39716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39717000 +0! +#39717500 +1! +#39717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39718000 +0! +#39718500 +1! +#39718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39719000 +0! +#39719500 +1! +#39719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39720000 +0! +#39720500 +1! +#39720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39721000 +0! +#39721500 +1! +#39721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39722000 +0! +#39722500 +1! +#39722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39723000 +0! +#39723500 +1! +#39723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39724000 +0! +#39724500 +1! +#39724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39725000 +0! +#39725500 +1! +#39725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39726000 +0! +#39726500 +1! +#39726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39727000 +0! +#39727500 +1! +#39727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39728000 +0! +#39728500 +1! +#39728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39729000 +0! +#39729500 +1! +#39729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39730000 +0! +#39730500 +1! +#39730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39731000 +0! +#39731500 +1! +#39731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39732000 +0! +#39732500 +1! +#39732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39733000 +0! +#39733500 +1! +#39733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39734000 +0! +#39734500 +1! +#39734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39735000 +0! +#39735500 +1! +#39735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39736000 +0! +#39736500 +1! +#39736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39737000 +0! +#39737500 +1! +#39737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39738000 +0! +#39738500 +1! +#39738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39739000 +0! +#39739500 +1! +#39739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39740000 +0! +#39740500 +1! +#39740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39741000 +0! +#39741500 +1! +#39741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39742000 +0! +#39742500 +1! +#39742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39743000 +0! +#39743500 +1! +#39743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39744000 +0! +#39744500 +1! +#39744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39745000 +0! +#39745500 +1! +#39745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39746000 +0! +#39746500 +1! +#39746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39747000 +0! +#39747500 +1! +#39747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39748000 +0! +#39748500 +1! +#39748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39749000 +0! +#39749500 +1! +#39749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39750000 +0! +#39750500 +1! +#39750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39751000 +0! +#39751500 +1! +#39751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39752000 +0! +#39752500 +1! +#39752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39753000 +0! +#39753500 +1! +#39753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39754000 +0! +#39754500 +1! +#39754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39755000 +0! +#39755500 +1! +#39755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39756000 +0! +#39756500 +1! +#39756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39757000 +0! +#39757500 +1! +#39757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#39757700 +b11 g +b10 c +#39758000 +0! +#39758500 +1! +#39758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39759000 +0! +#39759500 +1! +#39759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39760000 +0! +#39760500 +1! +#39760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39761000 +0! +#39761500 +1! +#39761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39762000 +0! +#39762500 +1! +#39762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39763000 +0! +#39763500 +1! +#39763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39764000 +0! +#39764500 +1! +#39764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39765000 +0! +#39765500 +1! +#39765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39766000 +0! +#39766500 +1! +#39766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39767000 +0! +#39767500 +1! +#39767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39768000 +0! +#39768500 +1! +#39768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39769000 +0! +#39769500 +1! +#39769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39770000 +0! +#39770500 +1! +#39770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39771000 +0! +#39771500 +1! +#39771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39772000 +0! +#39772500 +1! +#39772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39773000 +0! +#39773500 +1! +#39773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39774000 +0! +#39774500 +1! +#39774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39775000 +0! +#39775500 +1! +#39775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39776000 +0! +#39776500 +1! +#39776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39777000 +0! +#39777500 +1! +#39777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39778000 +0! +#39778500 +1! +#39778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39779000 +0! +#39779500 +1! +#39779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39780000 +0! +#39780500 +1! +#39780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39781000 +0! +#39781500 +1! +#39781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39782000 +0! +#39782500 +1! +#39782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39783000 +0! +#39783500 +1! +#39783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39784000 +0! +#39784500 +1! +#39784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39785000 +0! +#39785500 +1! +#39785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39786000 +0! +#39786500 +1! +#39786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39787000 +0! +#39787500 +1! +#39787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39788000 +0! +#39788500 +1! +#39788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39789000 +0! +#39789500 +1! +#39789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39790000 +0! +#39790500 +1! +#39790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39791000 +0! +#39791500 +1! +#39791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39792000 +0! +#39792500 +1! +#39792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39793000 +0! +#39793500 +1! +#39793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39794000 +0! +#39794500 +1! +#39794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39795000 +0! +#39795500 +1! +#39795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39796000 +0! +#39796500 +1! +#39796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39797000 +0! +#39797500 +1! +#39797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39798000 +0! +#39798500 +1! +#39798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39799000 +0! +#39799500 +1! +#39799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39800000 +0! +#39800500 +1! +#39800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39801000 +0! +#39801500 +1! +#39801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39802000 +0! +#39802500 +1! +#39802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39803000 +0! +#39803500 +1! +#39803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39804000 +0! +#39804500 +1! +#39804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39805000 +0! +#39805500 +1! +#39805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39806000 +0! +#39806500 +1! +#39806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39807000 +0! +#39807500 +1! +#39807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39808000 +0! +#39808500 +1! +#39808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39809000 +0! +#39809500 +1! +#39809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39810000 +0! +#39810500 +1! +#39810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39811000 +0! +#39811500 +1! +#39811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39812000 +0! +#39812500 +1! +#39812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39813000 +0! +#39813500 +1! +#39813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39814000 +0! +#39814500 +1! +#39814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39815000 +0! +#39815500 +1! +#39815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39816000 +0! +#39816500 +1! +#39816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39817000 +0! +#39817500 +1! +#39817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39818000 +0! +#39818500 +1! +#39818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39819000 +0! +#39819500 +1! +#39819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39820000 +0! +#39820500 +1! +#39820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39821000 +0! +#39821500 +1! +#39821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39822000 +0! +#39822500 +1! +#39822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39823000 +0! +#39823500 +1! +#39823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39824000 +0! +#39824500 +1! +#39824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39825000 +0! +#39825500 +1! +#39825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39826000 +0! +#39826500 +1! +#39826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39827000 +0! +#39827500 +1! +#39827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39828000 +0! +#39828500 +1! +#39828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39829000 +0! +#39829500 +1! +#39829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39830000 +0! +#39830500 +1! +#39830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39831000 +0! +#39831500 +1! +#39831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39832000 +0! +#39832500 +1! +#39832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39833000 +0! +#39833500 +1! +#39833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39834000 +0! +#39834500 +1! +#39834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39835000 +0! +#39835500 +1! +#39835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39836000 +0! +#39836500 +1! +#39836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39837000 +0! +#39837500 +1! +#39837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39838000 +0! +#39838500 +1! +#39838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39839000 +0! +#39839500 +1! +#39839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39840000 +0! +#39840500 +1! +#39840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39841000 +0! +#39841500 +1! +#39841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39842000 +0! +#39842500 +1! +#39842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39843000 +0! +#39843500 +1! +#39843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39844000 +0! +#39844500 +1! +#39844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39845000 +0! +#39845500 +1! +#39845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39846000 +0! +#39846500 +1! +#39846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39847000 +0! +#39847500 +1! +#39847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39848000 +0! +#39848500 +1! +#39848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39849000 +0! +#39849500 +1! +#39849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39850000 +0! +#39850500 +1! +#39850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39851000 +0! +#39851500 +1! +#39851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39852000 +0! +#39852500 +1! +#39852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39853000 +0! +#39853500 +1! +#39853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39854000 +0! +#39854500 +1! +#39854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39855000 +0! +#39855500 +1! +#39855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39856000 +0! +#39856500 +1! +#39856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39857000 +0! +#39857500 +1! +#39857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39858000 +0! +#39858500 +1! +#39858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39859000 +0! +#39859500 +1! +#39859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#39860000 +0! +#39860500 +1! +#39860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39861000 +0! +#39861500 +1! +#39861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39862000 +0! +#39862500 +1! +#39862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39863000 +0! +#39863500 +1! +#39863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39864000 +0! +#39864500 +1! +#39864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39865000 +0! +#39865500 +1! +#39865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39866000 +0! +#39866500 +1! +#39866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39867000 +0! +#39867500 +1! +#39867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39868000 +0! +#39868500 +1! +#39868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39869000 +0! +#39869500 +1! +#39869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39870000 +0! +#39870500 +1! +#39870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39871000 +0! +#39871500 +1! +#39871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39872000 +0! +#39872500 +1! +#39872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39873000 +0! +#39873500 +1! +#39873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39874000 +0! +#39874500 +1! +#39874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39875000 +0! +#39875500 +1! +#39875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39876000 +0! +#39876500 +1! +#39876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39877000 +0! +#39877500 +1! +#39877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39878000 +0! +#39878500 +1! +#39878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39879000 +0! +#39879500 +1! +#39879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39880000 +0! +#39880500 +1! +#39880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39881000 +0! +#39881500 +1! +#39881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39882000 +0! +#39882500 +1! +#39882600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39883000 +0! +#39883500 +1! +#39883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39884000 +0! +#39884500 +1! +#39884600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39885000 +0! +#39885500 +1! +#39885600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39886000 +0! +#39886500 +1! +#39886600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39887000 +0! +#39887500 +1! +#39887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39888000 +0! +#39888500 +1! +#39888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39889000 +0! +#39889500 +1! +#39889600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39890000 +0! +#39890500 +1! +#39890600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39891000 +0! +#39891500 +1! +#39891600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39892000 +0! +#39892500 +1! +#39892600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39893000 +0! +#39893500 +1! +#39893600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39894000 +0! +#39894500 +1! +#39894600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39895000 +0! +#39895500 +1! +#39895600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39896000 +0! +#39896500 +1! +#39896600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39897000 +0! +#39897500 +1! +#39897600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39898000 +0! +#39898500 +1! +#39898600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39899000 +0! +#39899500 +1! +#39899600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39900000 +0! +#39900500 +1! +#39900600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39901000 +0! +#39901500 +1! +#39901600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39902000 +0! +#39902500 +1! +#39902600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39903000 +0! +#39903500 +1! +#39903600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39904000 +0! +#39904500 +1! +#39904600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39905000 +0! +#39905500 +1! +#39905600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39906000 +0! +#39906500 +1! +#39906600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39907000 +0! +#39907500 +1! +#39907600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39908000 +0! +#39908500 +1! +#39908600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39909000 +0! +#39909500 +1! +#39909600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39910000 +0! +#39910500 +1! +#39910600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39911000 +0! +#39911500 +1! +#39911600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39912000 +0! +#39912500 +1! +#39912600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39913000 +0! +#39913500 +1! +#39913600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39914000 +0! +#39914500 +1! +#39914600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39915000 +0! +#39915500 +1! +#39915600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39916000 +0! +#39916500 +1! +#39916600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39917000 +0! +#39917500 +1! +#39917600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39918000 +0! +#39918500 +1! +#39918600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39919000 +0! +#39919500 +1! +#39919600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39920000 +0! +#39920500 +1! +#39920600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39921000 +0! +#39921500 +1! +#39921600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39922000 +0! +#39922500 +1! +#39922600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39923000 +0! +#39923500 +1! +#39923600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39924000 +0! +#39924500 +1! +#39924600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39925000 +0! +#39925500 +1! +#39925600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39926000 +0! +#39926500 +1! +#39926600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39927000 +0! +#39927500 +1! +#39927600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39928000 +0! +#39928500 +1! +#39928600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39929000 +0! +#39929500 +1! +#39929600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39930000 +0! +#39930500 +1! +#39930600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39931000 +0! +#39931500 +1! +#39931600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39932000 +0! +#39932500 +1! +#39932600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39933000 +0! +#39933500 +1! +#39933600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39934000 +0! +#39934500 +1! +#39934600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39935000 +0! +#39935500 +1! +#39935600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39936000 +0! +#39936500 +1! +#39936600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39937000 +0! +#39937500 +1! +#39937600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39938000 +0! +#39938500 +1! +#39938600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39939000 +0! +#39939500 +1! +#39939600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39940000 +0! +#39940500 +1! +#39940600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39941000 +0! +#39941500 +1! +#39941600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39942000 +0! +#39942500 +1! +#39942600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39943000 +0! +#39943500 +1! +#39943600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39944000 +0! +#39944500 +1! +#39944600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39945000 +0! +#39945500 +1! +#39945600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39946000 +0! +#39946500 +1! +#39946600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39947000 +0! +#39947500 +1! +#39947600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39948000 +0! +#39948500 +1! +#39948600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39949000 +0! +#39949500 +1! +#39949600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39950000 +0! +#39950500 +1! +#39950600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39951000 +0! +#39951500 +1! +#39951600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39952000 +0! +#39952500 +1! +#39952600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39953000 +0! +#39953500 +1! +#39953600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39954000 +0! +#39954500 +1! +#39954600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39955000 +0! +#39955500 +1! +#39955600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39956000 +0! +#39956500 +1! +#39956600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39957000 +0! +#39957500 +1! +#39957600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39958000 +0! +#39958500 +1! +#39958600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39959000 +0! +#39959500 +1! +#39959600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39960000 +0! +#39960500 +1! +#39960600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39961000 +0! +#39961500 +1! +#39961600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39962000 +0! +#39962500 +1! +#39962600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39963000 +0! +#39963500 +1! +#39963600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39964000 +0! +#39964500 +1! +#39964600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39965000 +0! +#39965500 +1! +#39965600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39966000 +0! +#39966500 +1! +#39966600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39967000 +0! +#39967500 +1! +#39967600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39968000 +0! +#39968500 +1! +#39968600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39969000 +0! +#39969500 +1! +#39969600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39970000 +0! +#39970500 +1! +#39970600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39971000 +0! +#39971500 +1! +#39971600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39972000 +0! +#39972500 +1! +#39972600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39973000 +0! +#39973500 +1! +#39973600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39974000 +0! +#39974500 +1! +#39974600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39975000 +0! +#39975500 +1! +#39975600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39976000 +0! +#39976500 +1! +#39976600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39977000 +0! +#39977500 +1! +#39977600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39978000 +0! +#39978500 +1! +#39978600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39979000 +0! +#39979500 +1! +#39979600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39980000 +0! +#39980500 +1! +#39980600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39981000 +0! +#39981500 +1! +#39981600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39982000 +0! +#39982500 +1! +#39982600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39983000 +0! +#39983500 +1! +#39983600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39984000 +0! +#39984500 +1! +#39984600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39985000 +0! +#39985500 +1! +#39985600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39986000 +0! +#39986500 +1! +#39986600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39987000 +0! +#39987500 +1! +#39987600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39988000 +0! +#39988500 +1! +#39988600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39989000 +0! +#39989500 +1! +#39989600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39990000 +0! +#39990500 +1! +#39990600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39991000 +0! +#39991500 +1! +#39991600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39992000 +0! +#39992500 +1! +#39992600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39993000 +0! +#39993500 +1! +#39993600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39994000 +0! +#39994500 +1! +#39994600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39995000 +0! +#39995500 +1! +#39995600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39996000 +0! +#39996500 +1! +#39996600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39997000 +0! +#39997500 +1! +#39997600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#39998000 +0! +#39998500 +1! +#39998600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#39999000 +0! +#39999500 +1! +#39999600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40000000 +0! +#40000500 +1! +#40000600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40001000 +0! +#40001500 +1! +#40001600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40002000 +0! +#40002500 +1! +#40002600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40003000 +0! +#40003500 +1! +#40003600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40004000 +0! +#40004500 +1! +#40004600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40005000 +0! +#40005500 +1! +#40005600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40006000 +0! +#40006500 +1! +#40006600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40007000 +0! +#40007500 +1! +#40007600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40008000 +0! +#40008500 +1! +#40008600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40009000 +0! +#40009500 +1! +#40009600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40010000 +0! +#40010500 +1! +#40010600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40011000 +0! +#40011500 +1! +#40011600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40012000 +0! +#40012500 +1! +#40012600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#40012700 +b10 g +b100 c +#40013000 +0! +#40013500 +1! +#40013600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40014000 +0! +#40014500 +1! +#40014600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40015000 +0! +#40015500 +1! +#40015600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40016000 +0! +#40016500 +1! +#40016600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40017000 +0! +#40017500 +1! +#40017600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40018000 +0! +#40018500 +1! +#40018600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40019000 +0! +#40019500 +1! +#40019600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40020000 +0! +#40020500 +1! +#40020600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40021000 +0! +#40021500 +1! +#40021600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40022000 +0! +#40022500 +1! +#40022600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40023000 +0! +#40023500 +1! +#40023600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40024000 +0! +#40024500 +1! +#40024600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40025000 +0! +#40025500 +1! +#40025600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40026000 +0! +#40026500 +1! +#40026600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40027000 +0! +#40027500 +1! +#40027600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40028000 +0! +#40028500 +1! +#40028600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40029000 +0! +#40029500 +1! +#40029600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40030000 +0! +#40030500 +1! +#40030600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40031000 +0! +#40031500 +1! +#40031600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40032000 +0! +#40032500 +1! +#40032600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40033000 +0! +#40033500 +1! +#40033600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40034000 +0! +#40034500 +1! +#40034600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40035000 +0! +#40035500 +1! +#40035600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40036000 +0! +#40036500 +1! +#40036600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40037000 +0! +#40037500 +1! +#40037600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40038000 +0! +#40038500 +1! +#40038600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40039000 +0! +#40039500 +1! +#40039600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40040000 +0! +#40040500 +1! +#40040600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40041000 +0! +#40041500 +1! +#40041600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40042000 +0! +#40042500 +1! +#40042600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40043000 +0! +#40043500 +1! +#40043600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40044000 +0! +#40044500 +1! +#40044600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40045000 +0! +#40045500 +1! +#40045600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40046000 +0! +#40046500 +1! +#40046600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40047000 +0! +#40047500 +1! +#40047600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40048000 +0! +#40048500 +1! +#40048600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40049000 +0! +#40049500 +1! +#40049600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40050000 +0! +#40050500 +1! +#40050600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40051000 +0! +#40051500 +1! +#40051600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40052000 +0! +#40052500 +1! +#40052600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40053000 +0! +#40053500 +1! +#40053600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40054000 +0! +#40054500 +1! +#40054600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40055000 +0! +#40055500 +1! +#40055600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40056000 +0! +#40056500 +1! +#40056600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40057000 +0! +#40057500 +1! +#40057600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40058000 +0! +#40058500 +1! +#40058600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40059000 +0! +#40059500 +1! +#40059600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40060000 +0! +#40060500 +1! +#40060600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40061000 +0! +#40061500 +1! +#40061600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40062000 +0! +#40062500 +1! +#40062600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40063000 +0! +#40063500 +1! +#40063600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40064000 +0! +#40064500 +1! +#40064600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40065000 +0! +#40065500 +1! +#40065600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40066000 +0! +#40066500 +1! +#40066600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40067000 +0! +#40067500 +1! +#40067600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40068000 +0! +#40068500 +1! +#40068600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40069000 +0! +#40069500 +1! +#40069600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40070000 +0! +#40070500 +1! +#40070600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40071000 +0! +#40071500 +1! +#40071600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40072000 +0! +#40072500 +1! +#40072600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40073000 +0! +#40073500 +1! +#40073600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40074000 +0! +#40074500 +1! +#40074600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40075000 +0! +#40075500 +1! +#40075600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40076000 +0! +#40076500 +1! +#40076600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40077000 +0! +#40077500 +1! +#40077600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40078000 +0! +#40078500 +1! +#40078600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40079000 +0! +#40079500 +1! +#40079600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40080000 +0! +#40080500 +1! +#40080600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40081000 +0! +#40081500 +1! +#40081600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40082000 +0! +#40082500 +1! +#40082600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40083000 +0! +#40083500 +1! +#40083600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40084000 +0! +#40084500 +1! +#40084600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40085000 +0! +#40085500 +1! +#40085600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40086000 +0! +#40086500 +1! +#40086600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40087000 +0! +#40087500 +1! +#40087600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40088000 +0! +#40088500 +1! +#40088600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40089000 +0! +#40089500 +1! +#40089600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40090000 +0! +#40090500 +1! +#40090600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40091000 +0! +#40091500 +1! +#40091600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40092000 +0! +#40092500 +1! +#40092600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40093000 +0! +#40093500 +1! +#40093600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40094000 +0! +#40094500 +1! +#40094600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40095000 +0! +#40095500 +1! +#40095600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40096000 +0! +#40096500 +1! +#40096600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40097000 +0! +#40097500 +1! +#40097600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40098000 +0! +#40098500 +1! +#40098600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40099000 +0! +#40099500 +1! +#40099600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40100000 +0! +#40100500 +1! +#40100600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40101000 +0! +#40101500 +1! +#40101600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40102000 +0! +#40102500 +1! +#40102600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40103000 +0! +#40103500 +1! +#40103600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40104000 +0! +#40104500 +1! +#40104600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40105000 +0! +#40105500 +1! +#40105600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40106000 +0! +#40106500 +1! +#40106600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40107000 +0! +#40107500 +1! +#40107600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40108000 +0! +#40108500 +1! +#40108600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40109000 +0! +#40109500 +1! +#40109600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40110000 +0! +#40110500 +1! +#40110600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40111000 +0! +#40111500 +1! +#40111600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40112000 +0! +#40112500 +1! +#40112600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40113000 +0! +#40113500 +1! +#40113600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40114000 +0! +#40114500 +1! +#40114600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#40115000 +0! +#40115500 +1! +#40115600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40116000 +0! +#40116500 +1! +#40116600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40117000 +0! +#40117500 +1! +#40117600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40118000 +0! +#40118500 +1! +#40118600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40119000 +0! +#40119500 +1! +#40119600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40120000 +0! +#40120500 +1! +#40120600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40121000 +0! +#40121500 +1! +#40121600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40122000 +0! +#40122500 +1! +#40122600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40123000 +0! +#40123500 +1! +#40123600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40124000 +0! +#40124500 +1! +#40124600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40125000 +0! +#40125500 +1! +#40125600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40126000 +0! +#40126500 +1! +#40126600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40127000 +0! +#40127500 +1! +#40127600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40128000 +0! +#40128500 +1! +#40128600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40129000 +0! +#40129500 +1! +#40129600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40130000 +0! +#40130500 +1! +#40130600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40131000 +0! +#40131500 +1! +#40131600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40132000 +0! +#40132500 +1! +#40132600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40133000 +0! +#40133500 +1! +#40133600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40134000 +0! +#40134500 +1! +#40134600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40135000 +0! +#40135500 +1! +#40135600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40136000 +0! +#40136500 +1! +#40136600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40137000 +0! +#40137500 +1! +#40137600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40138000 +0! +#40138500 +1! +#40138600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40139000 +0! +#40139500 +1! +#40139600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40140000 +0! +#40140500 +1! +#40140600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40141000 +0! +#40141500 +1! +#40141600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40142000 +0! +#40142500 +1! +#40142600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40143000 +0! +#40143500 +1! +#40143600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40144000 +0! +#40144500 +1! +#40144600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40145000 +0! +#40145500 +1! +#40145600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40146000 +0! +#40146500 +1! +#40146600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40147000 +0! +#40147500 +1! +#40147600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40148000 +0! +#40148500 +1! +#40148600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40149000 +0! +#40149500 +1! +#40149600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40150000 +0! +#40150500 +1! +#40150600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40151000 +0! +#40151500 +1! +#40151600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40152000 +0! +#40152500 +1! +#40152600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40153000 +0! +#40153500 +1! +#40153600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40154000 +0! +#40154500 +1! +#40154600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40155000 +0! +#40155500 +1! +#40155600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40156000 +0! +#40156500 +1! +#40156600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40157000 +0! +#40157500 +1! +#40157600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40158000 +0! +#40158500 +1! +#40158600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40159000 +0! +#40159500 +1! +#40159600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40160000 +0! +#40160500 +1! +#40160600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40161000 +0! +#40161500 +1! +#40161600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40162000 +0! +#40162500 +1! +#40162600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40163000 +0! +#40163500 +1! +#40163600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40164000 +0! +#40164500 +1! +#40164600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40165000 +0! +#40165500 +1! +#40165600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40166000 +0! +#40166500 +1! +#40166600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40167000 +0! +#40167500 +1! +#40167600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40168000 +0! +#40168500 +1! +#40168600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40169000 +0! +#40169500 +1! +#40169600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40170000 +0! +#40170500 +1! +#40170600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40171000 +0! +#40171500 +1! +#40171600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40172000 +0! +#40172500 +1! +#40172600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40173000 +0! +#40173500 +1! +#40173600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40174000 +0! +#40174500 +1! +#40174600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40175000 +0! +#40175500 +1! +#40175600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40176000 +0! +#40176500 +1! +#40176600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40177000 +0! +#40177500 +1! +#40177600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40178000 +0! +#40178500 +1! +#40178600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40179000 +0! +#40179500 +1! +#40179600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40180000 +0! +#40180500 +1! +#40180600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40181000 +0! +#40181500 +1! +#40181600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40182000 +0! +#40182500 +1! +#40182600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40183000 +0! +#40183500 +1! +#40183600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40184000 +0! +#40184500 +1! +#40184600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40185000 +0! +#40185500 +1! +#40185600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40186000 +0! +#40186500 +1! +#40186600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40187000 +0! +#40187500 +1! +#40187600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40188000 +0! +#40188500 +1! +#40188600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40189000 +0! +#40189500 +1! +#40189600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40190000 +0! +#40190500 +1! +#40190600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40191000 +0! +#40191500 +1! +#40191600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40192000 +0! +#40192500 +1! +#40192600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40193000 +0! +#40193500 +1! +#40193600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40194000 +0! +#40194500 +1! +#40194600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40195000 +0! +#40195500 +1! +#40195600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40196000 +0! +#40196500 +1! +#40196600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40197000 +0! +#40197500 +1! +#40197600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40198000 +0! +#40198500 +1! +#40198600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40199000 +0! +#40199500 +1! +#40199600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40200000 +0! +#40200500 +1! +#40200600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40201000 +0! +#40201500 +1! +#40201600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40202000 +0! +#40202500 +1! +#40202600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40203000 +0! +#40203500 +1! +#40203600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40204000 +0! +#40204500 +1! +#40204600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40205000 +0! +#40205500 +1! +#40205600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40206000 +0! +#40206500 +1! +#40206600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40207000 +0! +#40207500 +1! +#40207600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40208000 +0! +#40208500 +1! +#40208600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40209000 +0! +#40209500 +1! +#40209600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40210000 +0! +#40210500 +1! +#40210600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40211000 +0! +#40211500 +1! +#40211600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40212000 +0! +#40212500 +1! +#40212600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40213000 +0! +#40213500 +1! +#40213600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40214000 +0! +#40214500 +1! +#40214600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40215000 +0! +#40215500 +1! +#40215600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40216000 +0! +#40216500 +1! +#40216600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40217000 +0! +#40217500 +1! +#40217600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40218000 +0! +#40218500 +1! +#40218600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40219000 +0! +#40219500 +1! +#40219600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40220000 +0! +#40220500 +1! +#40220600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40221000 +0! +#40221500 +1! +#40221600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40222000 +0! +#40222500 +1! +#40222600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40223000 +0! +#40223500 +1! +#40223600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40224000 +0! +#40224500 +1! +#40224600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40225000 +0! +#40225500 +1! +#40225600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40226000 +0! +#40226500 +1! +#40226600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40227000 +0! +#40227500 +1! +#40227600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40228000 +0! +#40228500 +1! +#40228600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40229000 +0! +#40229500 +1! +#40229600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40230000 +0! +#40230500 +1! +#40230600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40231000 +0! +#40231500 +1! +#40231600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40232000 +0! +#40232500 +1! +#40232600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40233000 +0! +#40233500 +1! +#40233600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40234000 +0! +#40234500 +1! +#40234600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40235000 +0! +#40235500 +1! +#40235600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40236000 +0! +#40236500 +1! +#40236600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40237000 +0! +#40237500 +1! +#40237600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40238000 +0! +#40238500 +1! +#40238600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40239000 +0! +#40239500 +1! +#40239600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40240000 +0! +#40240500 +1! +#40240600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40241000 +0! +#40241500 +1! +#40241600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40242000 +0! +#40242500 +1! +#40242600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40243000 +0! +#40243500 +1! +#40243600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40244000 +0! +#40244500 +1! +#40244600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40245000 +0! +#40245500 +1! +#40245600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40246000 +0! +#40246500 +1! +#40246600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40247000 +0! +#40247500 +1! +#40247600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40248000 +0! +#40248500 +1! +#40248600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40249000 +0! +#40249500 +1! +#40249600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40250000 +0! +#40250500 +1! +#40250600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40251000 +0! +#40251500 +1! +#40251600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40252000 +0! +#40252500 +1! +#40252600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40253000 +0! +#40253500 +1! +#40253600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40254000 +0! +#40254500 +1! +#40254600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40255000 +0! +#40255500 +1! +#40255600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40256000 +0! +#40256500 +1! +#40256600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40257000 +0! +#40257500 +1! +#40257600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40258000 +0! +#40258500 +1! +#40258600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40259000 +0! +#40259500 +1! +#40259600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40260000 +0! +#40260500 +1! +#40260600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40261000 +0! +#40261500 +1! +#40261600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40262000 +0! +#40262500 +1! +#40262600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40263000 +0! +#40263500 +1! +#40263600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40264000 +0! +#40264500 +1! +#40264600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40265000 +0! +#40265500 +1! +#40265600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40266000 +0! +#40266500 +1! +#40266600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40267000 +0! +#40267500 +1! +#40267600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#40267700 +b1 g +b1000 c +#40268000 +0! +#40268500 +1! +#40268600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40269000 +0! +#40269500 +1! +#40269600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40270000 +0! +#40270500 +1! +#40270600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40271000 +0! +#40271500 +1! +#40271600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40272000 +0! +#40272500 +1! +#40272600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40273000 +0! +#40273500 +1! +#40273600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40274000 +0! +#40274500 +1! +#40274600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40275000 +0! +#40275500 +1! +#40275600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40276000 +0! +#40276500 +1! +#40276600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40277000 +0! +#40277500 +1! +#40277600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40278000 +0! +#40278500 +1! +#40278600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40279000 +0! +#40279500 +1! +#40279600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40280000 +0! +#40280500 +1! +#40280600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40281000 +0! +#40281500 +1! +#40281600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40282000 +0! +#40282500 +1! +#40282600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40283000 +0! +#40283500 +1! +#40283600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40284000 +0! +#40284500 +1! +#40284600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40285000 +0! +#40285500 +1! +#40285600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40286000 +0! +#40286500 +1! +#40286600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40287000 +0! +#40287500 +1! +#40287600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40288000 +0! +#40288500 +1! +#40288600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40289000 +0! +#40289500 +1! +#40289600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40290000 +0! +#40290500 +1! +#40290600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40291000 +0! +#40291500 +1! +#40291600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40292000 +0! +#40292500 +1! +#40292600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40293000 +0! +#40293500 +1! +#40293600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40294000 +0! +#40294500 +1! +#40294600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40295000 +0! +#40295500 +1! +#40295600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40296000 +0! +#40296500 +1! +#40296600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40297000 +0! +#40297500 +1! +#40297600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40298000 +0! +#40298500 +1! +#40298600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40299000 +0! +#40299500 +1! +#40299600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40300000 +0! +#40300500 +1! +#40300600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40301000 +0! +#40301500 +1! +#40301600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40302000 +0! +#40302500 +1! +#40302600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40303000 +0! +#40303500 +1! +#40303600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40304000 +0! +#40304500 +1! +#40304600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40305000 +0! +#40305500 +1! +#40305600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40306000 +0! +#40306500 +1! +#40306600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40307000 +0! +#40307500 +1! +#40307600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40308000 +0! +#40308500 +1! +#40308600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40309000 +0! +#40309500 +1! +#40309600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40310000 +0! +#40310500 +1! +#40310600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40311000 +0! +#40311500 +1! +#40311600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40312000 +0! +#40312500 +1! +#40312600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40313000 +0! +#40313500 +1! +#40313600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40314000 +0! +#40314500 +1! +#40314600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40315000 +0! +#40315500 +1! +#40315600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40316000 +0! +#40316500 +1! +#40316600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40317000 +0! +#40317500 +1! +#40317600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40318000 +0! +#40318500 +1! +#40318600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40319000 +0! +#40319500 +1! +#40319600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40320000 +0! +#40320500 +1! +#40320600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40321000 +0! +#40321500 +1! +#40321600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40322000 +0! +#40322500 +1! +#40322600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40323000 +0! +#40323500 +1! +#40323600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40324000 +0! +#40324500 +1! +#40324600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40325000 +0! +#40325500 +1! +#40325600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40326000 +0! +#40326500 +1! +#40326600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40327000 +0! +#40327500 +1! +#40327600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40328000 +0! +#40328500 +1! +#40328600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40329000 +0! +#40329500 +1! +#40329600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40330000 +0! +#40330500 +1! +#40330600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40331000 +0! +#40331500 +1! +#40331600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40332000 +0! +#40332500 +1! +#40332600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40333000 +0! +#40333500 +1! +#40333600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40334000 +0! +#40334500 +1! +#40334600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40335000 +0! +#40335500 +1! +#40335600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40336000 +0! +#40336500 +1! +#40336600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40337000 +0! +#40337500 +1! +#40337600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40338000 +0! +#40338500 +1! +#40338600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40339000 +0! +#40339500 +1! +#40339600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40340000 +0! +#40340500 +1! +#40340600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40341000 +0! +#40341500 +1! +#40341600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40342000 +0! +#40342500 +1! +#40342600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40343000 +0! +#40343500 +1! +#40343600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40344000 +0! +#40344500 +1! +#40344600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40345000 +0! +#40345500 +1! +#40345600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40346000 +0! +#40346500 +1! +#40346600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40347000 +0! +#40347500 +1! +#40347600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40348000 +0! +#40348500 +1! +#40348600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40349000 +0! +#40349500 +1! +#40349600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40350000 +0! +#40350500 +1! +#40350600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40351000 +0! +#40351500 +1! +#40351600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40352000 +0! +#40352500 +1! +#40352600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40353000 +0! +#40353500 +1! +#40353600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40354000 +0! +#40354500 +1! +#40354600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40355000 +0! +#40355500 +1! +#40355600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40356000 +0! +#40356500 +1! +#40356600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40357000 +0! +#40357500 +1! +#40357600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40358000 +0! +#40358500 +1! +#40358600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40359000 +0! +#40359500 +1! +#40359600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40360000 +0! +#40360500 +1! +#40360600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40361000 +0! +#40361500 +1! +#40361600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40362000 +0! +#40362500 +1! +#40362600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40363000 +0! +#40363500 +1! +#40363600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40364000 +0! +#40364500 +1! +#40364600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40365000 +0! +#40365500 +1! +#40365600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40366000 +0! +#40366500 +1! +#40366600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40367000 +0! +#40367500 +1! +#40367600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40368000 +0! +#40368500 +1! +#40368600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40369000 +0! +#40369500 +1! +#40369600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#40370000 +0! +#40370500 +1! +#40370600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40371000 +0! +#40371500 +1! +#40371600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40372000 +0! +#40372500 +1! +#40372600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40373000 +0! +#40373500 +1! +#40373600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40374000 +0! +#40374500 +1! +#40374600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40375000 +0! +#40375500 +1! +#40375600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40376000 +0! +#40376500 +1! +#40376600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40377000 +0! +#40377500 +1! +#40377600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40378000 +0! +#40378500 +1! +#40378600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40379000 +0! +#40379500 +1! +#40379600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40380000 +0! +#40380500 +1! +#40380600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40381000 +0! +#40381500 +1! +#40381600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40382000 +0! +#40382500 +1! +#40382600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40383000 +0! +#40383500 +1! +#40383600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40384000 +0! +#40384500 +1! +#40384600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40385000 +0! +#40385500 +1! +#40385600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40386000 +0! +#40386500 +1! +#40386600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40387000 +0! +#40387500 +1! +#40387600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40388000 +0! +#40388500 +1! +#40388600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40389000 +0! +#40389500 +1! +#40389600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40390000 +0! +#40390500 +1! +#40390600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40391000 +0! +#40391500 +1! +#40391600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40392000 +0! +#40392500 +1! +#40392600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40393000 +0! +#40393500 +1! +#40393600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40394000 +0! +#40394500 +1! +#40394600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40395000 +0! +#40395500 +1! +#40395600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40396000 +0! +#40396500 +1! +#40396600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40397000 +0! +#40397500 +1! +#40397600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40398000 +0! +#40398500 +1! +#40398600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40399000 +0! +#40399500 +1! +#40399600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40400000 +0! +#40400500 +1! +#40400600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40401000 +0! +#40401500 +1! +#40401600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40402000 +0! +#40402500 +1! +#40402600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40403000 +0! +#40403500 +1! +#40403600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40404000 +0! +#40404500 +1! +#40404600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40405000 +0! +#40405500 +1! +#40405600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40406000 +0! +#40406500 +1! +#40406600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40407000 +0! +#40407500 +1! +#40407600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40408000 +0! +#40408500 +1! +#40408600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40409000 +0! +#40409500 +1! +#40409600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40410000 +0! +#40410500 +1! +#40410600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40411000 +0! +#40411500 +1! +#40411600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40412000 +0! +#40412500 +1! +#40412600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40413000 +0! +#40413500 +1! +#40413600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40414000 +0! +#40414500 +1! +#40414600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40415000 +0! +#40415500 +1! +#40415600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40416000 +0! +#40416500 +1! +#40416600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40417000 +0! +#40417500 +1! +#40417600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40418000 +0! +#40418500 +1! +#40418600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40419000 +0! +#40419500 +1! +#40419600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40420000 +0! +#40420500 +1! +#40420600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40421000 +0! +#40421500 +1! +#40421600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40422000 +0! +#40422500 +1! +#40422600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40423000 +0! +#40423500 +1! +#40423600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40424000 +0! +#40424500 +1! +#40424600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40425000 +0! +#40425500 +1! +#40425600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40426000 +0! +#40426500 +1! +#40426600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40427000 +0! +#40427500 +1! +#40427600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40428000 +0! +#40428500 +1! +#40428600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40429000 +0! +#40429500 +1! +#40429600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40430000 +0! +#40430500 +1! +#40430600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40431000 +0! +#40431500 +1! +#40431600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40432000 +0! +#40432500 +1! +#40432600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40433000 +0! +#40433500 +1! +#40433600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40434000 +0! +#40434500 +1! +#40434600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40435000 +0! +#40435500 +1! +#40435600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40436000 +0! +#40436500 +1! +#40436600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40437000 +0! +#40437500 +1! +#40437600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40438000 +0! +#40438500 +1! +#40438600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40439000 +0! +#40439500 +1! +#40439600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40440000 +0! +#40440500 +1! +#40440600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40441000 +0! +#40441500 +1! +#40441600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40442000 +0! +#40442500 +1! +#40442600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40443000 +0! +#40443500 +1! +#40443600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40444000 +0! +#40444500 +1! +#40444600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40445000 +0! +#40445500 +1! +#40445600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40446000 +0! +#40446500 +1! +#40446600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40447000 +0! +#40447500 +1! +#40447600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40448000 +0! +#40448500 +1! +#40448600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40449000 +0! +#40449500 +1! +#40449600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40450000 +0! +#40450500 +1! +#40450600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40451000 +0! +#40451500 +1! +#40451600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40452000 +0! +#40452500 +1! +#40452600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40453000 +0! +#40453500 +1! +#40453600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40454000 +0! +#40454500 +1! +#40454600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40455000 +0! +#40455500 +1! +#40455600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40456000 +0! +#40456500 +1! +#40456600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40457000 +0! +#40457500 +1! +#40457600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40458000 +0! +#40458500 +1! +#40458600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40459000 +0! +#40459500 +1! +#40459600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40460000 +0! +#40460500 +1! +#40460600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40461000 +0! +#40461500 +1! +#40461600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40462000 +0! +#40462500 +1! +#40462600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40463000 +0! +#40463500 +1! +#40463600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40464000 +0! +#40464500 +1! +#40464600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40465000 +0! +#40465500 +1! +#40465600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40466000 +0! +#40466500 +1! +#40466600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40467000 +0! +#40467500 +1! +#40467600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40468000 +0! +#40468500 +1! +#40468600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40469000 +0! +#40469500 +1! +#40469600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40470000 +0! +#40470500 +1! +#40470600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40471000 +0! +#40471500 +1! +#40471600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40472000 +0! +#40472500 +1! +#40472600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40473000 +0! +#40473500 +1! +#40473600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40474000 +0! +#40474500 +1! +#40474600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40475000 +0! +#40475500 +1! +#40475600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40476000 +0! +#40476500 +1! +#40476600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40477000 +0! +#40477500 +1! +#40477600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40478000 +0! +#40478500 +1! +#40478600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40479000 +0! +#40479500 +1! +#40479600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40480000 +0! +#40480500 +1! +#40480600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40481000 +0! +#40481500 +1! +#40481600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40482000 +0! +#40482500 +1! +#40482600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40483000 +0! +#40483500 +1! +#40483600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40484000 +0! +#40484500 +1! +#40484600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40485000 +0! +#40485500 +1! +#40485600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40486000 +0! +#40486500 +1! +#40486600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40487000 +0! +#40487500 +1! +#40487600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40488000 +0! +#40488500 +1! +#40488600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40489000 +0! +#40489500 +1! +#40489600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40490000 +0! +#40490500 +1! +#40490600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40491000 +0! +#40491500 +1! +#40491600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40492000 +0! +#40492500 +1! +#40492600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40493000 +0! +#40493500 +1! +#40493600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40494000 +0! +#40494500 +1! +#40494600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40495000 +0! +#40495500 +1! +#40495600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40496000 +0! +#40496500 +1! +#40496600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40497000 +0! +#40497500 +1! +#40497600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40498000 +0! +#40498500 +1! +#40498600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40499000 +0! +#40499500 +1! +#40499600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40500000 +0! +#40500500 +1! +#40500600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40501000 +0! +#40501500 +1! +#40501600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40502000 +0! +#40502500 +1! +#40502600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40503000 +0! +#40503500 +1! +#40503600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40504000 +0! +#40504500 +1! +#40504600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40505000 +0! +#40505500 +1! +#40505600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40506000 +0! +#40506500 +1! +#40506600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40507000 +0! +#40507500 +1! +#40507600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40508000 +0! +#40508500 +1! +#40508600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40509000 +0! +#40509500 +1! +#40509600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40510000 +0! +#40510500 +1! +#40510600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40511000 +0! +#40511500 +1! +#40511600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40512000 +0! +#40512500 +1! +#40512600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40513000 +0! +#40513500 +1! +#40513600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40514000 +0! +#40514500 +1! +#40514600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40515000 +0! +#40515500 +1! +#40515600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40516000 +0! +#40516500 +1! +#40516600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40517000 +0! +#40517500 +1! +#40517600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40518000 +0! +#40518500 +1! +#40518600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40519000 +0! +#40519500 +1! +#40519600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40520000 +0! +#40520500 +1! +#40520600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40521000 +0! +#40521500 +1! +#40521600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40522000 +0! +#40522500 +1! +#40522600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1N +1L +#40522700 +b0 g +b10000 c +1h +#40523000 +0! +#40523500 +1! +#40523600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40524000 +0! +#40524500 +1! +#40524600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40525000 +0! +#40525500 +1! +#40525600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40526000 +0! +#40526500 +1! +#40526600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40527000 +0! +#40527500 +1! +#40527600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40528000 +0! +#40528500 +1! +#40528600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40529000 +0! +#40529500 +1! +#40529600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40530000 +0! +#40530500 +1! +#40530600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40531000 +0! +#40531500 +1! +#40531600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40532000 +0! +#40532500 +1! +#40532600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40533000 +0! +#40533500 +1! +#40533600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40534000 +0! +#40534500 +1! +#40534600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40535000 +0! +#40535500 +1! +#40535600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40536000 +0! +#40536500 +1! +#40536600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40537000 +0! +#40537500 +1! +#40537600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40538000 +0! +#40538500 +1! +#40538600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40539000 +0! +#40539500 +1! +#40539600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40540000 +0! +#40540500 +1! +#40540600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40541000 +0! +#40541500 +1! +#40541600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40542000 +0! +#40542500 +1! +#40542600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40543000 +0! +#40543500 +1! +#40543600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40544000 +0! +#40544500 +1! +#40544600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40545000 +0! +#40545500 +1! +#40545600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40546000 +0! +#40546500 +1! +#40546600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40547000 +0! +#40547500 +1! +#40547600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40548000 +0! +#40548500 +1! +#40548600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40549000 +0! +#40549500 +1! +#40549600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40550000 +0! +#40550500 +1! +#40550600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40551000 +0! +#40551500 +1! +#40551600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40552000 +0! +#40552500 +1! +#40552600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40553000 +0! +#40553500 +1! +#40553600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40554000 +0! +#40554500 +1! +#40554600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40555000 +0! +#40555500 +1! +#40555600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40556000 +0! +#40556500 +1! +#40556600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40557000 +0! +#40557500 +1! +#40557600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40558000 +0! +#40558500 +1! +#40558600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40559000 +0! +#40559500 +1! +#40559600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40560000 +0! +#40560500 +1! +#40560600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40561000 +0! +#40561500 +1! +#40561600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40562000 +0! +#40562500 +1! +#40562600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40563000 +0! +#40563500 +1! +#40563600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40564000 +0! +#40564500 +1! +#40564600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40565000 +0! +#40565500 +1! +#40565600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40566000 +0! +#40566500 +1! +#40566600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40567000 +0! +#40567500 +1! +#40567600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40568000 +0! +#40568500 +1! +#40568600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40569000 +0! +#40569500 +1! +#40569600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40570000 +0! +#40570500 +1! +#40570600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40571000 +0! +#40571500 +1! +#40571600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40572000 +0! +#40572500 +1! +#40572600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40573000 +0! +#40573500 +1! +#40573600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40574000 +0! +#40574500 +1! +#40574600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40575000 +0! +#40575500 +1! +#40575600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40576000 +0! +#40576500 +1! +#40576600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40577000 +0! +#40577500 +1! +#40577600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40578000 +0! +#40578500 +1! +#40578600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40579000 +0! +#40579500 +1! +#40579600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40580000 +0! +#40580500 +1! +#40580600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40581000 +0! +#40581500 +1! +#40581600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40582000 +0! +#40582500 +1! +#40582600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40583000 +0! +#40583500 +1! +#40583600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40584000 +0! +#40584500 +1! +#40584600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40585000 +0! +#40585500 +1! +#40585600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40586000 +0! +#40586500 +1! +#40586600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40587000 +0! +#40587500 +1! +#40587600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40588000 +0! +#40588500 +1! +#40588600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40589000 +0! +#40589500 +1! +#40589600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40590000 +0! +#40590500 +1! +#40590600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40591000 +0! +#40591500 +1! +#40591600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40592000 +0! +#40592500 +1! +#40592600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40593000 +0! +#40593500 +1! +#40593600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40594000 +0! +#40594500 +1! +#40594600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40595000 +0! +#40595500 +1! +#40595600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40596000 +0! +#40596500 +1! +#40596600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40597000 +0! +#40597500 +1! +#40597600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40598000 +0! +#40598500 +1! +#40598600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40599000 +0! +#40599500 +1! +#40599600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40600000 +0! +#40600500 +1! +#40600600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40601000 +0! +#40601500 +1! +#40601600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40602000 +0! +#40602500 +1! +#40602600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40603000 +0! +#40603500 +1! +#40603600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40604000 +0! +#40604500 +1! +#40604600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40605000 +0! +#40605500 +1! +#40605600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40606000 +0! +#40606500 +1! +#40606600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40607000 +0! +#40607500 +1! +#40607600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40608000 +0! +#40608500 +1! +#40608600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40609000 +0! +#40609500 +1! +#40609600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40610000 +0! +#40610500 +1! +#40610600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40611000 +0! +#40611500 +1! +#40611600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40612000 +0! +#40612500 +1! +#40612600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40613000 +0! +#40613500 +1! +#40613600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40614000 +0! +#40614500 +1! +#40614600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40615000 +0! +#40615500 +1! +#40615600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40616000 +0! +#40616500 +1! +#40616600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40617000 +0! +#40617500 +1! +#40617600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40618000 +0! +#40618500 +1! +#40618600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40619000 +0! +#40619500 +1! +#40619600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40620000 +0! +#40620500 +1! +#40620600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40621000 +0! +#40621500 +1! +#40621600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40622000 +0! +#40622500 +1! +#40622600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40623000 +0! +#40623500 +1! +#40623600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40624000 +0! +#40624500 +1! +#40624600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +0N +0L +#40624700 +b11 q +b10000 ] +#40625000 +0! +#40625500 +1! +#40625600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40626000 +0! +#40626500 +1! +#40626600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40627000 +0! +#40627500 +1! +#40627600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40628000 +0! +#40628500 +1! +#40628600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40629000 +0! +#40629500 +1! +#40629600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40630000 +0! +#40630500 +1! +#40630600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40631000 +0! +#40631500 +1! +#40631600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40632000 +0! +#40632500 +1! +#40632600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40633000 +0! +#40633500 +1! +#40633600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40634000 +0! +#40634500 +1! +#40634600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40635000 +0! +#40635500 +1! +#40635600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40636000 +0! +#40636500 +1! +#40636600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40637000 +0! +#40637500 +1! +#40637600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40638000 +0! +#40638500 +1! +#40638600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40639000 +0! +#40639500 +1! +#40639600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40640000 +0! +#40640500 +1! +#40640600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40641000 +0! +#40641500 +1! +#40641600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40642000 +0! +#40642500 +1! +#40642600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40643000 +0! +#40643500 +1! +#40643600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40644000 +0! +#40644500 +1! +#40644600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40645000 +0! +#40645500 +1! +#40645600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40646000 +0! +#40646500 +1! +#40646600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40647000 +0! +#40647500 +1! +#40647600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40648000 +0! +#40648500 +1! +#40648600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40649000 +0! +#40649500 +1! +#40649600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40650000 +0! +#40650500 +1! +#40650600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40651000 +0! +#40651500 +1! +#40651600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40652000 +0! +#40652500 +1! +#40652600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40653000 +0! +#40653500 +1! +#40653600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40654000 +0! +#40654500 +1! +#40654600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40655000 +0! +#40655500 +1! +#40655600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40656000 +0! +#40656500 +1! +#40656600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40657000 +0! +#40657500 +1! +#40657600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40658000 +0! +#40658500 +1! +#40658600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40659000 +0! +#40659500 +1! +#40659600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40660000 +0! +#40660500 +1! +#40660600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40661000 +0! +#40661500 +1! +#40661600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40662000 +0! +#40662500 +1! +#40662600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40663000 +0! +#40663500 +1! +#40663600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40664000 +0! +#40664500 +1! +#40664600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40665000 +0! +#40665500 +1! +#40665600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40666000 +0! +#40666500 +1! +#40666600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40667000 +0! +#40667500 +1! +#40667600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40668000 +0! +#40668500 +1! +#40668600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40669000 +0! +#40669500 +1! +#40669600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40670000 +0! +#40670500 +1! +#40670600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40671000 +0! +#40671500 +1! +#40671600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40672000 +0! +#40672500 +1! +#40672600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40673000 +0! +#40673500 +1! +#40673600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40674000 +0! +#40674500 +1! +#40674600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40675000 +0! +#40675500 +1! +#40675600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40676000 +0! +#40676500 +1! +#40676600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40677000 +0! +#40677500 +1! +#40677600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40678000 +0! +#40678500 +1! +#40678600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40679000 +0! +#40679500 +1! +#40679600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40680000 +0! +#40680500 +1! +#40680600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40681000 +0! +#40681500 +1! +#40681600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40682000 +0! +#40682500 +1! +#40682600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40683000 +0! +#40683500 +1! +#40683600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40684000 +0! +#40684500 +1! +#40684600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40685000 +0! +#40685500 +1! +#40685600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40686000 +0! +#40686500 +1! +#40686600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40687000 +0! +#40687500 +1! +#40687600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40688000 +0! +#40688500 +1! +#40688600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40689000 +0! +#40689500 +1! +#40689600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40690000 +0! +#40690500 +1! +#40690600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40691000 +0! +#40691500 +1! +#40691600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40692000 +0! +#40692500 +1! +#40692600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40693000 +0! +#40693500 +1! +#40693600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40694000 +0! +#40694500 +1! +#40694600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40695000 +0! +#40695500 +1! +#40695600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40696000 +0! +#40696500 +1! +#40696600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40697000 +0! +#40697500 +1! +#40697600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40698000 +0! +#40698500 +1! +#40698600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40699000 +0! +#40699500 +1! +#40699600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40700000 +0! +#40700500 +1! +#40700600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40701000 +0! +#40701500 +1! +#40701600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40702000 +0! +#40702500 +1! +#40702600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40703000 +0! +#40703500 +1! +#40703600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40704000 +0! +#40704500 +1! +#40704600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40705000 +0! +#40705500 +1! +#40705600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40706000 +0! +#40706500 +1! +#40706600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40707000 +0! +#40707500 +1! +#40707600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40708000 +0! +#40708500 +1! +#40708600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40709000 +0! +#40709500 +1! +#40709600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40710000 +0! +#40710500 +1! +#40710600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40711000 +0! +#40711500 +1! +#40711600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40712000 +0! +#40712500 +1! +#40712600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40713000 +0! +#40713500 +1! +#40713600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40714000 +0! +#40714500 +1! +#40714600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40715000 +0! +#40715500 +1! +#40715600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40716000 +0! +#40716500 +1! +#40716600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40717000 +0! +#40717500 +1! +#40717600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40718000 +0! +#40718500 +1! +#40718600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40719000 +0! +#40719500 +1! +#40719600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40720000 +0! +#40720500 +1! +#40720600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40721000 +0! +#40721500 +1! +#40721600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40722000 +0! +#40722500 +1! +#40722600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40723000 +0! +#40723500 +1! +#40723600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40724000 +0! +#40724500 +1! +#40724600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40725000 +0! +#40725500 +1! +#40725600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40726000 +0! +#40726500 +1! +#40726600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +1Q +1O +#40727000 +0! +#40727500 +1! +#40727600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40728000 +0! +#40728500 +1! +#40728600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40729000 +0! +#40729500 +1! +#40729600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40730000 +0! +#40730500 +1! +#40730600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40731000 +0! +#40731500 +1! +#40731600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40732000 +0! +#40732500 +1! +#40732600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40733000 +0! +#40733500 +1! +#40733600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40734000 +0! +#40734500 +1! +#40734600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40735000 +0! +#40735500 +1! +#40735600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40736000 +0! +#40736500 +1! +#40736600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40737000 +0! +#40737500 +1! +#40737600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40738000 +0! +#40738500 +1! +#40738600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40739000 +0! +#40739500 +1! +#40739600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40740000 +0! +#40740500 +1! +#40740600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40741000 +0! +#40741500 +1! +#40741600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40742000 +0! +#40742500 +1! +#40742600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40743000 +0! +#40743500 +1! +#40743600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40744000 +0! +#40744500 +1! +#40744600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40745000 +0! +#40745500 +1! +#40745600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40746000 +0! +#40746500 +1! +#40746600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40747000 +0! +#40747500 +1! +#40747600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40748000 +0! +#40748500 +1! +#40748600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40749000 +0! +#40749500 +1! +#40749600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40750000 +0! +#40750500 +1! +#40750600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40751000 +0! +#40751500 +1! +#40751600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40752000 +0! +#40752500 +1! +#40752600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40753000 +0! +#40753500 +1! +#40753600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40754000 +0! +#40754500 +1! +#40754600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40755000 +0! +#40755500 +1! +#40755600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40756000 +0! +#40756500 +1! +#40756600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40757000 +0! +#40757500 +1! +#40757600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40758000 +0! +#40758500 +1! +#40758600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40759000 +0! +#40759500 +1! +#40759600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40760000 +0! +#40760500 +1! +#40760600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40761000 +0! +#40761500 +1! +#40761600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40762000 +0! +#40762500 +1! +#40762600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40763000 +0! +#40763500 +1! +#40763600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40764000 +0! +#40764500 +1! +#40764600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40765000 +0! +#40765500 +1! +#40765600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40766000 +0! +#40766500 +1! +#40766600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40767000 +0! +#40767500 +1! +#40767600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40768000 +0! +#40768500 +1! +#40768600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40769000 +0! +#40769500 +1! +#40769600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40770000 +0! +#40770500 +1! +#40770600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40771000 +0! +#40771500 +1! +#40771600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40772000 +0! +#40772500 +1! +#40772600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40773000 +0! +#40773500 +1! +#40773600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40774000 +0! +#40774500 +1! +#40774600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40775000 +0! +#40775500 +1! +#40775600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40776000 +0! +#40776500 +1! +#40776600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40777000 +0! +#40777500 +1! +#40777600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +1N +1L +#40777700 +b111 g +b100001 c +0h +#40778000 +0! +#40778500 +1! +#40778600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40779000 +0! +#40779500 +1! +#40779600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40780000 +0! +#40780500 +1! +#40780600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40781000 +0! +#40781500 +1! +#40781600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40782000 +0! +#40782500 +1! +#40782600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40783000 +0! +#40783500 +1! +#40783600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40784000 +0! +#40784500 +1! +#40784600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40785000 +0! +#40785500 +1! +#40785600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40786000 +0! +#40786500 +1! +#40786600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40787000 +0! +#40787500 +1! +#40787600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40788000 +0! +#40788500 +1! +#40788600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40789000 +0! +#40789500 +1! +#40789600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40790000 +0! +#40790500 +1! +#40790600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40791000 +0! +#40791500 +1! +#40791600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40792000 +0! +#40792500 +1! +#40792600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40793000 +0! +#40793500 +1! +#40793600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40794000 +0! +#40794500 +1! +#40794600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40795000 +0! +#40795500 +1! +#40795600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40796000 +0! +#40796500 +1! +#40796600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40797000 +0! +#40797500 +1! +#40797600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40798000 +0! +#40798500 +1! +#40798600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40799000 +0! +#40799500 +1! +#40799600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40800000 +0! +#40800500 +1! +#40800600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40801000 +0! +#40801500 +1! +#40801600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40802000 +0! +#40802500 +1! +#40802600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40803000 +0! +#40803500 +1! +#40803600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40804000 +0! +#40804500 +1! +#40804600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40805000 +0! +#40805500 +1! +#40805600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40806000 +0! +#40806500 +1! +#40806600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40807000 +0! +#40807500 +1! +#40807600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40808000 +0! +#40808500 +1! +#40808600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40809000 +0! +#40809500 +1! +#40809600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40810000 +0! +#40810500 +1! +#40810600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40811000 +0! +#40811500 +1! +#40811600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40812000 +0! +#40812500 +1! +#40812600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40813000 +0! +#40813500 +1! +#40813600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40814000 +0! +#40814500 +1! +#40814600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40815000 +0! +#40815500 +1! +#40815600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40816000 +0! +#40816500 +1! +#40816600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40817000 +0! +#40817500 +1! +#40817600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40818000 +0! +#40818500 +1! +#40818600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40819000 +0! +#40819500 +1! +#40819600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40820000 +0! +#40820500 +1! +#40820600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40821000 +0! +#40821500 +1! +#40821600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40822000 +0! +#40822500 +1! +#40822600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40823000 +0! +#40823500 +1! +#40823600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40824000 +0! +#40824500 +1! +#40824600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40825000 +0! +#40825500 +1! +#40825600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40826000 +0! +#40826500 +1! +#40826600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40827000 +0! +#40827500 +1! +#40827600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40828000 +0! +#40828500 +1! +#40828600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40829000 +0! +#40829500 +1! +#40829600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40830000 +0! +#40830500 +1! +#40830600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40831000 +0! +#40831500 +1! +#40831600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40832000 +0! +#40832500 +1! +#40832600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40833000 +0! +#40833500 +1! +#40833600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40834000 +0! +#40834500 +1! +#40834600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40835000 +0! +#40835500 +1! +#40835600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40836000 +0! +#40836500 +1! +#40836600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40837000 +0! +#40837500 +1! +#40837600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40838000 +0! +#40838500 +1! +#40838600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40839000 +0! +#40839500 +1! +#40839600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40840000 +0! +#40840500 +1! +#40840600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40841000 +0! +#40841500 +1! +#40841600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40842000 +0! +#40842500 +1! +#40842600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40843000 +0! +#40843500 +1! +#40843600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40844000 +0! +#40844500 +1! +#40844600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40845000 +0! +#40845500 +1! +#40845600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40846000 +0! +#40846500 +1! +#40846600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40847000 +0! +#40847500 +1! +#40847600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40848000 +0! +#40848500 +1! +#40848600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40849000 +0! +#40849500 +1! +#40849600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40850000 +0! +#40850500 +1! +#40850600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40851000 +0! +#40851500 +1! +#40851600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40852000 +0! +#40852500 +1! +#40852600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40853000 +0! +#40853500 +1! +#40853600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40854000 +0! +#40854500 +1! +#40854600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40855000 +0! +#40855500 +1! +#40855600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40856000 +0! +#40856500 +1! +#40856600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40857000 +0! +#40857500 +1! +#40857600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40858000 +0! +#40858500 +1! +#40858600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40859000 +0! +#40859500 +1! +#40859600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40860000 +0! +#40860500 +1! +#40860600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40861000 +0! +#40861500 +1! +#40861600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40862000 +0! +#40862500 +1! +#40862600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40863000 +0! +#40863500 +1! +#40863600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40864000 +0! +#40864500 +1! +#40864600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40865000 +0! +#40865500 +1! +#40865600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40866000 +0! +#40866500 +1! +#40866600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40867000 +0! +#40867500 +1! +#40867600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40868000 +0! +#40868500 +1! +#40868600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40869000 +0! +#40869500 +1! +#40869600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40870000 +0! +#40870500 +1! +#40870600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40871000 +0! +#40871500 +1! +#40871600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40872000 +0! +#40872500 +1! +#40872600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40873000 +0! +#40873500 +1! +#40873600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40874000 +0! +#40874500 +1! +#40874600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40875000 +0! +#40875500 +1! +#40875600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40876000 +0! +#40876500 +1! +#40876600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40877000 +0! +#40877500 +1! +#40877600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40878000 +0! +#40878500 +1! +#40878600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40879000 +0! +#40879500 +1! +#40879600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +0N +0L +#40879700 +b100 q +1i +#40880000 +0! +#40880500 +1! +#40880600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40881000 +0! +#40881500 +1! +#40881600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +b11000011 C +#40882000 +0! +#40882500 +1! +#40882600 +b11000011 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40883000 +0! +#40883500 +1! +#40883600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +b11000001 C +1H +#40884000 +0! +#40884500 +1! +#40884600 +b11000001 J +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40885000 +0! +#40885500 +1! +#40885600 +1E +1F +1G +b1000000 D +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40886000 +0! +#40886500 +1! +#40886600 +xE +bx D +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40887000 +0! +#40887500 +1! +#40887600 +0E +1F +1G +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +0, +0- +0. +0/ +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +1@ +0A +0B +1H +#40888000 +0! +#40888500 +1! +#40888600 +xE +x# +x$ +x% +x& +x' +x( +x) +x* +x+ +x, +x- +x. +x/ +x0 +x1 +x2 +x3 +x4 +x5 +x6 +x7 +x8 +x9 +x: +x; +x< +x= +x> +x? +x@ +xA +xB +xF +0G +0H +#40889000 +0! +#40889500 +1! +#40890000 +0! +#40890500 +1! +#40891000 +0! +#40891500 +1! +#40892000 +0! +#40892500 +1! +#40893000 +0! +#40893500 +1! +#40894000 +0! +#40894500 +1! +#40895000 +0! +#40895500 +1! +#40896000 +0! +#40896500 +1! +#40897000 +0! +#40897500 +1! +#40898000 +0! +#40898500 +1! +#40899000 +0! +#40899500 +1! +#40900000 +0! +#40900500 +1! +#40901000 +0! +#40901500 +1! +#40902000 +0! +#40902500 +1! +#40903000 +0! +#40903500 +1! +#40904000 +0! +#40904500 +1! +#40905000 +0! +#40905500 +1! +#40906000 +0! +#40906500 +1! +#40907000 +0! +#40907500 +1! +#40908000 +0! +#40908500 +1! +#40909000 +0! +#40909500 +1! +#40910000 +0! +#40910500 +1! +#40911000 +0! +#40911500 +1! +#40912000 +0! +#40912500 +1! +#40913000 +0! +#40913500 +1! +#40914000 +0! +#40914500 +1! +#40915000 +0! +#40915500 +1! +#40916000 +0! +#40916500 +1! +#40917000 +0! +#40917500 +1! +#40918000 +0! +#40918500 +1! +#40919000 +0! +#40919500 +1! +#40920000 +0! +#40920500 +1! +#40921000 +0! +#40921500 +1! +#40922000 +0! +#40922500 +1! +#40923000 +0! +#40923500 +1! +#40924000 +0! +#40924500 +1! +#40925000 +0! +#40925500 +1! +#40926000 +0! +#40926500 +1! +#40927000 +0! +#40927500 +1! +#40928000 +0! +#40928500 +1! +#40929000 +0! +#40929500 +1! +#40930000 +0! +#40930500 +1! +#40931000 +0! +#40931500 +1! +#40932000 +0! +#40932500 +1! +#40933000 +0! +#40933500 +1! +#40934000 +0! +#40934500 +1! +#40935000 +0! +#40935500 +1! +#40936000 +0! +#40936500 +1! +#40937000 +0! +#40937500 +1! +#40938000 +0! +#40938500 +1! +#40939000 +0! +#40939500 +1! +#40940000 +0! +#40940500 +1! +#40941000 +0! +#40941500 +1! +#40942000 +0! +#40942500 +1! +#40943000 +0! +#40943500 +1! +#40944000 +0! +#40944500 +1! +#40945000 +0! +#40945500 +1! +#40946000 +0! +#40946500 +1! +#40947000 +0! +#40947500 +1! +#40948000 +0! +#40948500 +1! +#40949000 +0! +#40949500 +1! +#40950000 +0! +#40950500 +1! +#40951000 +0! +#40951500 +1! +#40952000 +0! +#40952500 +1! +#40953000 +0! +#40953500 +1! +#40954000 +0! +#40954500 +1! +#40955000 +0! +#40955500 +1! +#40956000 +0! +#40956500 +1! +#40957000 +0! +#40957500 +1! +#40958000 +0! +#40958500 +1! +#40959000 +0! +#40959500 +1! +#40960000 +0! +#40960500 +1! +#40961000 +0! +#40961500 +1! +#40962000 +0! +#40962500 +1! +#40963000 +0! +#40963500 +1! +#40964000 +0! +#40964500 +1! +#40965000 +0! +#40965500 +1! +#40966000 +0! +#40966500 +1! +#40967000 +0! +#40967500 +1! +#40968000 +0! +#40968500 +1! +#40969000 +0! +#40969500 +1! +#40970000 +0! +#40970500 +1! +#40971000 +0! +#40971500 +1! +#40972000 +0! +#40972500 +1! +#40973000 +0! +#40973500 +1! +#40974000 +0! +#40974500 +1! +#40975000 +0! +#40975500 +1! +#40976000 +0! +#40976500 +1! +#40977000 +0! +#40977500 +1! +#40978000 +0! +#40978500 +1! +#40979000 +0! +#40979500 +1! +#40980000 +0! +#40980500 +1! +#40981000 +0! +#40981500 +1! +#40981600 +0Q +0O +#40982000 +0! +#40982500 +1! +#40983000 +0! +#40983500 +1! +#40984000 +0! +#40984500 +1! +#40985000 +0! +#40985500 +1! +#40986000 +0! +#40986500 +1! +#40987000 +0! +#40987500 +1! +#40988000 +0! +#40988500 +1! +#40989000 +0! +#40989500 +1! +#40990000 +0! +#40990500 +1! +#40991000 +0! +#40991500 +1! +#40992000 +0! +#40992500 +1! +#40993000 +0! +#40993500 +1! +#40994000 +0! +#40994500 +1! +#40995000 +0! +#40995500 +1! +#40996000 +0! +#40996500 +1! +#40997000 +0! +#40997500 +1! +#40998000 +0! +#40998500 +1! +#40999000 +0! +#40999500 +1! +#41000000 +0! +#41000500 +1! +#41001000 +0! +#41001500 +1! +#41002000 +0! +#41002500 +1! +#41003000 +0! +#41003500 +1! +#41004000 +0! +#41004500 +1! +#41005000 +0! +#41005500 +1! +#41006000 +0! +#41006500 +1! +#41007000 +0! +#41007500 +1! +#41008000 +0! +#41008500 +1! +#41009000 +0! +#41009500 +1! +#41010000 +0! +#41010500 +1! +#41011000 +0! +#41011500 +1! +#41012000 +0! +#41012500 +1! +#41013000 +0! +#41013500 +1! +#41014000 +0! +#41014500 +1! +#41015000 +0! +#41015500 +1! +#41016000 +0! +#41016500 +1! +#41017000 +0! +#41017500 +1! +#41018000 +0! +#41018500 +1! +#41019000 +0! +#41019500 +1! +#41020000 +0! +#41020500 +1! +#41021000 +0! +#41021500 +1! +#41022000 +0! +#41022500 +1! +#41023000 +0! +#41023500 +1! +#41024000 +0! +#41024500 +1! +#41025000 +0! +#41025500 +1! +#41026000 +0! +#41026500 +1! +#41027000 +0! +#41027500 +1! +#41028000 +0! +#41028500 +1! +#41029000 +0! +#41029500 +1! +#41030000 +0! +#41030500 +1! +#41031000 +0! +#41031500 +1! +#41032000 +0! +#41032500 +1! +#41032600 +1N +1L +#41032700 +b1000010 c +#41033000 +0! +#41033500 +1! +#41034000 +0! +#41034500 +1! +#41035000 +0! +#41035500 +1! +#41036000 +0! +#41036500 +1! +#41037000 +0! +#41037500 +1! +#41038000 +0! +#41038500 +1! +#41039000 +0! +#41039500 +1! +#41040000 +0! +#41040500 +1! +#41041000 +0! +#41041500 +1! +#41042000 +0! +#41042500 +1! +#41043000 +0! +#41043500 +1! +#41044000 +0! +#41044500 +1! +#41045000 +0! +#41045500 +1! +#41046000 +0! +#41046500 +1! +#41047000 +0! +#41047500 +1! +#41048000 +0! +#41048500 +1! +#41049000 +0! +#41049500 +1! +#41050000 +0! +#41050500 +1! +#41051000 +0! +#41051500 +1! +#41052000 +0! +#41052500 +1! +#41053000 +0! +#41053500 +1! +#41054000 +0! +#41054500 +1! +#41055000 +0! +#41055500 +1! +#41056000 +0! +#41056500 +1! +#41057000 +0! +#41057500 +1! +#41058000 +0! +#41058500 +1! +#41059000 +0! +#41059500 +1! +#41060000 +0! +#41060500 +1! +#41061000 +0! +#41061500 +1! +#41062000 +0! +#41062500 +1! +#41063000 +0! +#41063500 +1! +#41064000 +0! +#41064500 +1! +#41065000 +0! +#41065500 +1! +#41066000 +0! +#41066500 +1! +#41067000 +0! +#41067500 +1! +#41068000 +0! +#41068500 +1! +#41069000 +0! +#41069500 +1! +#41070000 +0! +#41070500 +1! +#41071000 +0! +#41071500 +1! +#41072000 +0! +#41072500 +1! +#41073000 +0! +#41073500 +1! +#41074000 +0! +#41074500 +1! +#41075000 +0! +#41075500 +1! +#41076000 +0! +#41076500 +1! +#41077000 +0! +#41077500 +1! +#41078000 +0! +#41078500 +1! +#41079000 +0! +#41079500 +1! +#41080000 +0! +#41080500 +1! +#41081000 +0! +#41081500 +1! +#41082000 +0! +#41082500 +1! +#41083000 +0! +#41083500 +1! +#41083600 +1Q +1O +#41083700 +1a +1f +#41083800 +b0 q +#41084000 +0! +#41084500 +1! +#41085000 +0! +#41085500 +1! +#41086000 +0! +#41086500 +1! +#41087000 +0! +#41087500 +1! +#41088000 +0! +#41088500 +1! +#41089000 +0! +#41089500 +1! +#41090000 +0! +#41090500 +1! +#41091000 +0! +#41091500 +1! +#41092000 +0! +#41092500 +1! +#41093000 +0! +#41093500 +1! +#41094000 +0! +#41094500 +1! +#41095000 +0! +#41095500 +1! +#41096000 +0! +#41096500 +1! +#41097000 +0! +#41097500 +1! +#41098000 +0! +#41098500 +1! +#41099000 +0! +#41099500 +1! +#41100000 +0! +#41100500 +1! +#41101000 +0! +#41101500 +1! +#41102000 +0! +#41102500 +1! +#41103000 +0! +#41103500 +1! +#41104000 +0! +#41104500 +1! +#41105000 +0! +#41105500 +1! +#41106000 +0! +#41106500 +1! +#41107000 +0! +#41107500 +1! +#41108000 +0! +#41108500 +1! +#41109000 +0! +#41109500 +1! +#41110000 +0! +#41110500 +1! +#41111000 +0! +#41111500 +1! +#41112000 +0! +#41112500 +1! +#41113000 +0! +#41113500 +1! +#41114000 +0! +#41114500 +1! +#41115000 +0! +#41115500 +1! +#41116000 +0! +#41116500 +1! +#41117000 +0! +#41117500 +1! +#41118000 +0! +#41118500 +1! +#41119000 +0! +#41119500 +1! +#41120000 +0! +#41120500 +1! +#41121000 +0! +#41121500 +1! +#41122000 +0! +#41122500 +1! +#41123000 +0! +#41123500 +1! +#41124000 +0! +#41124500 +1! +#41125000 +0! +#41125500 +1! +#41126000 +0! +#41126500 +1! +#41127000 +0! +#41127500 +1! +#41128000 +0! +#41128500 +1! +#41129000 +0! +#41129500 +1! +#41130000 +0! +#41130500 +1! +#41131000 +0! +#41131500 +1! +#41132000 +0! +#41132500 +1! +#41133000 +0! +#41133500 +1! +#41134000 +0! +#41134500 +1! +#41135000 +0! +#41135500 +1! +#41136000 +0! +#41136500 +1! +#41137000 +0! +#41137500 +1! +#41138000 +0! +#41138500 +1! +#41139000 +0! +#41139500 +1! +#41140000 +0! +#41140500 +1! +#41141000 +0! +#41141500 +1! +#41142000 +0! +#41142500 +1! +#41143000 +0! +#41143500 +1! +#41144000 +0! +#41144500 +1! +#41145000 +0! +#41145500 +1! +#41146000 +0! +#41146500 +1! +#41147000 +0! +#41147500 +1! +#41148000 +0! +#41148500 +1! +#41149000 +0! +#41149500 +1! +#41150000 +0! +#41150500 +1! +#41151000 +0! +#41151500 +1! +#41152000 +0! +#41152500 +1! +#41153000 +0! +#41153500 +1! +#41154000 +0! +#41154500 +1! +#41155000 +0! +#41155500 +1! +#41156000 +0! +#41156500 +1! +#41157000 +0! +#41157500 +1! +#41158000 +0! +#41158500 +1! +#41159000 +0! +#41159500 +1! +#41160000 +0! +#41160500 +1! +#41161000 +0! +#41161500 +1! +#41162000 +0! +#41162500 +1! +#41163000 +0! +#41163500 +1! +#41164000 +0! +#41164500 +1! +#41165000 +0! +#41165500 +1! +#41166000 +0! +#41166500 +1! +#41167000 +0! +#41167500 +1! +#41168000 +0! +#41168500 +1! +#41169000 +0! +#41169500 +1! +#41170000 +0! +#41170500 +1! +#41171000 +0! +#41171500 +1! +#41172000 +0! +#41172500 +1! +#41173000 +0! +#41173500 +1! +#41174000 +0! +#41174500 +1! +#41175000 +0! +#41175500 +1! +#41176000 +0! +#41176500 +1! +#41177000 +0! +#41177500 +1! +#41178000 +0! +#41178500 +1! +#41179000 +0! +#41179500 +1! +#41180000 +0! +#41180500 +1! +#41181000 +0! +#41181500 +1! +#41182000 +0! +#41182500 +1! +#41183000 +0! +#41183500 +1! +#41184000 +0! +#41184500 +1! +#41185000 +0! +#41185500 +1! +#41186000 +0! +#41186500 +1! +#41187000 +0! +#41187500 +1! +#41188000 +0! +#41188500 +1! +#41189000 +0! +#41189500 +1! +#41190000 +0! +#41190500 +1! +#41191000 +0! +#41191500 +1! +#41192000 +0! +#41192500 +1! +#41193000 +0! +#41193500 +1! +#41194000 +0! +#41194500 +1! +#41195000 +0! +#41195500 +1! +#41196000 +0! +#41196500 +1! +#41197000 +0! +#41197500 +1! +#41198000 +0! +#41198500 +1! +#41199000 +0! +#41199500 +1! +#41200000 +0! +#41200500 +1! +#41201000 +0! +#41201500 +1! +#41202000 +0! +#41202500 +1! +#41203000 +0! +#41203500 +1! +#41204000 +0! +#41204500 +1! +#41205000 +0! +#41205500 +1! +#41206000 +0! +#41206500 +1! +#41207000 +0! +#41207500 +1! +#41208000 +0! +#41208500 +1! +#41209000 +0! +#41209500 +1! +#41210000 +0! +#41210500 +1! +#41211000 +0! +#41211500 +1! +#41212000 +0! +#41212500 +1! +#41213000 +0! +#41213500 +1! +#41214000 +0! +#41214500 +1! +#41215000 +0! +#41215500 +1! +#41216000 +0! +#41216500 +1! +#41217000 +0! +#41217500 +1! +#41218000 +0! +#41218500 +1! +#41219000 +0! +#41219500 +1! +#41220000 +0! +#41220500 +1! +#41221000 +0! +#41221500 +1! +#41222000 +0! +#41222500 +1! +#41223000 +0! +#41223500 +1! +#41224000 +0! +#41224500 +1! +#41225000 +0! +#41225500 +1! +#41226000 +0! +#41226500 +1! +#41227000 +0! +#41227500 +1! +#41228000 +0! +#41228500 +1! +#41229000 +0! +#41229500 +1! +#41230000 +0! +#41230500 +1! +#41231000 +0! +#41231500 +1! +#41232000 +0! +#41232500 +1! +#41233000 +0! +#41233500 +1! +#41234000 +0! +#41234500 +1! +#41235000 +0! +#41235500 +1! +#41236000 +0! +#41236500 +1! +#41237000 +0! +#41237500 +1! +#41238000 +0! +#41238500 +1! +#41239000 +0! +#41239500 +1! +#41240000 +0! +#41240500 +1! +#41241000 +0! +#41241500 +1! +#41242000 +0! +#41242500 +1! +#41243000 +0! +#41243500 +1! +#41244000 +0! +#41244500 +1! +#41245000 +0! +#41245500 +1! +#41246000 +0! +#41246500 +1! +#41247000 +0! +#41247500 +1! +#41248000 +0! +#41248500 +1! +#41249000 +0! +#41249500 +1! +#41250000 +0! +#41250500 +1! +#41251000 +0! +#41251500 +1! +#41252000 +0! +#41252500 +1! +#41253000 +0! +#41253500 +1! +#41254000 +0! +#41254500 +1! +#41255000 +0! +#41255500 +1! +#41256000 +0! +#41256500 +1! +#41257000 +0! +#41257500 +1! +#41258000 +0! +#41258500 +1! +#41259000 +0! +#41259500 +1! +#41260000 +0! +#41260500 +1! +#41261000 +0! +#41261500 +1! +#41262000 +0! +#41262500 +1! +#41263000 +0! +#41263500 +1! +#41264000 +0! +#41264500 +1! +#41265000 +0! +#41265500 +1! +#41266000 +0! +#41266500 +1! +#41267000 +0! +#41267500 +1! +#41268000 +0! +#41268500 +1! +#41269000 +0! +#41269500 +1! +#41270000 +0! +#41270500 +1! +#41271000 +0! +#41271500 +1! +#41272000 +0! +#41272500 +1! +#41273000 +0! +#41273500 +1! +#41274000 +0! +#41274500 +1! +#41275000 +0! +#41275500 +1! +#41276000 +0! +#41276500 +1! +#41277000 +0! +#41277500 +1! +#41278000 +0! +#41278500 +1! +#41279000 +0! +#41279500 +1! +#41280000 +0! +#41280500 +1! +#41281000 +0! +#41281500 +1! +#41282000 +0! +#41282500 +1! +#41283000 +0! +#41283500 +1! +#41284000 +0! +#41284500 +1! +#41285000 +0! +#41285500 +1! +#41286000 +0! +#41286500 +1! +#41287000 +0! +#41287500 +1! +#41288000 +0! +#41288500 +1! +#41289000 +0! +#41289500 +1! +#41290000 +0! +#41290500 +1! +#41291000 +0! +#41291500 +1! +#41292000 +0! +#41292500 +1! +#41293000 +0! +#41293500 +1! +#41294000 +0! +#41294500 +1! +#41295000 +0! +#41295500 +1! +#41296000 +0! +#41296500 +1! +#41297000 +0! +#41297500 +1! +#41298000 +0! +#41298500 +1! +#41299000 +0! +#41299500 +1! +#41300000 +0! +#41300500 +1! +#41301000 +0! +#41301500 +1! +#41302000 +0! +#41302500 +1! +#41303000 +0! +#41303500 +1! +#41304000 +0! +#41304500 +1! +#41305000 +0! +#41305500 +1! +#41306000 +0! +#41306500 +1! +#41307000 +0! +#41307500 +1! +#41308000 +0! +#41308500 +1! +#41309000 +0! +#41309500 +1! +#41310000 +0! +#41310500 +1! +#41311000 +0! +#41311500 +1! +#41312000 +0! +#41312500 +1! +#41313000 +0! +#41313500 +1! +#41314000 +0! +#41314500 +1! +#41315000 +0! +#41315500 +1! +#41316000 +0! +#41316500 +1! +#41317000 +0! +#41317500 +1! +#41318000 +0! +#41318500 +1! +#41319000 +0! +#41319500 +1! +#41320000 +0! +#41320500 +1! +#41321000 +0! +#41321500 +1! +#41322000 +0! +#41322500 +1! +#41323000 +0! +#41323500 +1! +#41324000 +0! +#41324500 +1! +#41325000 +0! +#41325500 +1! +#41326000 +0! +#41326500 +1! +#41327000 +0! +#41327500 +1! +#41328000 +0! +#41328500 +1! +#41329000 +0! +#41329500 +1! +#41330000 +0! +#41330500 +1! +#41331000 +0! +#41331500 +1! +#41332000 +0! +#41332500 +1! +#41333000 +0! +#41333500 +1! +#41334000 +0! +#41334500 +1! +#41335000 +0! +#41335500 +1! +#41336000 +0! +#41336500 +1! +#41337000 +0! +#41337500 +1! +#41338000 +0! +#41338500 +1! +#41339000 +0! +#41339500 +1! +#41340000 +0! +#41340500 +1! +#41341000 +0! +#41341500 +1! +#41342000 +0! +#41342500 +1! +#41343000 +0! +#41343500 +1! +#41344000 +0! +#41344500 +1! +#41345000 +0! +#41345500 +1! +#41346000 +0! +#41346500 +1! +#41347000 +0! +#41347500 +1! +#41348000 +0! +#41348500 +1! +#41349000 +0! +#41349500 +1! +#41350000 +0! +#41350500 +1! +#41351000 +0! +#41351500 +1! +#41352000 +0! +#41352500 +1! +#41353000 +0! +#41353500 +1! +#41354000 +0! +#41354500 +1! +#41355000 +0! +#41355500 +1! +#41356000 +0! +#41356500 +1! +#41357000 +0! +#41357500 +1! +#41358000 +0! +#41358500 +1! +#41359000 +0! +#41359500 +1! +#41360000 +0! +#41360500 +1! +#41361000 +0! +#41361500 +1! +#41362000 +0! +#41362500 +1! +#41363000 +0! +#41363500 +1! +#41364000 +0! +#41364500 +1! +#41365000 +0! +#41365500 +1! +#41366000 +0! +#41366500 +1! +#41367000 +0! +#41367500 +1! +#41368000 +0! +#41368500 +1! +#41369000 +0! +#41369500 +1! +#41370000 +0! +#41370500 +1! +#41371000 +0! +#41371500 +1! +#41372000 +0! +#41372500 +1! +#41373000 +0! +#41373500 +1! +#41374000 +0! +#41374500 +1! +#41375000 +0! +#41375500 +1! +#41376000 +0! +#41376500 +1! +#41377000 +0! +#41377500 +1! +#41378000 +0! +#41378500 +1! +#41379000 +0! +#41379500 +1! +#41380000 +0! +#41380500 +1! +#41381000 +0! +#41381500 +1! +#41382000 +0! +#41382500 +1! +#41383000 +0! +#41383500 +1! +#41384000 +0! +#41384500 +1! +#41385000 +0! +#41385500 +1! +#41386000 +0! +#41386500 +1! +#41387000 +0! +#41387500 +1! +#41388000 +0! +#41388500 +1! +#41389000 +0! +#41389500 +1! +#41390000 +0! +#41390500 +1! +#41391000 +0! +#41391500 +1! +#41392000 +0! +#41392500 +1! +#41393000 +0! +#41393500 +1! +#41394000 +0! +#41394500 +1! +#41395000 +0! +#41395500 +1! +#41396000 +0! +#41396500 +1! +#41397000 +0! +#41397500 +1! +#41398000 +0! +#41398500 +1! +#41399000 +0! +#41399500 +1! +#41400000 +0! +#41400500 +1! +#41401000 +0! +#41401500 +1! +#41402000 +0! +#41402500 +1! +#41403000 +0! +#41403500 +1! +#41404000 +0! +#41404500 +1! +#41405000 +0! +#41405500 +1! +#41406000 +0! +#41406500 +1! +#41407000 +0! +#41407500 +1! +#41408000 +0! +#41408500 +1! +#41409000 +0! +#41409500 +1! +#41410000 +0! +#41410500 +1! +#41411000 +0! +#41411500 +1! +#41412000 +0! +#41412500 +1! +#41413000 +0! +#41413500 +1! +#41414000 +0! +#41414500 +1! +#41415000 +0! +#41415500 +1! +#41416000 +0! +#41416500 +1! +#41417000 +0! +#41417500 +1! +#41418000 +0! +#41418500 +1! +#41419000 +0! +#41419500 +1! +#41420000 +0! +#41420500 +1! +#41421000 +0! +#41421500 +1! +#41422000 +0! +#41422500 +1! +#41423000 +0! +#41423500 +1! +#41424000 +0! +#41424500 +1! +#41425000 +0! +#41425500 +1! +#41426000 +0! +#41426500 +1! +#41427000 +0! +#41427500 +1! +#41428000 +0! +#41428500 +1! +#41429000 +0! +#41429500 +1! +#41430000 +0! +#41430500 +1! +#41431000 +0! +#41431500 +1! +#41432000 +0! +#41432500 +1! +#41433000 +0! +#41433500 +1! +#41434000 +0! +#41434500 +1! +#41435000 +0! +#41435500 +1! +#41436000 +0! +#41436500 +1! +#41437000 +0! +#41437500 +1! +#41438000 +0! +#41438500 +1! +#41439000 +0! +#41439500 +1! +#41440000 +0! +#41440500 +1! +#41441000 +0! +#41441500 +1! +#41442000 +0! +#41442500 +1! +#41443000 +0! +#41443500 +1! +#41444000 +0! +#41444500 +1! +#41445000 +0! +#41445500 +1! +#41446000 +0! +#41446500 +1! +#41447000 +0! +#41447500 +1! +#41448000 +0! +#41448500 +1! +#41449000 +0! +#41449500 +1! +#41450000 +0! +#41450500 +1! +#41451000 +0! +#41451500 +1! +#41452000 +0! +#41452500 +1! +#41453000 +0! +#41453500 +1! +#41454000 +0! +#41454500 +1! +#41455000 +0! +#41455500 +1! +#41456000 +0! +#41456500 +1! +#41457000 +0! +#41457500 +1! +#41458000 +0! +#41458500 +1! +#41459000 +0! +#41459500 +1! +#41460000 +0! +#41460500 +1! +#41461000 +0! +#41461500 +1! +#41462000 +0! +#41462500 +1! +#41463000 +0! +#41463500 +1! +#41464000 +0! +#41464500 +1! +#41465000 +0! +#41465500 +1! +#41466000 +0! +#41466500 +1! +#41467000 +0! +#41467500 +1! +#41468000 +0! +#41468500 +1! +#41469000 +0! +#41469500 +1! +#41470000 +0! +#41470500 +1! +#41471000 +0! +#41471500 +1! +#41472000 +0! +#41472500 +1! +#41473000 +0! +#41473500 +1! +#41474000 +0! +#41474500 +1! +#41475000 +0! +#41475500 +1! +#41476000 +0! +#41476500 +1! +#41477000 +0! +#41477500 +1! +#41478000 +0! +#41478500 +1! +#41479000 +0! +#41479500 +1! +#41480000 +0! +#41480500 +1! +#41481000 +0! +#41481500 +1! +#41482000 +0! +#41482500 +1! +#41483000 +0! +#41483500 +1! +#41484000 +0! +#41484500 +1! +#41485000 +0! +#41485500 +1! +#41486000 +0! +#41486500 +1! +#41487000 +0! +#41487500 +1! +#41488000 +0! +#41488500 +1! +#41489000 +0! +#41489500 +1! +#41490000 +0! +#41490500 +1! +#41491000 +0! +#41491500 +1! +#41492000 +0! +#41492500 +1! +#41493000 +0! +#41493500 +1! +#41494000 +0! +#41494500 +1! +#41495000 +0! +#41495500 +1! +#41496000 +0! +#41496500 +1! +#41497000 +0! +#41497500 +1! +#41498000 +0! +#41498500 +1! +#41499000 +0! +#41499500 +1! +#41500000 +0! +#41500500 +1! +#41501000 +0! +#41501500 +1! +#41502000 +0! +#41502500 +1! +#41503000 +0! +#41503500 +1! +#41504000 +0! +#41504500 +1! +#41505000 +0! +#41505500 +1! +#41506000 +0! +#41506500 +1! +#41507000 +0! +#41507500 +1! +#41508000 +0! +#41508500 +1! +#41509000 +0! +#41509500 +1! +#41510000 +0! +#41510500 +1! +#41511000 +0! +#41511500 +1! +#41512000 +0! +#41512500 +1! +#41513000 +0! +#41513500 +1! +#41514000 +0! +#41514500 +1! +#41515000 +0! +#41515500 +1! +#41516000 +0! +#41516500 +1! +#41517000 +0! +#41517500 +1! +#41518000 +0! +#41518500 +1! +#41519000 +0! +#41519500 +1! +#41520000 +0! +#41520500 +1! +#41521000 +0! +#41521500 +1! +#41522000 +0! +#41522500 +1! +#41523000 +0! +#41523500 +1! +#41524000 +0! +#41524500 +1! +#41525000 +0! +#41525500 +1! +#41526000 +0! +#41526500 +1! +#41527000 +0! +#41527500 +1! +#41528000 +0! +#41528500 +1! +#41529000 +0! +#41529500 +1! +#41530000 +0! +#41530500 +1! +#41531000 +0! +#41531500 +1! +#41532000 +0! +#41532500 +1! +#41533000 +0! +#41533500 +1! +#41534000 +0! +#41534500 +1! +#41535000 +0! +#41535500 +1! +#41536000 +0! +#41536500 +1! +#41537000 +0! +#41537500 +1! +#41538000 +0! +#41538500 +1! +#41539000 +0! +#41539500 +1! +#41540000 +0! +#41540500 +1! +#41541000 +0! +#41541500 +1! +#41542000 +0! +#41542500 +1! +#41543000 +0! +#41543500 +1! +#41544000 +0! +#41544500 +1! +#41545000 +0! +#41545500 +1! +#41546000 +0! +#41546500 +1! +#41547000 +0! +#41547500 +1! +#41548000 +0! +#41548500 +1! +#41549000 +0! +#41549500 +1! +#41550000 +0! +#41550500 +1! +#41551000 +0! +#41551500 +1! +#41552000 +0! +#41552500 +1! +#41553000 +0! +#41553500 +1! +#41554000 +0! +#41554500 +1! +#41555000 +0! +#41555500 +1! +#41556000 +0! +#41556500 +1! +#41557000 +0! +#41557500 +1! +#41558000 +0! +#41558500 +1! +#41559000 +0! +#41559500 +1! +#41560000 +0! +#41560500 +1! +#41561000 +0! +#41561500 +1! +#41562000 +0! +#41562500 +1! +#41563000 +0! +#41563500 +1! +#41564000 +0! +#41564500 +1! +#41565000 +0! +#41565500 +1! +#41566000 +0! +#41566500 +1! +#41567000 +0! +#41567500 +1! +#41568000 +0! +#41568500 +1! +#41569000 +0! +#41569500 +1! +#41570000 +0! +#41570500 +1! +#41571000 +0! +#41571500 +1! +#41572000 +0! +#41572500 +1! +#41573000 +0! +#41573500 +1! +#41574000 +0! +#41574500 +1! +#41575000 +0! +#41575500 +1! +#41576000 +0! +#41576500 +1! +#41577000 +0! +#41577500 +1! +#41578000 +0! +#41578500 +1! +#41579000 +0! +#41579500 +1! +#41580000 +0! +#41580500 +1! +#41581000 +0! +#41581500 +1! +#41582000 +0! +#41582500 +1! +#41583000 +0! +#41583500 +1! +#41584000 +0! +#41584500 +1! +#41585000 +0! +#41585500 +1! +#41586000 +0! +#41586500 +1! +#41587000 +0! +#41587500 +1! +#41588000 +0! +#41588500 +1! +#41589000 +0! +#41589500 +1! +#41590000 +0! +#41590500 +1! +#41591000 +0! +#41591500 +1! +#41592000 +0! +#41592500 +1! +#41593000 +0! +#41593500 +1! +#41594000 +0! +#41594500 +1! +#41595000 +0! +#41595500 +1! +#41596000 +0! +#41596500 +1! +#41597000 +0! +#41597500 +1! +#41598000 +0! +#41598500 +1! +#41599000 +0! +#41599500 +1! +#41600000 +0! +#41600500 +1! +#41601000 +0! +#41601500 +1! +#41602000 +0! +#41602500 +1! +#41603000 +0! +#41603500 +1! +#41604000 +0! +#41604500 +1! +#41605000 +0! +#41605500 +1! +#41606000 +0! +#41606500 +1! +#41607000 +0! +#41607500 +1! +#41608000 +0! +#41608500 +1! +#41609000 +0! +#41609500 +1! +#41610000 +0! +#41610500 +1! +#41611000 +0! +#41611500 +1! +#41612000 +0! +#41612500 +1! +#41613000 +0! +#41613500 +1! +#41614000 +0! +#41614500 +1! +#41615000 +0! +#41615500 +1! +#41616000 +0! +#41616500 +1! +#41617000 +0! +#41617500 +1! +#41618000 +0! +#41618500 +1! +#41619000 +0! +#41619500 +1! +#41620000 +0! +#41620500 +1! +#41621000 +0! +#41621500 +1! +#41622000 +0! +#41622500 +1! +#41623000 +0! +#41623500 +1! +#41624000 +0! +#41624500 +1! +#41625000 +0! +#41625500 +1! +#41626000 +0! +#41626500 +1! +#41627000 +0! +#41627500 +1! +#41628000 +0! +#41628500 +1! +#41629000 +0! +#41629500 +1! +#41630000 +0! +#41630500 +1! +#41631000 +0! +#41631500 +1! +#41632000 +0! +#41632500 +1! +#41633000 +0! +#41633500 +1! +#41634000 +0! +#41634500 +1! +#41635000 +0! +#41635500 +1! +#41636000 +0! +#41636500 +1! +#41637000 +0! +#41637500 +1! +#41638000 +0! +#41638500 +1! +#41639000 +0! +#41639500 +1! +#41640000 +0! +#41640500 +1! +#41641000 +0! +#41641500 +1! +#41642000 +0! +#41642500 +1! +#41643000 +0! +#41643500 +1! +#41644000 +0! +#41644500 +1! +#41645000 +0! +#41645500 +1! +#41646000 +0! +#41646500 +1! +#41647000 +0! +#41647500 +1! +#41648000 +0! +#41648500 +1! +#41649000 +0! +#41649500 +1! +#41650000 +0! +#41650500 +1! +#41651000 +0! +#41651500 +1! +#41652000 +0! +#41652500 +1! +#41653000 +0! +#41653500 +1! +#41654000 +0! +#41654500 +1! +#41655000 +0! +#41655500 +1! +#41656000 +0! +#41656500 +1! +#41657000 +0! +#41657500 +1! +#41658000 +0! +#41658500 +1! +#41659000 +0! +#41659500 +1! +#41660000 +0! +#41660500 +1! +#41661000 +0! +#41661500 +1! +#41662000 +0! +#41662500 +1! +#41663000 +0! +#41663500 +1! +#41664000 +0! +#41664500 +1! +#41665000 +0! +#41665500 +1! +#41666000 +0! +#41666500 +1! +#41667000 +0! +#41667500 +1! +#41668000 +0! +#41668500 +1! +#41669000 +0! +#41669500 +1! +#41670000 +0! +#41670500 +1! +#41671000 +0! +#41671500 +1! +#41672000 +0! +#41672500 +1! +#41673000 +0! +#41673500 +1! +#41674000 +0! +#41674500 +1! +#41675000 +0! +#41675500 +1! +#41676000 +0! +#41676500 +1! +#41677000 +0! +#41677500 +1! +#41678000 +0! +#41678500 +1! +#41679000 +0! +#41679500 +1! +#41680000 +0! +#41680500 +1! +#41681000 +0! +#41681500 +1! +#41682000 +0! +#41682500 +1! +#41683000 +0! +#41683500 +1! +#41684000 +0! +#41684500 +1! +#41685000 +0! +#41685500 +1! +#41686000 +0! +#41686500 +1! +#41687000 +0! +#41687500 +1! +#41688000 +0! +#41688500 +1! +#41689000 +0! +#41689500 +1! +#41690000 +0! +#41690500 +1! +#41691000 +0! +#41691500 +1! +#41692000 +0! +#41692500 +1! +#41693000 +0! +#41693500 +1! +#41694000 +0! +#41694500 +1! +#41695000 +0! +#41695500 +1! +#41696000 +0! +#41696500 +1! +#41697000 +0! +#41697500 +1! +#41698000 +0! +#41698500 +1! +#41699000 +0! +#41699500 +1! +#41700000 +0! +#41700500 +1! +#41701000 +0! +#41701500 +1! +#41702000 +0! +#41702500 +1! +#41703000 +0! +#41703500 +1! +#41704000 +0! +#41704500 +1! +#41705000 +0! +#41705500 +1! +#41706000 +0! +#41706500 +1! +#41707000 +0! +#41707500 +1! +#41708000 +0! +#41708500 +1! +#41709000 +0! +#41709500 +1! +#41710000 +0! +#41710500 +1! +#41711000 +0! +#41711500 +1! +#41712000 +0! +#41712500 +1! +#41713000 +0! +#41713500 +1! +#41714000 +0! +#41714500 +1! +#41715000 +0! +#41715500 +1! +#41716000 +0! +#41716500 +1! +#41717000 +0! +#41717500 +1! +#41718000 +0! +#41718500 +1! +#41719000 +0! +#41719500 +1! +#41720000 +0! +#41720500 +1! +#41721000 +0! +#41721500 +1! +#41722000 +0! +#41722500 +1! +#41723000 +0! +#41723500 +1! +#41724000 +0! +#41724500 +1! +#41725000 +0! +#41725500 +1! +#41726000 +0! +#41726500 +1! +#41727000 +0! +#41727500 +1! +#41728000 +0! +#41728500 +1! +#41729000 +0! +#41729500 +1! +#41730000 +0! +#41730500 +1! +#41731000 +0! +#41731500 +1! +#41732000 +0! +#41732500 +1! +#41733000 +0! +#41733500 +1! +#41734000 +0! +#41734500 +1! +#41735000 +0! +#41735500 +1! +#41736000 +0! +#41736500 +1! +#41737000 +0! +#41737500 +1! +#41738000 +0! +#41738500 +1! +#41739000 +0! +#41739500 +1! +#41740000 +0! +#41740500 +1! +#41741000 +0! +#41741500 +1! +#41742000 +0! +#41742500 +1! +#41743000 +0! +#41743500 +1! +#41744000 +0! +#41744500 +1! +#41745000 +0! +#41745500 +1! +#41746000 +0! +#41746500 +1! +#41747000 +0! +#41747500 +1! +#41748000 +0! +#41748500 +1! +#41749000 +0! +#41749500 +1! +#41750000 +0! +#41750500 +1! +#41751000 +0! +#41751500 +1! +#41752000 +0! +#41752500 +1! +#41753000 +0! +#41753500 +1! +#41754000 +0! +#41754500 +1! +#41755000 +0! +#41755500 +1! +#41756000 +0! +#41756500 +1! +#41757000 +0! +#41757500 +1! +#41758000 +0! +#41758500 +1! +#41759000 +0! +#41759500 +1! +#41760000 +0! +#41760500 +1! +#41761000 +0! +#41761500 +1! +#41762000 +0! +#41762500 +1! +#41763000 +0! +#41763500 +1! +#41764000 +0! +#41764500 +1! +#41765000 +0! +#41765500 +1! +#41766000 +0! +#41766500 +1! +#41767000 +0! +#41767500 +1! +#41768000 +0! +#41768500 +1! +#41769000 +0! +#41769500 +1! +#41770000 +0! +#41770500 +1! +#41771000 +0! +#41771500 +1! +#41772000 +0! +#41772500 +1! +#41773000 +0! +#41773500 +1! +#41774000 +0! +#41774500 +1! +#41775000 +0! +#41775500 +1! +#41776000 +0! +#41776500 +1! +#41777000 +0! +#41777500 +1! +#41778000 +0! +#41778500 +1! +#41779000 +0! +#41779500 +1! +#41780000 +0! +#41780500 +1! +#41781000 +0! +#41781500 +1! +#41782000 +0! +#41782500 +1! +#41783000 +0! +#41783500 +1! +#41784000 +0! +#41784500 +1! +#41785000 +0! +#41785500 +1! +#41786000 +0! +#41786500 +1! +#41787000 +0! +#41787500 +1! +#41788000 +0! +#41788500 +1! +#41789000 +0! +#41789500 +1! +#41790000 +0! +#41790500 +1! +#41791000 +0! +#41791500 +1! +#41792000 +0! +#41792500 +1! +#41793000 +0! +#41793500 +1! +#41794000 +0! +#41794500 +1! +#41795000 +0! +#41795500 +1! +#41796000 +0! +#41796500 +1! +#41797000 +0! +#41797500 +1! +#41798000 +0! +#41798500 +1! +#41799000 +0! +#41799500 +1! +#41800000 +0! +#41800500 +1! +#41801000 +0! +#41801500 +1! +#41802000 +0! +#41802500 +1! +#41803000 +0! +#41803500 +1! +#41804000 +0! +#41804500 +1! +#41805000 +0! +#41805500 +1! +#41806000 +0! +#41806500 +1! +#41807000 +0! +#41807500 +1! +#41808000 +0! +#41808500 +1! +#41809000 +0! +#41809500 +1! +#41810000 +0! +#41810500 +1! +#41811000 +0! +#41811500 +1! +#41812000 +0! +#41812500 +1! +#41813000 +0! +#41813500 +1! +#41814000 +0! +#41814500 +1! +#41815000 +0! +#41815500 +1! +#41816000 +0! +#41816500 +1! +#41817000 +0! +#41817500 +1! +#41818000 +0! +#41818500 +1! +#41819000 +0! +#41819500 +1! +#41820000 +0! +#41820500 +1! +#41821000 +0! +#41821500 +1! +#41822000 +0! +#41822500 +1! +#41823000 +0! +#41823500 +1! +#41824000 +0! +#41824500 +1! +#41825000 +0! +#41825500 +1! +#41826000 +0! +#41826500 +1! +#41827000 +0! +#41827500 +1! +#41828000 +0! +#41828500 +1! +#41829000 +0! +#41829500 +1! +#41830000 +0! +#41830500 +1! +#41831000 +0! +#41831500 +1! +#41832000 +0! +#41832500 +1! +#41833000 +0! +#41833500 +1! +#41834000 +0! +#41834500 +1! +#41835000 +0! +#41835500 +1! +#41836000 +0! +#41836500 +1! +#41837000 +0! +#41837500 +1! +#41838000 +0! +#41838500 +1! +#41839000 +0! +#41839500 +1! +#41840000 +0! +#41840500 +1! +#41841000 +0! +#41841500 +1! +#41842000 +0! +#41842500 +1! +#41843000 +0! +#41843500 +1! +#41844000 +0! +#41844500 +1! +#41845000 +0! +#41845500 +1! +#41846000 +0! +#41846500 +1! +#41847000 +0! +#41847500 +1! +#41848000 +0! +#41848500 +1! +#41849000 +0! +#41849500 +1! +#41850000 +0! +#41850500 +1! +#41851000 +0! +#41851500 +1! +#41852000 +0! +#41852500 +1! +#41853000 +0! +#41853500 +1! +#41854000 +0! +#41854500 +1! +#41855000 +0! +#41855500 +1! +#41856000 +0! +#41856500 +1! +#41857000 +0! +#41857500 +1! +#41858000 +0! +#41858500 +1! +#41859000 +0! +#41859500 +1! +#41860000 +0! +#41860500 +1! +#41861000 +0! +#41861500 +1! +#41862000 +0! +#41862500 +1! +#41863000 +0! +#41863500 +1! +#41864000 +0! +#41864500 +1! +#41865000 +0! +#41865500 +1! +#41866000 +0! +#41866500 +1! +#41867000 +0! +#41867500 +1! +#41868000 +0! +#41868500 +1! +#41869000 +0! +#41869500 +1! +#41870000 +0! +#41870500 +1! +#41871000 +0! +#41871500 +1! +#41872000 +0! +#41872500 +1! +#41873000 +0! +#41873500 +1! +#41874000 +0! +#41874500 +1! +#41875000 +0! +#41875500 +1! +#41876000 +0! +#41876500 +1! +#41877000 +0! +#41877500 +1! +#41878000 +0! +#41878500 +1! +#41879000 +0! +#41879500 +1! +#41880000 +0! +#41880500 +1! +#41881000 +0! +#41881500 +1! +#41882000 +0! +#41882500 +1! +#41883000 +0! +#41883500 +1! +#41884000 +0! +#41884500 +1! +#41885000 +0! +#41885500 +1! +#41886000 +0! +#41886500 +1! +#41887000 +0! +#41887500 +1! +#41888000 +0! +#41888500 +1! +#41889000 +0! +#41889500 +1! +#41890000 +0! +#41890500 +1! +#41891000 +0! +#41891500 +1! +#41892000 +0! +#41892500 +1! +#41893000 +0! +#41893500 +1! +#41894000 +0! +#41894500 +1! +#41895000 +0! +#41895500 +1! +#41896000 +0! +#41896500 +1! +#41897000 +0! +#41897500 +1! +#41898000 +0! +#41898500 +1! +#41899000 +0! +#41899500 +1! +#41900000 +0! +#41900500 +1! +#41901000 +0! +#41901500 +1! +#41902000 +0! +#41902500 +1! +#41903000 +0! +#41903500 +1! +#41904000 +0! +#41904500 +1! +#41905000 +0! +#41905500 +1! +#41906000 +0! +#41906500 +1! +#41907000 +0! +#41907500 +1! +#41908000 +0! +#41908500 +1! +#41909000 +0! +#41909500 +1! +#41910000 +0! +#41910500 +1! +#41911000 +0! +#41911500 +1! +#41912000 +0! +#41912500 +1! +#41913000 +0! +#41913500 +1! +#41914000 +0! +#41914500 +1! +#41915000 +0! +#41915500 +1! +#41916000 +0! +#41916500 +1! +#41917000 +0! +#41917500 +1! +#41918000 +0! +#41918500 +1! +#41919000 +0! +#41919500 +1! +#41920000 +0! +#41920500 +1! +#41921000 +0! +#41921500 +1! +#41922000 +0! +#41922500 +1! +#41923000 +0! +#41923500 +1! +#41924000 +0! +#41924500 +1! +#41925000 +0! +#41925500 +1! +#41926000 +0! +#41926500 +1! +#41927000 +0! +#41927500 +1! +#41928000 +0! +#41928500 +1! +#41929000 +0! +#41929500 +1! +#41930000 +0! +#41930500 +1! +#41931000 +0! +#41931500 +1! +#41932000 +0! +#41932500 +1! +#41933000 +0! +#41933500 +1! +#41934000 +0! +#41934500 +1! +#41935000 +0! +#41935500 +1! +#41936000 +0! +#41936500 +1! +#41937000 +0! +#41937500 +1! +#41938000 +0! +#41938500 +1! +#41939000 +0! +#41939500 +1! +#41940000 +0! +#41940500 +1! +#41941000 +0! +#41941500 +1! +#41942000 +0! +#41942500 +1! +#41943000 +0! +#41943500 +1! +#41944000 +0! +#41944500 +1! +#41945000 +0! +#41945500 +1! +#41946000 +0! +#41946500 +1! +#41947000 +0! +#41947500 +1! +#41948000 +0! +#41948500 +1! +#41949000 +0! +#41949500 +1! +#41950000 +0! +#41950500 +1! +#41951000 +0! +#41951500 +1! +#41952000 +0! +#41952500 +1! +#41953000 +0! +#41953500 +1! +#41954000 +0! +#41954500 +1! +#41955000 +0! +#41955500 +1! +#41956000 +0! +#41956500 +1! +#41957000 +0! +#41957500 +1! +#41958000 +0! +#41958500 +1! +#41959000 +0! +#41959500 +1! +#41960000 +0! +#41960500 +1! +#41961000 +0! +#41961500 +1! +#41962000 +0! +#41962500 +1! +#41963000 +0! +#41963500 +1! +#41964000 +0! +#41964500 +1! +#41965000 +0! +#41965500 +1! +#41966000 +0! +#41966500 +1! +#41967000 +0! +#41967500 +1! +#41968000 +0! +#41968500 +1! +#41969000 +0! +#41969500 +1! +#41970000 +0! +#41970500 +1! +#41971000 +0! +#41971500 +1! +#41972000 +0! +#41972500 +1! +#41973000 +0! +#41973500 +1! +#41974000 +0! +#41974500 +1! +#41975000 +0! +#41975500 +1! +#41976000 +0! +#41976500 +1! +#41977000 +0! +#41977500 +1! +#41978000 +0! +#41978500 +1! +#41979000 +0! +#41979500 +1! +#41980000 +0! +#41980500 +1! +#41981000 +0! +#41981500 +1! +#41982000 +0! +#41982500 +1! +#41983000 +0! +#41983500 +1! +#41984000 +0! +#41984500 +1! +#41985000 +0! +#41985500 +1! +#41986000 +0! +#41986500 +1! +#41987000 +0! +#41987500 +1! +#41988000 +0! +#41988500 +1! +#41989000 +0! +#41989500 +1! +#41990000 +0! +#41990500 +1! +#41991000 +0! +#41991500 +1! +#41992000 +0! +#41992500 +1! +#41993000 +0! +#41993500 +1! +#41994000 +0! +#41994500 +1! +#41995000 +0! +#41995500 +1! +#41996000 +0! +#41996500 +1! +#41997000 +0! +#41997500 +1! +#41998000 +0! +#41998500 +1! +#41999000 +0! +#41999500 +1! +#42000000 +0! +#42000500 +1! +#42001000 +0! +#42001500 +1! +#42002000 +0! +#42002500 +1! +#42003000 +0! +#42003500 +1! +#42004000 +0! +#42004500 +1! +#42005000 +0! +#42005500 +1! +#42006000 +0! +#42006500 +1! +#42007000 +0! +#42007500 +1! +#42008000 +0! +#42008500 +1! +#42009000 +0! +#42009500 +1! +#42010000 +0! +#42010500 +1! +#42011000 +0! +#42011500 +1! +#42012000 +0! +#42012500 +1! +#42013000 +0! +#42013500 +1! +#42014000 +0! +#42014500 +1! +#42015000 +0! +#42015500 +1! +#42016000 +0! +#42016500 +1! +#42017000 +0! +#42017500 +1! +#42018000 +0! +#42018500 +1! +#42019000 +0! +#42019500 +1! +#42020000 +0! +#42020500 +1! +#42021000 +0! +#42021500 +1! +#42022000 +0! +#42022500 +1! +#42023000 +0! +#42023500 +1! +#42024000 +0! +#42024500 +1! +#42025000 +0! +#42025500 +1! +#42026000 +0! +#42026500 +1! +#42027000 +0! +#42027500 +1! +#42028000 +0! +#42028500 +1! +#42029000 +0! +#42029500 +1! +#42030000 +0! +#42030500 +1! +#42031000 +0! +#42031500 +1! +#42032000 +0! +#42032500 +1! +#42033000 +0! +#42033500 +1! +#42034000 +0! +#42034500 +1! +#42035000 +0! +#42035500 +1! +#42036000 +0! +#42036500 +1! +#42037000 +0! +#42037500 +1! +#42038000 +0! +#42038500 +1! +#42039000 +0! +#42039500 +1! +#42040000 +0! +#42040500 +1! +#42041000 +0! +#42041500 +1! +#42042000 +0! +#42042500 +1! +#42043000 +0! +#42043500 +1! +#42044000 +0! +#42044500 +1! +#42045000 +0! +#42045500 +1! +#42046000 +0! +#42046500 +1! +#42047000 +0! +#42047500 +1! +#42048000 +0! +#42048500 +1! +#42049000 +0! +#42049500 +1! +#42050000 +0! +#42050500 +1! +#42051000 +0! +#42051500 +1! +#42052000 +0! +#42052500 +1! +#42053000 +0! +#42053500 +1! +#42054000 +0! +#42054500 +1! +#42055000 +0! +#42055500 +1! +#42056000 +0! +#42056500 +1! +#42057000 +0! +#42057500 +1! +#42058000 +0! +#42058500 +1! +#42059000 +0! +#42059500 +1! +#42060000 +0! +#42060500 +1! +#42061000 +0! +#42061500 +1! +#42062000 +0! +#42062500 +1! +#42063000 +0! +#42063500 +1! +#42064000 +0! +#42064500 +1! +#42065000 +0! +#42065500 +1! +#42066000 +0! +#42066500 +1! +#42067000 +0! +#42067500 +1! +#42068000 +0! +#42068500 +1! +#42069000 +0! +#42069500 +1! +#42070000 +0! +#42070500 +1! +#42071000 +0! +#42071500 +1! +#42072000 +0! +#42072500 +1! +#42073000 +0! +#42073500 +1! +#42074000 +0! +#42074500 +1! +#42075000 +0! +#42075500 +1! +#42076000 +0! +#42076500 +1! +#42077000 +0! +#42077500 +1! +#42078000 +0! +#42078500 +1! +#42079000 +0! +#42079500 +1! +#42080000 +0! +#42080500 +1! +#42081000 +0! +#42081500 +1! +#42082000 +0! +#42082500 +1! +#42083000 +0! +#42083500 +1! +#42084000 +0! +#42084500 +1! +#42085000 +0! +#42085500 +1! +#42086000 +0! +#42086500 +1! +#42087000 +0! +#42087500 +1! +#42088000 +0! +#42088500 +1! +#42089000 +0! +#42089500 +1! +#42090000 +0! +#42090500 +1! +#42091000 +0! +#42091500 +1! +#42092000 +0! +#42092500 +1! +#42093000 +0! +#42093500 +1! +#42094000 +0! +#42094500 +1! +#42095000 +0! +#42095500 +1! +#42096000 +0! +#42096500 +1! +#42097000 +0! +#42097500 +1! +#42098000 +0! +#42098500 +1! +#42099000 +0! +#42099500 +1! +#42100000 +0! +#42100500 +1! +#42101000 +0! +#42101500 +1! +#42102000 +0! +#42102500 +1! +#42103000 +0! +#42103500 +1! +#42104000 +0! +#42104500 +1! +#42105000 +0! +#42105500 +1! +#42106000 +0! +#42106500 +1! +#42107000 +0! +#42107500 +1! +#42108000 +0! +#42108500 +1! +#42109000 +0! +#42109500 +1! +#42110000 +0! +#42110500 +1! +#42111000 +0! +#42111500 +1! +#42112000 +0! +#42112500 +1! +#42113000 +0! +#42113500 +1! +#42114000 +0! +#42114500 +1! +#42115000 +0! +#42115500 +1! +#42116000 +0! +#42116500 +1! +#42117000 +0! +#42117500 +1! +#42118000 +0! +#42118500 +1! +#42119000 +0! +#42119500 +1! +#42120000 +0! +#42120500 +1! +#42121000 +0! +#42121500 +1! +#42122000 +0! +#42122500 +1! +#42123000 +0! +#42123500 +1! +#42124000 +0! +#42124500 +1! +#42125000 +0! +#42125500 +1! +#42126000 +0! +#42126500 +1! +#42127000 +0! +#42127500 +1! +#42128000 +0! +#42128500 +1! +#42129000 +0! +#42129500 +1! +#42130000 +0! +#42130500 +1! +#42131000 +0! +#42131500 +1! +#42132000 +0! +#42132500 +1! +#42133000 +0! +#42133500 +1! +#42134000 +0! +#42134500 +1! +#42135000 +0! +#42135500 +1! +#42136000 +0! +#42136500 +1! +#42137000 +0! +#42137500 +1! +#42138000 +0! +#42138500 +1! +#42139000 +0! +#42139500 +1! +#42140000 +0! +#42140500 +1! +#42141000 +0! +#42141500 +1! +#42142000 +0! +#42142500 +1! +#42143000 +0! +#42143500 +1! +#42144000 +0! +#42144500 +1! +#42145000 +0! +#42145500 +1! +#42146000 +0! +#42146500 +1! +#42147000 +0! +#42147500 +1! +#42148000 +0! +#42148500 +1! +#42149000 +0! +#42149500 +1! +#42150000 +0! +#42150500 +1! +#42151000 +0! +#42151500 +1! +#42152000 +0! +#42152500 +1! +#42153000 +0! +#42153500 +1! +#42154000 +0! +#42154500 +1! +#42155000 +0! +#42155500 +1! +#42156000 +0! +#42156500 +1! +#42157000 +0! +#42157500 +1! +#42158000 +0! +#42158500 +1! +#42159000 +0! +#42159500 +1! +#42160000 +0! +#42160500 +1! +#42161000 +0! +#42161500 +1! +#42162000 +0! +#42162500 +1! +#42163000 +0! +#42163500 +1! +#42164000 +0! +#42164500 +1! +#42165000 +0! +#42165500 +1! +#42166000 +0! +#42166500 +1! +#42167000 +0! +#42167500 +1! +#42168000 +0! +#42168500 +1! +#42169000 +0! +#42169500 +1! +#42170000 +0! +#42170500 +1! +#42171000 +0! +#42171500 +1! +#42172000 +0! +#42172500 +1! +#42173000 +0! +#42173500 +1! +#42174000 +0! +#42174500 +1! +#42175000 +0! +#42175500 +1! +#42176000 +0! +#42176500 +1! +#42177000 +0! +#42177500 +1! +#42178000 +0! +#42178500 +1! +#42179000 +0! +#42179500 +1! +#42180000 +0! +#42180500 +1! +#42181000 +0! +#42181500 +1! +#42182000 +0! +#42182500 +1! +#42183000 +0! +#42183500 +1! +#42184000 +0! +#42184500 +1! +#42185000 +0! +#42185500 +1! +#42186000 +0! +#42186500 +1! +#42187000 +0! +#42187500 +1! +#42188000 +0! +#42188500 +1! +#42189000 +0! +#42189500 +1! +#42190000 +0! +#42190500 +1! +#42191000 +0! +#42191500 +1! +#42192000 +0! +#42192500 +1! +#42193000 +0! +#42193500 +1! +#42194000 +0! +#42194500 +1! +#42195000 +0! +#42195500 +1! +#42196000 +0! +#42196500 +1! +#42197000 +0! +#42197500 +1! +#42198000 +0! +#42198500 +1! +#42199000 +0! +#42199500 +1! +#42200000 +0! +#42200500 +1! +#42201000 +0! +#42201500 +1! +#42202000 +0! +#42202500 +1! +#42203000 +0! +#42203500 +1! +#42204000 +0! +#42204500 +1! +#42205000 +0! +#42205500 +1! +#42206000 +0! +#42206500 +1! +#42207000 +0! +#42207500 +1! +#42208000 +0! +#42208500 +1! +#42209000 +0! +#42209500 +1! +#42210000 +0! +#42210500 +1! +#42211000 +0! +#42211500 +1! +#42212000 +0! +#42212500 +1! +#42213000 +0! +#42213500 +1! +#42214000 +0! +#42214500 +1! +#42215000 +0! +#42215500 +1! +#42216000 +0! +#42216500 +1! +#42217000 +0! +#42217500 +1! +#42218000 +0! +#42218500 +1! +#42219000 +0! +#42219500 +1! +#42220000 +0! +#42220500 +1! +#42221000 +0! +#42221500 +1! +#42222000 +0! +#42222500 +1! +#42223000 +0! +#42223500 +1! +#42224000 +0! +#42224500 +1! +#42225000 +0! +#42225500 +1! +#42226000 +0! +#42226500 +1! +#42227000 +0! +#42227500 +1! +#42228000 +0! +#42228500 +1! +#42229000 +0! +#42229500 +1! +#42230000 +0! +#42230500 +1! +#42231000 +0! +#42231500 +1! +#42232000 +0! +#42232500 +1! +#42233000 +0! +#42233500 +1! +#42234000 +0! +#42234500 +1! +#42235000 +0! +#42235500 +1! +#42236000 +0! +#42236500 +1! +#42237000 +0! +#42237500 +1! +#42238000 +0! +#42238500 +1! +#42239000 +0! +#42239500 +1! +#42240000 +0! +#42240500 +1! +#42241000 +0! +#42241500 +1! +#42242000 +0! +#42242500 +1! +#42243000 +0! +#42243500 +1! +#42244000 +0! +#42244500 +1! +#42245000 +0! +#42245500 +1! +#42246000 +0! +#42246500 +1! +#42247000 +0! +#42247500 +1! +#42248000 +0! +#42248500 +1! +#42249000 +0! +#42249500 +1! +#42250000 +0! +#42250500 +1! +#42251000 +0! +#42251500 +1! +#42252000 +0! +#42252500 +1! +#42253000 +0! +#42253500 +1! +#42254000 +0! +#42254500 +1! +#42255000 +0! +#42255500 +1! +#42256000 +0! +#42256500 +1! +#42257000 +0! +#42257500 +1! +#42258000 +0! +#42258500 +1! +#42259000 +0! +#42259500 +1! +#42260000 +0! +#42260500 +1! +#42261000 +0! +#42261500 +1! +#42262000 +0! +#42262500 +1! +#42263000 +0! +#42263500 +1! +#42264000 +0! +#42264500 +1! +#42265000 +0! +#42265500 +1! +#42266000 +0! +#42266500 +1! +#42267000 +0! +#42267500 +1! +#42268000 +0! +#42268500 +1! +#42269000 +0! +#42269500 +1! +#42270000 +0! +#42270500 +1! +#42271000 +0! +#42271500 +1! +#42272000 +0! +#42272500 +1! +#42273000 +0! +#42273500 +1! +#42274000 +0! +#42274500 +1! +#42275000 +0! +#42275500 +1! +#42276000 +0! +#42276500 +1! +#42277000 +0! +#42277500 +1! +#42278000 +0! +#42278500 +1! +#42279000 +0! +#42279500 +1! +#42280000 +0! +#42280500 +1! +#42281000 +0! +#42281500 +1! +#42282000 +0! +#42282500 +1! +#42283000 +0! +#42283500 +1! +#42284000 +0! +#42284500 +1! +#42285000 +0! +#42285500 +1! +#42286000 +0! +#42286500 +1! +#42287000 +0! +#42287500 +1! +#42288000 +0! +#42288500 +1! +#42289000 +0! +#42289500 +1! +#42290000 +0! +#42290500 +1! +#42291000 +0! +#42291500 +1! +#42292000 +0! +#42292500 +1! +#42293000 +0! +#42293500 +1! +#42294000 +0! +#42294500 +1! +#42295000 +0! +#42295500 +1! +#42296000 +0! +#42296500 +1! +#42297000 +0! +#42297500 +1! +#42298000 +0! +#42298500 +1! +#42299000 +0! +#42299500 +1! +#42300000 +0! +#42300500 +1! +#42301000 +0! +#42301500 +1! +#42302000 +0! +#42302500 +1! +#42303000 +0! +#42303500 +1! +#42304000 +0! +#42304500 +1! +#42305000 +0! +#42305500 +1! +#42306000 +0! +#42306500 +1! +#42307000 +0! +#42307500 +1! +#42308000 +0! +#42308500 +1! +#42309000 +0! +#42309500 +1! +#42310000 +0! +#42310500 +1! +#42311000 +0! +#42311500 +1! +#42312000 +0! +#42312500 +1! +#42313000 +0! +#42313500 +1! +#42314000 +0! +#42314500 +1! +#42315000 +0! +#42315500 +1! +#42316000 +0! +#42316500 +1! +#42317000 +0! +#42317500 +1! +#42318000 +0! +#42318500 +1! +#42319000 +0! +#42319500 +1! +#42320000 +0! +#42320500 +1! +#42321000 +0! +#42321500 +1! +#42322000 +0! +#42322500 +1! +#42323000 +0! +#42323500 +1! +#42324000 +0! +#42324500 +1! +#42325000 +0! +#42325500 +1! +#42326000 +0! +#42326500 +1! +#42327000 +0! +#42327500 +1! +#42328000 +0! +#42328500 +1! +#42329000 +0! +#42329500 +1! +#42330000 +0! +#42330500 +1! +#42331000 +0! +#42331500 +1! +#42332000 +0! +#42332500 +1! +#42333000 +0! +#42333500 +1! +#42334000 +0! +#42334500 +1! +#42335000 +0! +#42335500 +1! +#42336000 +0! +#42336500 +1! +#42337000 +0! +#42337500 +1! +#42338000 +0! +#42338500 +1! +#42339000 +0! +#42339500 +1! +#42340000 +0! +#42340500 +1! +#42341000 +0! +#42341500 +1! +#42342000 +0! +#42342500 +1! +#42343000 +0! +#42343500 +1! +#42344000 +0! +#42344500 +1! +#42345000 +0! +#42345500 +1! +#42346000 +0! +#42346500 +1! +#42347000 +0! +#42347500 +1! +#42348000 +0! +#42348500 +1! +#42349000 +0! +#42349500 +1! +#42350000 +0! +#42350500 +1! +#42351000 +0! +#42351500 +1! +#42352000 +0! +#42352500 +1! +#42353000 +0! +#42353500 +1! +#42354000 +0! +#42354500 +1! +#42355000 +0! +#42355500 +1! +#42356000 +0! +#42356500 +1! +#42357000 +0! +#42357500 +1! +#42358000 +0! +#42358500 +1! +#42359000 +0! +#42359500 +1! +#42360000 +0! +#42360500 +1! +#42361000 +0! +#42361500 +1! +#42362000 +0! +#42362500 +1! +#42363000 +0! +#42363500 +1! +#42364000 +0! +#42364500 +1! +#42365000 +0! +#42365500 +1! +#42366000 +0! +#42366500 +1! +#42367000 +0! +#42367500 +1! +#42368000 +0! +#42368500 +1! +#42369000 +0! +#42369500 +1! +#42370000 +0! +#42370500 +1! +#42371000 +0! +#42371500 +1! +#42372000 +0! +#42372500 +1! +#42373000 +0! +#42373500 +1! +#42374000 +0! +#42374500 +1! +#42375000 +0! +#42375500 +1! +#42376000 +0! +#42376500 +1! +#42377000 +0! +#42377500 +1! +#42378000 +0! +#42378500 +1! +#42379000 +0! +#42379500 +1! +#42380000 +0! +#42380500 +1! +#42381000 +0! +#42381500 +1! +#42382000 +0! +#42382500 +1! +#42383000 +0! +#42383500 +1! +#42384000 +0! +#42384500 +1! +#42385000 +0! +#42385500 +1! +#42386000 +0! +#42386500 +1! +#42387000 +0! +#42387500 +1! +#42388000 +0! +#42388500 +1! +#42389000 +0! +#42389500 +1! +#42390000 +0! +#42390500 +1! +#42391000 +0! +#42391500 +1! +#42392000 +0! +#42392500 +1! +#42393000 +0! +#42393500 +1! +#42394000 +0! +#42394500 +1! +#42395000 +0! +#42395500 +1! +#42396000 +0! +#42396500 +1! +#42397000 +0! +#42397500 +1! +#42398000 +0! +#42398500 +1! +#42399000 +0! +#42399500 +1! +#42400000 +0! +#42400500 +1! +#42401000 +0! +#42401500 +1! +#42402000 +0! +#42402500 +1! +#42403000 +0! +#42403500 +1! +#42404000 +0! +#42404500 +1! +#42405000 +0! +#42405500 +1! +#42406000 +0! +#42406500 +1! +#42407000 +0! +#42407500 +1! +#42408000 +0! +#42408500 +1! +#42409000 +0! +#42409500 +1! +#42410000 +0! +#42410500 +1! +#42411000 +0! +#42411500 +1! +#42412000 +0! +#42412500 +1! +#42413000 +0! +#42413500 +1! +#42414000 +0! +#42414500 +1! +#42415000 +0! +#42415500 +1! +#42416000 +0! +#42416500 +1! +#42417000 +0! +#42417500 +1! +#42418000 +0! +#42418500 +1! +#42419000 +0! +#42419500 +1! +#42420000 +0! +#42420500 +1! +#42421000 +0! +#42421500 +1! +#42422000 +0! +#42422500 +1! +#42423000 +0! +#42423500 +1! +#42424000 +0! +#42424500 +1! +#42425000 +0! +#42425500 +1! +#42426000 +0! +#42426500 +1! +#42427000 +0! +#42427500 +1! +#42428000 +0! +#42428500 +1! +#42429000 +0! +#42429500 +1! +#42430000 +0! +#42430500 +1! +#42431000 +0! +#42431500 +1! +#42432000 +0! +#42432500 +1! +#42433000 +0! +#42433500 +1! +#42434000 +0! +#42434500 +1! +#42435000 +0! +#42435500 +1! +#42436000 +0! +#42436500 +1! +#42437000 +0! +#42437500 +1! +#42438000 +0! +#42438500 +1! +#42439000 +0! +#42439500 +1! +#42440000 +0! +#42440500 +1! +#42441000 +0! +#42441500 +1! +#42442000 +0! +#42442500 +1! +#42443000 +0! +#42443500 +1! +#42444000 +0! +#42444500 +1! +#42445000 +0! +#42445500 +1! +#42446000 +0! +#42446500 +1! +#42447000 +0! +#42447500 +1! +#42448000 +0! +#42448500 +1! +#42449000 +0! +#42449500 +1! +#42450000 +0! +#42450500 +1! +#42451000 +0! +#42451500 +1! +#42452000 +0! +#42452500 +1! +#42453000 +0! +#42453500 +1! +#42454000 +0! +#42454500 +1! +#42455000 +0! +#42455500 +1! +#42456000 +0! +#42456500 +1! +#42457000 +0! +#42457500 +1! +#42458000 +0! +#42458500 +1! +#42459000 +0! +#42459500 +1! +#42460000 +0! +#42460500 +1! +#42461000 +0! +#42461500 +1! +#42462000 +0! +#42462500 +1! +#42463000 +0! +#42463500 +1! +#42464000 +0! +#42464500 +1! +#42465000 +0! +#42465500 +1! +#42466000 +0! +#42466500 +1! +#42467000 +0! +#42467500 +1! +#42468000 +0! +#42468500 +1! +#42469000 +0! +#42469500 +1! +#42470000 +0! +#42470500 +1! +#42471000 +0! +#42471500 +1! +#42472000 +0! +#42472500 +1! +#42473000 +0! +#42473500 +1! +#42474000 +0! +#42474500 +1! +#42475000 +0! +#42475500 +1! +#42476000 +0! +#42476500 +1! +#42477000 +0! +#42477500 +1! +#42478000 +0! +#42478500 +1! +#42479000 +0! +#42479500 +1! +#42480000 +0! +#42480500 +1! +#42481000 +0! +#42481500 +1! +#42482000 +0! +#42482500 +1! +#42483000 +0! +#42483500 +1! +#42484000 +0! +#42484500 +1! +#42485000 +0! +#42485500 +1! +#42486000 +0! +#42486500 +1! +#42487000 +0! +#42487500 +1! +#42488000 +0! +#42488500 +1! +#42489000 +0! +#42489500 +1! +#42490000 +0! +#42490500 +1! +#42491000 +0! +#42491500 +1! +#42492000 +0! +#42492500 +1! +#42493000 +0! +#42493500 +1! +#42494000 +0! +#42494500 +1! +#42495000 +0! +#42495500 +1! +#42496000 +0! +#42496500 +1! +#42497000 +0! +#42497500 +1! +#42498000 +0! +#42498500 +1! +#42499000 +0! +#42499500 +1! +#42500000 +0! +#42500500 +1! +#42501000 +0! +#42501500 +1! +#42502000 +0! +#42502500 +1! +#42503000 +0! +#42503500 +1! +#42504000 +0! +#42504500 +1! +#42505000 +0! +#42505500 +1! +#42506000 +0! +#42506500 +1! +#42507000 +0! +#42507500 +1! +#42508000 +0! +#42508500 +1! +#42509000 +0! +#42509500 +1! +#42510000 +0! +#42510500 +1! +#42511000 +0! +#42511500 +1! +#42512000 +0! +#42512500 +1! +#42513000 +0! +#42513500 +1! +#42514000 +0! +#42514500 +1! +#42515000 +0! +#42515500 +1! +#42516000 +0! +#42516500 +1! +#42517000 +0! +#42517500 +1! +#42518000 +0! +#42518500 +1! +#42519000 +0! +#42519500 +1! +#42520000 +0! +#42520500 +1! +#42521000 +0! +#42521500 +1! +#42522000 +0! +#42522500 +1! +#42523000 +0! +#42523500 +1! +#42524000 +0! +#42524500 +1! +#42525000 +0! +#42525500 +1! +#42526000 +0! +#42526500 +1! +#42527000 +0! +#42527500 +1! +#42528000 +0! +#42528500 +1! +#42529000 +0! +#42529500 +1! +#42530000 +0! +#42530500 +1! +#42531000 +0! +#42531500 +1! +#42532000 +0! +#42532500 +1! +#42533000 +0! +#42533500 +1! +#42534000 +0! +#42534500 +1! +#42535000 +0! +#42535500 +1! +#42536000 +0! +#42536500 +1! +#42537000 +0! +#42537500 +1! +#42538000 +0! +#42538500 +1! +#42539000 +0! +#42539500 +1! +#42540000 +0! +#42540500 +1! +#42541000 +0! +#42541500 +1! +#42542000 +0! +#42542500 +1! +#42543000 +0! +#42543500 +1! +#42544000 +0! +#42544500 +1! +#42545000 +0! +#42545500 +1! +#42546000 +0! +#42546500 +1! +#42547000 +0! +#42547500 +1! +#42548000 +0! +#42548500 +1! +#42549000 +0! +#42549500 +1! +#42550000 +0! +#42550500 +1! +#42551000 +0! +#42551500 +1! +#42552000 +0! +#42552500 +1! +#42553000 +0! +#42553500 +1! +#42554000 +0! +#42554500 +1! +#42555000 +0! +#42555500 +1! +#42556000 +0! +#42556500 +1! +#42557000 +0! +#42557500 +1! +#42558000 +0! +#42558500 +1! +#42559000 +0! +#42559500 +1! +#42560000 +0! +#42560500 +1! +#42561000 +0! +#42561500 +1! +#42562000 +0! +#42562500 +1! +#42563000 +0! +#42563500 +1! +#42564000 +0! +#42564500 +1! +#42565000 +0! +#42565500 +1! +#42566000 +0! +#42566500 +1! +#42567000 +0! +#42567500 +1! +#42568000 +0! +#42568500 +1! +#42569000 +0! +#42569500 +1! +#42570000 +0! +#42570500 +1! +#42571000 +0! +#42571500 +1! +#42572000 +0! +#42572500 +1! +#42573000 +0! +#42573500 +1! +#42574000 +0! +#42574500 +1! +#42575000 +0! +#42575500 +1! +#42576000 +0! +#42576500 +1! +#42577000 +0! +#42577500 +1! +#42578000 +0! +#42578500 +1! +#42579000 +0! +#42579500 +1! +#42580000 +0! +#42580500 +1! +#42581000 +0! +#42581500 +1! +#42582000 +0! +#42582500 +1! +#42583000 +0! +#42583500 +1! +#42584000 +0! +#42584500 +1! +#42585000 +0! +#42585500 +1! +#42586000 +0! +#42586500 +1! +#42587000 +0! +#42587500 +1! +#42588000 +0! +#42588500 +1! +#42589000 +0! +#42589500 +1! +#42590000 +0! +#42590500 +1! +#42591000 +0! +#42591500 +1! +#42592000 +0! +#42592500 +1! +#42593000 +0! +#42593500 +1! +#42594000 +0! +#42594500 +1! +#42595000 +0! +#42595500 +1! +#42596000 +0! +#42596500 +1! +#42597000 +0! +#42597500 +1! +#42598000 +0! +#42598500 +1! +#42599000 +0! +#42599500 +1! +#42600000 +0! +#42600500 +1! +#42601000 +0! +#42601500 +1! +#42602000 +0! +#42602500 +1! +#42603000 +0! +#42603500 +1! +#42604000 +0! +#42604500 +1! +#42605000 +0! +#42605500 +1! +#42606000 +0! +#42606500 +1! +#42607000 +0! +#42607500 +1! +#42608000 +0! +#42608500 +1! +#42609000 +0! +#42609500 +1! +#42610000 +0! +#42610500 +1! +#42611000 +0! +#42611500 +1! +#42612000 +0! +#42612500 +1! +#42613000 +0! +#42613500 +1! +#42614000 +0! +#42614500 +1! +#42615000 +0! +#42615500 +1! +#42616000 +0! +#42616500 +1! +#42617000 +0! +#42617500 +1! +#42618000 +0! +#42618500 +1! +#42619000 +0! +#42619500 +1! +#42620000 +0! +#42620500 +1! +#42621000 +0! +#42621500 +1! +#42622000 +0! +#42622500 +1! +#42623000 +0! +#42623500 +1! +#42624000 +0! +#42624500 +1! +#42625000 +0! +#42625500 +1! +#42626000 +0! +#42626500 +1! +#42627000 +0! +#42627500 +1! +#42628000 +0! +#42628500 +1! +#42629000 +0! +#42629500 +1! +#42630000 +0! +#42630500 +1! +#42631000 +0! +#42631500 +1! +#42632000 +0! +#42632500 +1! +#42633000 +0! +#42633500 +1! +#42634000 +0! +#42634500 +1! +#42635000 +0! +#42635500 +1! +#42636000 +0! +#42636500 +1! +#42637000 +0! +#42637500 +1! +#42638000 +0! +#42638500 +1! +#42639000 +0! +#42639500 +1! +#42640000 +0! +#42640500 +1! +#42641000 +0! +#42641500 +1! +#42642000 +0! +#42642500 +1! +#42643000 +0! +#42643500 +1! +#42644000 +0! +#42644500 +1! +#42645000 +0! +#42645500 +1! +#42646000 +0! +#42646500 +1! +#42647000 +0! +#42647500 +1! +#42648000 +0! +#42648500 +1! +#42649000 +0! +#42649500 +1! +#42650000 +0! +#42650500 +1! +#42651000 +0! +#42651500 +1! +#42652000 +0! +#42652500 +1! +#42653000 +0! +#42653500 +1! +#42654000 +0! +#42654500 +1! +#42655000 +0! +#42655500 +1! +#42656000 +0! +#42656500 +1! +#42657000 +0! +#42657500 +1! +#42658000 +0! +#42658500 +1! +#42659000 +0! +#42659500 +1! +#42660000 +0! +#42660500 +1! +#42661000 +0! +#42661500 +1! +#42662000 +0! +#42662500 +1! +#42663000 +0! +#42663500 +1! +#42664000 +0! +#42664500 +1! +#42665000 +0! +#42665500 +1! +#42666000 +0! +#42666500 +1! +#42667000 +0! +#42667500 +1! +#42668000 +0! +#42668500 +1! +#42669000 +0! +#42669500 +1! +#42670000 +0! +#42670500 +1! +#42671000 +0! +#42671500 +1! +#42672000 +0! +#42672500 +1! +#42673000 +0! +#42673500 +1! +#42674000 +0! +#42674500 +1! +#42675000 +0! +#42675500 +1! +#42676000 +0! +#42676500 +1! +#42677000 +0! +#42677500 +1! +#42678000 +0! +#42678500 +1! +#42679000 +0! +#42679500 +1! +#42680000 +0! +#42680500 +1! +#42681000 +0! +#42681500 +1! +#42682000 +0! +#42682500 +1! +#42683000 +0! +#42683500 +1! +#42684000 +0! +#42684500 +1! +#42685000 +0! +#42685500 +1! +#42686000 +0! +#42686500 +1! +#42687000 +0! +#42687500 +1! +#42688000 +0! +#42688500 +1! +#42689000 +0! +#42689500 +1! +#42690000 +0! +#42690500 +1! +#42691000 +0! +#42691500 +1! +#42692000 +0! +#42692500 +1! +#42693000 +0! +#42693500 +1! +#42694000 +0! +#42694500 +1! +#42695000 +0! +#42695500 +1! +#42696000 +0! +#42696500 +1! +#42697000 +0! +#42697500 +1! +#42698000 +0! +#42698500 +1! +#42699000 +0! +#42699500 +1! +#42700000 +0! +#42700500 +1! +#42701000 +0! +#42701500 +1! +#42702000 +0! +#42702500 +1! +#42703000 +0! +#42703500 +1! +#42704000 +0! +#42704500 +1! +#42705000 +0! +#42705500 +1! +#42706000 +0! +#42706500 +1! +#42707000 +0! +#42707500 +1! +#42708000 +0! +#42708500 +1! +#42709000 +0! +#42709500 +1! +#42710000 +0! +#42710500 +1! +#42711000 +0! +#42711500 +1! +#42712000 +0! +#42712500 +1! +#42713000 +0! +#42713500 +1! +#42714000 +0! +#42714500 +1! +#42715000 +0! +#42715500 +1! +#42716000 +0! +#42716500 +1! +#42717000 +0! +#42717500 +1! +#42718000 +0! +#42718500 +1! +#42719000 +0! +#42719500 +1! +#42720000 +0! +#42720500 +1! +#42721000 +0! +#42721500 +1! +#42722000 +0! +#42722500 +1! +#42723000 +0! +#42723500 +1! +#42724000 +0! +#42724500 +1! +#42725000 +0! +#42725500 +1! +#42726000 +0! +#42726500 +1! +#42727000 +0! +#42727500 +1! +#42728000 +0! +#42728500 +1! +#42729000 +0! +#42729500 +1! +#42730000 +0! +#42730500 +1! +#42731000 +0! +#42731500 +1! +#42732000 +0! +#42732500 +1! +#42733000 +0! +#42733500 +1! +#42734000 +0! +#42734500 +1! +#42735000 +0! +#42735500 +1! +#42736000 +0! +#42736500 +1! +#42737000 +0! +#42737500 +1! +#42738000 +0! +#42738500 +1! +#42739000 +0! +#42739500 +1! +#42740000 +0! +#42740500 +1! +#42741000 +0! +#42741500 +1! +#42742000 +0! +#42742500 +1! +#42743000 +0! +#42743500 +1! +#42744000 +0! +#42744500 +1! +#42745000 +0! +#42745500 +1! +#42746000 +0! +#42746500 +1! +#42747000 +0! +#42747500 +1! +#42748000 +0! +#42748500 +1! +#42749000 +0! +#42749500 +1! +#42750000 +0! +#42750500 +1! +#42751000 +0! +#42751500 +1! +#42752000 +0! +#42752500 +1! +#42753000 +0! +#42753500 +1! +#42754000 +0! +#42754500 +1! +#42755000 +0! +#42755500 +1! +#42756000 +0! +#42756500 +1! +#42757000 +0! +#42757500 +1! +#42758000 +0! +#42758500 +1! +#42759000 +0! +#42759500 +1! +#42760000 +0! +#42760500 +1! +#42761000 +0! +#42761500 +1! +#42762000 +0! +#42762500 +1! +#42763000 +0! +#42763500 +1! +#42764000 +0! +#42764500 +1! +#42765000 +0! +#42765500 +1! +#42766000 +0! +#42766500 +1! +#42767000 +0! +#42767500 +1! +#42768000 +0! +#42768500 +1! +#42769000 +0! +#42769500 +1! +#42770000 +0! +#42770500 +1! +#42771000 +0! +#42771500 +1! +#42772000 +0! +#42772500 +1! +#42773000 +0! +#42773500 +1! +#42774000 +0! +#42774500 +1! +#42775000 +0! +#42775500 +1! +#42776000 +0! +#42776500 +1! +#42777000 +0! +#42777500 +1! +#42778000 +0! +#42778500 +1! +#42779000 +0! +#42779500 +1! +#42780000 +0! +#42780500 +1! +#42781000 +0! +#42781500 +1! +#42782000 +0! +#42782500 +1! +#42783000 +0! +#42783500 +1! +#42784000 +0! +#42784500 +1! +#42785000 +0! +#42785500 +1! +#42786000 +0! +#42786500 +1! +#42787000 +0! +#42787500 +1! +#42788000 +0! +#42788500 +1! +#42789000 +0! +#42789500 +1! +#42790000 +0! +#42790500 +1! +#42791000 +0! +#42791500 +1! +#42792000 +0! +#42792500 +1! +#42793000 +0! +#42793500 +1! +#42794000 +0! +#42794500 +1! +#42795000 +0! +#42795500 +1! +#42796000 +0! +#42796500 +1! +#42797000 +0! +#42797500 +1! +#42798000 +0! +#42798500 +1! +#42799000 +0! +#42799500 +1! +#42800000 +0! +#42800500 +1! +#42801000 +0! +#42801500 +1! +#42802000 +0! +#42802500 +1! +#42803000 +0! +#42803500 +1! +#42804000 +0! +#42804500 +1! +#42805000 +0! +#42805500 +1! +#42806000 +0! +#42806500 +1! +#42807000 +0! +#42807500 +1! +#42808000 +0! +#42808500 +1! +#42809000 +0! +#42809500 +1! +#42810000 +0! +#42810500 +1! +#42811000 +0! +#42811500 +1! +#42812000 +0! +#42812500 +1! +#42813000 +0! +#42813500 +1! +#42814000 +0! +#42814500 +1! +#42815000 +0! +#42815500 +1! +#42816000 +0! +#42816500 +1! +#42817000 +0! +#42817500 +1! +#42818000 +0! +#42818500 +1! +#42819000 +0! +#42819500 +1! +#42820000 +0! +#42820500 +1! +#42821000 +0! +#42821500 +1! +#42822000 +0! +#42822500 +1! +#42823000 +0! +#42823500 +1! +#42824000 +0! +#42824500 +1! +#42825000 +0! +#42825500 +1! +#42826000 +0! +#42826500 +1! +#42827000 +0! +#42827500 +1! +#42828000 +0! +#42828500 +1! +#42829000 +0! +#42829500 +1! +#42830000 +0! +#42830500 +1! +#42831000 +0! +#42831500 +1! +#42832000 +0! +#42832500 +1! +#42833000 +0! +#42833500 +1! +#42834000 +0! +#42834500 +1! +#42835000 +0! +#42835500 +1! +#42836000 +0! +#42836500 +1! +#42837000 +0! +#42837500 +1! +#42838000 +0! +#42838500 +1! +#42839000 +0! +#42839500 +1! +#42840000 +0! +#42840500 +1! +#42841000 +0! +#42841500 +1! +#42842000 +0! +#42842500 +1! +#42843000 +0! +#42843500 +1! +#42844000 +0! +#42844500 +1! +#42845000 +0! +#42845500 +1! +#42846000 +0! +#42846500 +1! +#42847000 +0! +#42847500 +1! +#42848000 +0! +#42848500 +1! +#42849000 +0! +#42849500 +1! +#42850000 +0! +#42850500 +1! +#42851000 +0! +#42851500 +1! +#42852000 +0! +#42852500 +1! +#42853000 +0! +#42853500 +1! +#42854000 +0! +#42854500 +1! +#42855000 +0! +#42855500 +1! +#42856000 +0! +#42856500 +1! +#42857000 +0! +#42857500 +1! +#42858000 +0! +#42858500 +1! +#42859000 +0! +#42859500 +1! +#42860000 +0! +#42860500 +1! +#42861000 +0! +#42861500 +1! +#42862000 +0! +#42862500 +1! +#42863000 +0! +#42863500 +1! +#42864000 +0! +#42864500 +1! +#42865000 +0! +#42865500 +1! +#42866000 +0! +#42866500 +1! +#42867000 +0! +#42867500 +1! +#42868000 +0! +#42868500 +1! +#42869000 +0! +#42869500 +1! +#42870000 +0! +#42870500 +1! +#42871000 +0! +#42871500 +1! +#42872000 +0! +#42872500 +1! +#42873000 +0! +#42873500 +1! +#42874000 +0! +#42874500 +1! +#42875000 +0! +#42875500 +1! +#42876000 +0! +#42876500 +1! +#42877000 +0! +#42877500 +1! +#42878000 +0! +#42878500 +1! +#42879000 +0! +#42879500 +1! +#42880000 +0! +#42880500 +1! +#42881000 +0! +#42881500 +1! +#42882000 +0! +#42882500 +1! +#42883000 +0! +#42883500 +1! +#42884000 +0! +#42884500 +1! +#42885000 +0! +#42885500 +1! +#42886000 +0! +#42886500 +1! +#42887000 +0! +#42887500 +1! +#42888000 +0! +#42888500 +1! +#42889000 +0! +#42889500 +1! +#42890000 +0! +#42890500 +1! +#42891000 +0! +#42891500 +1! +#42892000 +0! +#42892500 +1! +#42893000 +0! +#42893500 +1! +#42894000 +0! +#42894500 +1! +#42895000 +0! +#42895500 +1! +#42896000 +0! +#42896500 +1! +#42897000 +0! +#42897500 +1! +#42898000 +0! +#42898500 +1! +#42899000 +0! +#42899500 +1! +#42900000 +0! +#42900500 +1! +#42901000 +0! +#42901500 +1! +#42902000 +0! +#42902500 +1! +#42903000 +0! +#42903500 +1! +#42904000 +0! +#42904500 +1! +#42905000 +0! +#42905500 +1! +#42906000 +0! +#42906500 +1! +#42907000 +0! +#42907500 +1! +#42908000 +0! +#42908500 +1! +#42909000 +0! +#42909500 +1! +#42910000 +0! +#42910500 +1! +#42911000 +0! +#42911500 +1! +#42912000 +0! +#42912500 +1! +#42913000 +0! +#42913500 +1! +#42914000 +0! +#42914500 +1! +#42915000 +0! +#42915500 +1! +#42916000 +0! +#42916500 +1! +#42917000 +0! +#42917500 +1! +#42918000 +0! +#42918500 +1! +#42919000 +0! +#42919500 +1! +#42920000 +0! +#42920500 +1! +#42921000 +0! +#42921500 +1! +#42922000 +0! +#42922500 +1! +#42923000 +0! +#42923500 +1! +#42924000 +0! +#42924500 +1! +#42925000 +0! +#42925500 +1! +#42926000 +0! +#42926500 +1! +#42927000 +0! +#42927500 +1! +#42928000 +0! +#42928500 +1! +#42929000 +0! +#42929500 +1! +#42930000 +0! +#42930500 +1! +#42931000 +0! +#42931500 +1! +#42932000 +0! +#42932500 +1! +#42933000 +0! +#42933500 +1! +#42934000 +0! +#42934500 +1! +#42935000 +0! +#42935500 +1! +#42936000 +0! +#42936500 +1! +#42937000 +0! +#42937500 +1! +#42938000 +0! +#42938500 +1! +#42939000 +0! +#42939500 +1! +#42940000 +0! +#42940500 +1! +#42941000 +0! +#42941500 +1! +#42942000 +0! +#42942500 +1! +#42943000 +0! +#42943500 +1! +#42944000 +0! +#42944500 +1! +#42945000 +0! +#42945500 +1! +#42946000 +0! +#42946500 +1! +#42947000 +0! +#42947500 +1! +#42948000 +0! +#42948500 +1! +#42949000 +0! +#42949500 +1! +#42950000 +0! +#42950500 +1! +#42951000 +0! +#42951500 +1! +#42952000 +0! +#42952500 +1! +#42953000 +0! +#42953500 +1! +#42954000 +0! +#42954500 +1! +#42955000 +0! +#42955500 +1! +#42956000 +0! +#42956500 +1! +#42957000 +0! +#42957500 +1! +#42958000 +0! +#42958500 +1! +#42959000 +0! +#42959500 +1! +#42960000 +0! +#42960500 +1! +#42961000 +0! +#42961500 +1! +#42962000 +0! +#42962500 +1! +#42963000 +0! +#42963500 +1! +#42964000 +0! +#42964500 +1! +#42965000 +0! +#42965500 +1! +#42966000 +0! +#42966500 +1! +#42967000 +0! +#42967500 +1! +#42968000 +0! +#42968500 +1! +#42969000 +0! +#42969500 +1! +#42970000 +0! +#42970500 +1! +#42971000 +0! +#42971500 +1! +#42972000 +0! +#42972500 +1! +#42973000 +0! +#42973500 +1! +#42974000 +0! +#42974500 +1! +#42975000 +0! +#42975500 +1! +#42976000 +0! +#42976500 +1! +#42977000 +0! +#42977500 +1! +#42978000 +0! +#42978500 +1! +#42979000 +0! +#42979500 +1! +#42980000 +0! +#42980500 +1! +#42981000 +0! +#42981500 +1! +#42982000 +0! +#42982500 +1! +#42983000 +0! +#42983500 +1! +#42984000 +0! +#42984500 +1! +#42985000 +0! +#42985500 +1! +#42986000 +0! +#42986500 +1! +#42987000 +0! +#42987500 +1! +#42988000 +0! +#42988500 +1! +#42989000 +0! +#42989500 +1! +#42990000 +0! +#42990500 +1! +#42991000 +0! +#42991500 +1! +#42992000 +0! +#42992500 +1! +#42993000 +0! +#42993500 +1! +#42994000 +0! +#42994500 +1! +#42995000 +0! +#42995500 +1! +#42996000 +0! +#42996500 +1! +#42997000 +0! +#42997500 +1! +#42998000 +0! +#42998500 +1! +#42999000 +0! +#42999500 +1! +#43000000 +0! +#43000500 +1! +#43001000 +0! +#43001500 +1! +#43002000 +0! +#43002500 +1! +#43003000 +0! +#43003500 +1! +#43004000 +0! +#43004500 +1! +#43005000 +0! +#43005500 +1! +#43006000 +0! +#43006500 +1! +#43007000 +0! +#43007500 +1! +#43008000 +0! +#43008500 +1! +#43009000 +0! +#43009500 +1! +#43010000 +0! +#43010500 +1! +#43011000 +0! +#43011500 +1! +#43012000 +0! +#43012500 +1! +#43013000 +0! +#43013500 +1! +#43014000 +0! +#43014500 +1! +#43015000 +0! +#43015500 +1! +#43016000 +0! +#43016500 +1! +#43017000 +0! +#43017500 +1! +#43018000 +0! +#43018500 +1! +#43019000 +0! +#43019500 +1! +#43020000 +0! +#43020500 +1! +#43021000 +0! +#43021500 +1! +#43022000 +0! +#43022500 +1! +#43023000 +0! +#43023500 +1! +#43024000 +0! +#43024500 +1! +#43025000 +0! +#43025500 +1! +#43026000 +0! +#43026500 +1! +#43027000 +0! +#43027500 +1! +#43028000 +0! +#43028500 +1! +#43029000 +0! +#43029500 +1! +#43030000 +0! +#43030500 +1! +#43031000 +0! +#43031500 +1! +#43032000 +0! +#43032500 +1! +#43033000 +0! +#43033500 +1! +#43034000 +0! +#43034500 +1! +#43035000 +0! +#43035500 +1! +#43036000 +0! +#43036500 +1! +#43037000 +0! +#43037500 +1! +#43038000 +0! +#43038500 +1! +#43039000 +0! +#43039500 +1! +#43040000 +0! +#43040500 +1! +#43041000 +0! +#43041500 +1! +#43042000 +0! +#43042500 +1! +#43043000 +0! +#43043500 +1! +#43044000 +0! +#43044500 +1! +#43045000 +0! +#43045500 +1! +#43046000 +0! +#43046500 +1! +#43047000 +0! +#43047500 +1! +#43048000 +0! +#43048500 +1! +#43049000 +0! +#43049500 +1! +#43050000 +0! +#43050500 +1! +#43051000 +0! +#43051500 +1! +#43052000 +0! +#43052500 +1! +#43053000 +0! +#43053500 +1! +#43054000 +0! +#43054500 +1! +#43055000 +0! +#43055500 +1! +#43056000 +0! +#43056500 +1! +#43057000 +0! +#43057500 +1! +#43058000 +0! +#43058500 +1! +#43059000 +0! +#43059500 +1! +#43060000 +0! +#43060500 +1! +#43061000 +0! +#43061500 +1! +#43062000 +0! +#43062500 +1! +#43063000 +0! +#43063500 +1! +#43064000 +0! +#43064500 +1! +#43065000 +0! +#43065500 +1! +#43066000 +0! +#43066500 +1! +#43067000 +0! +#43067500 +1! +#43068000 +0! +#43068500 +1! +#43069000 +0! +#43069500 +1! +#43070000 +0! +#43070500 +1! +#43071000 +0! +#43071500 +1! +#43072000 +0! +#43072500 +1! +#43073000 +0! +#43073500 +1! +#43074000 +0! +#43074500 +1! +#43075000 +0! +#43075500 +1! +#43076000 +0! +#43076500 +1! +#43077000 +0! +#43077500 +1! +#43078000 +0! +#43078500 +1! +#43079000 +0! +#43079500 +1! +#43080000 +0! +#43080500 +1! +#43081000 +0! +#43081500 +1! +#43082000 +0! +#43082500 +1! +#43083000 +0! +#43083500 +1! +#43084000 +0! +#43084500 +1! +#43085000 +0! +#43085500 +1! +#43086000 +0! +#43086500 +1! +#43087000 +0! +#43087500 +1! +#43088000 +0! +#43088500 +1! +#43089000 +0! +#43089500 +1! +#43090000 +0! +#43090500 +1! +#43091000 +0! +#43091500 +1! +#43092000 +0! +#43092500 +1! +#43093000 +0! +#43093500 +1! +#43094000 +0! +#43094500 +1! +#43095000 +0! +#43095500 +1! +#43096000 +0! +#43096500 +1! +#43097000 +0! +#43097500 +1! +#43098000 +0! +#43098500 +1! +#43099000 +0! +#43099500 +1! +#43100000 +0! +#43100500 +1! +#43101000 +0! +#43101500 +1! +#43102000 +0! +#43102500 +1! +#43103000 +0! +#43103500 +1! +#43104000 +0! +#43104500 +1! +#43105000 +0! +#43105500 +1! +#43106000 +0! +#43106500 +1! +#43107000 +0! +#43107500 +1! +#43108000 +0! +#43108500 +1! +#43109000 +0! +#43109500 +1! +#43110000 +0! +#43110500 +1! +#43111000 +0! +#43111500 +1! +#43112000 +0! +#43112500 +1! +#43113000 +0! +#43113500 +1! +#43114000 +0! +#43114500 +1! +#43115000 +0! +#43115500 +1! +#43116000 +0! +#43116500 +1! +#43117000 +0! +#43117500 +1! +#43118000 +0! +#43118500 +1! +#43119000 +0! +#43119500 +1! +#43120000 +0! +#43120500 +1! +#43121000 +0! +#43121500 +1! +#43122000 +0! +#43122500 +1! +#43123000 +0! +#43123500 +1! +#43124000 +0! +#43124500 +1! +#43125000 +0! +#43125500 +1! +#43126000 +0! +#43126500 +1! +#43127000 +0! +#43127500 +1! +#43128000 +0! +#43128500 +1! +#43129000 +0! +#43129500 +1! +#43130000 +0! +#43130500 +1! +#43131000 +0! +#43131500 +1! +#43132000 +0! +#43132500 +1! +#43133000 +0! +#43133500 +1! +#43134000 +0! +#43134500 +1! +#43135000 +0! +#43135500 +1! +#43136000 +0! +#43136500 +1! +#43137000 +0! +#43137500 +1! +#43138000 +0! +#43138500 +1! +#43139000 +0! +#43139500 +1! +#43140000 +0! +#43140500 +1! +#43141000 +0! +#43141500 +1! +#43142000 +0! +#43142500 +1! +#43143000 +0! +#43143500 +1! +#43144000 +0! +#43144500 +1! +#43145000 +0! +#43145500 +1! +#43146000 +0! +#43146500 +1! +#43147000 +0! +#43147500 +1! +#43148000 +0! +#43148500 +1! +#43149000 +0! +#43149500 +1! +#43150000 +0! +#43150500 +1! +#43151000 +0! +#43151500 +1! +#43152000 +0! +#43152500 +1! +#43153000 +0! +#43153500 +1! +#43154000 +0! +#43154500 +1! +#43155000 +0! +#43155500 +1! +#43156000 +0! +#43156500 +1! +#43157000 +0! +#43157500 +1! +#43158000 +0! +#43158500 +1! +#43159000 +0! +#43159500 +1! +#43160000 +0! +#43160500 +1! +#43161000 +0! +#43161500 +1! +#43162000 +0! +#43162500 +1! +#43163000 +0! +#43163500 +1! +#43164000 +0! +#43164500 +1! +#43165000 +0! +#43165500 +1! +#43166000 +0! +#43166500 +1! +#43167000 +0! +#43167500 +1! +#43168000 +0! +#43168500 +1! +#43169000 +0! +#43169500 +1! +#43170000 +0! +#43170500 +1! +#43171000 +0! +#43171500 +1! +#43172000 +0! +#43172500 +1! +#43173000 +0! +#43173500 +1! +#43174000 +0! +#43174500 +1! +#43175000 +0! +#43175500 +1! +#43176000 +0! +#43176500 +1! +#43177000 +0! +#43177500 +1! +#43178000 +0! +#43178500 +1! +#43179000 +0! +#43179500 +1! +#43180000 +0! +#43180500 +1! +#43181000 +0! +#43181500 +1! +#43182000 +0! +#43182500 +1! +#43183000 +0! +#43183500 +1! +#43184000 +0! +#43184500 +1! +#43185000 +0! +#43185500 +1! +#43186000 +0! +#43186500 +1! +#43187000 +0! +#43187500 +1! +#43188000 +0! +#43188500 +1! +#43189000 +0! +#43189500 +1! +#43190000 +0! +#43190500 +1! +#43191000 +0! +#43191500 +1! +#43192000 +0! +#43192500 +1! +#43193000 +0! +#43193500 +1! +#43194000 +0! +#43194500 +1! +#43195000 +0! +#43195500 +1! +#43196000 +0! +#43196500 +1! +#43197000 +0! +#43197500 +1! +#43198000 +0! +#43198500 +1! +#43199000 +0! +#43199500 +1! +#43200000 +0! +#43200500 +1! +#43201000 +0! +#43201500 +1! +#43202000 +0! +#43202500 +1! +#43203000 +0! +#43203500 +1! +#43204000 +0! +#43204500 +1! +#43205000 +0! +#43205500 +1! +#43206000 +0! +#43206500 +1! +#43207000 +0! +#43207500 +1! +#43208000 +0! +#43208500 +1! +#43209000 +0! +#43209500 +1! +#43210000 +0! +#43210500 +1! +#43211000 +0! +#43211500 +1! +#43212000 +0! +#43212500 +1! +#43213000 +0! +#43213500 +1! +#43214000 +0! +#43214500 +1! +#43215000 +0! +#43215500 +1! +#43216000 +0! +#43216500 +1! +#43217000 +0! +#43217500 +1! +#43218000 +0! +#43218500 +1! +#43219000 +0! +#43219500 +1! +#43220000 +0! +#43220500 +1! +#43221000 +0! +#43221500 +1! +#43222000 +0! +#43222500 +1! +#43223000 +0! +#43223500 +1! +#43224000 +0! +#43224500 +1! +#43225000 +0! +#43225500 +1! +#43226000 +0! +#43226500 +1! +#43227000 +0! +#43227500 +1! +#43228000 +0! +#43228500 +1! +#43229000 +0! +#43229500 +1! +#43230000 +0! +#43230500 +1! +#43231000 +0! +#43231500 +1! +#43232000 +0! +#43232500 +1! +#43233000 +0! +#43233500 +1! +#43234000 +0! +#43234500 +1! +#43235000 +0! +#43235500 +1! +#43236000 +0! +#43236500 +1! +#43237000 +0! +#43237500 +1! +#43238000 +0! +#43238500 +1! +#43239000 +0! +#43239500 +1! +#43240000 +0! +#43240500 +1! +#43241000 +0! +#43241500 +1! +#43242000 +0! +#43242500 +1! +#43243000 +0! +#43243500 +1! +#43244000 +0! +#43244500 +1! +#43245000 +0! +#43245500 +1! +#43246000 +0! +#43246500 +1! +#43247000 +0! +#43247500 +1! +#43248000 +0! +#43248500 +1! +#43249000 +0! +#43249500 +1! +#43250000 +0! +#43250500 +1! +#43251000 +0! +#43251500 +1! +#43252000 +0! +#43252500 +1! +#43253000 +0! +#43253500 +1! +#43254000 +0! +#43254500 +1! +#43255000 +0! +#43255500 +1! +#43256000 +0! +#43256500 +1! +#43257000 +0! +#43257500 +1! +#43258000 +0! +#43258500 +1! +#43259000 +0! +#43259500 +1! +#43260000 +0! +#43260500 +1! +#43261000 +0! +#43261500 +1! +#43262000 +0! +#43262500 +1! +#43263000 +0! +#43263500 +1! +#43264000 +0! +#43264500 +1! +#43265000 +0! +#43265500 +1! +#43266000 +0! +#43266500 +1! +#43267000 +0! +#43267500 +1! +#43268000 +0! +#43268500 +1! +#43269000 +0! +#43269500 +1! +#43270000 +0! +#43270500 +1! +#43271000 +0! +#43271500 +1! +#43272000 +0! +#43272500 +1! +#43273000 +0! +#43273500 +1! +#43274000 +0! +#43274500 +1! +#43275000 +0! +#43275500 +1! +#43276000 +0! +#43276500 +1! +#43277000 +0! +#43277500 +1! +#43278000 +0! +#43278500 +1! +#43279000 +0! +#43279500 +1! +#43280000 +0! +#43280500 +1! +#43281000 +0! +#43281500 +1! +#43282000 +0! +#43282500 +1! +#43283000 +0! +#43283500 +1! +#43284000 +0! +#43284500 +1! +#43285000 +0! +#43285500 +1! +#43286000 +0! +#43286500 +1! +#43287000 +0! +#43287500 +1! +#43288000 +0! +#43288500 +1! +#43289000 +0! +#43289500 +1! +#43290000 +0! +#43290500 +1! +#43291000 +0! +#43291500 +1! +#43292000 +0! +#43292500 +1! +#43293000 +0! +#43293500 +1! +#43294000 +0! +#43294500 +1! +#43295000 +0! +#43295500 +1! +#43296000 +0! +#43296500 +1! +#43297000 +0! +#43297500 +1! +#43298000 +0! +#43298500 +1! +#43299000 +0! +#43299500 +1! +#43300000 +0! +#43300500 +1! +#43301000 +0! +#43301500 +1! +#43302000 +0! +#43302500 +1! +#43303000 +0! +#43303500 +1! +#43304000 +0! +#43304500 +1! +#43305000 +0! +#43305500 +1! +#43306000 +0! +#43306500 +1! +#43307000 +0! +#43307500 +1! +#43308000 +0! +#43308500 +1! +#43309000 +0! +#43309500 +1! +#43310000 +0! +#43310500 +1! +#43311000 +0! +#43311500 +1! +#43312000 +0! +#43312500 +1! +#43313000 +0! +#43313500 +1! +#43314000 +0! +#43314500 +1! +#43315000 +0! +#43315500 +1! +#43316000 +0! +#43316500 +1! +#43317000 +0! +#43317500 +1! +#43318000 +0! +#43318500 +1! +#43319000 +0! +#43319500 +1! +#43320000 +0! +#43320500 +1! +#43321000 +0! +#43321500 +1! +#43322000 +0! +#43322500 +1! +#43323000 +0! +#43323500 +1! +#43324000 +0! +#43324500 +1! +#43325000 +0! +#43325500 +1! +#43326000 +0! +#43326500 +1! +#43327000 +0! +#43327500 +1! +#43328000 +0! +#43328500 +1! +#43329000 +0! +#43329500 +1! +#43330000 +0! +#43330500 +1! +#43331000 +0! +#43331500 +1! +#43332000 +0! +#43332500 +1! +#43333000 +0! +#43333500 +1! +#43334000 +0! +#43334500 +1! +#43335000 +0! +#43335500 +1! +#43336000 +0! +#43336500 +1! +#43337000 +0! +#43337500 +1! +#43338000 +0! +#43338500 +1! +#43339000 +0! +#43339500 +1! +#43340000 +0! +#43340500 +1! +#43341000 +0! +#43341500 +1! +#43342000 +0! +#43342500 +1! +#43343000 +0! +#43343500 +1! +#43344000 +0! +#43344500 +1! +#43345000 +0! +#43345500 +1! +#43346000 +0! +#43346500 +1! +#43347000 +0! +#43347500 +1! +#43348000 +0! +#43348500 +1! +#43349000 +0! +#43349500 +1! +#43350000 +0! +#43350500 +1! +#43351000 +0! +#43351500 +1! +#43352000 +0! +#43352500 +1! +#43353000 +0! +#43353500 +1! +#43354000 +0! +#43354500 +1! +#43355000 +0! +#43355500 +1! +#43356000 +0! +#43356500 +1! +#43357000 +0! +#43357500 +1! +#43358000 +0! +#43358500 +1! +#43359000 +0! +#43359500 +1! +#43360000 +0! +#43360500 +1! +#43361000 +0! +#43361500 +1! +#43362000 +0! +#43362500 +1! +#43363000 +0! +#43363500 +1! +#43364000 +0! +#43364500 +1! +#43365000 +0! +#43365500 +1! +#43366000 +0! +#43366500 +1! +#43367000 +0! +#43367500 +1! +#43368000 +0! +#43368500 +1! +#43369000 +0! +#43369500 +1! +#43370000 +0! +#43370500 +1! +#43371000 +0! +#43371500 +1! +#43372000 +0! +#43372500 +1! +#43373000 +0! +#43373500 +1! +#43374000 +0! +#43374500 +1! +#43375000 +0! +#43375500 +1! +#43376000 +0! +#43376500 +1! +#43377000 +0! +#43377500 +1! +#43378000 +0! +#43378500 +1! +#43379000 +0! +#43379500 +1! +#43380000 +0! +#43380500 +1! +#43381000 +0! +#43381500 +1! +#43382000 +0! +#43382500 +1! +#43383000 +0! +#43383500 +1! +#43384000 +0! +#43384500 +1! +#43385000 +0! +#43385500 +1! +#43386000 +0! +#43386500 +1! +#43387000 +0! +#43387500 +1! +#43388000 +0! +#43388500 +1! +#43388600 diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key new file mode 100644 index 00000000..a3abe509 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key @@ -0,0 +1 @@ +exit diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log new file mode 100644 index 00000000..420a1b9e --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log @@ -0,0 +1,118 @@ +ncverilog: v03.40.(b001): (c) Copyright 1995 - 2001 Cadence Design Systems, Inc. +ncverilog: v03.40.(b001): Started on Jun 15, 2002 at 13:36:36 +ncverilog + +access+rwc + +linedebug + +define+"WAVES" + +incdir+../../../../bench/verilog + +incdir+../../../../rtl/verilog + +libext+.v + -y + /tools/synopsys/dw/sim_ver/ + ../../../../rtl/verilog/i2c_master_bit_ctrl.v + ../../../../rtl/verilog/i2c_master_byte_ctrl.v + ../../../../rtl/verilog/i2c_master_top.v + ../../../../bench/verilog/i2c_slave_model.v + ../../../../bench/verilog/wb_master_model.v + ../../../../bench/verilog/tst_bench_top.v + +ncverilog: *W,BADPRF: The +linedebug option may have an adverse performance impact. +file: ../../../../rtl/verilog/i2c_master_bit_ctrl.v + module worklib.i2c_master_bit_ctrl:v (up-to-date) + errors: 0, warnings: 0 +file: ../../../../rtl/verilog/i2c_master_byte_ctrl.v + module worklib.i2c_master_byte_ctrl:v (up-to-date) + errors: 0, warnings: 0 +file: ../../../../rtl/verilog/i2c_master_top.v + module worklib.i2c_master_top:v (up-to-date) + errors: 0, warnings: 0 +file: ../../../../bench/verilog/i2c_slave_model.v + module worklib.i2c_slave_model:v (up-to-date) + errors: 0, warnings: 0 +file: ../../../../bench/verilog/wb_master_model.v + module worklib.wb_master_model:v (up-to-date) + errors: 0, warnings: 0 +file: ../../../../bench/verilog/tst_bench_top.v + module worklib.tst_bench_top:v + errors: 0, warnings: 0 +ncvlog: *W,LIBNOU: Library "/tools/synopsys/dw/sim_ver/" given but not used. + Total errors/warnings found outside modules and primitives: + errors: 0, warnings: 1 + Caching library 'worklib' ....... Done + Elaborating the design hierarchy: + Building instance overlay tables: .................... Done + Generating native compiled code: + worklib.tst_bench_top:v <0x7fb52c98> + streams: 12, words: 59009 + Loading native compiled code: .................... Done + Building instance specific data structures. + Design hierarchy summary: + Instances Unique + Modules: 6 6 + Primitives: 2 1 + Registers: 68 68 + Scalar wires: 48 - + Expanded wires: 36 2 + Vectored wires: 6 - + Always blocks: 23 23 + Initial blocks: 3 3 + Cont. assignments: 28 28 + Pseudo assignments: 11 14 + Simulation timescale: 10ps + Writing initial simulation snapshot: worklib.tst_bench_top:v +Loading snapshot worklib.tst_bench_top:v .................... Done +ncsim> source /cds/tools/inca/files/ncsimrc +ncsim> run +INFO: Signal dump enabled ... + + + +status: 0 Testbench started + + + +INFO: WISHBONE MASTER MODEL INSTANTIATED (tst_bench_top.u0) + +status: 19500 done reset +status: 23600 programmed registers +status: 25600 verified registers +status: 27600 enabled core +status: 30600 generate 'start', write cmd a0 (slave address+write) +status: 2582600 tip==0 +status: 2585600 write slave memory address 01 +status: 4877600 tip==0 +status: 4880600 write data a5 +status: 7172600 tip==0 +status: 7175600 write next data 5a, generate 'stop' +status: 9467600 tip==0 +status: 19467600 wait 100us +status: 19470600 generate 'start', write cmd a0 (slave address+write) +status: 22014600 tip==0 +status: 22017600 write slave address 01 +status: 24309600 tip==0 +status: 24312600 generate 'repeated start', write cmd a1 (slave address+read) +status: 26858600 tip==0 +status: 26860600 read + ack +status: 29154600 tip==0 +status: 29158600 read + ack +status: 31448600 tip==0 +status: 31452600 read + ack +status: 33744600 tip==0 +status: 33746600 received xx from 3rd read address +status: 33748600 read + nack +status: 36038600 tip==0 +status: 36040600 received xx from 4th read address +status: 36043600 generate 'start', write cmd a0 (slave address+write). Check invalid address +status: 38589600 tip==0 +status: 38592600 write slave memory address 10 +status: 40884600 tip==0 +status: 40884600 Check for nack +status: 40886600 generate 'stop' +status: 40888600 tip==0 + + +status: 43388600 Testbench done +Simulation stopped via $stop(1) at time 433886 NS + 0 +/mnt/pooh/projects/I2C/bench/verilog/tst_bench_top.v:427 $stop; +ncsim> exit +ncverilog: v03.40.(b001): Exiting on Jun 15, 2002 at 13:47:48 (total: 00:11:12) diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run new file mode 100755 index 00000000..41bcaab3 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run @@ -0,0 +1,25 @@ +#!/bin/csh + +set i2c = ../../.. +set bench = $i2c/bench +set wave_dir = $i2c/sim/rtl_sim/i2c_verilog/waves + +ncverilog \ + \ + +access+rwc \ + +define+WAVES \ + \ + +incdir+$bench/verilog \ + +incdir+$i2c/rtl/verilog \ + \ + $i2c/rtl/verilog/i2c_master_bit_ctrl.v \ + $i2c/rtl/verilog/i2c_master_byte_ctrl.v \ + $i2c/rtl/verilog/i2c_master_top.v \ + \ + $bench/verilog/i2c_slave_model.v \ + $bench/verilog/wb_master_model.v \ + $bench/verilog/tst_bench_top.v + + + + diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository new file mode 100644 index 00000000..94d106eb --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository @@ -0,0 +1 @@ +i2c/sim/i2c_verilog/run/waves diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Template b/usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/software/CVS/Entries b/usrp2/fpga/opencores/i2c/software/CVS/Entries new file mode 100644 index 00000000..93461347 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/CVS/Entries @@ -0,0 +1,2 @@ +D/drivers//// +D/include//// diff --git a/usrp2/fpga/opencores/i2c/software/CVS/Repository b/usrp2/fpga/opencores/i2c/software/CVS/Repository new file mode 100644 index 00000000..1b4c9f0b --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/CVS/Repository @@ -0,0 +1 @@ +i2c/software diff --git a/usrp2/fpga/opencores/i2c/software/CVS/Root b/usrp2/fpga/opencores/i2c/software/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/software/CVS/Template b/usrp2/fpga/opencores/i2c/software/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository new file mode 100644 index 00000000..260d7873 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository @@ -0,0 +1 @@ +i2c/software/drivers diff --git a/usrp2/fpga/opencores/i2c/software/drivers/CVS/Root b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/software/drivers/CVS/Template b/usrp2/fpga/opencores/i2c/software/drivers/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/software/include/CVS/Entries b/usrp2/fpga/opencores/i2c/software/include/CVS/Entries new file mode 100644 index 00000000..ef11b0c7 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/include/CVS/Entries @@ -0,0 +1,2 @@ +/oc_i2c_master.h/1.1/Thu Nov 22 10:02:19 2001// +D diff --git a/usrp2/fpga/opencores/i2c/software/include/CVS/Repository b/usrp2/fpga/opencores/i2c/software/include/CVS/Repository new file mode 100644 index 00000000..2ea08eee --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/include/CVS/Repository @@ -0,0 +1 @@ +i2c/software/include diff --git a/usrp2/fpga/opencores/i2c/software/include/CVS/Root b/usrp2/fpga/opencores/i2c/software/include/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/include/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/software/include/CVS/Template b/usrp2/fpga/opencores/i2c/software/include/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h b/usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h new file mode 100644 index 00000000..7f7cfc41 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h @@ -0,0 +1,102 @@ +/* +///////////////////////////////////////////////////////////////////// +//// //// +//// Include file for OpenCores I2C Master core //// +//// //// +//// File : oc_i2c_master.h //// +//// Function: c-include file //// +//// //// +//// Authors: Richard Herveille (richard@asics.ws) //// +//// Filip Miletic //// +//// //// +//// www.opencores.org //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// Filip Miletic //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// +*/ + +/* + * Definitions for the Opencores i2c master core + */ + +/* --- Definitions for i2c master's registers --- */ + +/* ----- Read-write access */ + +#define OC_I2C_PRER_LO 0x00 /* Low byte clock prescaler register */ +#define OC_I2C_PRER_HI 0x01 /* High byte clock prescaler register */ +#define OC_I2C_CTR 0x02 /* Control register */ + +/* ----- Write-only registers */ + +#define OC_I2C_TXR 0x03 /* Transmit byte register */ +#define OC_I2C_CR 0x04 /* Command register */ + +/* ----- Read-only registers */ + +#define OC_I2C_RXR 0x03 /* Receive byte register */ +#define OC_I2C_SR 0x04 /* Status register */ + +/* ----- Bits definition */ + +/* ----- Control register */ + +#define OC_I2C_EN (1<<7) /* Core enable bit: */ + /* 1 - core is enabled */ + /* 0 - core is disabled */ +#define OC_I2C_IEN (1<<6) /* Interrupt enable bit */ + /* 1 - Interrupt enabled */ + /* 0 - Interrupt disabled */ + /* Other bits in CR are reserved */ + +/* ----- Command register bits */ + +#define OC_I2C_STA (1<<7) /* Generate (repeated) start condition*/ +#define OC_I2C_STO (1<<6) /* Generate stop condition */ +#define OC_I2C_RD (1<<5) /* Read from slave */ +#define OC_I2C_WR (1<<4) /* Write to slave */ +#define OC_I2C_ACK (1<<3) /* Acknowledge from slave */ + /* 1 - ACK */ + /* 0 - NACK */ +#define OC_I2C_IACK (1<<0) /* Interrupt acknowledge */ + +/* ----- Status register bits */ + +#define OC_I2C_RXACK (1<<7) /* ACK received from slave */ + /* 1 - ACK */ + /* 0 - NACK */ +#define OC_I2C_BUSY (1<<6) /* Busy bit */ +#define OC_I2C_TIP (1<<1) /* Transfer in progress */ +#define OC_I2C_IF (1<<0) /* Interrupt flag */ + +/* bit testing and setting macros */ + +#define OC_ISSET(reg,bitmask) ((reg)&(bitmask)) +#define OC_ISCLEAR(reg,bitmask) (!(OC_ISSET(reg,bitmask))) +#define OC_BITSET(reg,bitmask) ((reg)|(bitmask)) +#define OC_BITCLEAR(reg,bitmask) ((reg)|(~(bitmask))) +#define OC_BITTOGGLE(reg,bitmask) ((reg)^(bitmask)) +#define OC_REGMOVE(reg,value) ((reg)=(value)) \ No newline at end of file diff --git a/usrp2/fpga/opencores/i2c/verilog/CVS/Entries b/usrp2/fpga/opencores/i2c/verilog/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/verilog/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/i2c/verilog/CVS/Repository b/usrp2/fpga/opencores/i2c/verilog/CVS/Repository new file mode 100644 index 00000000..acc23265 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/verilog/CVS/Repository @@ -0,0 +1 @@ +i2c/verilog diff --git a/usrp2/fpga/opencores/i2c/verilog/CVS/Root b/usrp2/fpga/opencores/i2c/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/verilog/CVS/Template b/usrp2/fpga/opencores/i2c/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/vhdl/CVS/Entries b/usrp2/fpga/opencores/i2c/vhdl/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/vhdl/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/i2c/vhdl/CVS/Repository b/usrp2/fpga/opencores/i2c/vhdl/CVS/Repository new file mode 100644 index 00000000..8ee00a78 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/vhdl/CVS/Repository @@ -0,0 +1 @@ +i2c/vhdl diff --git a/usrp2/fpga/opencores/i2c/vhdl/CVS/Root b/usrp2/fpga/opencores/i2c/vhdl/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/vhdl/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/vhdl/CVS/Template b/usrp2/fpga/opencores/i2c/vhdl/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v b/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v new file mode 100644 index 00000000..2d26cdc0 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v @@ -0,0 +1,134 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RxFifo.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized RxFifo wrapper. Min depth = 2, Max depth = 65536 +//// fifo read access via bus interface, fifo write access is direct +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" + +module RxFifo( + busClk, + spiSysClk, + rstSyncToBusClk, + rstSyncToSpiClk, + fifoWEn, + fifoFull, + busAddress, + busWriteEn, + busStrobe_i, + busFifoSelect, + busDataIn, + busDataOut, + fifoDataIn ); + //FIFO_DEPTH = 2^ADDR_WIDTH + parameter FIFO_DEPTH = 64; + parameter ADDR_WIDTH = 6; + +input busClk; +input spiSysClk; +input rstSyncToBusClk; +input rstSyncToSpiClk; +input fifoWEn; +output fifoFull; +input [2:0] busAddress; +input busWriteEn; +input busStrobe_i; +input busFifoSelect; +input [7:0] busDataIn; +output [7:0] busDataOut; +input [7:0] fifoDataIn; + +wire busClk; +wire spiSysClk; +wire rstSyncToBusClk; +wire rstSyncToSpiClk; +wire fifoWEn; +wire fifoFull; +wire [2:0] busAddress; +wire busWriteEn; +wire busStrobe_i; +wire busFifoSelect; +wire [7:0] busDataIn; +wire [7:0] busDataOut; +wire [7:0] fifoDataIn; + +//internal wires and regs +wire [7:0] dataFromFifoToBus; +wire fifoREn; +wire forceEmptySyncToBusClk; +wire forceEmptySyncToSpiClk; +wire [15:0] numElementsInFifo; +wire fifoEmpty; //not used + +fifoRTL #(8, FIFO_DEPTH, ADDR_WIDTH) u_fifo( + .wrClk(spiSysClk), + .rdClk(busClk), + .rstSyncToWrClk(rstSyncToSpiClk), + .rstSyncToRdClk(rstSyncToBusClk), + .dataIn(fifoDataIn), + .dataOut(dataFromFifoToBus), + .fifoWEn(fifoWEn), + .fifoREn(fifoREn), + .fifoFull(fifoFull), + .fifoEmpty(fifoEmpty), + .forceEmptySyncToWrClk(forceEmptySyncToSpiClk), + .forceEmptySyncToRdClk(forceEmptySyncToBusClk), + .numElementsInFifo(numElementsInFifo) ); + +RxfifoBI u_RxfifoBI( + .address(busAddress), + .writeEn(busWriteEn), + .strobe_i(busStrobe_i), + .busClk(busClk), + .spiSysClk(spiSysClk), + .rstSyncToBusClk(rstSyncToBusClk), + .fifoSelect(busFifoSelect), + .fifoDataIn(dataFromFifoToBus), + .busDataIn(busDataIn), + .busDataOut(busDataOut), + .fifoREn(fifoREn), + .forceEmptySyncToBusClk(forceEmptySyncToBusClk), + .forceEmptySyncToSpiClk(forceEmptySyncToSpiClk), + .numElementsInFifo(numElementsInFifo) + ); + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v b/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v new file mode 100644 index 00000000..e7314750 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v @@ -0,0 +1,149 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RxfifoBI.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module RxfifoBI ( + address, + writeEn, + strobe_i, + busClk, + spiSysClk, + rstSyncToBusClk, + fifoSelect, + fifoDataIn, + busDataIn, + busDataOut, + fifoREn, + forceEmptySyncToSpiClk, + forceEmptySyncToBusClk, + numElementsInFifo + ); +input [2:0] address; +input writeEn; +input strobe_i; +input busClk; +input spiSysClk; +input rstSyncToBusClk; +input [7:0] fifoDataIn; +input [7:0] busDataIn; +output [7:0] busDataOut; +output fifoREn; +output forceEmptySyncToSpiClk; +output forceEmptySyncToBusClk; +input [15:0] numElementsInFifo; +input fifoSelect; + + +wire [2:0] address; +wire writeEn; +wire strobe_i; +wire busClk; +wire spiSysClk; +wire rstSyncToBusClk; +wire [7:0] fifoDataIn; +wire [7:0] busDataIn; +reg [7:0] busDataOut; +reg fifoREn; +reg forceEmptySyncToSpiClk; +wire forceEmptySyncToBusClk; +wire [15:0] numElementsInFifo; +wire fifoSelect; + +reg [5:0] forceEmptyShift; +reg forceEmpty; +reg forceEmptySyncToUsbClkFirst; + +//sync write +always @(posedge busClk) +begin + if (writeEn == 1'b1 && fifoSelect == 1'b1 && + address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1) + forceEmpty <= 1'b1; + else + forceEmpty <= 1'b0; +end + +//generate 'forceEmptySyncToBusClk' +//assuming that 'busClk' < 5 * 'spiSysClk'. ie 'busClk' < 240MHz +always @(posedge busClk) begin + if (rstSyncToBusClk == 1'b1) + forceEmptyShift <= 6'b000000; + else begin + if (forceEmpty == 1'b1) + forceEmptyShift <= 6'b111111; + else + forceEmptyShift <= {1'b0, forceEmptyShift[5:1]}; + end +end +assign forceEmptySyncToBusClk = forceEmptyShift[0]; + +// double sync across clock domains to generate 'forceEmptySyncToWrClk' +always @(posedge spiSysClk) begin + forceEmptySyncToUsbClkFirst <= forceEmptySyncToBusClk; + forceEmptySyncToSpiClk <= forceEmptySyncToUsbClkFirst; +end + +// async read mux +always @(address or fifoDataIn or numElementsInFifo) +begin + case (address) + `FIFO_DATA_REG : busDataOut <= fifoDataIn; + `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8]; + `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0]; + default: busDataOut <= 8'h00; + endcase +end + +//generate fifo read strobe +always @(address or writeEn or strobe_i or fifoSelect) begin + if (address == `FIFO_DATA_REG && writeEn == 1'b0 && + strobe_i == 1'b1 && fifoSelect == 1'b1) + fifoREn <= 1'b1; + else + fifoREn <= 1'b0; +end + + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v b/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v new file mode 100644 index 00000000..12e11565 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v @@ -0,0 +1,132 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// TxFifo.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized TxFifo wrapper. Min depth = 2, Max depth = 65536 +//// fifo write access via bus interface, fifo read access is direct +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" + +module TxFifo( + busClk, + spiSysClk, + rstSyncToBusClk, + rstSyncToSpiClk, + fifoREn, + fifoEmpty, + busAddress, + busWriteEn, + busStrobe_i, + busFifoSelect, + busDataIn, + busDataOut, + fifoDataOut ); + //FIFO_DEPTH = 2^ADDR_WIDTH + parameter FIFO_DEPTH = 64; + parameter ADDR_WIDTH = 6; + +input busClk; +input spiSysClk; +input rstSyncToBusClk; +input rstSyncToSpiClk; +input fifoREn; +output fifoEmpty; +input [2:0] busAddress; +input busWriteEn; +input busStrobe_i; +input busFifoSelect; +input [7:0] busDataIn; +output [7:0] busDataOut; +output [7:0] fifoDataOut; + +wire busClk; +wire spiSysClk; +wire rstSyncToBusClk; +wire rstSyncToSpiClk; +wire fifoREn; +wire fifoEmpty; +wire [2:0] busAddress; +wire busWriteEn; +wire busStrobe_i; +wire busFifoSelect; +wire [7:0] busDataIn; +wire [7:0] busDataOut; +wire [7:0] fifoDataOut; + +//internal wires and regs +wire fifoWEn; +wire forceEmptySyncToSpiClk; +wire forceEmptySyncToBusClk; +wire [15:0] numElementsInFifo; +wire fifoFull; + +fifoRTL #(8, FIFO_DEPTH, ADDR_WIDTH) u_fifo( + .wrClk(busClk), + .rdClk(spiSysClk), + .rstSyncToWrClk(rstSyncToBusClk), + .rstSyncToRdClk(rstSyncToSpiClk), + .dataIn(busDataIn), + .dataOut(fifoDataOut), + .fifoWEn(fifoWEn), + .fifoREn(fifoREn), + .fifoFull(fifoFull), + .fifoEmpty(fifoEmpty), + .forceEmptySyncToWrClk(forceEmptySyncToBusClk), + .forceEmptySyncToRdClk(forceEmptySyncToSpiClk), + .numElementsInFifo(numElementsInFifo) ); + +TxfifoBI u_TxfifoBI( + .address(busAddress), + .writeEn(busWriteEn), + .strobe_i(busStrobe_i), + .busClk(busClk), + .spiSysClk(spiSysClk), + .rstSyncToBusClk(rstSyncToBusClk), + .fifoSelect(busFifoSelect), + .busDataIn(busDataIn), + .busDataOut(busDataOut), + .fifoWEn(fifoWEn), + .forceEmptySyncToBusClk(forceEmptySyncToBusClk), + .forceEmptySyncToSpiClk(forceEmptySyncToSpiClk), + .numElementsInFifo(numElementsInFifo) + ); + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v b/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v new file mode 100644 index 00000000..b3b860ef --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v @@ -0,0 +1,139 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// TxfifoBI.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module TxfifoBI ( + address, writeEn, strobe_i, + busClk, + spiSysClk, + rstSyncToBusClk, + fifoSelect, + busDataIn, + busDataOut, + fifoWEn, + forceEmptySyncToSpiClk, + forceEmptySyncToBusClk, + numElementsInFifo + ); +input [2:0] address; +input writeEn; +input strobe_i; +input busClk; +input spiSysClk; +input rstSyncToBusClk; +input [7:0] busDataIn; +output [7:0] busDataOut; +output fifoWEn; +output forceEmptySyncToSpiClk; +output forceEmptySyncToBusClk; +input [15:0] numElementsInFifo; +input fifoSelect; + + +wire [2:0] address; +wire writeEn; +wire strobe_i; +wire busClk; +wire spiSysClk; +wire rstSyncToBusClk; +wire [7:0] busDataIn; +wire [7:0] busDataOut; +reg fifoWEn; +reg forceEmptySyncToSpiClk; +wire forceEmptySyncToBusClk; +wire [15:0] numElementsInFifo; +wire fifoSelect; + +reg [5:0] forceEmptyShift; +reg forceEmpty; +reg forceEmptySyncToSpiClkFirst; + +//sync write +always @(posedge busClk) +begin + if (writeEn == 1'b1 && fifoSelect == 1'b1 && + address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1) + forceEmpty <= 1'b1; + else + forceEmpty <= 1'b0; +end + +//generate 'forceEmptySyncToBusClk' +//assuming that 'busClk' < 5 * 'spiSysClk'. ie 'busClk' < 240MHz +always @(posedge busClk) begin + if (rstSyncToBusClk == 1'b1) + forceEmptyShift <= 6'b000000; + else begin + if (forceEmpty == 1'b1) + forceEmptyShift <= 6'b111111; + else + forceEmptyShift <= {1'b0, forceEmptyShift[5:1]}; + end +end +assign forceEmptySyncToBusClk = forceEmptyShift[0]; + +// double sync across clock domains to generate 'forceEmptySyncToWrClk' +always @(posedge spiSysClk) begin + forceEmptySyncToSpiClkFirst <= forceEmptySyncToBusClk; + forceEmptySyncToSpiClk <= forceEmptySyncToSpiClkFirst; +end + + + +// async read mux +assign busDataOut = 8'h00; + + +//generate fifo write strobe +always @(address or writeEn or strobe_i or fifoSelect or busDataIn) begin + if (address == `FIFO_DATA_REG && writeEn == 1'b1 && + strobe_i == 1'b1 && fifoSelect == 1'b1) + fifoWEn <= 1'b1; + else + fifoWEn <= 1'b0; +end + + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v b/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v new file mode 100644 index 00000000..b0baf57a --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v @@ -0,0 +1,267 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// ctrlStsRegBI.v //// +//// //// +//// This file is part of the usbhostslave opencores effort. +//// //// +//// //// +//// Module Description: //// +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module ctrlStsRegBI ( + busClk, + rstFromWire, + dataIn, + dataOut, + address, + writeEn, + strobe_i, + spiSysClk, + spiTransType, + spiTransCtrl, + spiTransStatus, + spiDirectAccessTxData, + spiDirectAccessRxData, + ctrlStsRegSel, + rstSyncToBusClkOut, + rstSyncToSpiClkOut, + SDWriteError, + SDReadError, + SDInitError, + SDAddr, + spiClkDelay +); + +input [7:0] dataIn; +input [7:0] address; +input writeEn; +input strobe_i; +input busClk; +input spiSysClk; +output [7:0] dataOut; +input ctrlStsRegSel; +output [1:0] spiTransType; +output spiTransCtrl; +input spiTransStatus; +output [7:0] spiDirectAccessTxData; +reg [7:0] spiDirectAccessTxData; +input [7:0] spiDirectAccessRxData; +input rstFromWire; +output rstSyncToBusClkOut; +output rstSyncToSpiClkOut; +input [1:0] SDWriteError; +input [1:0] SDReadError; +input [1:0] SDInitError; +output [31:0] SDAddr; +reg [31:0] SDAddr; +output [7:0] spiClkDelay; +reg [7:0] spiClkDelay; + +wire [7:0] dataIn; +wire [7:0] address; +wire writeEn; +wire strobe_i; +wire clk; +reg [7:0] dataOut; +reg [1:0] spiTransType; +reg spiTransCtrl; +wire ctrlStsRegSel; +wire rstFromWire; +reg rstSyncToBusClkOut; +reg rstSyncToSpiClkOut; + +//internal wire and regs +reg [5:0] rstShift; +reg rstFromBus; +reg [7:0] spiDirectAccessTxDataSTB; +reg [7:0] spiDirectAccessRxDataSTB; +reg [1:0] spiTransTypeSTB; +reg spiTransCtrlSTB; +reg spiTransStatusSTB; +reg rstSyncToSpiClkFirst; +reg [5:0] spiTransCtrlShift; +reg spiTransStatusReg1; +reg spiTransStatusReg2; +reg [1:0] SDWriteErrorSTB; +reg [1:0] SDReadErrorSTB; +reg [1:0] SDInitErrorSTB; +reg spiTransCtrl_reg1; +reg spiTransCtrl_reg2; +reg spiTransCtrl_reg3; + +//sync write demux +always @(posedge busClk) +begin + if (rstSyncToBusClkOut == 1'b1) begin + spiTransTypeSTB <= `DIRECT_ACCESS; + spiTransCtrlSTB <= `TRANS_STOP; + spiDirectAccessTxDataSTB <= 8'h00; + spiClkDelay <= `FAST_SPI_CLK; + end + else begin + if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `SPI_MASTER_CONTROL_REG && dataIn[1] == 1'b1 ) + rstFromBus <= 1'b1; + else + rstFromBus <= 1'b0; + if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `TRANS_CTRL_REG && dataIn[0] == 1'b1 ) + spiTransCtrlSTB <= 1'b1; + else + spiTransCtrlSTB <= 1'b0; + if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1) begin + case (address) + `TRANS_TYPE_REG: spiTransTypeSTB <= dataIn[1:0]; + `SD_ADDR_7_0_REG: SDAddr[7:0] <= dataIn; + `SD_ADDR_15_8_REG: SDAddr[15:8] <= dataIn; + `SD_ADDR_23_16_REG: SDAddr[23:16] <= dataIn; + `SD_ADDR_31_24_REG: SDAddr[31:24] <= dataIn; + `SPI_CLK_DEL_REG: spiClkDelay <= dataIn; + `DIRECT_ACCESS_DATA_REG: spiDirectAccessTxDataSTB <= dataIn; + endcase + end + end +end + +// async read mux +always @(address or spiTransTypeSTB or spiTransCtrlSTB or + spiTransStatusSTB or spiDirectAccessRxDataSTB or + SDAddr or SDInitErrorSTB or SDReadErrorSTB or SDWriteErrorSTB or + spiClkDelay) +begin + case (address) + `SPI_MASTER_VERSION_REG: dataOut <= `SPI_MASTER_VERSION_NUM; + `TRANS_TYPE_REG: dataOut <= { 6'b000000, spiTransTypeSTB}; + `TRANS_CTRL_REG: dataOut <= { 7'b0000000, spiTransCtrlSTB}; + `TRANS_STS_REG: dataOut <= { 7'b0000000, spiTransStatusSTB}; + `TRANS_ERROR_REG: dataOut <= {2'b00, SDWriteErrorSTB, SDReadErrorSTB, SDInitErrorSTB}; + `SD_ADDR_7_0_REG: dataOut <= SDAddr[7:0]; + `SD_ADDR_15_8_REG: dataOut <= SDAddr[15:8]; + `SD_ADDR_23_16_REG: dataOut <= SDAddr[23:16]; + `SD_ADDR_31_24_REG: dataOut <= SDAddr[31:24]; + `SPI_CLK_DEL_REG: dataOut <= spiClkDelay; + `DIRECT_ACCESS_DATA_REG: dataOut <= spiDirectAccessRxDataSTB; + default: dataOut <= 8'h00; + endcase +end + +// reset control +//generate 'rstSyncToBusClk' +//assuming that 'busClk' < 5 * 'spiSysClk'. +always @(posedge busClk) begin + if (rstFromWire == 1'b1 || rstFromBus == 1'b1) + rstShift <= 6'b111111; + else + rstShift <= {1'b0, rstShift[5:1]}; +end + +always @(rstShift) + rstSyncToBusClkOut <= rstShift[0]; + +// double sync across clock domains to generate 'rstSyncToSpiClkOut' +always @(posedge spiSysClk) begin + rstSyncToSpiClkFirst <= rstSyncToBusClkOut; + rstSyncToSpiClkOut <= rstSyncToSpiClkFirst; +end + + +// spi transaction control +//assuming that 'busClk' < 5 * 'spiSysClk'. +always @(posedge busClk) begin + if (rstSyncToBusClkOut == 1'b1) + spiTransCtrlShift <= 6'b000000; + else if (spiTransCtrlSTB == 1'b1) + spiTransCtrlShift <= 6'b111111; + else + spiTransCtrlShift <= {1'b0, spiTransCtrlShift[5:1]}; +end + +//re-sync to spiSysClk +always @(posedge spiSysClk) begin + if (rstSyncToSpiClkOut == 1'b1) begin + spiTransCtrl_reg1 <= 1'b0; + spiTransCtrl_reg2 <= 1'b0; + spiTransCtrl_reg3 <= 1'b0; + end + else begin + spiTransCtrl_reg1 <= spiTransCtrlShift[0]; + spiTransCtrl_reg2 <= spiTransCtrl_reg1; + spiTransCtrl_reg3 <= spiTransCtrl_reg2; + if (spiTransCtrl_reg3 == 1'b0 && spiTransCtrl_reg2 == 1'b1) + spiTransCtrl <= `TRANS_START; + else + spiTransCtrl <= `TRANS_STOP; + end +end + + + +//re-sync from busClk to spiSysClk. +always @(posedge spiSysClk) begin + if (rstSyncToSpiClkOut == 1'b1) begin + spiTransType <= `DIRECT_ACCESS; + spiDirectAccessTxData <= 8'h00; + end + else begin + spiDirectAccessTxData <= spiDirectAccessTxDataSTB; + spiTransType <= spiTransTypeSTB; + end +end + +//re-sync from spiSysClk to busClk +always @(posedge busClk) begin + if (rstSyncToBusClkOut == 1'b1) begin + spiTransStatusSTB <= `TRANS_NOT_BUSY; + spiTransStatusReg1 <= `TRANS_NOT_BUSY; + spiTransStatusReg2 <= `TRANS_NOT_BUSY; + end + else begin + spiTransStatusReg1 <= spiTransStatus; + spiTransStatusReg2 <= spiTransStatusReg1; + if (spiTransCtrlSTB == `TRANS_START) + spiTransStatusSTB <= `TRANS_BUSY; + else if (spiTransStatusReg2 == `TRANS_BUSY && spiTransStatusReg1 == `TRANS_NOT_BUSY) + spiTransStatusSTB <= `TRANS_NOT_BUSY; + end + spiDirectAccessRxDataSTB <= spiDirectAccessRxData; + SDWriteErrorSTB <= SDWriteError; + SDReadErrorSTB <= SDReadError; + SDInitErrorSTB <= SDInitError; +end + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v b/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v new file mode 100644 index 00000000..66cd253f --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v @@ -0,0 +1,84 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// dpMem_dc.v //// +//// //// +//// This file is part of the usbhostslave opencores effort. +//// //// +//// //// +//// Module Description: //// +//// Synchronous dual port memory with dual clocks +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" + +module dpMem_dc( addrIn, addrOut, wrClk, rdClk, dataIn, writeEn, readEn, dataOut); + //FIFO_DEPTH = ADDR_WIDTH^2 + parameter FIFO_WIDTH = 8; + parameter FIFO_DEPTH = 64; + parameter ADDR_WIDTH = 6; + +input wrClk; +input rdClk; +input [FIFO_WIDTH-1:0] dataIn; +output [FIFO_WIDTH-1:0] dataOut; +input writeEn; +input readEn; +input [ADDR_WIDTH-1:0] addrIn; +input [ADDR_WIDTH-1:0] addrOut; + +wire wrClk; +wire rdClk; +wire [FIFO_WIDTH-1:0] dataIn; +reg [FIFO_WIDTH-1:0] dataOut; +wire writeEn; +wire readEn; +wire [ADDR_WIDTH-1:0] addrIn; +wire [ADDR_WIDTH-1:0] addrOut; + +reg [FIFO_WIDTH-1:0] buffer [0:FIFO_DEPTH-1]; + +// synchronous read. Introduces one clock cycle delay +always @(posedge rdClk) begin + dataOut <= buffer[addrOut]; +end + +// synchronous write +always @(posedge wrClk) begin + if (writeEn == 1'b1) + buffer[addrIn] <= dataIn; +end + + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v b/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v new file mode 100644 index 00000000..a20c4f85 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v @@ -0,0 +1,164 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// fifoRTL.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" + +module fifoRTL(wrClk, rdClk, rstSyncToWrClk, rstSyncToRdClk, dataIn, + dataOut, fifoWEn, fifoREn, fifoFull, fifoEmpty, + forceEmptySyncToWrClk, forceEmptySyncToRdClk, numElementsInFifo); +//FIFO_DEPTH = ADDR_WIDTH^2. Min = 2, Max = 66536 + parameter FIFO_WIDTH = 8; + parameter FIFO_DEPTH = 64; + parameter ADDR_WIDTH = 6; + +// Two clock domains within this module +// These ports are within 'wrClk' domain +input wrClk; +input rstSyncToWrClk; +input [FIFO_WIDTH-1:0] dataIn; +input fifoWEn; +input forceEmptySyncToWrClk; +output fifoFull; + +// These ports are within 'rdClk' domain +input rdClk; +input rstSyncToRdClk; +output [FIFO_WIDTH-1:0] dataOut; +input fifoREn; +input forceEmptySyncToRdClk; +output fifoEmpty; +output [15:0]numElementsInFifo; //note that this implies a max fifo depth of 65536 + +wire wrClk; +wire rdClk; +wire rstSyncToWrClk; +wire rstSyncToRdClk; +wire [FIFO_WIDTH-1:0] dataIn; +reg [FIFO_WIDTH-1:0] dataOut; +wire fifoWEn; +wire fifoREn; +reg fifoFull; +reg fifoEmpty; +wire forceEmpty; +reg [15:0]numElementsInFifo; + + +// local registers +reg [ADDR_WIDTH:0]bufferInIndex; +reg [ADDR_WIDTH:0]bufferInIndexSyncToRdClk; +reg [ADDR_WIDTH:0]bufferOutIndex; +reg [ADDR_WIDTH:0]bufferOutIndexSyncToWrClk; +reg [ADDR_WIDTH-1:0]bufferInIndexToMem; +reg [ADDR_WIDTH-1:0]bufferOutIndexToMem; +reg [ADDR_WIDTH:0]bufferCnt; +reg fifoREnDelayed; +wire [FIFO_WIDTH-1:0] dataFromMem; + +always @(posedge wrClk) +begin + bufferOutIndexSyncToWrClk <= bufferOutIndex; + if (rstSyncToWrClk == 1'b1 || forceEmptySyncToWrClk == 1'b1) + begin + fifoFull <= 1'b0; + bufferInIndex <= 0; + end + else + begin + if (fifoWEn == 1'b1) begin + bufferInIndex <= bufferInIndex + 1'b1; + end + if ((bufferOutIndexSyncToWrClk[ADDR_WIDTH-1:0] == bufferInIndex[ADDR_WIDTH-1:0]) && + (bufferOutIndexSyncToWrClk[ADDR_WIDTH] != bufferInIndex[ADDR_WIDTH]) ) + fifoFull <= 1'b1; + else + fifoFull <= 1'b0; + end +end + +always @(bufferInIndexSyncToRdClk or bufferOutIndex) + bufferCnt <= bufferInIndexSyncToRdClk - bufferOutIndex; + +always @(posedge rdClk) +begin + numElementsInFifo <= { {16-ADDR_WIDTH-1{1'b0}}, bufferCnt }; //pad bufferCnt with leading zeroes + bufferInIndexSyncToRdClk <= bufferInIndex; + if (rstSyncToRdClk == 1'b1 || forceEmptySyncToRdClk == 1'b1) + begin + fifoEmpty <= 1'b1; + bufferOutIndex <= 0; + fifoREnDelayed <= 1'b0; + end + else + begin + fifoREnDelayed <= fifoREn; + if (fifoREn == 1'b1 && fifoREnDelayed == 1'b0) begin + dataOut <= dataFromMem; + bufferOutIndex <= bufferOutIndex + 1'b1; + end + if (bufferInIndexSyncToRdClk == bufferOutIndex) + fifoEmpty <= 1'b1; + else + fifoEmpty <= 1'b0; + end +end + + +always @(bufferInIndex or bufferOutIndex) begin + bufferInIndexToMem <= bufferInIndex[ADDR_WIDTH-1:0]; + bufferOutIndexToMem <= bufferOutIndex[ADDR_WIDTH-1:0]; +end + +dpMem_dc #(FIFO_WIDTH, FIFO_DEPTH, ADDR_WIDTH) u_dpMem_dc ( + .addrIn(bufferInIndexToMem), + .addrOut(bufferOutIndexToMem), + .wrClk(wrClk), + .rdClk(rdClk), + .dataIn(dataIn), + .writeEn(fifoWEn), + .readEn(fifoREn), + .dataOut(dataFromMem)); + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf b/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf new file mode 100644 index 00000000..5be88010 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf @@ -0,0 +1,226 @@ +VERSION=1.15 +HEADER +FILE="initSD.asf" +FID=4788d213 +LANGUAGE=VERILOG +ENTITY="initSD" +FRAMES=ON +FREEOID=430 +"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// initSD.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n" +END +BUNDLES +B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0 +B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0 +B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0 +B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0 +B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4 +B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0 +B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +END +INSTHEADER 1 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 5000,5000 10000,10000 +END +INSTHEADER 141 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 168 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 322 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +OBJECTS +S 286 169 24576 ELLIPSE "States" | 105808,239248 6500 6500 +L 285 286 0 TEXT "State Labels" | 105808,239248 1 0 0 "SEND_CMD\n/4/" +I 284 169 0 Builtin Entry | 62832,259920 +G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: initSD" +A 5 0 1 TEXT "Actions" | 30400,266400 1 0 0 "-- diagram ACTION" +F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,212603 +L 7 6 0 TEXT "Labels" | 31673,209974 1 0 0 "initSDSt" +W 303 169 1 300 286 BEZIER "Transitions" | 115053,153196 98913,155348 68551,158239 60111,167048\ + 51672,175858 50191,206794 54058,217015 57925,227237\ + 74873,237191 81496,239444 88120,241697 94643,240900\ + 99417,240430 +S 300 169 36864 ELLIPSE "States" | 121544,152859 6500 6500 +L 299 300 0 TEXT "State Labels" | 121544,152859 1 0 0 "CHK_FIN\n/7/" +C 298 297 0 TEXT "Conditions" | 111248,181393 1 0 0 "sendCmdRdy == 1'b1" +W 297 169 0 292 300 BEZIER "Transitions" | 108876,181563 115854,170249 110294,169239 118013,158314 +W 295 169 0 284 286 BEZIER "Transitions" | 66490,259920 75568,255313 91313,247447 100391,242840 +W 294 169 0 290 292 BEZIER "Transitions" | 105542,205568 105407,201842 105322,197326 105187,193600 +W 293 169 0 286 290 BEZIER "Transitions" | 105594,232759 105594,228491 105652,222790 105652,218522 +S 292 169 32768 ELLIPSE "States" | 105494,187111 6500 6500 +L 291 292 0 TEXT "State Labels" | 105494,187111 1 0 0 "WT_FIN\n/6/" +S 290 169 28672 ELLIPSE "States" | 106036,212043 6500 6500 +L 289 290 0 TEXT "State Labels" | 106036,212043 1 0 0 "DEL\n/5/" +A 288 286 4 TEXT "Actions" | 121320,255510 1 0 0 "cmdByte <= 8'h40; //CMD0\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h95;\nsendCmdReq <= 1'b1;\nloopCnt <= loopCnt + 1'b1;\nspiCS_n <= 1'b0;" +I 319 169 0 Builtin Exit | 136284,112401 +I 318 169 0 Builtin Link | 148672,125363 +L 317 318 0 TEXT "Labels" | 154672,125363 1 0 0 "WT_INIT_REQ" +C 316 310 0 TEXT "Conditions" | 131001,148174 1 0 0 "respTout == 1'b1 || respByte != 8'h01" +A 313 312 16 TEXT "Actions" | 106611,116426 1 0 0 "loopCnt <= 8'h00;" +W 312 6 0 141 168 BEZIER "Transitions" | 111141,120168 111512,114462 111940,106474 111681,102457 +A 311 310 16 TEXT "Actions" | 132446,138965 1 0 0 "initError <= `INIT_CMD0_ERROR;" +W 310 169 2 300 318 BEZIER "Transitions" | 125449,147664 131098,140939 143023,130088 148672,123363 +C 304 303 0 TEXT "Conditions" | 36809,151245 1 0 0 "(respTout == 1'b1 || respByte != 8'h01) && loopCnt != 8'hff" +L 335 334 0 TEXT "State Labels" | 100580,187111 1 0 0 "WT_FIN\n/8/" +S 334 349 45056 ELLIPSE "States" | 100580,187111 6500 6500 +W 331 349 0 325 323 BEZIER "Transitions" | 61576,259920 70654,255313 86399,247447 95477,242840 +W 330 349 0 334 327 BEZIER "Transitions" | 103962,181563 110940,170249 105380,169239 113099,158314 +C 329 330 0 TEXT "Conditions" | 106334,181393 1 0 0 "sendCmdRdy == 1'b1" +L 328 327 0 TEXT "State Labels" | 116630,152859 1 0 0 "CHK_FIN\n/9/" +S 327 349 49152 ELLIPSE "States" | 116630,152859 6500 6500 +W 326 349 1 327 323 BEZIER "Transitions" | 110139,153196 93999,155348 63637,158239 55197,167048\ + 46758,175858 45277,206794 49144,217015 53011,227237\ + 69959,237191 76582,239444 83206,241697 89729,240900\ + 94503,240430 +I 325 349 0 Builtin Entry | 57918,259920 +L 324 323 0 TEXT "State Labels" | 100894,239248 1 0 0 "SEND_CMD\n/10/" +S 323 349 53248 ELLIPSE "States" | 100894,239248 6500 6500 +S 322 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 112467,68151 6500 6500 +A 321 297 16 TEXT "Actions" | 108930,174030 1 0 0 "spiCS_n <= 1'b1;" +W 320 169 3 300 319 BEZIER "Transitions" | 123051,146539 126378,137861 129956,121079 133283,112401 +S 351 349 57344 ELLIPSE "States" | 157173,196494 6500 6500 +L 350 351 0 TEXT "State Labels" | 157173,196494 1 0 0 "DEL1\n/11/" +L 348 322 0 TEXT "State Labels" | 112467,68151 1 0 0 "INIT" +W 347 349 3 327 339 BEZIER "Transitions" | 118137,146539 108945,133720 116197,116503 127432,110902 +A 346 330 16 TEXT "Actions" | 104016,174030 1 0 0 "spiCS_n <= 1'b1;" +C 345 326 0 TEXT "Conditions" | 31895,151245 1 0 0 "(respTout == 1'b1 || respByte != 8'h00) && loopCnt != 8'hff" +W 344 349 2 327 339 BEZIER "Transitions" | 120695,147788 164668,142776 140351,119298 127432,110895 +A 343 344 16 TEXT "Actions" | 144159,136027 1 0 0 "initError <= `INIT_CMD1_ERROR;" +C 342 344 0 TEXT "Conditions" | 128427,151693 1 0 0 "respTout == 1'b1 || respByte != 8'h00" +I 339 349 0 Builtin Exit | 130010,110769 +A 338 323 4 TEXT "Actions" | 116406,255510 1 0 0 "cmdByte <= 8'h41; //CMD1\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;\nloopCnt <= loopCnt + 1'b1;\nspiCS_n <= 1'b0;\ndelCnt1 <= 10'h000;" +H 349 322 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +I 74 0 2 Builtin InPort | 195700,267632 "" "" +L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst" +I 72 0 3 Builtin InPort | 195700,272800 "" "" +L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk" +I 366 0 130 Builtin OutPort | 86503,262498 "" "" +L 365 366 0 TEXT "Labels" | 92503,262498 1 0 0 "cmdByte[7:0]" +L 367 368 0 TEXT "Labels" | 92258,258018 1 0 0 "dataByte1[7:0]" +W 363 6 0 322 102 BEZIER "Transitions" | 107085,71794 94246,83115 68667,103061 63765,115078\ + 58864,127095 64936,152522 71324,159511 77712,166500\ + 95428,168622 105168,169887 +W 362 6 0 168 322 BEZIER "Transitions" | 111422,89512 111675,84705 111722,79427 111975,74620 +W 361 349 0 323 351 BEZIER "Transitions" | 100927,232798 101473,229591 102027,224817 103255,221746\ + 104484,218676 108306,212805 113697,211372 119089,209939\ + 136835,210076 142431,209700 148027,209325 152669,207687\ + 153999,206697 155330,205708 155754,204059 156095,202899 +C 360 359 0 TEXT "Conditions" | 114039,204684 1 0 0 "delCnt1 == `TWO_MS" +W 359 349 1 351 334 BEZIER "Transitions" | 150748,197472 141944,198154 126119,199907 119942,199054\ + 113766,198201 108641,194176 105092,191788 +C 358 357 0 TEXT "Conditions" | 157694,164664 1 0 0 "delCnt2 == 8'hff" +W 357 349 0 353 351 BEZIER "Transitions" | 163535,169133 171628,167736 181061,162846 187169,163119\ + 193277,163392 202696,170901 204880,177350 207064,183799\ + 206381,202091 201331,206561 196281,211032 176760,210621\ + 171096,209359 165432,208097 162592,204050 161023,201730 +W 356 349 2 351 353 BEZIER "Transitions" | 158302,190095 158438,187775 158562,182275 158679,179771 +A 355 351 4 TEXT "Actions" | 166182,199224 1 0 0 "delCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;\nsendCmdReq <= 1'b0;" +A 354 353 4 TEXT "Actions" | 166728,176565 1 0 0 "delCnt2 <= delCnt2 + 1'b1;" +S 353 349 61440 ELLIPSE "States" | 158538,173289 6500 6500 +L 352 353 0 TEXT "State Labels" | 158538,173289 1 0 0 "DEL2\n/12/" +L 95 96 0 TEXT "Labels" | 155940,273023 1 0 0 "SDInitRdy" +I 368 0 130 Builtin OutPort | 86258,258018 "" "" +I 371 0 130 Builtin OutPort | 86455,252808 "" "" +L 372 371 0 TEXT "Labels" | 92455,252808 1 0 0 "dataByte2[7:0]" +I 373 0 130 Builtin OutPort | 86702,248115 "" "" +L 374 373 0 TEXT "Labels" | 92702,248115 1 0 0 "dataByte3[7:0]" +I 375 0 130 Builtin OutPort | 86702,243422 "" "" +L 376 375 0 TEXT "Labels" | 92702,243422 1 0 0 "dataByte4[7:0]" +L 383 384 0 TEXT "Labels" | 43326,249254 1 0 0 "delCnt1[9:0]" +I 382 0 2 Builtin InPort | 89010,228836 "" "" +L 381 382 0 TEXT "Labels" | 95010,228836 1 0 0 "sendCmdRdy" +I 380 0 2 Builtin OutPort | 86796,234002 "" "" +L 379 380 0 TEXT "Labels" | 92796,234002 1 0 0 "sendCmdReq" +I 378 0 130 Builtin OutPort | 86578,238482 "" "" +L 377 378 0 TEXT "Labels" | 92578,238482 1 0 0 "checkSumByte[7:0]" +I 111 0 2 Builtin OutPort | 142296,249682 "" "" +L 110 109 0 TEXT "Labels" | 150753,245041 1 0 0 "txDataFull" +I 109 0 2 Builtin InPort | 144753,245041 "" "" +W 106 6 0 102 141 BEZIER "Transitions" | 111478,164116 111546,159885 111249,139164 110939,132984 +W 105 6 0 100 102 BEZIER "Transitions" | 111805,187037 111601,183898 111568,180194 111364,177055 +S 102 6 4096 ELLIPSE "States" | 111630,170580 6500 6500 +L 101 102 0 TEXT "State Labels" | 111630,170580 1 0 0 "WT_INIT_REQ\n/1/" +S 100 6 0 ELLIPSE "States" | 112176,193512 6500 6500 +L 99 100 0 TEXT "State Labels" | 112176,193512 1 0 0 "START\n/0/" +I 96 0 2 Builtin OutPort | 149940,273023 "" "" +L 97 98 0 TEXT "Labels" | 158664,268382 1 0 0 "SDInitReq" +I 98 0 2 Builtin InPort | 152664,268382 "" "" +I 399 0 130 Builtin InPort | 179837,253714 "" "" +L 398 399 0 TEXT "Labels" | 185837,253714 1 0 0 "spiClkDelayIn[7:0]" +I 397 0 130 Builtin OutPort | 150335,263636 "" "" +L 396 397 0 TEXT "Labels" | 156335,263636 1 0 0 "initError[1:0]" +I 395 0 2 Builtin OutPort | 142620,234260 "" "" +L 394 395 0 TEXT "Labels" | 148620,234260 1 0 0 "spiCS_n" +I 391 0 130 Builtin InPort | 88818,224341 "" "" +L 390 391 0 TEXT "Labels" | 94818,224341 1 0 0 "respByte[7:0]" +C 389 388 0 TEXT "Conditions" | 64133,197548 1 0 0 "rst == 1'b1" +W 388 6 0 387 100 BEZIER "Transitions" | 49555,202550 64193,201024 91216,196545 105854,195019 +I 387 6 0 Builtin Reset | 49555,202550 +I 386 0 130 Builtin Signal | 40326,244334 "" "" +L 385 386 0 TEXT "Labels" | 43326,244334 1 0 0 "delCnt2[7:0]" +I 384 0 130 Builtin Signal | 40326,249254 "" "" +C 123 106 0 TEXT "Conditions" | 112795,161807 1 0 0 "SDInitReq == 1'b1" +L 118 117 0 TEXT "Labels" | 148296,254323 1 0 0 "txDataOut[7:0]" +I 117 0 130 Builtin OutPort | 142296,254323 "" "" +L 112 111 0 TEXT "Labels" | 148296,249682 1 0 0 "txDataWen" +L 392 393 0 TEXT "Labels" | 94804,219488 1 0 0 "respTout" +I 393 0 2 Builtin InPort | 88804,219488 "" "" +I 405 0 2 Builtin InPort | 123780,223280 "" "" +L 404 405 0 TEXT "Labels" | 129780,223280 1 0 0 "rxDataRdy" +I 403 0 2 Builtin OutPort | 121620,218480 "" "" +L 402 403 0 TEXT "Labels" | 127620,218480 1 0 0 "rxDataRdyClr" +S 401 142 65536 ELLIPSE "States" | 119702,164354 6500 6500 +L 400 401 0 TEXT "State Labels" | 119702,164354 1 0 0 "WT_DATA_EMPTY\n/13/" +L 135 136 0 TEXT "Labels" | 92903,270215 1 0 0 "spiClkDelayOut[7:0]" +I 136 0 130 Builtin OutPort | 86903,270215 "" "" +A 137 100 4 TEXT "Actions" | 166381,206571 1 0 0 "spiClkDelayOut <= spiClkDelayIn;\nSDInitRdy <= 1'b0;\nspiCS_n <= 1'b1;\ninitError <= `INIT_NO_ERROR;\ntxDataOut <= 8'h00;\ntxDataWen <= 1'b0;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;\nsendCmdReq <= 1'b0;\nloopCnt <= 8'h00;\ndelCnt1 <= 10'h000;\ndelCnt2 <= 8'h00;\nrxDataRdyClr <= 1'b0;" +A 138 102 4 TEXT "Actions" | 122260,190788 1 0 0 "SDInitRdy <= 1'b1;\nspiClkDelayOut <= spiClkDelayIn;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;" +A 139 106 16 TEXT "Actions" | 102988,155532 1 0 0 "SDInitRdy <= 1'b0;\nloopCnt <= 8'h00;\nspiClkDelayOut <= `SLOW_SPI_CLK;\ninitError <= `INIT_NO_ERROR;" +L 140 141 0 TEXT "State Labels" | 111114,126510 1 0 0 "CLK_SEQ" +S 141 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111114,126510 6500 6500 +H 142 141 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +A 425 290 4 TEXT "Actions" | 124357,213854 1 0 0 "sendCmdReq <= 1'b0;" +I 421 142 0 Builtin Exit | 134364,140858 +I 145 142 0 Builtin Entry | 63487,251949 +S 149 142 12288 ELLIPSE "States" | 82209,235260 6500 6500 +L 150 149 0 TEXT "State Labels" | 82209,235260 1 0 0 "SEND_FF\n/2/" +S 151 142 16384 ELLIPSE "States" | 83028,207141 6500 6500 +L 152 151 0 TEXT "State Labels" | 83028,207141 1 0 0 "CHK_FIN\n/3/" +W 153 142 0 149 151 BEZIER "Transitions" | 82316,228817 82452,225541 82726,217079 82876,213607 +C 154 153 0 TEXT "Conditions" | 86589,230362 1 0 0 "txDataFull == 1'b0" +A 155 153 16 TEXT "Actions" | 85757,225151 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nloopCnt <= loopCnt + 1'b1;" +A 156 151 4 TEXT "Actions" | 101046,207687 1 0 0 "txDataWen <= 1'b0;" +L 426 427 0 TEXT "Labels" | 150400,240650 1 0 0 "txDataEmpty" +I 427 0 2 Builtin InPort | 144400,240650 "" "" +W 428 142 0 401 421 BEZIER "Transitions" | 123115,158823 126115,154198 128614,145483 131614,140858 +C 429 428 0 TEXT "Conditions" | 127025,156275 1 0 0 "txDataEmpty == 1'b1" +W 162 142 0 145 149 BEZIER "Transitions" | 67172,251949 70925,248810 74553,243594 78306,240455 +W 164 142 1 151 401 BEZIER "Transitions" | 85234,201030 86934,197154 103559,165433 113217,164792 +C 165 164 0 TEXT "Conditions" | 91028,195541 1 0 0 "loopCnt == `SD_INIT_START_SEQ_LEN" +W 166 142 2 151 149 BEZIER "Transitions" | 76635,205968 69903,206580 58140,206268 54570,210178\ + 51000,214088 50184,228504 53380,232380 56576,236256\ + 69005,235825 75805,236369 +L 167 168 0 TEXT "State Labels" | 111972,95982 1 0 0 "RESET" +S 168 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111972,95982 6500 6500 +H 169 168 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +L 191 192 0 TEXT "Labels" | 43350,253948 1 0 0 "loopCnt[7:0]" +I 192 0 130 Builtin Signal | 40350,253948 "" "" +END diff --git a/usrp2/fpga/opencores/sd_interface/RTL/initSD.v b/usrp2/fpga/opencores/sd_interface/RTL/initSD.v new file mode 100644 index 00000000..80b44673 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/initSD.v @@ -0,0 +1,386 @@ + +////////////////////////////////////////////////////////////////////// +//// //// +//// initSD.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module initSD (checkSumByte, clk, cmdByte, dataByte1, dataByte2, dataByte3, dataByte4, initError, respByte, respTout, rst, rxDataRdy, rxDataRdyClr, SDInitRdy, SDInitReq, sendCmdRdy, sendCmdReq, spiClkDelayIn, spiClkDelayOut, spiCS_n, txDataEmpty, txDataFull, txDataOut, txDataWen); +input clk; +input [7:0]respByte; +input respTout; +input rst; +input rxDataRdy; +input SDInitReq; +input sendCmdRdy; +input [7:0]spiClkDelayIn; +input txDataEmpty; +input txDataFull; +output [7:0]checkSumByte; +output [7:0]cmdByte; +output [7:0]dataByte1; +output [7:0]dataByte2; +output [7:0]dataByte3; +output [7:0]dataByte4; +output [1:0]initError; +output rxDataRdyClr; +output SDInitRdy; +output sendCmdReq; +output [7:0]spiClkDelayOut; +output spiCS_n; +output [7:0]txDataOut; +output txDataWen; + +reg [7:0]checkSumByte, next_checkSumByte; +wire clk; +reg [7:0]cmdByte, next_cmdByte; +reg [7:0]dataByte1, next_dataByte1; +reg [7:0]dataByte2, next_dataByte2; +reg [7:0]dataByte3, next_dataByte3; +reg [7:0]dataByte4, next_dataByte4; +reg [1:0]initError, next_initError; +wire [7:0]respByte; +wire respTout; +wire rst; +wire rxDataRdy; +reg rxDataRdyClr, next_rxDataRdyClr; +reg SDInitRdy, next_SDInitRdy; +wire SDInitReq; +wire sendCmdRdy; +reg sendCmdReq, next_sendCmdReq; +wire [7:0]spiClkDelayIn; +reg [7:0]spiClkDelayOut, next_spiClkDelayOut; +reg spiCS_n, next_spiCS_n; +wire txDataEmpty; +wire txDataFull; +reg [7:0]txDataOut, next_txDataOut; +reg txDataWen, next_txDataWen; + +// diagram signals declarations +reg [9:0]delCnt1, next_delCnt1; +reg [7:0]delCnt2, next_delCnt2; +reg [7:0]loopCnt, next_loopCnt; + +// BINARY ENCODED state machine: initSDSt +// State codes definitions: +`define START 4'b0000 +`define WT_INIT_REQ 4'b0001 +`define CLK_SEQ_SEND_FF 4'b0010 +`define CLK_SEQ_CHK_FIN 4'b0011 +`define RESET_SEND_CMD 4'b0100 +`define RESET_DEL 4'b0101 +`define RESET_WT_FIN 4'b0110 +`define RESET_CHK_FIN 4'b0111 +`define INIT_WT_FIN 4'b1000 +`define INIT_CHK_FIN 4'b1001 +`define INIT_SEND_CMD 4'b1010 +`define INIT_DEL1 4'b1011 +`define INIT_DEL2 4'b1100 +`define CLK_SEQ_WT_DATA_EMPTY 4'b1101 + +reg [3:0]CurrState_initSDSt, NextState_initSDSt; + +// Diagram actions (continuous assignments allowed only: assign ...) +// diagram ACTION + + +// Machine: initSDSt + +// NextState logic (combinatorial) +always @ (spiClkDelayIn or SDInitReq or txDataFull or loopCnt or sendCmdRdy or respTout or respByte or delCnt1 or delCnt2 or txDataEmpty or spiClkDelayOut or SDInitRdy or spiCS_n or initError or txDataOut or txDataWen or cmdByte or dataByte1 or dataByte2 or dataByte3 or dataByte4 or checkSumByte or sendCmdReq or rxDataRdyClr or CurrState_initSDSt) +begin + NextState_initSDSt <= CurrState_initSDSt; + // Set default values for outputs and signals + next_spiClkDelayOut <= spiClkDelayOut; + next_SDInitRdy <= SDInitRdy; + next_spiCS_n <= spiCS_n; + next_initError <= initError; + next_txDataOut <= txDataOut; + next_txDataWen <= txDataWen; + next_cmdByte <= cmdByte; + next_dataByte1 <= dataByte1; + next_dataByte2 <= dataByte2; + next_dataByte3 <= dataByte3; + next_dataByte4 <= dataByte4; + next_checkSumByte <= checkSumByte; + next_sendCmdReq <= sendCmdReq; + next_loopCnt <= loopCnt; + next_delCnt1 <= delCnt1; + next_delCnt2 <= delCnt2; + next_rxDataRdyClr <= rxDataRdyClr; + case (CurrState_initSDSt) // synopsys parallel_case full_case + `START: + begin + next_spiClkDelayOut <= spiClkDelayIn; + next_SDInitRdy <= 1'b0; + next_spiCS_n <= 1'b1; + next_initError <= `INIT_NO_ERROR; + next_txDataOut <= 8'h00; + next_txDataWen <= 1'b0; + next_cmdByte <= 8'h00; + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'h00; + next_sendCmdReq <= 1'b0; + next_loopCnt <= 8'h00; + next_delCnt1 <= 10'h000; + next_delCnt2 <= 8'h00; + next_rxDataRdyClr <= 1'b0; + NextState_initSDSt <= `WT_INIT_REQ; + end + `WT_INIT_REQ: + begin + next_SDInitRdy <= 1'b1; + next_spiClkDelayOut <= spiClkDelayIn; + next_cmdByte <= 8'h00; + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'h00; + if (SDInitReq == 1'b1) + begin + NextState_initSDSt <= `CLK_SEQ_SEND_FF; + next_SDInitRdy <= 1'b0; + next_loopCnt <= 8'h00; + next_spiClkDelayOut <= `SLOW_SPI_CLK; + next_initError <= `INIT_NO_ERROR; + end + end + `CLK_SEQ_SEND_FF: + begin + if (txDataFull == 1'b0) + begin + NextState_initSDSt <= `CLK_SEQ_CHK_FIN; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + next_loopCnt <= loopCnt + 1'b1; + end + end + `CLK_SEQ_CHK_FIN: + begin + next_txDataWen <= 1'b0; + if (loopCnt == `SD_INIT_START_SEQ_LEN) + begin + NextState_initSDSt <= `CLK_SEQ_WT_DATA_EMPTY; + end + else + begin + NextState_initSDSt <= `CLK_SEQ_SEND_FF; + end + end + `CLK_SEQ_WT_DATA_EMPTY: + begin + if (txDataEmpty == 1'b1) + begin + NextState_initSDSt <= `RESET_SEND_CMD; + next_loopCnt <= 8'h00; + end + end + `RESET_SEND_CMD: + begin + next_cmdByte <= 8'h40; + //CMD0 + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'h95; + next_sendCmdReq <= 1'b1; + next_loopCnt <= loopCnt + 1'b1; + next_spiCS_n <= 1'b0; + NextState_initSDSt <= `RESET_DEL; + end + `RESET_DEL: + begin + next_sendCmdReq <= 1'b0; + NextState_initSDSt <= `RESET_WT_FIN; + end + `RESET_WT_FIN: + begin + if (sendCmdRdy == 1'b1) + begin + NextState_initSDSt <= `RESET_CHK_FIN; + next_spiCS_n <= 1'b1; + end + end + `RESET_CHK_FIN: + begin + if ((respTout == 1'b1 || respByte != 8'h01) && loopCnt != 8'hff) + begin + NextState_initSDSt <= `RESET_SEND_CMD; + end + else if (respTout == 1'b1 || respByte != 8'h01) + begin + NextState_initSDSt <= `WT_INIT_REQ; + next_initError <= `INIT_CMD0_ERROR; + end + else + begin + NextState_initSDSt <= `INIT_SEND_CMD; + end + end + `INIT_WT_FIN: + begin + if (sendCmdRdy == 1'b1) + begin + NextState_initSDSt <= `INIT_CHK_FIN; + next_spiCS_n <= 1'b1; + end + end + `INIT_CHK_FIN: + begin + if ((respTout == 1'b1 || respByte != 8'h00) && loopCnt != 8'hff) + begin + NextState_initSDSt <= `INIT_SEND_CMD; + end + else if (respTout == 1'b1 || respByte != 8'h00) + begin + NextState_initSDSt <= `WT_INIT_REQ; + next_initError <= `INIT_CMD1_ERROR; + end + else + begin + NextState_initSDSt <= `WT_INIT_REQ; + end + end + `INIT_SEND_CMD: + begin + next_cmdByte <= 8'h41; + //CMD1 + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'hff; + next_sendCmdReq <= 1'b1; + next_loopCnt <= loopCnt + 1'b1; + next_spiCS_n <= 1'b0; + next_delCnt1 <= 10'h000; + NextState_initSDSt <= `INIT_DEL1; + end + `INIT_DEL1: + begin + next_delCnt1 <= delCnt1 + 1'b1; + next_delCnt2 <= 8'h00; + next_sendCmdReq <= 1'b0; + if (delCnt1 == `TWO_MS) + begin + NextState_initSDSt <= `INIT_WT_FIN; + end + else + begin + NextState_initSDSt <= `INIT_DEL2; + end + end + `INIT_DEL2: + begin + next_delCnt2 <= delCnt2 + 1'b1; + if (delCnt2 == 8'hff) + begin + NextState_initSDSt <= `INIT_DEL1; + end + end + endcase +end + +// Current State Logic (sequential) +always @ (posedge clk) +begin + if (rst == 1'b1) + CurrState_initSDSt <= `START; + else + CurrState_initSDSt <= NextState_initSDSt; +end + +// Registered outputs logic +always @ (posedge clk) +begin + if (rst == 1'b1) + begin + spiClkDelayOut <= spiClkDelayIn; + SDInitRdy <= 1'b0; + spiCS_n <= 1'b1; + initError <= `INIT_NO_ERROR; + txDataOut <= 8'h00; + txDataWen <= 1'b0; + cmdByte <= 8'h00; + dataByte1 <= 8'h00; + dataByte2 <= 8'h00; + dataByte3 <= 8'h00; + dataByte4 <= 8'h00; + checkSumByte <= 8'h00; + sendCmdReq <= 1'b0; + rxDataRdyClr <= 1'b0; + loopCnt <= 8'h00; + delCnt1 <= 10'h000; + delCnt2 <= 8'h00; + end + else + begin + spiClkDelayOut <= next_spiClkDelayOut; + SDInitRdy <= next_SDInitRdy; + spiCS_n <= next_spiCS_n; + initError <= next_initError; + txDataOut <= next_txDataOut; + txDataWen <= next_txDataWen; + cmdByte <= next_cmdByte; + dataByte1 <= next_dataByte1; + dataByte2 <= next_dataByte2; + dataByte3 <= next_dataByte3; + dataByte4 <= next_dataByte4; + checkSumByte <= next_checkSumByte; + sendCmdReq <= next_sendCmdReq; + rxDataRdyClr <= next_rxDataRdyClr; + loopCnt <= next_loopCnt; + delCnt1 <= next_delCnt1; + delCnt2 <= next_delCnt2; + end +end + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf new file mode 100644 index 00000000..32e59f00 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf @@ -0,0 +1,528 @@ +VERSION=1.15 +HEADER +FILE="readWriteSDBlock.asf" +FID=4788d213 +LANGUAGE=VERILOG +ENTITY="readWriteSDBlock" +FRAMES=ON +FREEOID=575 +"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// readWriteSDBlock.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n" +END +BUNDLES +B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0 +B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0 +B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0 +B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0 +B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4 +B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0 +B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +END +INSTHEADER 1 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 5000,5000 10000,10000 +END +INSTHEADER 84 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 118 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 130 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 169 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 224 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 302 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 327 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 337 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 389 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +INSTHEADER 415 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +OBJECTS +W 559 170 0 278 558 BEZIER "Transitions" | 159514,250710 169909,244734 184439,233702 194834,227726 +S 558 170 229376 ELLIPSE "States" | 199680,223395 6500 6500 +L 557 558 0 TEXT "State Labels" | 199680,223395 1 0 0 "DEL\n/47/" +A 556 315 4 TEXT "Actions" | 131150,199900 1 0 0 "sendCmdReq <= 1'b0;" +A 555 105 4 TEXT "Actions" | 131275,200525 1 0 0 "sendCmdReq <= 1'b0;" +I 554 0 130 Builtin Signal | 33764,246565 "" "" +L 553 554 0 TEXT "Labels" | 36764,246565 1 0 0 "locRespByte[7:0]" +I 552 0 130 Builtin Signal | 160575,273050 "" "" +L 551 552 0 TEXT "Labels" | 163575,273050 1 0 0 "timeOutCnt[11:0]" +I 548 0 130 Builtin InPort | 32700,254660 "" "" +L 547 548 0 TEXT "Labels" | 38700,254660 1 0 0 "blockAddr[31:0]" +I 546 0 130 Builtin Signal | 161380,256608 "" "" +L 545 546 0 TEXT "Labels" | 164380,256608 1 0 0 "delCnt2[7:0]" +I 544 0 130 Builtin Signal | 161114,261928 "" "" +W 287 170 3 273 278 BEZIER "Transitions" | 153140,203509 147585,209132 136504,218759 133963,224585\ + 131423,230411 132372,242472 134709,246197 137046,249923\ + 143477,251737 147677,253159 +C 283 275 0 TEXT "Conditions" | 163404,220919 1 0 0 "rxDataRdy == 1'b1" +L 282 273 0 TEXT "State Labels" | 158403,199697 1 0 0 "CHK_RESP\n/20/" +L 281 280 0 TEXT "State Labels" | 155702,223714 1 0 0 "REQ_RESP_FIN\n/22/" +S 280 170 106496 ELLIPSE "States" | 155702,223714 6500 6500 +L 279 278 0 TEXT "State Labels" | 154080,254276 1 0 0 "REQ_RESP_ST\n/21/" +S 278 170 102400 ELLIPSE "States" | 154080,254276 6500 6500 +I 277 170 0 Builtin Exit | 145690,169066 +A 276 275 16 TEXT "Actions" | 150887,216503 1 0 0 "locRespByte <= rxDataIn;" +W 275 170 0 280 273 BEZIER "Transitions" | 156440,217258 156900,213346 157290,210028 157662,206152 +S 273 170 98304 ELLIPSE "States" | 158403,199697 6500 6500 +G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: readWriteSDBlock" +A 5 0 1 TEXT "Actions" | 30400,266400 1 0 0 "-- diagram ACTION" +F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,27210 212900,223210 +L 7 6 0 TEXT "Labels" | 32996,218270 1 0 0 "rwBlkSt" +A 574 348 16 TEXT "Actions" | 112284,154324 1 0 0 "locRespByte <= rxDataIn;" +A 573 374 16 TEXT "Actions" | 60519,153465 1 0 0 "timeOutCnt <= timeOutCnt + 1'b1;\ndelCnt1 <= 8'h00;" +A 572 239 16 TEXT "Actions" | 42138,190870 1 0 0 "timeOutCnt <= timeOutCnt + 1'b1;" +A 571 235 16 TEXT "Actions" | 97926,168996 1 0 0 "locRespByte <= rxDataIn;" +A 570 380 4 TEXT "Actions" | 118523,190933 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;" +C 569 459 0 TEXT "Conditions" | 151001,57686 1 0 0 "txDataEmpty == 1'b1" +C 568 456 0 TEXT "Conditions" | 82775,122525 1 0 0 "loopCnt == 9'b000000000" +C 566 296 0 TEXT "Conditions" | 164031,44934 1 0 0 "txDataEmpty == 1'b1" +I 565 0 2 Builtin InPort | 116956,228328 "" "" +L 564 565 0 TEXT "Labels" | 122956,228328 1 0 0 "txDataEmpty" +A 563 562 16 TEXT "Actions" | 167684,228749 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;" +W 562 170 0 558 280 BEZIER "Transitions" | 193184,223621 184656,223621 170729,223805 162201,223805 +A 560 559 16 TEXT "Actions" | 163700,256139 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\ntimeOutCnt <= timeOutCnt + 1'b1;\nrxDataRdyClr <= 1'b1;" +L 303 302 0 TEXT "State Labels" | 155866,114847 1 0 0 "RD_CMD" +S 302 6 110596 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155866,114847 6500 6500 +W 301 6 0 169 224 BEZIER "Transitions" | 116491,60040 116453,54383 116661,57097 116661,51812 +W 300 6 0 130 169 BEZIER "Transitions" | 116096,82088 116419,75091 116419,77840 116311,72556 +W 299 6 0 84 130 BEZIER "Transitions" | 115210,103426 115637,98573 116012,99639 116012,95047 +W 298 6 0 128 84 BEZIER "Transitions" | 114213,168601 114343,164295 115365,122584 115190,116371 +W 297 6 0 82 128 BEZIER "Transitions" | 112965,189035 113095,186752 113278,183816 113408,181533 +W 296 170 0 204 278 BEZIER "Transitions" | 153459,62290 160628,57734 172959,48292 179659,46684\ + 186359,45076 198821,47756 201970,53652 205119,59548\ + 205253,80452 198486,92679 191719,104907 164517,132913\ + 153797,143566 143077,154219 127399,168825 124585,181588\ + 121771,194352 126193,230800 129007,242190 131821,253580\ + 138655,262692 141703,264836 144752,266980 150112,266444\ + 151686,265539 153261,264635 153717,262299 154186,260758 +A 295 291 16 TEXT "Actions" | 160354,178019 1 0 0 "writeError <= `WRITE_DATA_ERROR;" +C 294 291 0 TEXT "Conditions" | 162436,185390 1 0 0 "timeOutCnt == `WR_RESP_TOUT" +I 293 170 0 Builtin Link | 189438,166068 +L 292 293 0 TEXT "Labels" | 195438,166068 1 0 0 "WT_REQ" +W 291 170 1 273 293 BEZIER "Transitions" | 162433,194598 169133,187027 182738,171639 189438,164068 +C 290 288 0 TEXT "Conditions" | 126676,185877 1 0 0 "locRespByte[4:0] == 5'h5" +W 288 170 2 273 277 BEZIER "Transitions" | 156465,193495 155043,188346 148800,175094 145760,171065 +I 319 304 0 Builtin Link | 156420,111673 +I 318 304 0 Builtin Exit | 144032,98711 +A 317 305 4 TEXT "Actions" | 129068,241820 1 0 0 "cmdByte <= 8'h51; //CMD17 Block Read\ndataByte1 <= blockAddr[31:24];\ndataByte2 <= blockAddr[23:16];\ndataByte3 <= blockAddr[15:8];\ndataByte4 <= blockAddr[7:0];\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;" +L 316 315 0 TEXT "State Labels" | 113784,198353 1 0 0 "DEL\n/25/" +S 315 304 122880 ELLIPSE "States" | 113784,198353 6500 6500 +L 314 313 0 TEXT "State Labels" | 113242,173421 1 0 0 "WT_FIN\n/24/" +S 313 304 118784 ELLIPSE "States" | 113242,173421 6500 6500 +W 312 304 0 305 315 BEZIER "Transitions" | 113342,219069 113342,214801 113400,209100 113400,204832 +W 311 304 0 315 313 BEZIER "Transitions" | 113290,191878 113155,188152 113070,183636 112935,179910 +W 310 304 0 307 305 BEZIER "Transitions" | 74238,246230 83316,241623 99061,233757 108139,229150 +C 309 308 0 TEXT "Conditions" | 118993,167630 1 0 0 "sendCmdRdy == 1'b1" +W 308 304 0 313 327 BEZIER "Transitions" | 116637,167879 123539,156637 117794,149336 126648,141321 +I 307 304 0 Builtin Entry | 70580,246230 +L 306 305 0 TEXT "State Labels" | 113556,225558 1 0 0 "SEND_CMD\n/23/" +S 305 304 114688 ELLIPSE "States" | 113556,225558 6500 6500 +H 304 302 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +A 335 334 16 TEXT "Actions" | 134500,139322 1 0 0 "spiCS_n <= 1'b0;\nreadWriteSDBlockRdy <= 1'b0;\nreadError <= `READ_NO_ERROR;" +W 334 6 0 128 302 BEZIER "Transitions" | 119969,172153 128545,167673 154370,136857 153592,120935 +A 333 298 16 TEXT "Actions" | 76094,136596 1 0 0 "spiCS_n <= 1'b0;\nreadWriteSDBlockRdy <= 1'b0;\nwriteError <= `WRITE_NO_ERROR;" +A 332 128 4 TEXT "Actions" | 66248,183412 1 0 0 "spiCS_n <= 1'b1;\nreadWriteSDBlockRdy <= 1'b1;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;" +W 331 328 0 329 330 BEZIER "Transitions" | 100205,182880 103344,179877 105196,169695 108335,166692 +I 330 328 0 Builtin Exit | 110928,166692 +I 329 328 0 Builtin Entry | 96520,182880 +S 327 304 126980 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 128874,139185 3156 3017 +L 326 327 0 TEXT "State Labels" | 128942,138847 1 0 0 "J2" +W 325 304 3 327 318 BEZIER "Transitions" | 129847,136319 133174,127641 137870,107389 141197,98711 +A 323 321 16 TEXT "Actions" | 139238,127895 1 0 0 "readError <= `READ_CMD_ERROR;" +C 322 321 0 TEXT "Conditions" | 137153,138885 1 0 0 "respTout == 1'b1 || respByte != 8'h00" +W 321 304 2 327 319 BEZIER "Transitions" | 131177,137123 136826,130398 150771,116398 156420,109673 +L 320 319 0 TEXT "Labels" | 162420,111673 1 0 0 "WT_REQ" +H 328 327 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +S 351 338 139264 ELLIPSE "States" | 106026,166550 6500 6500 +W 350 338 0 368 369 BEZIER "Transitions" | 67549,239359 76627,234752 86180,250238 95258,245631 +C 349 348 0 TEXT "Conditions" | 111780,160832 1 0 0 "rxDataRdy == 1'b1" +W 348 338 0 351 346 BEZIER "Transitions" | 109408,161002 116386,149688 110826,148678 118545,137753 +L 347 346 0 TEXT "State Labels" | 122076,132298 1 0 0 "CHK_LOOP\n/26/" +S 346 338 135168 ELLIPSE "States" | 122076,132298 6500 6500 +H 338 337 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +S 337 6 131076 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155556,94377 6500 6500 +L 336 337 0 TEXT "State Labels" | 155556,94377 1 0 0 "RD_TOKEN" +I 74 0 2 Builtin InPort | 195700,267632 "" "" +L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst" +I 72 0 3 Builtin InPort | 195700,272800 "" "" +L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk" +L 367 359 0 TEXT "State Labels" | 106340,218687 1 0 0 "SEND_CMD\n/28/" +L 366 365 0 TEXT "State Labels" | 53406,179998 1 0 0 "DEL2\n/29/" +S 365 338 147456 ELLIPSE "States" | 53406,179998 6500 6500 +W 364 338 3 346 361 BEZIER "Transitions" | 123583,125978 114391,113159 121643,95942 132878,90341 +I 361 338 0 Builtin Exit | 135456,90208 +A 360 359 4 TEXT "Actions" | 121852,234949 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nrxDataRdyClr <= 1'b1;" +S 359 338 143360 ELLIPSE "States" | 106340,218687 6500 6500 +L 352 351 0 TEXT "State Labels" | 106026,166550 1 0 0 "WT_FIN\n/27/" +L 81 82 0 TEXT "State Labels" | 113220,195514 1 0 0 "ST_RW_SD\n/0/" +S 82 6 0 ELLIPSE "States" | 113220,195514 6500 6500 +L 83 84 0 TEXT "State Labels" | 115395,109896 1 0 0 "WR_CMD" +S 84 6 4100 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115395,109896 6500 6500 +H 85 84 0 RECT 0,0,0 0 0 1 255,255,255 0 | 27860,28670 212360,276670 +S 92 85 8192 ELLIPSE "States" | 113016,225828 6500 6500 +L 93 92 0 TEXT "State Labels" | 113016,225828 1 0 0 "SEND_CMD\n/1/" +I 94 85 0 Builtin Entry | 70040,246500 +W 383 338 2 346 385 BEZIER "Transitions" | 128455,133541 138850,135296 180442,141646 191036,142273 +W 382 338 0 380 351 BEZIER "Transitions" | 105524,186872 105457,182130 105515,177755 105448,173013 +W 381 338 0 359 380 BEZIER "Transitions" | 105523,212247 105320,208453 105367,203608 105164,199814 +S 380 338 155648 ELLIPSE "States" | 105765,193344 6500 6500 +L 379 380 0 TEXT "State Labels" | 105765,193344 1 0 0 "DEL1\n/31/" +C 377 374 0 TEXT "Conditions" | 32353,125947 1 0 0 "locRespByte != 8'hfe && timeOutCnt != `ONE_HUNDRED_MS" +C 376 375 0 TEXT "Conditions" | 37395,199964 1 0 0 "delCnt1 == `MAX_8_BIT" +W 375 338 1 365 359 BEZIER "Transitions" | 55933,185983 60073,191941 68179,216209 76636,218125\ + 85094,220041 90522,226339 93570,226372 96618,226406\ + 99403,224529 101638,223174 +W 374 338 1 346 365 BEZIER "Transitions" | 116959,136304 87922,130964 55868,158884 57051,176025 +A 373 365 4 TEXT "Actions" | 64312,187877 1 0 0 "delCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;" +L 372 369 0 TEXT "State Labels" | 101285,243200 1 0 0 "INIT_LOOP\n/30/" +W 371 338 0 369 359 BEZIER "Transitions" | 101997,236742 102942,232760 103652,228930 104597,224948 +A 370 369 4 TEXT "Actions" | 114245,248870 1 0 0 "timeOutCnt <= 12'h000;" +S 369 338 151552 ELLIPSE "States" | 101285,243200 6500 6500 +I 368 338 0 Builtin Entry | 63364,239359 +W 98 85 0 103 118 BEZIER "Transitions" | 116097,168149 122999,156907 117254,149606 126108,141591 +C 99 98 0 TEXT "Conditions" | 118453,167900 1 0 0 "sendCmdRdy == 1'b1" +W 100 85 0 94 92 BEZIER "Transitions" | 73698,246500 82776,241893 98521,234027 107599,229420 +W 101 85 0 105 103 BEZIER "Transitions" | 112750,192148 112615,188422 112530,183906 112395,180180 +W 102 85 0 92 105 BEZIER "Transitions" | 112802,219339 112802,215071 112860,209370 112860,205102 +S 103 85 12288 ELLIPSE "States" | 112702,173691 6500 6500 +L 104 103 0 TEXT "State Labels" | 112702,173691 1 0 0 "WT_FIN\n/2/" +S 105 85 16384 ELLIPSE "States" | 113244,198623 6500 6500 +L 106 105 0 TEXT "State Labels" | 113244,198623 1 0 0 "DEL\n/3/" +A 107 92 4 TEXT "Actions" | 128528,242090 1 0 0 "cmdByte <= 8'h58; //CMD24 Block Write\ndataByte1 <= blockAddr[31:24];\ndataByte2 <= blockAddr[23:16];\ndataByte3 <= blockAddr[15:8];\ndataByte4 <= blockAddr[7:0];\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;" +I 108 85 0 Builtin Exit | 143492,98981 +I 109 85 0 Builtin Link | 155880,111943 +L 110 109 0 TEXT "Labels" | 161880,111943 1 0 0 "WT_REQ" +W 111 85 2 118 109 BEZIER "Transitions" | 130637,137393 136286,130668 150231,116668 155880,109943 +A 387 383 16 TEXT "Actions" | 144060,138060 1 0 0 "readError <= `READ_TOKEN_ERROR;" +C 386 383 0 TEXT "Conditions" | 128229,146101 1 0 0 "timeOutCnt == `ONE_HUNDRED_MS" +I 385 338 0 Builtin Link | 190990,144650 +L 384 385 0 TEXT "Labels" | 196990,144650 1 0 0 "WT_REQ" +C 112 111 0 TEXT "Conditions" | 136613,139155 1 0 0 "respTout == 1'b1 || respByte != 8'h00" +A 113 111 16 TEXT "Actions" | 138698,128165 1 0 0 "writeError <= `WRITE_CMD_ERROR;" +W 116 85 3 118 108 BEZIER "Transitions" | 129307,136589 132634,127911 137330,107659 140657,98981 +L 117 118 0 TEXT "State Labels" | 128402,139117 1 0 0 "J1" +S 118 85 20484 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 128334,139455 3156 3017 +H 119 118 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +I 122 119 0 Builtin Entry | 96520,182880 +I 123 119 0 Builtin Exit | 110928,166692 +W 126 119 0 122 123 BEZIER "Transitions" | 100205,182880 103344,179877 105196,169695 108335,166692 +L 127 128 0 TEXT "State Labels" | 114166,175079 1 0 0 "WT_REQ\n/4/" +L 388 389 0 TEXT "State Labels" | 155343,73929 1 0 0 "RD_DATA" +S 389 6 159748 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155343,73929 6500 6500 +H 390 389 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +S 128 6 24576 ELLIPSE "States" | 114166,175079 6500 6500 +L 129 130 0 TEXT "State Labels" | 116508,88574 1 0 0 "WR_TOKEN" +S 130 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116508,88574 6500 6500 +H 131 130 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +W 138 131 0 144 141 BEZIER "Transitions" | 93143,232118 93346,228934 95399,216673 95425,213277 +A 139 138 16 TEXT "Actions" | 83596,226272 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +C 140 138 0 TEXT "Conditions" | 95421,232225 1 0 0 "txDataFull == 1'b0" +S 141 131 32768 ELLIPSE "States" | 95726,206806 6500 6500 +L 142 141 0 TEXT "State Labels" | 95726,206806 1 0 0 "FF1_FIN\n/5/" +A 143 141 4 TEXT "Actions" | 105171,209122 1 0 0 "txDataWen <= 1'b0;" +L 400 401 0 TEXT "State Labels" | 77852,226948 1 0 0 "ST_LOOP\n/32/" +S 401 390 163840 ELLIPSE "States" | 77852,226948 6500 6500 +A 402 401 4 TEXT "Actions" | 95196,229658 1 0 0 "txDataWen <= 1'b1;\ntxDataOut <= 8'hff;\nloopCnt <= loopCnt + 1'b1;" +L 403 404 0 TEXT "State Labels" | 78936,199306 1 0 0 "WT_DATA\n/33/" +S 404 390 167936 ELLIPSE "States" | 78936,199306 6500 6500 +W 406 390 0 401 404 BEZIER "Transitions" | 77695,220483 77762,216960 78169,209653 78270,205764 +A 408 404 4 TEXT "Actions" | 97364,200119 1 0 0 "txDataWen <= 1'b0;" +L 409 410 0 TEXT "State Labels" | 81375,162450 1 0 0 "CHK_LOOP\n/34/" +S 410 390 172032 ELLIPSE "States" | 81375,162450 6500 6500 +W 411 390 0 404 410 BEZIER "Transitions" | 79226,192822 79632,188351 80587,173613 80938,168928 +C 412 411 0 TEXT "Conditions" | 81955,194246 1 0 0 "rxDataRdy == 1'b1" +A 413 411 16 TEXT "Actions" | 74786,189659 1 0 0 "rxFifoWen <= 1'b1;\nrxDataRdyClr <= 1'b1;\nrxFifoData <= rxDataIn;" +L 414 415 0 TEXT "State Labels" | 83004,136975 1 0 0 "J1" +S 415 390 176132 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 83043,136396 3569 3569 +S 144 131 36864 ELLIPSE "States" | 92762,238598 6500 6500 +L 145 144 0 TEXT "State Labels" | 92762,238598 1 0 0 "FF1_ST\n/6/" +I 146 131 0 Builtin Entry | 51952,266176 +S 147 131 40960 ELLIPSE "States" | 101439,148824 6500 6500 +A 148 147 4 TEXT "Actions" | 110884,151140 1 0 0 "txDataWen <= 1'b0;" +L 149 147 0 TEXT "State Labels" | 101439,148824 1 0 0 "FF2_FIN\n/7/" +W 150 131 0 153 147 BEZIER "Transitions" | 98856,174136 99059,170952 101112,158691 101138,155295 +C 151 150 0 TEXT "Conditions" | 101134,174243 1 0 0 "txDataFull == 1'b0" +A 152 150 16 TEXT "Actions" | 89309,168290 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +S 153 131 45056 ELLIPSE "States" | 98475,180616 6500 6500 +L 154 153 0 TEXT "State Labels" | 98475,180616 1 0 0 "FF2_ST\n/8/" +S 155 131 49152 ELLIPSE "States" | 107423,92248 6500 6500 +A 156 155 4 TEXT "Actions" | 116868,94564 1 0 0 "txDataWen <= 1'b0;" +L 157 155 0 TEXT "State Labels" | 107423,92248 1 0 0 "FE_FIN\n/9/" +W 158 131 0 161 155 BEZIER "Transitions" | 104840,117560 105043,114376 107096,102115 107122,98719 +C 159 158 0 TEXT "Conditions" | 107118,117667 1 0 0 "txDataFull == 1'b0" +H 416 415 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +I 419 416 0 Builtin Entry | 126004,141930 +I 420 416 0 Builtin Exit | 144780,121920 +W 423 416 0 419 420 BEZIER "Transitions" | 129826,141930 134057,136743 137819,127107 142050,121920 +W 424 390 0 410 415 BEZIER "Transitions" | 81407,156099 81677,152387 82370,143719 82390,139900 +A 425 424 16 TEXT "Actions" | 80070,154260 1 0 0 "rxDataRdyClr <= 1'b0;\nrxFifoWen <= 1'b0;" +I 426 390 0 Builtin Entry | 42810,270090 +L 427 428 0 TEXT "State Labels" | 97350,266040 1 0 0 "CLR_RX\n/35/" +S 428 390 180224 ELLIPSE "States" | 97350,266040 6500 6500 +W 429 390 0 426 428 BEZIER "Transitions" | 46995,270090 55230,269685 82688,267193 90992,267387 +A 430 429 16 TEXT "Actions" | 55533,269709 1 0 0 "rxDataRdyClr <= 1'b1;" +W 431 390 0 428 401 BEZIER "Transitions" | 94484,260209 90569,252717 84564,240304 80649,232812 +A 160 158 16 TEXT "Actions" | 95293,111714 1 0 0 "txDataOut <= 8'hfe;\ntxDataWen <= 1'b1;" +S 161 131 53248 ELLIPSE "States" | 104459,124040 6500 6500 +L 162 161 0 TEXT "State Labels" | 104459,124040 1 0 0 "FE_ST\n/10/" +W 163 131 0 146 144 BEZIER "Transitions" | 55624,266176 64736,260940 79060,248435 88172,243199 +W 164 131 0 141 153 BEZIER "Transitions" | 95785,200373 96397,196089 96746,191299 97358,187015 +I 165 131 0 Builtin Exit | 140624,67616 +W 166 131 0 147 161 BEZIER "Transitions" | 101803,142336 102279,138596 102801,134171 103277,130431 +W 167 131 0 155 165 BEZIER "Transitions" | 112534,88234 120218,83134 130356,72716 138040,67616 +L 168 169 0 TEXT "State Labels" | 116501,66078 1 0 0 "WR_DATA" +S 169 6 229380 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116501,66078 6500 6500 +H 170 169 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +A 432 431 16 TEXT "Actions" | 85200,253080 1 0 0 "rxDataRdyClr <= 1'b0;\nloopCnt <= 9'b000000000;" +W 433 390 2 415 401 BEZIER "Transitions" | 79771,137821 72144,141736 57457,148725 53070,158681\ + 48683,168637 46387,200633 47433,211027 48480,221422\ + 54960,231008 58470,232695 61980,234383 68385,231511\ + 72165,230094 +W 440 390 0 451 441 BEZIER "Transitions" | 138130,91528 138333,88344 140386,76083 140412,72687 +S 441 390 184320 ELLIPSE "States" | 140713,66216 6500 6500 +L 442 441 0 TEXT "State Labels" | 140713,66216 1 0 0 "CS_FIN2\n/36/" +S 443 390 188416 ELLIPSE "States" | 75739,66216 6500 6500 +A 444 443 4 TEXT "Actions" | 85184,68532 1 0 0 "txDataWen <= 1'b0;" +L 445 443 0 TEXT "State Labels" | 75739,66216 1 0 0 "CS_FIN1\n/37/" +W 446 390 0 449 443 BEZIER "Transitions" | 73156,91528 73359,88344 75412,76083 75438,72687 +C 447 446 0 TEXT "Conditions" | 75434,91635 1 0 0 "txDataFull == 1'b0" +S 177 170 61440 ELLIPSE "States" | 78305,137464 6500 6500 +A 178 177 4 TEXT "Actions" | 87750,139780 1 0 0 "txDataWen <= 1'b0;" +L 179 177 0 TEXT "State Labels" | 78305,137464 1 0 0 "D_FIN\n/11/" +W 180 170 0 183 177 BEZIER "Transitions" | 75722,162776 75925,159592 77978,147331 78004,143935 +C 181 180 0 TEXT "Conditions" | 78000,162883 1 0 0 "txDataFull == 1'b0" +A 182 180 16 TEXT "Actions" | 66175,156930 1 0 0 "txDataOut <= txFifoData;\ntxDataWen <= 1'b1;" +S 183 170 65536 ELLIPSE "States" | 75341,169256 6500 6500 +L 184 183 0 TEXT "State Labels" | 75341,169256 1 0 0 "D_ST\n/12/" +L 187 188 0 TEXT "State Labels" | 72867,227889 1 0 0 "RD_FIFO1\n/13/" +S 188 170 69632 ELLIPSE "States" | 72867,227889 6500 6500 +L 189 190 0 TEXT "State Labels" | 73959,201135 1 0 0 "RD_FIFO2\n/14/" +S 190 170 73728 ELLIPSE "States" | 73959,201135 6500 6500 +A 191 188 4 TEXT "Actions" | 80895,230061 1 0 0 "txFifoRen <= 1'b1;\nloopCnt <= loopCnt + 1'b1;" +A 448 446 16 TEXT "Actions" | 63609,85682 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +S 449 390 192512 ELLIPSE "States" | 72775,98008 6500 6500 +L 450 449 0 TEXT "State Labels" | 72775,98008 1 0 0 "CS_ST1\n/38/" +S 451 390 196608 ELLIPSE "States" | 137749,98008 6500 6500 +L 452 451 0 TEXT "State Labels" | 137749,98008 1 0 0 "CS_ST2\n/39/" +A 453 440 16 TEXT "Actions" | 128583,85682 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +C 454 440 0 TEXT "Conditions" | 140408,91635 1 0 0 "txDataFull == 1'b0" +A 455 441 4 TEXT "Actions" | 150158,68532 1 0 0 "txDataWen <= 1'b0;" +W 456 390 1 415 449 BEZIER "Transitions" | 82185,132936 79630,124866 76715,112427 74160,104357 +W 457 390 0 443 451 BEZIER "Transitions" | 78514,60339 82952,55834 89399,47074 95182,45998\ + 100965,44922 115223,49631 117845,56120 120468,62609\ + 116702,83861 117071,91594 117441,99328 122688,109012\ + 125276,109920 127865,110828 131449,106344 134004,103318 +I 458 390 0 Builtin Exit | 161625,43107 +W 459 390 0 441 458 BEZIER "Transitions" | 144285,60786 148925,56213 153892,47680 158532,43107 +W 460 6 0 302 337 BEZIER "Transitions" | 155788,108419 155653,104081 155771,105011 155438,100848 +W 461 6 0 337 389 BEZIER "Transitions" | 155952,87899 155619,83040 155938,84926 155805,80404 +W 462 6 0 389 128 BEZIER "Transitions" | 160766,72622 181673,64668 193592,81881 194705,86831\ + 195819,91782 193010,106602 196539,114884 200068,123167\ + 183067,150177 177992,160070 172917,169964 164106,188182\ + 156924,189345 149743,190509 134447,187583 129526,185887\ + 124606,184192 121267,181269 119073,179341 +W 463 6 0 224 128 BEZIER "Transitions" | 112148,42964 96171,38095 88076,46646 81324,48345\ + 74572,50044 62626,66620 61422,77634 60219,88648\ + 53118,140235 53550,154499 53982,168763 75928,188049\ + 79879,189622 83830,191195 94548,186386 97872,185422\ + 101197,184458 106213,181091 109338,179429 +A 192 190 4 TEXT "Actions" | 82521,204408 1 0 0 "txFifoRen <= 1'b0;" +L 193 194 0 TEXT "State Labels" | 72048,257646 1 0 0 "LOOP_INIT\n/15/" +S 194 170 77824 ELLIPSE "States" | 72048,257646 6500 6500 +A 195 194 4 TEXT "Actions" | 89247,259284 1 0 0 "loopCnt <= 9'b000000000;" +S 196 170 81920 ELLIPSE "States" | 80801,98549 6500 6500 +L 197 196 0 TEXT "State Labels" | 80801,98549 1 0 0 "CS_ST1\n/16/" +W 198 170 0 196 201 BEZIER "Transitions" | 81182,92069 81385,88885 83438,76624 83464,73228 +A 199 198 16 TEXT "Actions" | 71635,86223 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +C 200 198 0 TEXT "Conditions" | 83460,92176 1 0 0 "txDataFull == 1'b0" +S 201 170 86016 ELLIPSE "States" | 83765,66757 6500 6500 +L 202 201 0 TEXT "State Labels" | 83765,66757 1 0 0 "CS_FIN1\n/17/" +A 203 201 4 TEXT "Actions" | 93210,69073 1 0 0 "txDataWen <= 1'b0;" +S 204 170 90112 ELLIPSE "States" | 148739,66757 6500 6500 +A 205 204 4 TEXT "Actions" | 158184,69073 1 0 0 "txDataWen <= 1'b0;\ntimeOutCnt <= 12'h000;" +L 206 204 0 TEXT "State Labels" | 148739,66757 1 0 0 "CS_FIN2\n/18/" +W 207 170 0 210 204 BEZIER "Transitions" | 146156,92069 146359,88885 148412,76624 148438,73228 +C 464 334 0 TEXT "Conditions" | 94994,146397 1 0 0 "readWriteSDBlockReq == `READ_SD_BLOCK" +C 465 298 0 TEXT "Conditions" | 55739,152492 1 0 0 "readWriteSDBlockReq == `WRITE_SD_BLOCK" +I 475 6 0 Builtin Reset | 49660,206134 +W 476 6 0 475 82 BEZIER "Transitions" | 49660,206134 64556,204256 92040,199052 106936,197174 +C 477 476 0 TEXT "Conditions" | 62428,209528 1 0 0 "rst == 1'b1" +L 478 479 0 TEXT "Labels" | 122230,269291 1 0 0 "readWriteSDBlockReq[1:0]" +I 479 0 130 Builtin InPort | 116230,269291 "" "" +L 223 224 0 TEXT "State Labels" | 116398,45340 1 0 0 "WR_BUSY" +C 208 207 0 TEXT "Conditions" | 148434,92176 1 0 0 "txDataFull == 1'b0" +A 209 207 16 TEXT "Actions" | 136609,86223 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;" +S 210 170 94208 ELLIPSE "States" | 145775,98549 6500 6500 +L 211 210 0 TEXT "State Labels" | 145775,98549 1 0 0 "CS_ST2\n/19/" +W 212 170 1 177 196 BEZIER "Transitions" | 78835,130988 79312,123617 80043,112406 80520,105035 +C 213 212 0 TEXT "Conditions" | 81603,129336 1 0 0 "loopCnt == 9'b000000000" +W 214 170 0 194 188 BEZIER "Transitions" | 72189,251169 72121,246119 72460,239413 72392,234363 +W 215 170 0 188 190 BEZIER "Transitions" | 72773,221428 73182,217197 73251,211851 73660,207620 +W 216 170 0 190 183 BEZIER "Transitions" | 74343,194648 74411,189188 74887,181191 74955,175731 +W 217 170 2 177 188 BEZIER "Transitions" | 71806,137461 65254,138211 53484,138960 49969,147866\ + 46455,156772 45498,190898 45396,201715 45294,212532\ + 45840,221679 48843,224169 51846,226660 60640,227203\ + 66373,227612 +W 218 170 0 201 210 BEZIER "Transitions" | 87098,61178 91397,57971 97506,51735 102658,50541\ + 107811,49347 119823,50985 122894,57434 125965,63884\ + 126239,88045 127637,96576 129036,105107 134361,115072\ + 136749,115515 139137,115959 142020,108931 144135,104836 +I 221 170 0 Builtin Entry | 39834,267201 +W 222 170 0 221 194 BEZIER "Transitions" | 43519,267201 49798,265427 59580,261403 65859,259629 +L 480 481 0 TEXT "Labels" | 120083,264098 1 0 0 "readWriteSDBlockRdy" +I 481 0 2 Builtin OutPort | 114083,264098 "" "" +L 482 483 0 TEXT "Labels" | 120083,259063 1 0 0 "spiCS_n" +I 483 0 2 Builtin OutPort | 114083,259063 "" "" +I 484 0 130 Builtin OutPort | 69013,272215 "" "" +L 485 484 0 TEXT "Labels" | 75013,272215 1 0 0 "cmdByte[7:0]" +I 486 0 130 Builtin OutPort | 68768,267735 "" "" +L 487 486 0 TEXT "Labels" | 74768,267735 1 0 0 "dataByte1[7:0]" +I 488 0 130 Builtin OutPort | 68965,262525 "" "" +L 489 488 0 TEXT "Labels" | 74965,262525 1 0 0 "dataByte2[7:0]" +I 490 0 130 Builtin OutPort | 69212,257832 "" "" +L 491 490 0 TEXT "Labels" | 75212,257832 1 0 0 "dataByte3[7:0]" +I 492 0 130 Builtin OutPort | 69212,253139 "" "" +L 493 492 0 TEXT "Labels" | 75212,253139 1 0 0 "dataByte4[7:0]" +I 494 0 130 Builtin OutPort | 69088,248199 "" "" +L 495 494 0 TEXT "Labels" | 75088,248199 1 0 0 "checkSumByte[7:0]" +W 239 225 1 237 241 BEZIER "Transitions" | 110570,148995 94430,151147 64068,154038 55628,162847\ + 47189,171657 45708,202593 49575,212814 53442,223036\ + 70390,232990 77013,235243 83637,237496 90160,236699\ + 94934,236229 +L 238 237 0 TEXT "State Labels" | 117061,148658 1 0 0 "CHK_FIN\n/40/" +S 237 225 200704 ELLIPSE "States" | 117061,148658 6500 6500 +C 236 235 0 TEXT "Conditions" | 106765,177192 1 0 0 "rxDataRdy == 1'b1" +W 235 225 0 232 237 BEZIER "Transitions" | 104393,177362 111371,166048 105811,165038 113530,154113 +W 234 225 0 240 268 BEZIER "Transitions" | 62534,255719 71612,251112 81165,266598 90243,261991 +L 233 232 0 TEXT "State Labels" | 101011,182910 1 0 0 "WT_FIN1\n/41/" +S 232 225 204800 ELLIPSE "States" | 101011,182910 6500 6500 +H 225 224 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +S 224 6 57348 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116398,45340 6500 6500 +I 496 0 2 Builtin OutPort | 69306,243719 "" "" +L 497 496 0 TEXT "Labels" | 75306,243719 1 0 0 "sendCmdReq" +I 498 0 2 Builtin InPort | 71520,238553 "" "" +L 499 498 0 TEXT "Labels" | 77520,238553 1 0 0 "sendCmdRdy" +I 500 0 130 Builtin InPort | 71328,234058 "" "" +L 501 500 0 TEXT "Labels" | 77328,234058 1 0 0 "respByte[7:0]" +I 502 0 2 Builtin InPort | 150168,237862 "" "" +L 503 502 0 TEXT "Labels" | 156168,237862 1 0 0 "rxDataRdy" +I 504 0 2 Builtin InPort | 117135,232948 "" "" +L 505 504 0 TEXT "Labels" | 123135,232948 1 0 0 "txDataFull" +I 506 0 2 Builtin OutPort | 114678,237589 "" "" +L 507 506 0 TEXT "Labels" | 120678,237589 1 0 0 "txDataWen" +I 508 0 130 Builtin InPort | 149895,241957 "" "" +L 509 508 0 TEXT "Labels" | 155895,241957 1 0 0 "rxDataIn[7:0]" +I 510 0 130 Builtin OutPort | 114678,242230 "" "" +L 511 510 0 TEXT "Labels" | 120678,242230 1 0 0 "txDataOut[7:0]" +L 527 528 0 TEXT "Labels" | 190950,242120 1 0 0 "rxFifoData[7:0]" +I 526 0 2 Builtin OutPort | 185220,246980 "" "" +L 525 526 0 TEXT "Labels" | 191220,246980 1 0 0 "rxFifoWen" +I 524 0 130 Builtin InPort | 187380,252110 "" "" +L 523 524 0 TEXT "Labels" | 193380,252110 1 0 0 "txFifoData[7:0]" +I 522 0 2 Builtin OutPort | 184950,256970 "" "" +L 521 522 0 TEXT "Labels" | 190950,256970 1 0 0 "txFifoRen" +W 248 225 2 237 251 BEZIER "Transitions" | 121126,143587 165099,138575 140782,115097 127863,106694 +A 249 248 16 TEXT "Actions" | 144590,131826 1 0 0 "writeError <= `WRITE_BUSY_ERROR;" +C 250 248 0 TEXT "Conditions" | 128858,147492 1 0 0 "timeOutCnt == `TWO_FIFTY_MS" +C 255 254 0 TEXT "Conditions" | 114470,200483 1 0 0 "delCnt1 == `MAX_8_BIT" +W 254 225 1 243 232 BEZIER "Transitions" | 151179,193271 142375,193953 126550,195706 120373,194853\ + 114197,194000 109072,189975 105523,187587 +W 253 225 0 241 243 BEZIER "Transitions" | 101358,228597 101904,225390 102458,220616 103686,217545\ + 104915,214475 108737,208604 114128,207171 119520,205738\ + 137266,205875 142862,205499 148458,205124 153100,203486\ + 154430,202496 155761,201507 156185,199858 156526,198698 +A 252 241 4 TEXT "Actions" | 116837,251309 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nrxDataRdyClr <= 1'b1;\ndelCnt1 <= 8'h00;" +I 251 225 0 Builtin Exit | 130441,106568 +C 247 239 0 TEXT "Conditions" | 32326,147044 1 0 0 "locRespByte == 8'h00 && timeOutCnt != `TWO_FIFTY_MS" +W 245 225 3 237 251 BEZIER "Transitions" | 118568,142338 109376,129519 116628,112302 127863,106701 +L 244 243 0 TEXT "State Labels" | 157604,192293 1 0 0 "DEL1\n/42/" +S 243 225 208896 ELLIPSE "States" | 157604,192293 6500 6500 +L 242 241 0 TEXT "State Labels" | 101325,235047 1 0 0 "SEND_CMD1\n/43/" +S 241 225 212992 ELLIPSE "States" | 101325,235047 6500 6500 +I 240 225 0 Builtin Entry | 58349,255719 +I 512 0 2 Builtin OutPort | 147984,233494 "" "" +L 513 512 0 TEXT "Labels" | 153984,233494 1 0 0 "rxDataRdyClr" +L 514 515 0 TEXT "Labels" | 77500,229855 1 0 0 "respTout" +I 515 0 2 Builtin InPort | 71500,229855 "" "" +A 516 82 4 TEXT "Actions" | 160072,222273 1 0 0 "readWriteSDBlockRdy <= 1'b0;\nspiCS_n <= 1'b1;\nreadError <= 1'b0;\nwriteError <= 1'b0;\ntxDataOut <= 8'h00;\ntxDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;\nsendCmdReq <= 1'b0;\nloopCnt <= 8'h00;\ndelCnt1 <= 8'h00;\ndelCnt2 <= 8'h00;\nreadError <= `READ_NO_ERROR;\nwriteError <= `WRITE_NO_ERROR;\ntxFifoRen <= 1'b0;\nrxFifoWen <= 1'b0;\nrxFifoData <= 8'h00;\ntimeOutCnt <= 12'h000;\nlocRespByte <= 8'h00;" +L 517 518 0 TEXT "Labels" | 120142,254523 1 0 0 "readError[1:0]" +I 518 0 130 Builtin OutPort | 114142,254523 "" "" +L 519 520 0 TEXT "Labels" | 119971,249897 1 0 0 "writeError[1:0]" +I 520 0 130 Builtin OutPort | 113971,249897 "" "" +L 543 544 0 TEXT "Labels" | 164114,261928 1 0 0 "delCnt1[7:0]" +C 542 541 0 TEXT "Conditions" | 31702,154694 1 0 0 "delCnt2 == 8'hff" +W 541 338 0 537 365 BEZIER "Transitions" | 45749,147689 44744,152468 35773,163628 34769,167402\ + 33765,171176 35012,179071 36950,181044 38889,183018\ + 44071,182291 47325,182291 +W 539 338 2 365 537 BEZIER "Transitions" | 51640,173745 51294,168275 56598,148666 56246,142125 +A 538 537 4 TEXT "Actions" | 36466,135651 1 0 0 "delCnt2 <= delCnt2 + 1'b1;" +S 537 338 225280 ELLIPSE "States" | 49762,142576 6500 6500 +L 536 537 0 TEXT "State Labels" | 49762,142576 1 0 0 "DEL3\n/46/" +C 535 534 0 TEXT "Conditions" | 154260,170964 1 0 0 "delCnt2 == 8'hff" +W 534 225 0 530 243 BEZIER "Transitions" | 179888,172413 174851,172206 165921,171447 162609,173482\ + 159297,175518 158039,181622 156452,185900 +A 533 530 4 TEXT "Actions" | 166680,162960 1 0 0 "delCnt2 <= delCnt2 + 1'b1;" +W 531 225 2 243 530 BEZIER "Transitions" | 163308,189179 168294,185855 176215,180648 181201,177324 +S 530 225 217088 ELLIPSE "States" | 186323,173323 6500 6500 +L 529 530 0 TEXT "State Labels" | 186323,173323 1 0 0 "DEL2\n/44/" +I 528 0 130 Builtin OutPort | 184950,242120 "" "" +A 270 268 4 TEXT "Actions" | 109230,265230 1 0 0 "timeOutCnt <= 12'h000;" +W 269 225 0 268 241 BEZIER "Transitions" | 96982,253102 97927,249120 98637,245290 99582,241308 +S 268 225 221184 ELLIPSE "States" | 96270,259560 6500 6500 +L 267 268 0 TEXT "State Labels" | 96270,259560 1 0 0 "INIT_LOOP\n/45/" +I 264 0 130 Builtin Signal | 161063,266638 "" "" +L 263 264 0 TEXT "Labels" | 164063,266638 1 0 0 "loopCnt[8:0]" +A 259 243 4 TEXT "Actions" | 166374,212237 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;\ndelCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;" +END diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v new file mode 100644 index 00000000..92ae0aa3 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v @@ -0,0 +1,730 @@ + +////////////////////////////////////////////////////////////////////// +//// //// +//// readWriteSDBlock.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module readWriteSDBlock (blockAddr, checkSumByte, clk, cmdByte, dataByte1, dataByte2, dataByte3, dataByte4, readError, readWriteSDBlockRdy, readWriteSDBlockReq, respByte, respTout, rst, rxDataIn, rxDataRdy, rxDataRdyClr, rxFifoData, rxFifoWen, sendCmdRdy, sendCmdReq, spiCS_n, txDataEmpty, txDataFull, txDataOut, txDataWen, txFifoData, txFifoRen, writeError); +input [31:0]blockAddr; +input clk; +input [1:0]readWriteSDBlockReq; +input [7:0]respByte; +input respTout; +input rst; +input [7:0]rxDataIn; +input rxDataRdy; +input sendCmdRdy; +input txDataEmpty; +input txDataFull; +input [7:0]txFifoData; +output [7:0]checkSumByte; +output [7:0]cmdByte; +output [7:0]dataByte1; +output [7:0]dataByte2; +output [7:0]dataByte3; +output [7:0]dataByte4; +output [1:0]readError; +output readWriteSDBlockRdy; +output rxDataRdyClr; +output [7:0]rxFifoData; +output rxFifoWen; +output sendCmdReq; +output spiCS_n; +output [7:0]txDataOut; +output txDataWen; +output txFifoRen; +output [1:0]writeError; + +wire [31:0]blockAddr; +reg [7:0]checkSumByte, next_checkSumByte; +wire clk; +reg [7:0]cmdByte, next_cmdByte; +reg [7:0]dataByte1, next_dataByte1; +reg [7:0]dataByte2, next_dataByte2; +reg [7:0]dataByte3, next_dataByte3; +reg [7:0]dataByte4, next_dataByte4; +reg [1:0]readError, next_readError; +reg readWriteSDBlockRdy, next_readWriteSDBlockRdy; +wire [1:0]readWriteSDBlockReq; +wire [7:0]respByte; +wire respTout; +wire rst; +wire [7:0]rxDataIn; +wire rxDataRdy; +reg rxDataRdyClr, next_rxDataRdyClr; +reg [7:0]rxFifoData, next_rxFifoData; +reg rxFifoWen, next_rxFifoWen; +wire sendCmdRdy; +reg sendCmdReq, next_sendCmdReq; +reg spiCS_n, next_spiCS_n; +wire txDataEmpty; +wire txDataFull; +reg [7:0]txDataOut, next_txDataOut; +reg txDataWen, next_txDataWen; +wire [7:0]txFifoData; +reg txFifoRen, next_txFifoRen; +reg [1:0]writeError, next_writeError; + +// diagram signals declarations +reg [7:0]delCnt1, next_delCnt1; +reg [7:0]delCnt2, next_delCnt2; +reg [7:0]locRespByte, next_locRespByte; +reg [8:0]loopCnt, next_loopCnt; +reg [11:0]timeOutCnt, next_timeOutCnt; + +// BINARY ENCODED state machine: rwBlkSt +// State codes definitions: +`define ST_RW_SD 6'b000000 +`define WR_CMD_SEND_CMD 6'b000001 +`define WR_CMD_WT_FIN 6'b000010 +`define WR_CMD_DEL 6'b000011 +`define WT_REQ 6'b000100 +`define WR_TOKEN_FF1_FIN 6'b000101 +`define WR_TOKEN_FF1_ST 6'b000110 +`define WR_TOKEN_FF2_FIN 6'b000111 +`define WR_TOKEN_FF2_ST 6'b001000 +`define WR_TOKEN_FE_FIN 6'b001001 +`define WR_TOKEN_FE_ST 6'b001010 +`define WR_DATA_D_FIN 6'b001011 +`define WR_DATA_D_ST 6'b001100 +`define WR_DATA_RD_FIFO1 6'b001101 +`define WR_DATA_RD_FIFO2 6'b001110 +`define WR_DATA_LOOP_INIT 6'b001111 +`define WR_DATA_CS_ST1 6'b010000 +`define WR_DATA_CS_FIN1 6'b010001 +`define WR_DATA_CS_FIN2 6'b010010 +`define WR_DATA_CS_ST2 6'b010011 +`define WR_DATA_CHK_RESP 6'b010100 +`define WR_DATA_REQ_RESP_ST 6'b010101 +`define WR_DATA_REQ_RESP_FIN 6'b010110 +`define RD_CMD_SEND_CMD 6'b010111 +`define RD_CMD_WT_FIN 6'b011000 +`define RD_CMD_DEL 6'b011001 +`define RD_TOKEN_CHK_LOOP 6'b011010 +`define RD_TOKEN_WT_FIN 6'b011011 +`define RD_TOKEN_SEND_CMD 6'b011100 +`define RD_TOKEN_DEL2 6'b011101 +`define RD_TOKEN_INIT_LOOP 6'b011110 +`define RD_TOKEN_DEL1 6'b011111 +`define RD_DATA_ST_LOOP 6'b100000 +`define RD_DATA_WT_DATA 6'b100001 +`define RD_DATA_CHK_LOOP 6'b100010 +`define RD_DATA_CLR_RX 6'b100011 +`define RD_DATA_CS_FIN2 6'b100100 +`define RD_DATA_CS_FIN1 6'b100101 +`define RD_DATA_CS_ST1 6'b100110 +`define RD_DATA_CS_ST2 6'b100111 +`define WR_BUSY_CHK_FIN 6'b101000 +`define WR_BUSY_WT_FIN1 6'b101001 +`define WR_BUSY_DEL1 6'b101010 +`define WR_BUSY_SEND_CMD1 6'b101011 +`define WR_BUSY_DEL2 6'b101100 +`define WR_BUSY_INIT_LOOP 6'b101101 +`define RD_TOKEN_DEL3 6'b101110 +`define WR_DATA_DEL 6'b101111 + +reg [5:0]CurrState_rwBlkSt, NextState_rwBlkSt; + +// Diagram actions (continuous assignments allowed only: assign ...) +// diagram ACTION + + +// Machine: rwBlkSt + +// NextState logic (combinatorial) +always @ (blockAddr or sendCmdRdy or respTout or respByte or readWriteSDBlockReq or txDataFull or loopCnt or txFifoData or txDataEmpty or timeOutCnt or locRespByte or rxDataRdy or rxDataIn or delCnt1 or delCnt2 or readWriteSDBlockRdy or spiCS_n or readError or writeError or txDataOut or txDataWen or rxDataRdyClr or cmdByte or dataByte1 or dataByte2 or dataByte3 or dataByte4 or checkSumByte or sendCmdReq or txFifoRen or rxFifoWen or rxFifoData or CurrState_rwBlkSt) +begin + NextState_rwBlkSt <= CurrState_rwBlkSt; + // Set default values for outputs and signals + next_readWriteSDBlockRdy <= readWriteSDBlockRdy; + next_spiCS_n <= spiCS_n; + next_readError <= readError; + next_writeError <= writeError; + next_txDataOut <= txDataOut; + next_txDataWen <= txDataWen; + next_rxDataRdyClr <= rxDataRdyClr; + next_cmdByte <= cmdByte; + next_dataByte1 <= dataByte1; + next_dataByte2 <= dataByte2; + next_dataByte3 <= dataByte3; + next_dataByte4 <= dataByte4; + next_checkSumByte <= checkSumByte; + next_sendCmdReq <= sendCmdReq; + next_loopCnt <= loopCnt; + next_delCnt1 <= delCnt1; + next_delCnt2 <= delCnt2; + next_txFifoRen <= txFifoRen; + next_rxFifoWen <= rxFifoWen; + next_rxFifoData <= rxFifoData; + next_timeOutCnt <= timeOutCnt; + next_locRespByte <= locRespByte; + case (CurrState_rwBlkSt) // synopsys parallel_case full_case + `ST_RW_SD: + begin + next_readWriteSDBlockRdy <= 1'b0; + next_spiCS_n <= 1'b1; + next_readError <= 1'b0; + next_writeError <= 1'b0; + next_txDataOut <= 8'h00; + next_txDataWen <= 1'b0; + next_rxDataRdyClr <= 1'b0; + next_cmdByte <= 8'h00; + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'h00; + next_sendCmdReq <= 1'b0; + next_loopCnt <= 8'h00; + next_delCnt1 <= 8'h00; + next_delCnt2 <= 8'h00; + next_readError <= `READ_NO_ERROR; + next_writeError <= `WRITE_NO_ERROR; + next_txFifoRen <= 1'b0; + next_rxFifoWen <= 1'b0; + next_rxFifoData <= 8'h00; + next_timeOutCnt <= 12'h000; + next_locRespByte <= 8'h00; + NextState_rwBlkSt <= `WT_REQ; + end + `WT_REQ: + begin + next_spiCS_n <= 1'b1; + next_readWriteSDBlockRdy <= 1'b1; + next_cmdByte <= 8'h00; + next_dataByte1 <= 8'h00; + next_dataByte2 <= 8'h00; + next_dataByte3 <= 8'h00; + next_dataByte4 <= 8'h00; + next_checkSumByte <= 8'h00; + if (readWriteSDBlockReq == `READ_SD_BLOCK) + begin + NextState_rwBlkSt <= `RD_CMD_SEND_CMD; + next_spiCS_n <= 1'b0; + next_readWriteSDBlockRdy <= 1'b0; + next_readError <= `READ_NO_ERROR; + end + else if (readWriteSDBlockReq == `WRITE_SD_BLOCK) + begin + NextState_rwBlkSt <= `WR_CMD_SEND_CMD; + next_spiCS_n <= 1'b0; + next_readWriteSDBlockRdy <= 1'b0; + next_writeError <= `WRITE_NO_ERROR; + end + end + `WR_CMD_SEND_CMD: + begin + next_cmdByte <= 8'h58; + //CMD24 Block Write + next_dataByte1 <= blockAddr[31:24]; + next_dataByte2 <= blockAddr[23:16]; + next_dataByte3 <= blockAddr[15:8]; + next_dataByte4 <= blockAddr[7:0]; + next_checkSumByte <= 8'hff; + next_sendCmdReq <= 1'b1; + NextState_rwBlkSt <= `WR_CMD_DEL; + end + `WR_CMD_WT_FIN: + begin + if ((sendCmdRdy == 1'b1) && (respTout == 1'b1 || respByte != 8'h00)) + begin + NextState_rwBlkSt <= `WT_REQ; + next_writeError <= `WRITE_CMD_ERROR; + end + else if (sendCmdRdy == 1'b1) + begin + NextState_rwBlkSt <= `WR_TOKEN_FF1_ST; + end + end + `WR_CMD_DEL: + begin + next_sendCmdReq <= 1'b0; + NextState_rwBlkSt <= `WR_CMD_WT_FIN; + end + `WR_TOKEN_FF1_FIN: + begin + next_txDataWen <= 1'b0; + NextState_rwBlkSt <= `WR_TOKEN_FF2_ST; + end + `WR_TOKEN_FF1_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_TOKEN_FF1_FIN; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `WR_TOKEN_FF2_FIN: + begin + next_txDataWen <= 1'b0; + NextState_rwBlkSt <= `WR_TOKEN_FE_ST; + end + `WR_TOKEN_FF2_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_TOKEN_FF2_FIN; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `WR_TOKEN_FE_FIN: + begin + next_txDataWen <= 1'b0; + NextState_rwBlkSt <= `WR_DATA_LOOP_INIT; + end + `WR_TOKEN_FE_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_TOKEN_FE_FIN; + next_txDataOut <= 8'hfe; + next_txDataWen <= 1'b1; + end + end + `WR_BUSY_CHK_FIN: + begin + if (locRespByte == 8'h00 && timeOutCnt != `TWO_FIFTY_MS) + begin + NextState_rwBlkSt <= `WR_BUSY_SEND_CMD1; + next_timeOutCnt <= timeOutCnt + 1'b1; + end + else if (timeOutCnt == `TWO_FIFTY_MS) + begin + NextState_rwBlkSt <= `WT_REQ; + next_writeError <= `WRITE_BUSY_ERROR; + end + else + begin + NextState_rwBlkSt <= `WT_REQ; + end + end + `WR_BUSY_WT_FIN1: + begin + if (rxDataRdy == 1'b1) + begin + NextState_rwBlkSt <= `WR_BUSY_CHK_FIN; + next_locRespByte <= rxDataIn; + end + end + `WR_BUSY_DEL1: + begin + next_txDataWen <= 1'b0; + next_rxDataRdyClr <= 1'b0; + next_delCnt1 <= delCnt1 + 1'b1; + next_delCnt2 <= 8'h00; + if (delCnt1 == `MAX_8_BIT) + begin + NextState_rwBlkSt <= `WR_BUSY_WT_FIN1; + end + else + begin + NextState_rwBlkSt <= `WR_BUSY_DEL2; + end + end + `WR_BUSY_SEND_CMD1: + begin + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + next_rxDataRdyClr <= 1'b1; + next_delCnt1 <= 8'h00; + NextState_rwBlkSt <= `WR_BUSY_DEL1; + end + `WR_BUSY_DEL2: + begin + next_delCnt2 <= delCnt2 + 1'b1; + if (delCnt2 == 8'hff) + begin + NextState_rwBlkSt <= `WR_BUSY_DEL1; + end + end + `WR_BUSY_INIT_LOOP: + begin + next_timeOutCnt <= 12'h000; + NextState_rwBlkSt <= `WR_BUSY_SEND_CMD1; + end + `RD_CMD_SEND_CMD: + begin + next_cmdByte <= 8'h51; + //CMD17 Block Read + next_dataByte1 <= blockAddr[31:24]; + next_dataByte2 <= blockAddr[23:16]; + next_dataByte3 <= blockAddr[15:8]; + next_dataByte4 <= blockAddr[7:0]; + next_checkSumByte <= 8'hff; + next_sendCmdReq <= 1'b1; + NextState_rwBlkSt <= `RD_CMD_DEL; + end + `RD_CMD_WT_FIN: + begin + if ((sendCmdRdy == 1'b1) && (respTout == 1'b1 || respByte != 8'h00)) + begin + NextState_rwBlkSt <= `WT_REQ; + next_readError <= `READ_CMD_ERROR; + end + else if (sendCmdRdy == 1'b1) + begin + NextState_rwBlkSt <= `RD_TOKEN_INIT_LOOP; + end + end + `RD_CMD_DEL: + begin + next_sendCmdReq <= 1'b0; + NextState_rwBlkSt <= `RD_CMD_WT_FIN; + end + `RD_TOKEN_CHK_LOOP: + begin + if (locRespByte != 8'hfe && timeOutCnt != `ONE_HUNDRED_MS) + begin + NextState_rwBlkSt <= `RD_TOKEN_DEL2; + next_timeOutCnt <= timeOutCnt + 1'b1; + next_delCnt1 <= 8'h00; + end + else if (timeOutCnt == `ONE_HUNDRED_MS) + begin + NextState_rwBlkSt <= `WT_REQ; + next_readError <= `READ_TOKEN_ERROR; + end + else + begin + NextState_rwBlkSt <= `RD_DATA_CLR_RX; + next_rxDataRdyClr <= 1'b1; + end + end + `RD_TOKEN_WT_FIN: + begin + if (rxDataRdy == 1'b1) + begin + NextState_rwBlkSt <= `RD_TOKEN_CHK_LOOP; + next_locRespByte <= rxDataIn; + end + end + `RD_TOKEN_SEND_CMD: + begin + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + next_rxDataRdyClr <= 1'b1; + NextState_rwBlkSt <= `RD_TOKEN_DEL1; + end + `RD_TOKEN_DEL2: + begin + next_delCnt1 <= delCnt1 + 1'b1; + next_delCnt2 <= 8'h00; + if (delCnt1 == `MAX_8_BIT) + begin + NextState_rwBlkSt <= `RD_TOKEN_SEND_CMD; + end + else + begin + NextState_rwBlkSt <= `RD_TOKEN_DEL3; + end + end + `RD_TOKEN_INIT_LOOP: + begin + next_timeOutCnt <= 12'h000; + NextState_rwBlkSt <= `RD_TOKEN_SEND_CMD; + end + `RD_TOKEN_DEL1: + begin + next_txDataWen <= 1'b0; + next_rxDataRdyClr <= 1'b0; + NextState_rwBlkSt <= `RD_TOKEN_WT_FIN; + end + `RD_TOKEN_DEL3: + begin + next_delCnt2 <= delCnt2 + 1'b1; + if (delCnt2 == 8'hff) + begin + NextState_rwBlkSt <= `RD_TOKEN_DEL2; + end + end + `RD_DATA_ST_LOOP: + begin + next_txDataWen <= 1'b1; + next_txDataOut <= 8'hff; + next_loopCnt <= loopCnt + 1'b1; + NextState_rwBlkSt <= `RD_DATA_WT_DATA; + end + `RD_DATA_WT_DATA: + begin + next_txDataWen <= 1'b0; + if (rxDataRdy == 1'b1) + begin + NextState_rwBlkSt <= `RD_DATA_CHK_LOOP; + next_rxFifoWen <= 1'b1; + next_rxDataRdyClr <= 1'b1; + next_rxFifoData <= rxDataIn; + end + end + `RD_DATA_CHK_LOOP: + begin + if (loopCnt == 9'b000000000) + begin + NextState_rwBlkSt <= `RD_DATA_CS_ST1; + next_rxDataRdyClr <= 1'b0; + next_rxFifoWen <= 1'b0; + end + else + begin + NextState_rwBlkSt <= `RD_DATA_ST_LOOP; + next_rxDataRdyClr <= 1'b0; + next_rxFifoWen <= 1'b0; + end + end + `RD_DATA_CLR_RX: + begin + NextState_rwBlkSt <= `RD_DATA_ST_LOOP; + next_rxDataRdyClr <= 1'b0; + next_loopCnt <= 9'b000000000; + end + `RD_DATA_CS_FIN2: + begin + next_txDataWen <= 1'b0; + if (txDataEmpty == 1'b1) + begin + NextState_rwBlkSt <= `WT_REQ; + end + end + `RD_DATA_CS_FIN1: + begin + next_txDataWen <= 1'b0; + NextState_rwBlkSt <= `RD_DATA_CS_ST2; + end + `RD_DATA_CS_ST1: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `RD_DATA_CS_FIN1; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `RD_DATA_CS_ST2: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `RD_DATA_CS_FIN2; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `WR_DATA_D_FIN: + begin + next_txDataWen <= 1'b0; + if (loopCnt == 9'b000000000) + begin + NextState_rwBlkSt <= `WR_DATA_CS_ST1; + end + else + begin + NextState_rwBlkSt <= `WR_DATA_RD_FIFO1; + end + end + `WR_DATA_D_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_DATA_D_FIN; + next_txDataOut <= txFifoData; + next_txDataWen <= 1'b1; + end + end + `WR_DATA_RD_FIFO1: + begin + next_txFifoRen <= 1'b1; + next_loopCnt <= loopCnt + 1'b1; + NextState_rwBlkSt <= `WR_DATA_RD_FIFO2; + end + `WR_DATA_RD_FIFO2: + begin + next_txFifoRen <= 1'b0; + NextState_rwBlkSt <= `WR_DATA_D_ST; + end + `WR_DATA_LOOP_INIT: + begin + next_loopCnt <= 9'b000000000; + NextState_rwBlkSt <= `WR_DATA_RD_FIFO1; + end + `WR_DATA_CS_ST1: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_DATA_CS_FIN1; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `WR_DATA_CS_FIN1: + begin + next_txDataWen <= 1'b0; + NextState_rwBlkSt <= `WR_DATA_CS_ST2; + end + `WR_DATA_CS_FIN2: + begin + next_txDataWen <= 1'b0; + next_timeOutCnt <= 12'h000; + if (txDataEmpty == 1'b1) + begin + NextState_rwBlkSt <= `WR_DATA_REQ_RESP_ST; + end + end + `WR_DATA_CS_ST2: + begin + if (txDataFull == 1'b0) + begin + NextState_rwBlkSt <= `WR_DATA_CS_FIN2; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `WR_DATA_CHK_RESP: + begin + if (timeOutCnt == `WR_RESP_TOUT) + begin + NextState_rwBlkSt <= `WT_REQ; + next_writeError <= `WRITE_DATA_ERROR; + end + else if (locRespByte[4:0] == 5'h5) + begin + NextState_rwBlkSt <= `WR_BUSY_INIT_LOOP; + end + else + begin + NextState_rwBlkSt <= `WR_DATA_REQ_RESP_ST; + end + end + `WR_DATA_REQ_RESP_ST: + begin + NextState_rwBlkSt <= `WR_DATA_DEL; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + next_timeOutCnt <= timeOutCnt + 1'b1; + next_rxDataRdyClr <= 1'b1; + end + `WR_DATA_REQ_RESP_FIN: + begin + if (rxDataRdy == 1'b1) + begin + NextState_rwBlkSt <= `WR_DATA_CHK_RESP; + next_locRespByte <= rxDataIn; + end + end + `WR_DATA_DEL: + begin + NextState_rwBlkSt <= `WR_DATA_REQ_RESP_FIN; + next_txDataWen <= 1'b0; + next_rxDataRdyClr <= 1'b0; + end + endcase +end + +// Current State Logic (sequential) +always @ (posedge clk) +begin + if (rst == 1'b1) + CurrState_rwBlkSt <= `ST_RW_SD; + else + CurrState_rwBlkSt <= NextState_rwBlkSt; +end + +// Registered outputs logic +always @ (posedge clk) +begin + if (rst == 1'b1) + begin + readWriteSDBlockRdy <= 1'b0; + spiCS_n <= 1'b1; + readError <= 1'b0; + writeError <= 1'b0; + txDataOut <= 8'h00; + txDataWen <= 1'b0; + rxDataRdyClr <= 1'b0; + cmdByte <= 8'h00; + dataByte1 <= 8'h00; + dataByte2 <= 8'h00; + dataByte3 <= 8'h00; + dataByte4 <= 8'h00; + checkSumByte <= 8'h00; + sendCmdReq <= 1'b0; + txFifoRen <= 1'b0; + rxFifoWen <= 1'b0; + rxFifoData <= 8'h00; + loopCnt <= 8'h00; + delCnt1 <= 8'h00; + delCnt2 <= 8'h00; + timeOutCnt <= 12'h000; + locRespByte <= 8'h00; + end + else + begin + readWriteSDBlockRdy <= next_readWriteSDBlockRdy; + spiCS_n <= next_spiCS_n; + readError <= next_readError; + writeError <= next_writeError; + txDataOut <= next_txDataOut; + txDataWen <= next_txDataWen; + rxDataRdyClr <= next_rxDataRdyClr; + cmdByte <= next_cmdByte; + dataByte1 <= next_dataByte1; + dataByte2 <= next_dataByte2; + dataByte3 <= next_dataByte3; + dataByte4 <= next_dataByte4; + checkSumByte <= next_checkSumByte; + sendCmdReq <= next_sendCmdReq; + txFifoRen <= next_txFifoRen; + rxFifoWen <= next_rxFifoWen; + rxFifoData <= next_rxFifoData; + loopCnt <= next_loopCnt; + delCnt1 <= next_delCnt1; + delCnt2 <= next_delCnt2; + timeOutCnt <= next_timeOutCnt; + locRespByte <= next_locRespByte; + end +end + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf new file mode 100644 index 00000000..f6f39e49 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf @@ -0,0 +1,121 @@ +VERSION=1.15 +HEADER +FILE="readWriteSPIWireData.asf" +FID=4788d213 +LANGUAGE=VERILOG +ENTITY="readWriteSPIWireData" +FRAMES=ON +FREEOID=95 +"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// readWriteSPIWireData.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n" +END +BUNDLES +B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0 +B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0 +B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0 +B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0 +B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4 +B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0 +B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +END +INSTHEADER 1 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 5000,5000 10000,10000 +END +INSTHEADER 57 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +OBJECTS +G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: readWriteSPIWireData" +A 5 0 1 TEXT "Actions" | 30673,274317 1 0 0 "-- diagram ACTION" +F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,246400 +L 7 6 0 TEXT "Labels" | 31400,243400 1 0 0 "rwSPISt" +L 8 9 0 TEXT "Labels" | 167524,266756 1 0 0 "txDataFull" +I 9 0 2 Builtin InPort | 161524,266756 "" "" +L 10 11 0 TEXT "Labels" | 165076,262648 1 0 0 "txDataFullClr" +I 11 0 2 Builtin OutPort | 159076,262648 "" "" +L 12 13 0 TEXT "Labels" | 122918,264250 1 0 0 "rxDataRdySet" +I 13 0 2 Builtin OutPort | 116918,264250 "" "" +L 14 15 0 TEXT "Labels" | 167406,271658 1 0 0 "txDataIn[7:0]" +I 15 0 130 Builtin InPort | 161406,271658 "" "" +L 16 17 0 TEXT "Labels" | 123072,269174 1 0 0 "rxDataOut[7:0]" +I 17 0 130 Builtin OutPort | 117072,269174 "" "" +L 18 19 0 TEXT "State Labels" | 110704,231360 1 0 0 "WT_TX_DATA\n/0/" +S 19 6 0 ELLIPSE "States" | 110704,231360 6500 6500 +L 20 21 0 TEXT "State Labels" | 112226,189022 1 0 0 "CLK_HI\n/1/" +S 21 6 4096 ELLIPSE "States" | 112226,189022 6500 6500 +W 22 6 0 19 21 BEZIER "Transitions" | 110470,224884 110707,219468 110980,207626 111395,195467 +C 23 22 0 TEXT "Conditions" | 116947,226592 1 0 0 "txDataFull == 1'b1" +A 24 22 16 TEXT "Actions" | 104644,222649 1 0 0 "txDataShiftReg <= txDataIn;\nrxDataShiftReg <= 8'h00;\nbitCnt <= 4'h0;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b1;\ntxDataEmpty <= 1'b0;" +L 25 26 0 TEXT "Labels" | 85178,260017 1 0 0 "txDataShiftReg[7:0]" +I 26 0 130 Builtin Signal | 82178,260017 "" "" +L 27 28 0 TEXT "Labels" | 85450,264368 1 0 0 "bitCnt[3:0]" +I 28 0 130 Builtin Signal | 82450,264368 "" "" +L 29 30 0 TEXT "Labels" | 45301,255946 1 0 0 "clkDelay[7:0]" +I 30 0 130 Builtin InPort | 39301,255946 "" "" +L 31 32 0 TEXT "Labels" | 85178,269538 1 0 0 "clkDelayCnt[7:0]" +I 32 0 130 Builtin Signal | 82178,269538 "" "" +L 33 34 0 TEXT "State Labels" | 112064,143714 1 0 0 "CLK_LO\n/2/" +S 34 6 8192 ELLIPSE "States" | 112064,143714 6500 6500 +W 35 6 0 21 34 BEZIER "Transitions" | 111477,182571 110721,178461 111926,154930 111406,150180 +A 36 35 16 TEXT "Actions" | 117201,173770 1 0 0 "spiClkOut <= 1'b0;\nspiDataOut <= txDataShiftReg[7];\ntxDataShiftReg <= {txDataShiftReg[6:0], 1'b0};\nclkDelayCnt <= 8'h00;" +A 40 34 4 TEXT "Actions" | 129744,145618 1 0 0 "clkDelayCnt <= clkDelayCnt + 1'b1;" +C 41 39 0 TEXT "Conditions" | 113864,136917 1 0 0 "bitCnt == 4'h8" +W 39 6 1 34 57 BEZIER "Transitions" | 112175,137251 112384,130999 112714,101841 111797,94879 +W 50 6 2 34 21 BEZIER "Transitions" | 108826,149348 101042,157950 83708,154801 80796,161835\ + 77884,168870 77971,186684 79172,191015 80374,195346\ + 85000,196884 88570,197360 92140,197836 101831,194594\ + 106220,191507 +A 51 50 16 TEXT "Actions" | 41615,180095 1 0 0 "spiClkOut <= 1'b1;\nbitCnt <= bitCnt + 1'b1;\nclkDelayCnt <= 8'h00;\nrxDataShiftReg <= {rxDataShiftReg[6:0], spiDataIn};" +C 52 50 0 TEXT "Conditions" | 69697,159224 1 0 0 "clkDelayCnt == clkDelay" +A 53 21 4 TEXT "Actions" | 129906,194545 1 0 0 "clkDelayCnt <= clkDelayCnt + 1'b1;\ntxDataFullClr <= 1'b0;\nrxDataRdySet <= 1'b0;" +C 54 35 0 TEXT "Conditions" | 112616,182259 1 0 0 "clkDelayCnt == clkDelay" +L 56 57 0 TEXT "State Labels" | 112441,91219 1 0 0 "J1" +S 57 6 12292 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 111975,91295 3595 3595 +H 58 57 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +I 61 58 0 Builtin Entry | 96520,182880 +I 62 58 0 Builtin Exit | 123838,149654 +W 63 58 0 61 62 BEZIER "Transitions" | 100327,182880 105881,175159 115604,157376 121159,149654 +A 55 39 16 TEXT "Actions" | 101170,118509 1 0 0 "rxDataRdySet <= 1'b1;\nrxDataOut <= rxDataShiftReg;" +A 79 78 4 TEXT "Actions" | 172448,235984 1 0 0 "bitCnt <= 4'h0;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b0;\nrxDataRdySet <= 1'b0;\ntxDataShiftReg <= 8'h00;\nrxDataShiftReg <= 8'h00;\nrxDataOut <= 8'h00;\nspiDataOut <= 1'b0;\nspiClkOut <= 1'b0;\ntxDataEmpty <= 1'b0;" +S 78 6 16384 ELLIPSE "States" | 163200,234352 6500 6500 +L 77 78 0 TEXT "State Labels" | 163200,234352 1 0 0 "ST_RW_WIRE\n/3/" +C 75 70 0 TEXT "Conditions" | 67748,241498 1 0 0 "rst == 1'b1" +I 74 0 2 Builtin InPort | 195700,267632 "" "" +L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst" +I 72 0 3 Builtin InPort | 195700,272800 "" "" +L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk" +W 70 6 0 69 78 BEZIER "Transitions" | 53584,240424 67019,243331 142652,244275 157000,236303 +I 69 6 0 Builtin Reset | 53584,240424 +W 65 6 1 57 21 BEZIER "Transitions" | 108870,93105 102326,112538 73109,121258 64708,125153\ + 56308,129049 47906,146800 45670,157910 43435,169021\ + 42893,195716 46449,203811 50006,211907 64777,217599\ + 73008,216616 81239,215634 100244,201596 107791,193773 +C 66 65 0 TEXT "Conditions" | 72805,108285 1 0 0 "txDataFull == 1'b1" +W 67 6 2 57 19 BEZIER "Transitions" | 108779,92940 102622,102639 71923,91036 67388,98354\ + 62853,105673 50345,122275 43604,135689 36864,149103\ + 35643,196122 41029,209502 46416,222883 69180,229387\ + 78123,230877 87066,232368 97753,231428 104224,231859 +A 68 65 16 TEXT "Actions" | 47229,151610 1 0 0 "txDataShiftReg <= txDataIn;\nbitCnt <= 3'b000;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b1;" +L 88 87 0 TEXT "Labels" | 85310,255376 1 0 0 "rxDataShiftReg[7:0]" +I 87 0 130 Builtin Signal | 82310,255376 "" "" +I 86 0 2 Builtin OutPort | 37191,270020 "" "" +L 85 86 0 TEXT "Labels" | 43191,270020 1 0 0 "spiClkOut" +I 84 0 2 Builtin InPort | 39102,260465 "" "" +L 83 84 0 TEXT "Labels" | 45102,260465 1 0 0 "spiDataIn" +I 82 0 2 Builtin OutPort | 37191,265379 "" "" +L 81 82 0 TEXT "Labels" | 43191,265379 1 0 0 "spiDataOut" +W 80 6 0 78 19 BEZIER "Transitions" | 156735,233684 145855,233140 128082,232022 117202,231478 +A 92 19 4 TEXT "Actions" | 71554,227603 1 0 0 "rxDataRdySet <= 1'b0;\ntxDataEmpty <= 1'b1;" +L 93 94 0 TEXT "Labels" | 165150,258150 1 0 0 "txDataEmpty" +I 94 0 2 Builtin OutPort | 159150,258150 "" "" +END diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v new file mode 100644 index 00000000..e105e8f7 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v @@ -0,0 +1,229 @@ + +////////////////////////////////////////////////////////////////////// +//// //// +//// readWriteSPIWireData.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module readWriteSPIWireData (clk, clkDelay, rst, rxDataOut, rxDataRdySet, spiClkOut, spiDataIn, spiDataOut, txDataEmpty, txDataFull, txDataFullClr, txDataIn); +input clk; +input [7:0]clkDelay; +input rst; +input spiDataIn; +input txDataFull; +input [7:0]txDataIn; +output [7:0]rxDataOut; +output rxDataRdySet; +output spiClkOut; +output spiDataOut; +output txDataEmpty; +output txDataFullClr; + +wire clk; +wire [7:0]clkDelay; +wire rst; +reg [7:0]rxDataOut, next_rxDataOut; +reg rxDataRdySet, next_rxDataRdySet; +reg spiClkOut, next_spiClkOut; +wire spiDataIn; +reg spiDataOut, next_spiDataOut; +reg txDataEmpty, next_txDataEmpty; +wire txDataFull; +reg txDataFullClr, next_txDataFullClr; +wire [7:0]txDataIn; + +// diagram signals declarations +reg [3:0]bitCnt, next_bitCnt; +reg [7:0]clkDelayCnt, next_clkDelayCnt; +reg [7:0]rxDataShiftReg, next_rxDataShiftReg; +reg [7:0]txDataShiftReg, next_txDataShiftReg; + +// BINARY ENCODED state machine: rwSPISt +// State codes definitions: +`define WT_TX_DATA 2'b00 +`define CLK_HI 2'b01 +`define CLK_LO 2'b10 +`define ST_RW_WIRE 2'b11 + +reg [1:0]CurrState_rwSPISt, NextState_rwSPISt; + +// Diagram actions (continuous assignments allowed only: assign ...) +// diagram ACTION + + +// Machine: rwSPISt + +// NextState logic (combinatorial) +always @ (txDataFull or txDataIn or clkDelayCnt or clkDelay or txDataShiftReg or bitCnt or rxDataShiftReg or spiDataIn or rxDataRdySet or txDataEmpty or txDataFullClr or spiClkOut or spiDataOut or rxDataOut or CurrState_rwSPISt) +begin + NextState_rwSPISt <= CurrState_rwSPISt; + // Set default values for outputs and signals + next_rxDataRdySet <= rxDataRdySet; + next_txDataEmpty <= txDataEmpty; + next_txDataShiftReg <= txDataShiftReg; + next_rxDataShiftReg <= rxDataShiftReg; + next_bitCnt <= bitCnt; + next_clkDelayCnt <= clkDelayCnt; + next_txDataFullClr <= txDataFullClr; + next_spiClkOut <= spiClkOut; + next_spiDataOut <= spiDataOut; + next_rxDataOut <= rxDataOut; + case (CurrState_rwSPISt) // synopsys parallel_case full_case + `WT_TX_DATA: + begin + next_rxDataRdySet <= 1'b0; + next_txDataEmpty <= 1'b1; + if (txDataFull == 1'b1) + begin + NextState_rwSPISt <= `CLK_HI; + next_txDataShiftReg <= txDataIn; + next_rxDataShiftReg <= 8'h00; + next_bitCnt <= 4'h0; + next_clkDelayCnt <= 8'h00; + next_txDataFullClr <= 1'b1; + next_txDataEmpty <= 1'b0; + end + end + `CLK_HI: + begin + next_clkDelayCnt <= clkDelayCnt + 1'b1; + next_txDataFullClr <= 1'b0; + next_rxDataRdySet <= 1'b0; + if (clkDelayCnt == clkDelay) + begin + NextState_rwSPISt <= `CLK_LO; + next_spiClkOut <= 1'b0; + next_spiDataOut <= txDataShiftReg[7]; + next_txDataShiftReg <= {txDataShiftReg[6:0], 1'b0}; + next_clkDelayCnt <= 8'h00; + end + end + `CLK_LO: + begin + next_clkDelayCnt <= clkDelayCnt + 1'b1; + if ((bitCnt == 4'h8) && (txDataFull == 1'b1)) + begin + NextState_rwSPISt <= `CLK_HI; + next_rxDataRdySet <= 1'b1; + next_rxDataOut <= rxDataShiftReg; + next_txDataShiftReg <= txDataIn; + next_bitCnt <= 3'b000; + next_clkDelayCnt <= 8'h00; + next_txDataFullClr <= 1'b1; + end + else if (bitCnt == 4'h8) + begin + NextState_rwSPISt <= `WT_TX_DATA; + next_rxDataRdySet <= 1'b1; + next_rxDataOut <= rxDataShiftReg; + end + else if (clkDelayCnt == clkDelay) + begin + NextState_rwSPISt <= `CLK_HI; + next_spiClkOut <= 1'b1; + next_bitCnt <= bitCnt + 1'b1; + next_clkDelayCnt <= 8'h00; + next_rxDataShiftReg <= {rxDataShiftReg[6:0], spiDataIn}; + end + end + `ST_RW_WIRE: + begin + next_bitCnt <= 4'h0; + next_clkDelayCnt <= 8'h00; + next_txDataFullClr <= 1'b0; + next_rxDataRdySet <= 1'b0; + next_txDataShiftReg <= 8'h00; + next_rxDataShiftReg <= 8'h00; + next_rxDataOut <= 8'h00; + next_spiDataOut <= 1'b0; + next_spiClkOut <= 1'b0; + next_txDataEmpty <= 1'b0; + NextState_rwSPISt <= `WT_TX_DATA; + end + endcase +end + +// Current State Logic (sequential) +always @ (posedge clk) +begin + if (rst == 1'b1) + CurrState_rwSPISt <= `ST_RW_WIRE; + else + CurrState_rwSPISt <= NextState_rwSPISt; +end + +// Registered outputs logic +always @ (posedge clk) +begin + if (rst == 1'b1) + begin + rxDataRdySet <= 1'b0; + txDataEmpty <= 1'b0; + txDataFullClr <= 1'b0; + spiClkOut <= 1'b0; + spiDataOut <= 1'b0; + rxDataOut <= 8'h00; + txDataShiftReg <= 8'h00; + rxDataShiftReg <= 8'h00; + bitCnt <= 4'h0; + clkDelayCnt <= 8'h00; + end + else + begin + rxDataRdySet <= next_rxDataRdySet; + txDataEmpty <= next_txDataEmpty; + txDataFullClr <= next_txDataFullClr; + spiClkOut <= next_spiClkOut; + spiDataOut <= next_spiDataOut; + rxDataOut <= next_rxDataOut; + txDataShiftReg <= next_txDataShiftReg; + rxDataShiftReg <= next_rxDataShiftReg; + bitCnt <= next_bitCnt; + clkDelayCnt <= next_clkDelayCnt; + end +end + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf new file mode 100644 index 00000000..4d05954e --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf @@ -0,0 +1,231 @@ +VERSION=1.15 +HEADER +FILE="sendCmd.asf" +FID=4788d213 +LANGUAGE=VERILOG +ENTITY="sendCmd" +FRAMES=ON +FREEOID=426 +"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendCmd.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n\n" +END +BUNDLES +B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0 +B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0 +B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0 +B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0 +B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4 +B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0 +B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +END +INSTHEADER 1 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 5000,5000 10000,10000 +END +INSTHEADER 168 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +OBJECTS +S 287 169 36864 ELLIPSE "States" | 58145,42664 6500 6500 +C 286 284 0 TEXT "Conditions" | 58455,63310 1 0 0 "txDataFull == 1'b0" +A 285 284 16 TEXT "Actions" | 58389,58640 1 0 0 "txDataOut <= dataByte3;\ntxDataWen <= 1'b1;" +W 284 169 0 289 287 BEZIER "Transitions" | 55994,62919 56515,59615 56972,52449 57396,49118 +G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: sendCmd" +A 5 0 1 TEXT "Actions" | 30400,270465 1 0 0 "-- diagram ACTION\nalways @(sendCmdReq1 or sendCmdReq2 ) begin\n sendCmdReq <= sendCmdReq1 | sendCmdReq2;\nend\n\nalways @(posedge clk) begin\n cmdByte <= cmdByte_1 | cmdByte_2;\n dataByte1 <= dataByte1_1 | dataByte1_2;\n dataByte2 <= dataByte2_1 | dataByte2_2;\n dataByte3 <= dataByte3_1 | dataByte3_2;\n dataByte4 <= dataByte4_1 | dataByte4_2;\n checkSumByte <= checkSumByte_1 | checkSumByte_2;\nend" +F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,208764 +L 7 6 0 TEXT "Labels" | 33841,199139 1 0 0 "sndCmdSt" +L 298 297 0 TEXT "State Labels" | 119183,117704 1 0 0 "D_BYTE4_ST\n/12/" +S 297 169 49152 ELLIPSE "States" | 119183,117704 6500 6500 +L 296 295 0 TEXT "State Labels" | 121962,90985 1 0 0 "D_BYTE4_FIN\n/11/" +S 295 169 45056 ELLIPSE "States" | 121962,90985 6500 6500 +C 294 292 0 TEXT "Conditions" | 122272,111631 1 0 0 "txDataFull == 1'b0" +A 293 292 16 TEXT "Actions" | 122206,106961 1 0 0 "txDataOut <= dataByte4;\ntxDataWen <= 1'b1;" +W 292 169 0 297 295 BEZIER "Transitions" | 119811,111240 120332,107936 120789,100770 121213,97439 +L 290 289 0 TEXT "State Labels" | 55366,69383 1 0 0 "D_BYTE3_ST\n/10/" +S 289 169 40960 ELLIPSE "States" | 55366,69383 6500 6500 +L 288 287 0 TEXT "State Labels" | 58145,42664 1 0 0 "D_BYTE3_FIN\n/9/" +W 319 169 0 205 247 BEZIER "Transitions" | 63983,140499 60844,135517 56583,126997 53444,122015 +A 318 295 4 TEXT "Actions" | 139150,86599 1 0 0 "txDataWen <= 1'b0;" +A 317 287 4 TEXT "Actions" | 76633,44284 1 0 0 "txDataWen <= 1'b0;" +A 316 225 4 TEXT "Actions" | 71992,91513 1 0 0 "txDataWen <= 1'b0;" +A 315 311 4 TEXT "Actions" | 134912,45978 1 0 0 "txDataWen <= 1'b0;\ntimeOutCnt <= 10'h000;" +L 314 313 0 TEXT "State Labels" | 122732,70475 1 0 0 "CS_ST\n/14/" +S 313 169 57344 ELLIPSE "States" | 122732,70475 6500 6500 +L 312 311 0 TEXT "State Labels" | 125511,43756 1 0 0 "CS_FIN\n/13/" +S 311 169 53248 ELLIPSE "States" | 125511,43756 6500 6500 +C 310 308 0 TEXT "Conditions" | 125821,64402 1 0 0 "txDataFull == 1'b0" +A 309 308 16 TEXT "Actions" | 125755,59732 1 0 0 "txDataOut <= checkSumByte;\ntxDataWen <= 1'b1;" +W 308 169 0 313 311 BEZIER "Transitions" | 123360,64011 123881,60707 124338,53541 124762,50210 +W 335 169 0 332 182 BEZIER "Transitions" | 45364,251903 47642,252271 81651,254936 85013,246121 +W 334 169 0 185 332 BEZIER "Transitions" | 41073,269282 40336,265731 39290,259500 38553,255949 +S 332 169 61440 ELLIPSE "States" | 39325,249500 6500 6500 +L 331 332 0 TEXT "State Labels" | 39325,251108 1 0 0 "SEND_FF_ST\n/15/" +I 329 0 2 Builtin Signal | 178213,215725 "" "" +L 328 329 0 TEXT "Labels" | 181213,215725 1 0 0 "sendCmdReq" +L 327 326 0 TEXT "Labels" | 157273,263636 1 0 0 "sendCmdReq2" +I 326 0 2 Builtin InPort | 151273,263636 "" "" +I 325 0 2 Builtin InPort | 151961,268514 "" "" +L 324 325 0 TEXT "Labels" | 157961,268514 1 0 0 "sendCmdReq1" +W 322 169 0 295 313 BEZIER "Transitions" | 122244,84497 122585,81836 122598,79603 122939,76942 +W 321 169 0 287 297 BEZIER "Transitions" | 63804,39468 72608,38035 85574,34251 91921,34114\ + 98268,33978 106049,36299 107653,41212 109257,46126\ + 107891,63463 106287,73597 104684,83732 99633,106938\ + 99837,114069 100042,121201 105913,126526 108335,126867\ + 110758,127208 113121,124683 115032,122704 +W 320 169 0 225 289 BEZIER "Transitions" | 54252,82625 54525,80100 54595,78388 54868,75863 +A 351 260 16 TEXT "Actions" | 146029,190376 1 0 0 "respTout <= 1'b1;" +A 338 335 16 TEXT "Actions" | 57237,258852 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1" +C 337 335 0 TEXT "Conditions" | 46128,249292 1 0 0 "txDataFull == 1'b0" +I 74 0 2 Builtin InPort | 195700,267632 "" "" +L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst" +I 72 0 3 Builtin InPort | 195700,272800 "" "" +L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk" +L 367 366 0 TEXT "Labels" | 118445,251448 1 0 0 "dataByte2_2[7:0]" +I 366 0 130 Builtin InPort | 112445,251448 "" "" +L 365 364 0 TEXT "Labels" | 118188,256588 1 0 0 "dataByte2_1[7:0]" +I 364 0 130 Builtin InPort | 112188,256588 "" "" +L 363 362 0 TEXT "Labels" | 118445,261214 1 0 0 "dataByte1_2[7:0]" +I 362 0 130 Builtin InPort | 112445,261214 "" "" +I 361 0 130 Builtin InPort | 112448,265583 "" "" +L 360 361 0 TEXT "Labels" | 118448,265583 1 0 0 "dataByte1_1[7:0]" +A 358 253 16 TEXT "Actions" | 138128,223118 1 0 0 "respByte <= rxDataIn;" +C 357 356 0 TEXT "Conditions" | 73122,198009 1 0 0 "rst == 1'b1" +W 356 6 0 355 100 BEZIER "Transitions" | 60594,200141 72339,199739 94500,197787 106245,197385 +I 355 6 0 Builtin Reset | 60594,200141 +W 354 6 0 168 102 BEZIER "Transitions" | 105630,145031 98556,147323 85638,151108 82264,155037\ + 78891,158967 79547,170103 83247,173083 86948,176063\ + 98835,174994 105909,175387 +L 95 96 0 TEXT "Labels" | 155940,273023 1 0 0 "sendCmdRdy" +I 383 0 130 Builtin Signal | 177941,226776 "" "" +L 382 383 0 TEXT "Labels" | 180941,226776 1 0 0 "dataByte4[7:0]" +I 381 0 130 Builtin Signal | 178198,220865 "" "" +L 380 381 0 TEXT "Labels" | 181198,220865 1 0 0 "checkSumByte[7:0]" +L 379 378 0 TEXT "Labels" | 117931,223949 1 0 0 "checkSumByte_2[7:0]" +I 378 0 130 Builtin InPort | 111931,223949 "" "" +L 377 376 0 TEXT "Labels" | 117931,228318 1 0 0 "checkSumByte_1[7:0]" +I 376 0 130 Builtin InPort | 111931,228318 "" "" +L 375 374 0 TEXT "Labels" | 118188,232687 1 0 0 "dataByte4_2[7:0]" +I 374 0 130 Builtin InPort | 112188,232687 "" "" +L 373 372 0 TEXT "Labels" | 118188,237313 1 0 0 "dataByte4_1[7:0]" +I 372 0 130 Builtin InPort | 112188,237313 "" "" +L 371 370 0 TEXT "Labels" | 118188,241939 1 0 0 "dataByte3_2[7:0]" +I 370 0 130 Builtin InPort | 112188,241939 "" "" +L 369 368 0 TEXT "Labels" | 118188,246822 1 0 0 "dataByte3_1[7:0]" +I 368 0 130 Builtin InPort | 112188,246822 "" "" +I 111 0 2 Builtin OutPort | 150520,249425 "" "" +L 110 109 0 TEXT "Labels" | 158977,244784 1 0 0 "txDataFull" +I 109 0 2 Builtin InPort | 152977,244784 "" "" +L 108 107 0 TEXT "Labels" | 192010,249698 1 0 0 "rxDataRdy" +I 107 0 2 Builtin InPort | 186010,249698 "" "" +W 106 6 0 102 168 BEZIER "Transitions" | 112025,167024 112028,164120 111877,156052 111880,149910 +W 105 6 0 100 102 BEZIER "Transitions" | 112303,189956 112099,186817 112066,183113 111862,179974 +S 102 6 65536 ELLIPSE "States" | 112128,173499 6500 6500 +L 101 102 0 TEXT "State Labels" | 112128,173499 1 0 0 "WT_CMD\n/17/" +S 100 6 69632 ELLIPSE "States" | 112674,196431 6500 6500 +L 99 100 0 TEXT "State Labels" | 112674,196431 1 0 0 "ST_S_CMD\n/18/" +I 96 0 2 Builtin OutPort | 149940,273023 "" "" +I 391 0 130 Builtin OutPort | 109748,219216 "" "" +L 390 391 0 TEXT "Labels" | 115748,219216 1 0 0 "respByte[7:0]" +L 389 388 0 TEXT "Labels" | 181327,240140 1 0 0 "dataByte1[7:0]" +I 388 0 130 Builtin Signal | 178327,240140 "" "" +L 387 386 0 TEXT "Labels" | 181327,235771 1 0 0 "dataByte2[7:0]" +I 386 0 130 Builtin Signal | 178327,235771 "" "" +L 385 384 0 TEXT "Labels" | 181070,231402 1 0 0 "dataByte3[7:0]" +I 384 0 130 Builtin Signal | 178070,231402 "" "" +C 123 106 0 TEXT "Conditions" | 113758,166364 1 0 0 "sendCmdReq == 1'b1" +L 122 121 0 TEXT "Labels" | 189826,245330 1 0 0 "rxDataRdyClr" +I 121 0 2 Builtin OutPort | 183826,245330 "" "" +L 118 117 0 TEXT "Labels" | 156520,254066 1 0 0 "txDataOut[7:0]" +I 117 0 130 Builtin OutPort | 150520,254066 "" "" +L 116 115 0 TEXT "Labels" | 191737,253793 1 0 0 "rxDataIn[7:0]" +I 115 0 130 Builtin InPort | 185737,253793 "" "" +L 112 111 0 TEXT "Labels" | 156520,249425 1 0 0 "txDataWen" +L 392 393 0 TEXT "Labels" | 155040,236450 1 0 0 "cmdByte_1[7:0]" +I 393 0 130 Builtin InPort | 149040,236450 "" "" +L 394 395 0 TEXT "Labels" | 155040,232130 1 0 0 "cmdByte_2[7:0]" +I 395 0 130 Builtin InPort | 149040,232130 "" "" +L 396 397 0 TEXT "Labels" | 115350,214850 1 0 0 "respTout" +I 397 0 2 Builtin OutPort | 109350,214850 "" "" +L 398 399 0 TEXT "Labels" | 156083,221028 1 0 0 "cmdByte[7:0]" +I 399 0 130 Builtin Signal | 153083,221028 "" "" +A 137 100 4 TEXT "Actions" | 132312,205914 1 0 0 "sendCmdRdy <= 1'b0;\ntxDataWen <= 1'b0;\ntxDataOut <= 8'h00;\nrxDataRdyClr <= 1'b0;\nrespByte <= 8'h00;\nrespTout <= 1'b0;\ntimeOutCnt <= 10'h000;" +A 138 102 4 TEXT "Actions" | 124218,176348 1 0 0 "sendCmdRdy <= 1'b1;" +A 139 106 16 TEXT "Actions" | 108893,161005 1 0 0 "sendCmdRdy <= 1'b0;\nrespTout <= 1'b0;" +L 400 401 0 TEXT "Labels" | 156156,216020 1 0 0 "timeOutCnt[9:0]" +I 401 0 130 Builtin Signal | 153156,216020 "" "" +L 407 408 0 TEXT "Labels" | 158650,240900 1 0 0 "txDataEmpty" +I 408 0 2 Builtin InPort | 152650,240900 "" "" +A 412 410 16 TEXT "Actions" | 187604,127904 1 0 0 "" +C 411 410 0 TEXT "Conditions" | 176525,34775 1 0 0 "txDataEmpty == 1'b1" +W 410 169 0 311 234 BEZIER "Transitions" | 129680,38771 133617,37459 138963,34462 145962,34024\ + 152962,33587 173088,34463 180556,35962 188025,37462\ + 197775,42588 199431,55306 201088,68025 197962,113775\ + 186681,128962 175400,144150 133400,159150 122119,165962\ + 110838,172775 107712,185025 107774,191306 107837,197587\ + 111213,210463 112150,219431 113087,228400 113463,251400\ + 114962,258243 116462,265087 122088,269463 125056,270025\ + 128025,270588 133111,267776 136236,266714 +L 420 421 0 TEXT "State Labels" | 197224,232320 1 0 0 "DEL\n/19/" +S 421 169 77824 ELLIPSE "States" | 197224,232320 6500 6500 +W 422 169 0 234 421 BEZIER "Transitions" | 147223,260243 159499,254229 180037,242591 192313,236577 +A 423 422 16 TEXT "Actions" | 153948,265428 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\ntimeOutCnt <= timeOutCnt + 1'b1;\nrxDataRdyClr <= 1'b1;" +W 424 169 0 421 237 BEZIER "Transitions" | 190754,232933 178974,233801 160757,232683 148977,233551 +A 425 424 16 TEXT "Actions" | 157420,238644 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;" +L 167 168 0 TEXT "State Labels" | 111928,143426 1 0 0 "CMD" +S 168 6 73732 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111928,143426 6500 6500 +H 169 168 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28668,29070 213168,277070 +S 176 169 65536 ELLIPSE "States" | 60614,226551 6500 6500 +A 177 176 4 TEXT "Actions" | 78632,227097 1 0 0 "txDataWen <= 1'b0;" +W 178 169 0 182 176 BEZIER "Transitions" | 85317,242783 68957,244509 60312,236489 60462,233017 +L 181 176 0 TEXT "State Labels" | 60614,226551 1 0 0 "CMD_BYTE_ST\n/16/" +S 182 169 8192 ELLIPSE "States" | 91419,245022 6500 6500 +L 183 182 0 TEXT "State Labels" | 91419,245558 1 0 0 "SEND_FF_FIN\n/2/" +I 184 169 0 Builtin Exit | 133914,177514 +I 185 169 0 Builtin Entry | 41073,271359 +L 193 194 0 TEXT "State Labels" | 61318,198350 1 0 0 "CMD_BYTE_FIN\n/3/" +S 194 169 12288 ELLIPSE "States" | 61318,198350 6500 6500 +W 197 169 0 176 194 BEZIER "Transitions" | 60038,220079 60241,216895 60646,208095 60689,204810 +C 200 197 0 TEXT "Conditions" | 62427,219576 1 0 0 "txDataFull == 1'b0" +A 201 197 16 TEXT "Actions" | 62131,214610 1 0 0 "txDataOut <= cmdByte;\ntxDataWen <= 1'b1;" +W 202 169 0 242 205 BEZIER "Transitions" | 65275,171028 65478,167844 67531,155583 67557,152187 +A 203 202 16 TEXT "Actions" | 55728,165182 1 0 0 "txDataOut <= dataByte1;\ntxDataWen <= 1'b1;" +C 204 202 0 TEXT "Conditions" | 67553,171135 1 0 0 "txDataFull == 1'b0" +S 205 169 16384 ELLIPSE "States" | 67858,145716 6500 6500 +L 206 205 0 TEXT "State Labels" | 67858,145716 1 0 0 "D_BYTE1_FIN\n/4/" +W 222 169 0 247 225 BEZIER "Transitions" | 52145,109346 52666,106042 53123,98876 53547,95545 +A 223 222 16 TEXT "Actions" | 54540,105067 1 0 0 "txDataOut <= dataByte2;\ntxDataWen <= 1'b1;" +C 224 222 0 TEXT "Conditions" | 54606,109737 1 0 0 "txDataFull == 1'b0" +S 225 169 0 ELLIPSE "States" | 54296,89091 6500 6500 +L 226 225 0 TEXT "State Labels" | 54296,89091 1 0 0 "D_BYTE2_FIN\n/0/" +L 233 234 0 TEXT "State Labels" | 141088,262390 1 0 0 "REQ_RESP_ST\n/5/" +S 234 169 20480 ELLIPSE "States" | 141088,262390 6500 6500 +L 236 237 0 TEXT "State Labels" | 142710,231828 1 0 0 "REQ_RESP_FIN\n/6/" +S 237 169 24576 ELLIPSE "States" | 142710,231828 6500 6500 +L 238 239 0 TEXT "State Labels" | 145411,207811 1 0 0 "CHK_RESP\n/7/" +S 239 169 28672 ELLIPSE "States" | 145411,207811 6500 6500 +C 255 253 0 TEXT "Conditions" | 150412,229033 1 0 0 "rxDataRdy == 1'b1" +W 253 169 0 237 239 BEZIER "Transitions" | 143448,225372 143908,221460 144298,218142 144670,214266 +S 247 169 4096 ELLIPSE "States" | 51517,115810 6500 6500 +L 246 247 0 TEXT "State Labels" | 51517,115810 1 0 0 "D_BYTE2_ST\n/1/" +W 245 169 0 194 242 BEZIER "Transitions" | 62217,191914 62763,188911 63074,186881 63620,183878 +A 243 205 4 TEXT "Actions" | 77303,148032 1 0 0 "txDataWen <= 1'b0;" +S 242 169 32768 ELLIPSE "States" | 64894,177508 6500 6500 +L 241 242 0 TEXT "State Labels" | 64894,177508 1 0 0 "D_BYTE1_ST\n/8/" +A 240 194 4 TEXT "Actions" | 71835,200982 1 0 0 "txDataWen <= 1'b0;" +W 264 169 3 239 234 BEZIER "Transitions" | 140148,211623 134593,217246 123512,226873 120971,232699\ + 118431,238525 119380,250586 121717,254311 124054,258037\ + 130485,259851 134685,261273 +C 263 262 0 TEXT "Conditions" | 112592,197061 1 0 0 "respByte[7] == 1'b0" +W 262 169 2 239 184 BEZIER "Transitions" | 143473,201609 142051,196460 135404,184680 133914,179562 +C 261 260 0 TEXT "Conditions" | 158534,204974 1 0 0 "timeOutCnt == 10'h200" +W 260 169 1 239 184 BEZIER "Transitions" | 151546,205667 157905,203655 172683,180675 136858,177514 +END diff --git a/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v new file mode 100644 index 00000000..25f68298 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v @@ -0,0 +1,369 @@ + +////////////////////////////////////////////////////////////////////// +//// //// +//// sendCmd.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" + + +module sendCmd (checkSumByte_1, checkSumByte_2, clk, cmdByte_1, cmdByte_2, dataByte1_1, dataByte1_2, dataByte2_1, dataByte2_2, dataByte3_1, dataByte3_2, dataByte4_1, dataByte4_2, respByte, respTout, rst, rxDataIn, rxDataRdy, rxDataRdyClr, sendCmdRdy, sendCmdReq1, sendCmdReq2, txDataEmpty, txDataFull, txDataOut, txDataWen); +input [7:0]checkSumByte_1; +input [7:0]checkSumByte_2; +input clk; +input [7:0]cmdByte_1; +input [7:0]cmdByte_2; +input [7:0]dataByte1_1; +input [7:0]dataByte1_2; +input [7:0]dataByte2_1; +input [7:0]dataByte2_2; +input [7:0]dataByte3_1; +input [7:0]dataByte3_2; +input [7:0]dataByte4_1; +input [7:0]dataByte4_2; +input rst; +input [7:0]rxDataIn; +input rxDataRdy; +input sendCmdReq1; +input sendCmdReq2; +input txDataEmpty; +input txDataFull; +output [7:0]respByte; +output respTout; +output rxDataRdyClr; +output sendCmdRdy; +output [7:0]txDataOut; +output txDataWen; + +wire [7:0]checkSumByte_1; +wire [7:0]checkSumByte_2; +wire clk; +wire [7:0]cmdByte_1; +wire [7:0]cmdByte_2; +wire [7:0]dataByte1_1; +wire [7:0]dataByte1_2; +wire [7:0]dataByte2_1; +wire [7:0]dataByte2_2; +wire [7:0]dataByte3_1; +wire [7:0]dataByte3_2; +wire [7:0]dataByte4_1; +wire [7:0]dataByte4_2; +reg [7:0]respByte, next_respByte; +reg respTout, next_respTout; +wire rst; +wire [7:0]rxDataIn; +wire rxDataRdy; +reg rxDataRdyClr, next_rxDataRdyClr; +reg sendCmdRdy, next_sendCmdRdy; +wire sendCmdReq1; +wire sendCmdReq2; +wire txDataEmpty; +wire txDataFull; +reg [7:0]txDataOut, next_txDataOut; +reg txDataWen, next_txDataWen; + +// diagram signals declarations +reg [7:0]checkSumByte, next_checkSumByte; +reg [7:0]cmdByte, next_cmdByte; +reg [7:0]dataByte1, next_dataByte1; +reg [7:0]dataByte2, next_dataByte2; +reg [7:0]dataByte3, next_dataByte3; +reg [7:0]dataByte4, next_dataByte4; +reg sendCmdReq, next_sendCmdReq; +reg [9:0]timeOutCnt, next_timeOutCnt; + +// BINARY ENCODED state machine: sndCmdSt +// State codes definitions: +`define CMD_D_BYTE2_FIN 5'b00000 +`define CMD_D_BYTE2_ST 5'b00001 +`define CMD_SEND_FF_FIN 5'b00010 +`define CMD_CMD_BYTE_FIN 5'b00011 +`define CMD_D_BYTE1_FIN 5'b00100 +`define CMD_REQ_RESP_ST 5'b00101 +`define CMD_REQ_RESP_FIN 5'b00110 +`define CMD_CHK_RESP 5'b00111 +`define CMD_D_BYTE1_ST 5'b01000 +`define CMD_D_BYTE3_FIN 5'b01001 +`define CMD_D_BYTE3_ST 5'b01010 +`define CMD_D_BYTE4_FIN 5'b01011 +`define CMD_D_BYTE4_ST 5'b01100 +`define CMD_CS_FIN 5'b01101 +`define CMD_CS_ST 5'b01110 +`define CMD_SEND_FF_ST 5'b01111 +`define CMD_CMD_BYTE_ST 5'b10000 +`define WT_CMD 5'b10001 +`define ST_S_CMD 5'b10010 +`define CMD_DEL 5'b10011 + +reg [4:0]CurrState_sndCmdSt, NextState_sndCmdSt; + +// Diagram actions (continuous assignments allowed only: assign ...) +// diagram ACTION +always @(sendCmdReq1 or sendCmdReq2 ) begin +sendCmdReq <= sendCmdReq1 | sendCmdReq2; +end +always @(posedge clk) begin +cmdByte <= cmdByte_1 | cmdByte_2; +dataByte1 <= dataByte1_1 | dataByte1_2; +dataByte2 <= dataByte2_1 | dataByte2_2; +dataByte3 <= dataByte3_1 | dataByte3_2; +dataByte4 <= dataByte4_1 | dataByte4_2; +checkSumByte <= checkSumByte_1 | checkSumByte_2; +end + + +// Machine: sndCmdSt + +// NextState logic (combinatorial) +always @ (txDataFull or dataByte2 or timeOutCnt or rxDataRdy or rxDataIn or respByte or dataByte1 or dataByte3 or dataByte4 or txDataEmpty or checkSumByte or cmdByte or sendCmdReq or txDataWen or txDataOut or rxDataRdyClr or respTout or sendCmdRdy or CurrState_sndCmdSt) +begin + NextState_sndCmdSt <= CurrState_sndCmdSt; + // Set default values for outputs and signals + next_txDataWen <= txDataWen; + next_txDataOut <= txDataOut; + next_timeOutCnt <= timeOutCnt; + next_rxDataRdyClr <= rxDataRdyClr; + next_respByte <= respByte; + next_respTout <= respTout; + next_sendCmdRdy <= sendCmdRdy; + case (CurrState_sndCmdSt) // synopsys parallel_case full_case + `WT_CMD: + begin + next_sendCmdRdy <= 1'b1; + if (sendCmdReq == 1'b1) + begin + NextState_sndCmdSt <= `CMD_SEND_FF_ST; + next_sendCmdRdy <= 1'b0; + next_respTout <= 1'b0; + end + end + `ST_S_CMD: + begin + next_sendCmdRdy <= 1'b0; + next_txDataWen <= 1'b0; + next_txDataOut <= 8'h00; + next_rxDataRdyClr <= 1'b0; + next_respByte <= 8'h00; + next_respTout <= 1'b0; + next_timeOutCnt <= 10'h000; + NextState_sndCmdSt <= `WT_CMD; + end + `CMD_D_BYTE2_FIN: + begin + next_txDataWen <= 1'b0; + NextState_sndCmdSt <= `CMD_D_BYTE3_ST; + end + `CMD_D_BYTE2_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_D_BYTE2_FIN; + next_txDataOut <= dataByte2; + next_txDataWen <= 1'b1; + end + end + `CMD_SEND_FF_FIN: + begin + NextState_sndCmdSt <= `CMD_CMD_BYTE_ST; + end + `CMD_CMD_BYTE_FIN: + begin + next_txDataWen <= 1'b0; + NextState_sndCmdSt <= `CMD_D_BYTE1_ST; + end + `CMD_D_BYTE1_FIN: + begin + next_txDataWen <= 1'b0; + NextState_sndCmdSt <= `CMD_D_BYTE2_ST; + end + `CMD_REQ_RESP_ST: + begin + NextState_sndCmdSt <= `CMD_DEL; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + next_timeOutCnt <= timeOutCnt + 1'b1; + next_rxDataRdyClr <= 1'b1; + end + `CMD_REQ_RESP_FIN: + begin + if (rxDataRdy == 1'b1) + begin + NextState_sndCmdSt <= `CMD_CHK_RESP; + next_respByte <= rxDataIn; + end + end + `CMD_CHK_RESP: + begin + if (timeOutCnt == 10'h200) + begin + NextState_sndCmdSt <= `WT_CMD; + next_respTout <= 1'b1; + end + else if (respByte[7] == 1'b0) + begin + NextState_sndCmdSt <= `WT_CMD; + end + else + begin + NextState_sndCmdSt <= `CMD_REQ_RESP_ST; + end + end + `CMD_D_BYTE1_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_D_BYTE1_FIN; + next_txDataOut <= dataByte1; + next_txDataWen <= 1'b1; + end + end + `CMD_D_BYTE3_FIN: + begin + next_txDataWen <= 1'b0; + NextState_sndCmdSt <= `CMD_D_BYTE4_ST; + end + `CMD_D_BYTE3_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_D_BYTE3_FIN; + next_txDataOut <= dataByte3; + next_txDataWen <= 1'b1; + end + end + `CMD_D_BYTE4_FIN: + begin + next_txDataWen <= 1'b0; + NextState_sndCmdSt <= `CMD_CS_ST; + end + `CMD_D_BYTE4_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_D_BYTE4_FIN; + next_txDataOut <= dataByte4; + next_txDataWen <= 1'b1; + end + end + `CMD_CS_FIN: + begin + next_txDataWen <= 1'b0; + next_timeOutCnt <= 10'h000; + if (txDataEmpty == 1'b1) + begin + NextState_sndCmdSt <= `CMD_REQ_RESP_ST; + end + end + `CMD_CS_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_CS_FIN; + next_txDataOut <= checkSumByte; + next_txDataWen <= 1'b1; + end + end + `CMD_SEND_FF_ST: + begin + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_SEND_FF_FIN; + next_txDataOut <= 8'hff; + next_txDataWen <= 1'b1; + end + end + `CMD_CMD_BYTE_ST: + begin + next_txDataWen <= 1'b0; + if (txDataFull == 1'b0) + begin + NextState_sndCmdSt <= `CMD_CMD_BYTE_FIN; + next_txDataOut <= cmdByte; + next_txDataWen <= 1'b1; + end + end + `CMD_DEL: + begin + NextState_sndCmdSt <= `CMD_REQ_RESP_FIN; + next_txDataWen <= 1'b0; + next_rxDataRdyClr <= 1'b0; + end + endcase +end + +// Current State Logic (sequential) +always @ (posedge clk) +begin + if (rst == 1'b1) + CurrState_sndCmdSt <= `ST_S_CMD; + else + CurrState_sndCmdSt <= NextState_sndCmdSt; +end + +// Registered outputs logic +always @ (posedge clk) +begin + if (rst == 1'b1) + begin + txDataWen <= 1'b0; + txDataOut <= 8'h00; + rxDataRdyClr <= 1'b0; + respByte <= 8'h00; + respTout <= 1'b0; + sendCmdRdy <= 1'b0; + timeOutCnt <= 10'h000; + end + else + begin + txDataWen <= next_txDataWen; + txDataOut <= next_txDataOut; + rxDataRdyClr <= next_rxDataRdyClr; + respByte <= next_respByte; + respTout <= next_respTout; + sendCmdRdy <= next_sendCmdRdy; + timeOutCnt <= next_timeOutCnt; + end +end + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf new file mode 100644 index 00000000..a5bdd745 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf @@ -0,0 +1,141 @@ +VERSION=1.15 +HEADER +FILE="spiCtrl.asf" +FID=4788d213 +LANGUAGE=VERILOG +ENTITY="spiCtrl" +FRAMES=ON +FREEOID=187 +"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// spiCtrl.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n" +END +BUNDLES +B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0 +B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0 +B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0 +B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0 +B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0 +B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4 +B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0 +B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0 +B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0 +END +INSTHEADER 1 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 5000,5000 10000,10000 +END +INSTHEADER 99 +PAGE 25400,25400 215900,279400 +UPPERLEFT 0,0 +GRID=OFF +GRIDSIZE 0,0 10000,10000 +END +OBJECTS +G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: spiCtrl" +A 5 0 1 TEXT "Actions" | 30673,274317 1 0 0 "-- diagram ACTION" +F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,232796 +L 7 6 0 TEXT "Labels" | 32486,211363 1 0 0 "spiCtrlSt" +L 8 9 0 TEXT "Labels" | 166432,268121 1 0 0 "spiTransCtrl" +I 9 0 2 Builtin InPort | 160432,268121 "" "" +L 10 11 0 TEXT "Labels" | 164257,264286 1 0 0 "spiTransSts" +I 11 0 2 Builtin OutPort | 158257,264286 "" "" +L 14 15 0 TEXT "Labels" | 166314,272204 1 0 0 "spiTransType[1:0]" +I 15 0 130 Builtin InPort | 160314,272204 "" "" +S 78 6 0 ELLIPSE "States" | 117132,210174 6500 6500 +L 77 78 0 TEXT "State Labels" | 117132,210174 1 0 0 "ST_S_CTRL\n/0/" +C 75 70 0 TEXT "Conditions" | 64251,212776 1 0 0 "rst == 1'b1" +I 74 0 2 Builtin InPort | 195973,268451 "" "" +L 73 74 0 TEXT "Labels" | 201973,268451 1 0 0 "rst" +I 72 0 3 Builtin InPort | 195700,272800 "" "" +L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk" +W 70 6 0 69 78 BEZIER "Transitions" | 55625,209356 74109,218718 92075,219949 111473,213370 +I 69 6 0 Builtin Reset | 54670,208387 +I 92 0 2 Builtin InPort | 99404,245269 "" "" +L 91 92 0 TEXT "Labels" | 105404,245269 1 0 0 "SDInitRdy" +I 90 0 2 Builtin OutPort | 97222,240694 "" "" +L 89 90 0 TEXT "Labels" | 103222,240694 1 0 0 "SDInitReq" +L 95 96 0 TEXT "State Labels" | 61517,181659 1 0 0 "WT_S_CTRL_REQ\n/1/" +S 96 6 4096 ELLIPSE "States" | 61517,181659 6500 6500 +W 97 6 0 78 96 BEZIER "Transitions" | 111858,206376 102862,186278 81827,184985 67818,183250 +L 98 99 0 TEXT "State Labels" | 61244,155715 1 0 0 "J1" +S 99 6 8196 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 61335,155624 3871 3871 +W 100 6 0 96 99 BEZIER "Transitions" | 61562,175246 61630,170469 58461,163807 60148,158618 +C 101 100 0 TEXT "Conditions" | 62422,174791 1 0 0 "spiTransCtrl == `TRANS_START" +A 102 100 16 TEXT "Actions" | 58411,169566 1 0 0 "spiTransSts <= `TRANS_BUSY;" +H 103 99 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400 +I 106 103 0 Builtin Entry | 96520,182880 +I 107 103 0 Builtin Exit | 144780,121920 +W 108 103 0 106 107 BEZIER "Transitions" | 100342,182880 105892,175161 136499,129639 142050,121920 +L 110 111 0 TEXT "State Labels" | 138174,125339 1 0 0 "DIR_ACC\n/3/" +S 111 6 16384 ELLIPSE "States" | 138174,125339 6500 6500 +W 113 6 0 99 111 BEZIER "Transitions" | 61166,151770 61234,146806 61130,137919 61640,134485\ + 62150,131051 64054,127243 73166,126223 82278,125203\ + 114404,125351 131676,125215 +C 115 113 0 TEXT "Conditions" | 67726,131051 1 0 0 "spiTransType == `DIRECT_ACCESS" +L 120 121 0 TEXT "Labels" | 46442,250017 1 0 0 "txDataWen" +I 121 0 2 Builtin OutPort | 40442,250017 "" "" +A 123 113 16 TEXT "Actions" | 94110,126699 1 0 0 "txDataWen <= 1'b1;\nspiCS_n <= 1'b0;" +A 125 111 4 TEXT "Actions" | 125118,137851 1 0 0 "txDataWen <= 1'b0;" +L 126 127 0 TEXT "State Labels" | 164014,125067 1 0 0 "WT_FIN1\n/2/" +S 127 6 12288 ELLIPSE "States" | 164014,125067 6500 6500 +W 128 6 0 111 127 BEZIER "Transitions" | 144619,124502 150739,124502 151363,124352 157533,124582 +W 136 6 0 127 96 BEZIER "Transitions" | 162717,131431 160337,140339 156330,157231 149122,163725\ + 141914,170219 117842,178379 106656,180181 95470,181983\ + 78345,181829 68009,181353 +C 137 136 0 TEXT "Conditions" | 156126,140571 1 0 0 "rxDataRdy == 1'b1" +A 138 136 16 TEXT "Actions" | 144158,151179 1 0 0 "rxDataRdyClr <= 1'b1;\nspiCS_n <= 1'b1;" +A 139 96 4 TEXT "Actions" | 42430,197963 1 0 0 "rxDataRdyClr <= 1'b0;\nspiTransSts <= `TRANS_NOT_BUSY;" +L 140 141 0 TEXT "State Labels" | 138990,98683 1 0 0 "INIT\n/4/" +S 141 6 20480 ELLIPSE "States" | 138990,98683 6500 6500 +W 142 6 0 99 141 BEZIER "Transitions" | 60786,151798 60378,142958 58886,126563 58818,120307\ + 58750,114051 59294,106707 60280,104225 61266,101743\ + 64666,99159 74118,98581 83570,98003 115288,98421\ + 132492,98557 +C 144 142 0 TEXT "Conditions" | 66910,103851 1 0 0 "spiTransType == `INIT_SD" +A 146 142 16 TEXT "Actions" | 93022,99499 1 0 0 "SDInitReq <= 1'b1;" +L 147 148 0 TEXT "State Labels" | 163742,99499 1 0 0 "WT_FIN2\n/5/" +S 148 6 24576 ELLIPSE "States" | 163742,99499 6500 6500 +W 149 6 0 141 148 BEZIER "Transitions" | 145474,99128 150302,99196 152415,99360 157243,99428 +A 150 141 4 TEXT "Actions" | 122126,110651 1 0 0 "SDInitReq <= 1'b0;" +W 151 6 0 148 96 BEZIER "Transitions" | 168589,103829 172805,107705 180470,114663 182272,121531\ + 184074,128399 182850,148119 177444,156007 172038,163895\ + 151638,175727 141812,179059 131986,182391 113082,183887\ + 103562,183887 94042,183887 77595,182762 68007,182014 +C 152 151 0 TEXT "Conditions" | 162382,115003 1 0 0 "SDInitRdy == 1'b1" +L 153 154 0 TEXT "State Labels" | 139806,74203 1 0 0 "RW\n/6/" +S 154 6 28672 ELLIPSE "States" | 139806,74203 6500 6500 +L 155 156 0 TEXT "State Labels" | 165374,73931 1 0 0 "WT_FIN3\n/7/" +S 156 6 32768 ELLIPSE "States" | 165374,73931 6500 6500 +W 161 6 0 99 154 BEZIER "Transitions" | 60982,151777 60302,133009 58070,97323 58546,87327\ + 59022,77331 62286,74883 71942,74271 81598,73659\ + 115628,74049 133308,74049 +W 162 6 0 99 154 BEZIER "Transitions" | 61169,151767 60217,127151 57526,79507 57832,66587\ + 58138,53667 62998,56357 73205,49463 83413,42570\ + 122689,61354 133487,72683 +W 163 6 0 154 156 BEZIER "Transitions" | 146246,75076 150598,74736 154569,75047 158921,74707 +C 165 161 0 TEXT "Conditions" | 65006,80459 1 0 0 "spiTransType == `RW_WRITE_SD_BLOCK" +C 166 162 0 TEXT "Conditions" | 61742,61486 1 0 0 "spiTransType == `RW_READ_SD_BLOCK" +A 167 161 16 TEXT "Actions" | 64462,75019 1 0 0 "readWriteSDBlockReq <= `WRITE_SD_BLOCK;" +A 168 162 16 TEXT "Actions" | 60862,54842 1 0 0 "readWriteSDBlockReq <= `READ_SD_BLOCK;" +A 169 154 4 TEXT "Actions" | 103358,87803 1 0 0 "readWriteSDBlockReq <= `NO_BLOCK_REQ;" +W 170 6 0 156 96 BEZIER "Transitions" | 171013,77161 178425,82737 192778,92291 196144,105313\ + 199510,118335 198150,159271 192336,170967 186522,182663\ + 164626,188511 153678,189531 142730,190551 120834,188783\ + 109886,187661 98938,186539 78902,183914 67954,182554 +C 171 170 0 TEXT "Conditions" | 168638,86715 1 0 0 "readWriteSDBlockRdy == 1'b1" +L 172 173 0 TEXT "Labels" | 46441,240515 1 0 0 "rxDataRdyClr" +I 173 0 2 Builtin OutPort | 40441,240515 "" "" +L 176 177 0 TEXT "Labels" | 133432,244833 1 0 0 "readWriteSDBlockReq[1:0]" +I 177 0 130 Builtin OutPort | 127432,244833 "" "" +L 178 179 0 TEXT "Labels" | 48477,245479 1 0 0 "rxDataRdy" +I 179 0 2 Builtin InPort | 42477,245479 "" "" +L 182 183 0 TEXT "Labels" | 135468,240330 1 0 0 "readWriteSDBlockRdy" +I 183 0 2 Builtin InPort | 129468,240330 "" "" +A 184 78 4 TEXT "Actions" | 131510,229116 1 0 0 "readWriteSDBlockReq <= `NO_BLOCK_REQ;\ntxDataWen <= 1'b0;\nSDInitReq <= 1'b0;\nrxDataRdyClr <= 1'b0;\nspiTransSts <= `TRANS_NOT_BUSY;\nspiCS_n <= 1'b1;" +L 185 186 0 TEXT "Labels" | 165711,256531 1 0 0 "spiCS_n" +I 186 0 2 Builtin OutPort | 159711,256531 "" "" +END diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v new file mode 100644 index 00000000..0f84004a --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v @@ -0,0 +1,224 @@ + +////////////////////////////////////////////////////////////////////// +//// //// +//// spiCtrl.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module spiCtrl (clk, readWriteSDBlockRdy, readWriteSDBlockReq, rst, rxDataRdy, rxDataRdyClr, SDInitRdy, SDInitReq, spiCS_n, spiTransCtrl, spiTransSts, spiTransType, txDataWen); +input clk; +input readWriteSDBlockRdy; +input rst; +input rxDataRdy; +input SDInitRdy; +input spiTransCtrl; +input [1:0]spiTransType; +output [1:0]readWriteSDBlockReq; +output rxDataRdyClr; +output SDInitReq; +output spiCS_n; +output spiTransSts; +output txDataWen; + +wire clk; +wire readWriteSDBlockRdy; +reg [1:0]readWriteSDBlockReq, next_readWriteSDBlockReq; +wire rst; +wire rxDataRdy; +reg rxDataRdyClr, next_rxDataRdyClr; +wire SDInitRdy; +reg SDInitReq, next_SDInitReq; +reg spiCS_n, next_spiCS_n; +wire spiTransCtrl; +reg spiTransSts, next_spiTransSts; +wire [1:0]spiTransType; +reg txDataWen, next_txDataWen; + +// BINARY ENCODED state machine: spiCtrlSt +// State codes definitions: +`define ST_S_CTRL 3'b000 +`define WT_S_CTRL_REQ 3'b001 +`define WT_FIN1 3'b010 +`define DIR_ACC 3'b011 +`define INIT 3'b100 +`define WT_FIN2 3'b101 +`define RW 3'b110 +`define WT_FIN3 3'b111 + +reg [2:0]CurrState_spiCtrlSt, NextState_spiCtrlSt; + +// Diagram actions (continuous assignments allowed only: assign ...) +// diagram ACTION + + +// Machine: spiCtrlSt + +// NextState logic (combinatorial) +always @ (spiTransCtrl or rxDataRdy or spiTransType or SDInitRdy or readWriteSDBlockRdy or readWriteSDBlockReq or txDataWen or SDInitReq or rxDataRdyClr or spiTransSts or spiCS_n or CurrState_spiCtrlSt) +begin + NextState_spiCtrlSt <= CurrState_spiCtrlSt; + // Set default values for outputs and signals + next_readWriteSDBlockReq <= readWriteSDBlockReq; + next_txDataWen <= txDataWen; + next_SDInitReq <= SDInitReq; + next_rxDataRdyClr <= rxDataRdyClr; + next_spiTransSts <= spiTransSts; + next_spiCS_n <= spiCS_n; + case (CurrState_spiCtrlSt) // synopsys parallel_case full_case + `ST_S_CTRL: + begin + next_readWriteSDBlockReq <= `NO_BLOCK_REQ; + next_txDataWen <= 1'b0; + next_SDInitReq <= 1'b0; + next_rxDataRdyClr <= 1'b0; + next_spiTransSts <= `TRANS_NOT_BUSY; + next_spiCS_n <= 1'b1; + NextState_spiCtrlSt <= `WT_S_CTRL_REQ; + end + `WT_S_CTRL_REQ: + begin + next_rxDataRdyClr <= 1'b0; + next_spiTransSts <= `TRANS_NOT_BUSY; + if ((spiTransCtrl == `TRANS_START) && (spiTransType == `INIT_SD)) + begin + NextState_spiCtrlSt <= `INIT; + next_spiTransSts <= `TRANS_BUSY; + next_SDInitReq <= 1'b1; + end + else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `RW_WRITE_SD_BLOCK)) + begin + NextState_spiCtrlSt <= `RW; + next_spiTransSts <= `TRANS_BUSY; + next_readWriteSDBlockReq <= `WRITE_SD_BLOCK; + end + else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `RW_READ_SD_BLOCK)) + begin + NextState_spiCtrlSt <= `RW; + next_spiTransSts <= `TRANS_BUSY; + next_readWriteSDBlockReq <= `READ_SD_BLOCK; + end + else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `DIRECT_ACCESS)) + begin + NextState_spiCtrlSt <= `DIR_ACC; + next_spiTransSts <= `TRANS_BUSY; + next_txDataWen <= 1'b1; + next_spiCS_n <= 1'b0; + end + end + `WT_FIN1: + begin + if (rxDataRdy == 1'b1) + begin + NextState_spiCtrlSt <= `WT_S_CTRL_REQ; + next_rxDataRdyClr <= 1'b1; + next_spiCS_n <= 1'b1; + end + end + `DIR_ACC: + begin + next_txDataWen <= 1'b0; + NextState_spiCtrlSt <= `WT_FIN1; + end + `INIT: + begin + next_SDInitReq <= 1'b0; + NextState_spiCtrlSt <= `WT_FIN2; + end + `WT_FIN2: + begin + if (SDInitRdy == 1'b1) + begin + NextState_spiCtrlSt <= `WT_S_CTRL_REQ; + end + end + `RW: + begin + next_readWriteSDBlockReq <= `NO_BLOCK_REQ; + NextState_spiCtrlSt <= `WT_FIN3; + end + `WT_FIN3: + begin + if (readWriteSDBlockRdy == 1'b1) + begin + NextState_spiCtrlSt <= `WT_S_CTRL_REQ; + end + end + endcase +end + +// Current State Logic (sequential) +always @ (posedge clk) +begin + if (rst == 1'b1) + CurrState_spiCtrlSt <= `ST_S_CTRL; + else + CurrState_spiCtrlSt <= NextState_spiCtrlSt; +end + +// Registered outputs logic +always @ (posedge clk) +begin + if (rst == 1'b1) + begin + readWriteSDBlockReq <= `NO_BLOCK_REQ; + txDataWen <= 1'b0; + SDInitReq <= 1'b0; + rxDataRdyClr <= 1'b0; + spiTransSts <= `TRANS_NOT_BUSY; + spiCS_n <= 1'b1; + end + else + begin + readWriteSDBlockReq <= next_readWriteSDBlockReq; + txDataWen <= next_txDataWen; + SDInitReq <= next_SDInitReq; + rxDataRdyClr <= next_rxDataRdyClr; + spiTransSts <= next_spiTransSts; + spiCS_n <= next_spiCS_n; + end +end + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v new file mode 100644 index 00000000..af844baa --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v @@ -0,0 +1,383 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// spiMaster.v //// +//// //// +//// This file is part of the spiMaster opencores effort. +//// //// +//// //// +//// Module Description: //// +//// parameterized dual clock domain fifo. +//// fifo depth is restricted to 2^ADDR_WIDTH +//// No protection against over runs and under runs. +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + +module spiMaster( + clk_i, + rst_i, + address_i, + data_i, + data_o, + strobe_i, + we_i, + ack_o, + + // SPI logic clock + spiSysClk, + + //SPI bus + spiClkOut, + spiDataIn, + spiDataOut, + spiCS_n +); + +//Wishbone bus +input clk_i; +input rst_i; +input [7:0] address_i; +input [7:0] data_i; +output [7:0] data_o; +input strobe_i; +input we_i; +output ack_o; + +// SPI logic clock +input spiSysClk; + +//SPI bus +output spiClkOut; +input spiDataIn; +output spiDataOut; +output spiCS_n; + +// local wires and regs +wire spiSysClk; +wire [7:0] spiClkDelayFromInitSD; +wire rstSyncToSpiClk; +wire [7:0] rxDataFromRWSPIWireData; +wire rxDataRdySetFromRWSPIWireData; +wire txDataFullFromSpiTxRxData; +wire txDataFullClrFromRWSPIWireData; +wire [7:0] txDataToRWSPIWireData; +wire rxDataRdyClrFromRWSDBlock; +wire rxDataRdyClrFromSendCmd; +wire [7:0] rxDataFromSpiTxRxData; +wire rxDataRdy; +wire [7:0] txDataFromRWSDBlock; +wire txDataWenFromRWSDBlock; +wire [7:0] txDataFromSendCmd; +wire txDataWenFromSendCmd; +wire [7:0] txDataFromInitSD; +wire txDataWenFromInitSD; +wire [7:0] dataFromCtrlStsReg; +wire [7:0] dataFromTxFifo; +wire [7:0] dataFromRxFifo; +wire [1:0] spiTransType; +wire [7:0] spiDirectAccessTxData; +wire [1:0] readWriteSDBlockReq; +wire [1:0] SDWriteError; +wire [1:0] SDReadError; +wire [1:0] SDInitError; +wire [7:0] cmdByteFromInitSD; +wire [7:0] dataByte1FromInitSD; +wire [7:0] dataByte2FromInitSD; +wire [7:0] dataByte3FromInitSD; +wire [7:0] dataByte4FromInitSD; +wire [7:0] checkSumByteFromInitSD; +wire [7:0] sendCmdRespByte; +wire [7:0] cmdByteFromRWSDBlock; +wire [7:0] dataByte1FromRWSDBlock; +wire [7:0] dataByte2FromRWSDBlock; +wire [7:0] dataByte3FromRWSDBlock; +wire [7:0] dataByte4FromRWSDBlock; +wire [7:0] checkSumByteFromRWSDBlock; +wire [7:0] txFifoDataOut; +wire [7:0] rxFifoDataIn; +wire [31:0] SDAddr; +wire [7:0] spiClkDelayFromCtrlStsReg; +wire spiCS_nFromInitSD; +wire spiCS_nFromRWSDBlock; +wire spiCS_nFromSpiCtrl; + + +assign spiCS_n = spiCS_nFromInitSD & spiCS_nFromRWSDBlock & spiCS_nFromSpiCtrl; + +// ----------------------------------- +// Instance of Module: wishBoneBI +// ----------------------------------- +wishBoneBI u_wishBoneBI( + .ack_o( ack_o ), + .address( address_i ), + .clk( clk_i ), + .ctrlStsRegSel( ctrlStsRegSel ), + .dataFromCtrlStsReg( dataFromCtrlStsReg ), + .dataFromRxFifo( dataFromRxFifo ), + .dataFromTxFifo( dataFromTxFifo ), + .dataIn( data_i ), + .dataOut( data_o ), + .rst( rst_i ), + .rxFifoSel( rxFifoSel ), + .strobe_i( strobe_i ), + .txFifoSel( txFifoSel ), + .writeEn( we_i ) + ); + +// ----------------------------------- +// Instance of Module: ctrlStsRegBI +// ----------------------------------- +ctrlStsRegBI u_ctrlStsRegBI( + .busClk( clk_i ), + .spiSysClk( spiSysClk ), + .rstSyncToBusClkOut( rstSyncToBusClk ), + .rstSyncToSpiClkOut( rstSyncToSpiClk ), + .rstFromWire( rst_i ), + .address( address_i ), + .strobe_i( strobe_i ), + .dataIn( data_i ), + .dataOut( dataFromCtrlStsReg ), + .ctrlStsRegSel( ctrlStsRegSel ), + .spiTransType( spiTransType ), + .spiTransCtrl( spiTransCtrl ), + .spiTransStatus( spiTransSts ), + .spiDirectAccessTxData(spiDirectAccessTxData), + .spiDirectAccessRxData(rxDataFromSpiTxRxData), + .writeEn( we_i ), + .SDWriteError( SDWriteError ), + .SDReadError( SDReadError ), + .SDInitError( SDInitError ), + .SDAddr( SDAddr ), + .spiClkDelay( spiClkDelayFromCtrlStsReg) + ); + +// ----------------------------------- +// Instance of Module: spiCtrl +// ----------------------------------- +spiCtrl u_spiCtrl( + .clk( spiSysClk ), + .rst( rstSyncToSpiClk ), + .SDInitReq( SDInitReq ), + .SDInitRdy( SDInitRdy ), + .readWriteSDBlockReq( readWriteSDBlockReq ), + .readWriteSDBlockRdy( readWriteSDBlockRdy ), + .rxDataRdy( rxDataRdyFromSpiTxRxData), + .rxDataRdyClr( rxDataRdyClrFromSpiCtrl), + .spiTransType( spiTransType ), + .spiTransCtrl( spiTransCtrl ), + .spiTransSts( spiTransSts ), + .txDataWen( txDataWenFromSpiCtrl ), + .spiCS_n( spiCS_nFromSpiCtrl ) + ); + + +// ----------------------------------- +// Instance of Module: initSD +// ----------------------------------- +initSD u_initSD( + .clk( spiSysClk ), + .rst( rstSyncToSpiClk ), + .SDInitReq( SDInitReq ), + .SDInitRdy( SDInitRdy ), + .initError( SDInitError ), + .sendCmdReq( sendCmdReqFromInitSD ), + .sendCmdRdy( sendCmdRdy ), + .cmdByte( cmdByteFromInitSD ), + .dataByte1( dataByte1FromInitSD ), + .dataByte2( dataByte2FromInitSD ), + .dataByte3( dataByte3FromInitSD ), + .dataByte4( dataByte4FromInitSD ), + .checkSumByte( checkSumByteFromInitSD), + .respByte( sendCmdRespByte ), + .respTout( sendCmdRespTout ), + .spiCS_n( spiCS_nFromInitSD ), + .spiClkDelayOut( spiClkDelayFromInitSD ), + .spiClkDelayIn( spiClkDelayFromCtrlStsReg), + .txDataFull( txDataFullFromSpiTxRxData), + .txDataEmpty( txDataEmptyFromRWSPIWireData), + .txDataOut( txDataFromInitSD ), + .txDataWen( txDataWenFromInitSD ), + .rxDataRdy( rxDataRdyFromSpiTxRxData), + .rxDataRdyClr( rxDataRdyClrFromInitSD) + ); + +// ----------------------------------- +// Instance of Module: readWriteSDBlock +// ----------------------------------- +readWriteSDBlock u_readWriteSDBlock( + .clk( spiSysClk ), + .rst( rstSyncToSpiClk ), + .readWriteSDBlockReq( readWriteSDBlockReq ), + .readWriteSDBlockRdy( readWriteSDBlockRdy ), + .cmdByte( cmdByteFromRWSDBlock ), + .dataByte1( dataByte1FromRWSDBlock), + .dataByte2( dataByte2FromRWSDBlock), + .dataByte3( dataByte3FromRWSDBlock), + .dataByte4( dataByte4FromRWSDBlock), + .checkSumByte( checkSumByteFromRWSDBlock), + .readError( SDReadError ), + .respByte( sendCmdRespByte ), + .respTout( sendCmdRespTout ), + .rxDataIn( rxDataFromSpiTxRxData ), + .rxDataRdy( rxDataRdyFromSpiTxRxData), + .rxDataRdyClr( rxDataRdyClrFromRWSDBlock), + .sendCmdRdy( sendCmdRdy ), + .sendCmdReq( sendCmdReqFromRWSDBlock), + .spiCS_n( spiCS_nFromRWSDBlock ), + .txDataFull( txDataFullFromSpiTxRxData), + .txDataEmpty( txDataEmptyFromRWSPIWireData), + .txDataOut( txDataFromRWSDBlock ), + .txDataWen( txDataWenFromRWSDBlock), + .txFifoData( txFifoDataOut ), + .txFifoRen( txFifoRE ), + .rxFifoData( rxFifoDataIn ), + .rxFifoWen( rRxFifoWE ), + .writeError( SDWriteError ), + .blockAddr( SDAddr ) + + ); + +// ----------------------------------- +// Instance of Module: sendCmd +// ----------------------------------- +sendCmd u_sendCmd( + .clk( spiSysClk ), + .rst( rstSyncToSpiClk ), + .sendCmdReq1( sendCmdReqFromInitSD ), + .sendCmdReq2( sendCmdReqFromRWSDBlock), + .sendCmdRdy( sendCmdRdy ), + .cmdByte_1( cmdByteFromInitSD ), + .cmdByte_2( cmdByteFromRWSDBlock ), + .dataByte1_1( dataByte1FromInitSD ), + .dataByte1_2( dataByte1FromRWSDBlock), + .dataByte2_1( dataByte2FromInitSD ), + .dataByte2_2( dataByte2FromRWSDBlock), + .dataByte3_1( dataByte3FromInitSD ), + .dataByte3_2( dataByte3FromRWSDBlock), + .dataByte4_1( dataByte4FromInitSD ), + .dataByte4_2( dataByte4FromRWSDBlock), + .checkSumByte_1( checkSumByteFromInitSD), + .checkSumByte_2( checkSumByteFromRWSDBlock), + .respByte( sendCmdRespByte ), + .respTout( sendCmdRespTout ), + .rxDataIn( rxDataFromSpiTxRxData ), + .rxDataRdy( rxDataRdyFromSpiTxRxData), + .rxDataRdyClr( rxDataRdyClrFromSendCmd), + .txDataFull( txDataFullFromSpiTxRxData), + .txDataEmpty( txDataEmptyFromRWSPIWireData), + .txDataOut( txDataFromSendCmd ), + .txDataWen( txDataWenFromSendCmd ) + ); + +// ----------------------------------- +// Instance of Module: spiTxRxData +// ----------------------------------- +spiTxRxData u_spiTxRxData( + .clk( spiSysClk ), + .rst( rstSyncToSpiClk ), + .rx1DataRdyClr( rxDataRdyClrFromRWSDBlock), + .rx2DataRdyClr( rxDataRdyClrFromSendCmd), + .rx3DataRdyClr( rxDataRdyClrFromInitSD), + .rx4DataRdyClr( rxDataRdyClrFromSpiCtrl), + .rxDataIn( rxDataFromRWSPIWireData), + .rxDataOut( rxDataFromSpiTxRxData ), + .rxDataRdy( rxDataRdyFromSpiTxRxData), + .rxDataRdySet( rxDataRdySetFromRWSPIWireData), + .tx1DataIn( txDataFromRWSDBlock ), + .tx1DataWEn( txDataWenFromRWSDBlock), + .tx2DataIn( txDataFromSendCmd ), + .tx2DataWEn( txDataWenFromSendCmd ), + .tx3DataIn( txDataFromInitSD ), + .tx3DataWEn( txDataWenFromInitSD ), + .tx4DataIn( spiDirectAccessTxData ), + .tx4DataWEn( txDataWenFromSpiCtrl ), + .txDataFull( txDataFullFromSpiTxRxData), + .txDataFullClr( txDataFullClrFromRWSPIWireData), + .txDataOut( txDataToRWSPIWireData ) + ); + +// ----------------------------------- +// Instance of Module: readWriteSPIWireData +// ----------------------------------- +readWriteSPIWireData u_readWriteSPIWireData( + .clk( spiSysClk ), + .clkDelay( spiClkDelayFromInitSD ), + .rst( rstSyncToSpiClk ), + .rxDataOut( rxDataFromRWSPIWireData), + .rxDataRdySet( rxDataRdySetFromRWSPIWireData), + .spiClkOut( spiClkOut ), + .spiDataIn( spiDataIn ), + .spiDataOut( spiDataOut ), + .txDataFull( txDataFullFromSpiTxRxData), + .txDataFullClr( txDataFullClrFromRWSPIWireData), + .txDataIn( txDataToRWSPIWireData ), + .txDataEmpty( txDataEmptyFromRWSPIWireData) + ); + +TxFifo #(`TX_FIFO_DEPTH, `TX_FIFO_ADDR_WIDTH) u_txFifo ( + .spiSysClk(spiSysClk), + .busClk(clk_i), + .rstSyncToBusClk(rstSyncToBusClk), + .rstSyncToSpiClk(rstSyncToSpiClk), + .fifoREn(txFifoRE), + .fifoEmpty(hostTxFifoEmpty), + .busAddress(address_i[2:0]), + .busWriteEn(we_i), + .busStrobe_i(strobe_i), + .busFifoSelect(txFifoSel), + .busDataIn(data_i), + .busDataOut(dataFromTxFifo), + .fifoDataOut(txFifoDataOut) ); + + +RxFifo #(`RX_FIFO_DEPTH, `RX_FIFO_ADDR_WIDTH) u_rxFifo( + .spiSysClk(spiSysClk), + .busClk(clk_i), + .rstSyncToBusClk(rstSyncToBusClk), + .rstSyncToSpiClk(rstSyncToSpiClk), + .fifoWEn(rRxFifoWE), + .fifoFull(hostRxFifoFull), + .busAddress(address_i[2:0]), + .busWriteEn(we_i), + .busStrobe_i(strobe_i), + .busFifoSelect(rxFifoSel), + .busDataIn(data_i), + .busDataOut(dataFromRxFifo), + .fifoDataIn(rxFifoDataIn) ); + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v new file mode 100644 index 00000000..1e219f67 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v @@ -0,0 +1,125 @@ +// ------------------------ spiMaster_defines.v ---------------------- +`define SPI_MASTER_VERSION_NUM 8'h00 +`define SPI_SYS_CLK_48MHZ +//`define SPI_SYS_CLK_30MHZ + +//memoryMap +`define CTRL_STS_REG_BASE 8'h00 +`define RX_FIFO_BASE 8'h10 +`define TX_FIFO_BASE 8'h20 +`define ADDRESS_DECODE_MASK 8'hf0 +`define SPI_MASTER_VERSION_REG 8'h00 +`define SPI_MASTER_CONTROL_REG 8'h01 +`define TRANS_TYPE_REG 8'h02 +`define TRANS_CTRL_REG 8'h03 +`define TRANS_STS_REG 8'h04 +`define TRANS_ERROR_REG 8'h05 +`define DIRECT_ACCESS_DATA_REG 8'h06 +`define SD_ADDR_7_0_REG 8'h07 +`define SD_ADDR_15_8_REG 8'h08 +`define SD_ADDR_23_16_REG 8'h09 +`define SD_ADDR_31_24_REG 8'h0a +`define SPI_CLK_DEL_REG 8'h0b + + +//FifoAddresses +`define FIFO_DATA_REG 3'b000 +`define FIFO_STATUS_REG 3'b001 +`define FIFO_DATA_COUNT_MSB 3'b010 +`define FIFO_DATA_COUNT_LSB 3'b011 +`define FIFO_CONTROL_REG 3'b100 + + +`ifdef SIM_COMPILE +`define SLOW_SPI_CLK 8'h2 +`define FAST_SPI_CLK 8'h00 +`define TWO_MS 10'h001 +`define TWO_FIFTY_MS 12'h001 +`define ONE_HUNDRED_MS 12'h00c +`else //not SIM_COMPILE + +`ifdef SPI_SYS_CLK_48MHZ + +// --------------- spiSysClk = 48MHz +// if you change the clock frequency you will need to change these constants + +// SLOW_SPI_CLK controls the SPI clock at start up. +// should be aiming for 400KHz +// SLOW_SPI_CLK = (spiSysClk / (400KHz * 2)) - 1 +`define SLOW_SPI_CLK 8'h3b +// controls the SPI clock after init is complete. +// should be aiming for 24MHz ? +// controls the SPI clock after init is complete. +// should be aiming for 24MHz ? +// if spiSysClk >= 48MHz +// FAST_SPI_CLK = (spiSysClk / (24MHz * 2)) - 1 +// else FAST_SPI_CLK = 0 +`define FAST_SPI_CLK 8'h00 +// TWO_MS = ((2mS * spiSysClk) / 256) - 1 +`define TWO_MS 10'h177 +// TWO_FIFTY_MS = ((250mS * spiSysClk) / 65536) - 1 +`define TWO_FIFTY_MS 12'h0b6 +// ONE_HUNDRED_MS = ((100mS * spiSysClk) / 65536) - 1 +`define ONE_HUNDRED_MS 12'h048 + +`else //not SPI_SYS_CLK_48MHZ + +`ifdef SPI_SYS_CLK_30MHZ +// --------------- spiSysClk = 30MHz +`define SLOW_SPI_CLK 8'h24 +`define FAST_SPI_CLK 8'h00 +`define TWO_MS 10'h0e9 +`define TWO_FIFTY_MS 12'h071 +`define ONE_HUNDRED_MS 12'h02c + +`endif //SPI_SYS_CLK_30MHZ +`endif //SPI_SYS_CLK_48MHZ +`endif //SIM_COMPILE + + + +`ifdef SIM_COMPILE +`define SD_INIT_START_SEQ_LEN 8'h03 +`define MAX_8_BIT 8'h08 +`else +`define SD_INIT_START_SEQ_LEN 8'ha0 +`define MAX_8_BIT 8'hff +`endif + + +`define WR_RESP_TOUT 12'hf00 + +`define NO_BLOCK_REQ 2'b00 +`define WRITE_SD_BLOCK 2'b01 +`define READ_SD_BLOCK 2'b10 + +`define READ_NO_ERROR 2'b00 +`define READ_CMD_ERROR 2'b01 +`define READ_TOKEN_ERROR 2'b10 + +`define WRITE_NO_ERROR 2'b00 +`define WRITE_CMD_ERROR 2'b01 +`define WRITE_DATA_ERROR 2'b10 +`define WRITE_BUSY_ERROR 2'b11 + + +`define TRANS_NOT_BUSY 1'b0 +`define TRANS_BUSY 1'b1 + +`define TRANS_START 1'b1 +`define TRANS_STOP 1'b0 + +`define DIRECT_ACCESS 2'b00 +`define INIT_SD 2'b01 +`define RW_READ_SD_BLOCK 2'b10 +`define RW_WRITE_SD_BLOCK 2'b11 + +`define INIT_NO_ERROR 2'b00 +`define INIT_CMD0_ERROR 2'b01 +`define INIT_CMD1_ERROR 2'b10 + +`define TX_FIFO_DEPTH 512 +`define TX_FIFO_ADDR_WIDTH 9 +`define RX_FIFO_DEPTH 512 +`define RX_FIFO_ADDR_WIDTH 9 + diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v b/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v new file mode 100644 index 00000000..2b5870c2 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v @@ -0,0 +1,108 @@ +`include "timescale.v" + +module spiTxRxData ( + clk, + rst, + + tx1DataIn, + tx2DataIn, + tx3DataIn, + tx4DataIn, + tx1DataWEn, + tx2DataWEn, + tx3DataWEn, + tx4DataWEn, + + txDataOut, + txDataFull, + txDataFullClr, + + rx1DataRdyClr, + rx2DataRdyClr, + rx3DataRdyClr, + rx4DataRdyClr, + + rxDataIn, + rxDataOut, + rxDataRdy, + rxDataRdySet +); + +input clk; +input rst; + +input [7:0] tx1DataIn; +input [7:0] tx2DataIn; +input [7:0] tx3DataIn; +input [7:0] tx4DataIn; +input tx1DataWEn; +input tx2DataWEn; +input tx3DataWEn; +input tx4DataWEn; + +output [7:0] txDataOut; +reg [7:0] txDataOut; +output txDataFull; +reg txDataFull; +input txDataFullClr; + +input rx1DataRdyClr; +input rx2DataRdyClr; +input rx3DataRdyClr; +input rx4DataRdyClr; + +input [7:0] rxDataIn; +output [7:0] rxDataOut; +reg [7:0] rxDataOut; +output rxDataRdy; +reg rxDataRdy; +input rxDataRdySet; + + +// --- Transmit control +always @(posedge clk) begin + if (rst == 1'b1) begin + txDataOut <= 8'h00; + txDataFull <= 1'b0; + end + else begin + if (tx1DataWEn == 1'b1) begin + txDataOut <= tx1DataIn; + txDataFull <= 1'b1; + end + else if (tx2DataWEn == 1'b1) begin + txDataOut <= tx2DataIn; + txDataFull <= 1'b1; + end + else if (tx3DataWEn == 1'b1) begin + txDataOut <= tx3DataIn; + txDataFull <= 1'b1; + end + else if (tx4DataWEn == 1'b1) begin + txDataOut <= tx4DataIn; + txDataFull <= 1'b1; + end + if (txDataFullClr == 1'b1) + txDataFull <= 1'b0; + end +end + +// --- Receive control +always @(posedge clk) begin + if (rst == 1'b1) begin + rxDataOut <= 8'h00; + rxDataRdy <= 1'b0; + end + else begin + if (rx1DataRdyClr == 1'b1 || rx2DataRdyClr == 1'b1 || rx3DataRdyClr == 1'b1 || rx4DataRdyClr == 1'b1) begin + rxDataRdy <= 1'b0; + end + if (rxDataRdySet == 1'b1) begin + rxDataRdy <= 1'b1; + rxDataOut <= rxDataIn; + end + end +end + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/RTL/timescale.v b/usrp2/fpga/opencores/sd_interface/RTL/timescale.v new file mode 100644 index 00000000..571f4a06 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/timescale.v @@ -0,0 +1,5 @@ +////////////////////////////////////////////////////////////////////// +// timescale.v +////////////////////////////////////////////////////////////////////// +`timescale 1ns / 1ps + diff --git a/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v b/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v new file mode 100644 index 00000000..72daaa48 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v @@ -0,0 +1,145 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// wishBoneBI.v //// +//// //// +//// This file is part of the usbhostslave opencores effort. +//// //// +//// //// +//// Module Description: //// +//// +//// //// +//// To Do: //// +//// +//// //// +//// Author(s): //// +//// - Steve Fielding, sfielding@base2designs.com //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from //// +//// //// +////////////////////////////////////////////////////////////////////// +// +`include "timescale.v" +`include "spiMaster_defines.v" + + +module wishBoneBI ( + clk, rst, + address, dataIn, dataOut, writeEn, + strobe_i, + ack_o, + ctrlStsRegSel, + rxFifoSel, txFifoSel, + dataFromCtrlStsReg, + dataFromRxFifo, + dataFromTxFifo + ); +input clk; +input rst; +input [7:0] address; +input [7:0] dataIn; +output [7:0] dataOut; +input strobe_i; +output ack_o; +input writeEn; +output ctrlStsRegSel; +output rxFifoSel; +output txFifoSel; +input [7:0] dataFromCtrlStsReg; +input [7:0] dataFromRxFifo; +input [7:0] dataFromTxFifo; + + +wire clk; +wire rst; +wire [7:0] address; +wire [7:0] dataIn; +reg [7:0] dataOut; +wire writeEn; +wire strobe_i; +reg ack_o; +reg ctrlStsRegSel; +reg rxFifoSel; +reg txFifoSel; +wire [7:0] dataFromCtrlStsReg; +wire [7:0] dataFromRxFifo; +wire [7:0] dataFromTxFifo; + +//internal wires and regs +reg ack_delayed; +reg ack_immediate; + +//address decode and data mux +always @(address or + dataFromCtrlStsReg or + dataFromRxFifo or + dataFromTxFifo) +begin + ctrlStsRegSel <= 1'b0; + rxFifoSel <= 1'b0; + txFifoSel <= 1'b0; + case (address & `ADDRESS_DECODE_MASK) + `CTRL_STS_REG_BASE : begin + ctrlStsRegSel <= 1'b1; + dataOut <= dataFromCtrlStsReg; + end + `RX_FIFO_BASE : begin + rxFifoSel <= 1'b1; + dataOut <= dataFromRxFifo; + end + `TX_FIFO_BASE : begin + txFifoSel <= 1'b1; + dataOut <= dataFromTxFifo; + end + default: + dataOut <= 8'h00; + endcase +end + +//delayed ack +always @(posedge clk) begin + ack_delayed <= strobe_i; +end + +//immediate ack +always @(strobe_i) begin + ack_immediate <= strobe_i; +end + +//select between immediate and delayed ack +always @(writeEn or address or ack_delayed or ack_immediate) begin + if (writeEn == 1'b0 && + (address == `RX_FIFO_BASE + `FIFO_DATA_REG || + address == `TX_FIFO_BASE + `FIFO_DATA_REG) ) + begin + ack_o <= ack_delayed; + end + else + begin + ack_o <= ack_immediate; + end +end + +endmodule diff --git a/usrp2/fpga/opencores/sd_interface/bench/testCase0.v b/usrp2/fpga/opencores/sd_interface/bench/testCase0.v new file mode 100644 index 00000000..09e4bc0f --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/bench/testCase0.v @@ -0,0 +1,126 @@ +// ---------------------------------- testcase0.v ---------------------------- +`include "timescale.v" +`include "spiMaster_defines.v" + +module testCase0(); + +reg ack; +reg [7:0] data; +reg [15:0] dataWord; +reg [7:0] dataRead; +reg [7:0] dataWrite; +integer i; +integer j; + +initial +begin + $write("\n\n"); + //testHarness.reset; + #1000; + + //write to block addr reg, and read back + //testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , 8'h5a); + $write("Testing register read/write\n"); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SPI_CLK_DEL_REG , 8'h10); + testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SPI_CLK_DEL_REG , 8'h10); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_7_0_REG , 8'h78); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_15_8_REG , 8'h56); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_23_16_REG , 8'h34); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_31_24_REG , 8'h12); + testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_7_0_REG , 8'h78); + testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_15_8_REG , 8'h56); + testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_23_16_REG , 8'h34); + testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_31_24_REG , 8'h12); + + //write one byte to spi bus, and wait for complete + $write("Testing SPI bus direct access\n"); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `DIRECT_ACCESS}); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`DIRECT_ACCESS_DATA_REG , 8'h5f); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START}); + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + while (dataRead[0] == `TRANS_BUSY) + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + + //write one byte to spi bus, and wait for complete + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`DIRECT_ACCESS_DATA_REG , 8'haa); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START}); + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + while (dataRead[0] == `TRANS_BUSY) + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + + //init test + $write("Testing SD init\n"); + testHarness.u_sdModel.setRespByte(8'h01); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `INIT_SD}); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START}); + #60000; + testHarness.u_sdModel.setRespByte(8'h00); + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + while (dataRead[0] == `TRANS_BUSY) + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead); + if (dataRead[1:0] == `INIT_NO_ERROR) + $write("SD init test passed\n"); + else + $write("---- ERROR: SD init test failed. Error code = 0x%01x\n", dataRead[1:0] ); + + //block write + $write("Testing block write\n"); + dataWrite = 8'h00; + for (i=0; i<=511; i=i+1) begin + testHarness.u_wb_master_model.wb_write(1, `TX_FIFO_BASE+`FIFO_DATA_REG , dataWrite); + dataWrite = dataWrite + 1'b1; + end + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `RW_WRITE_SD_BLOCK}); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START}); + #100000; + testHarness.u_sdModel.setRespByte(8'h05); //write response + #8000000; + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + if (dataRead[0] == `TRANS_BUSY) begin + $write("---- ERROR: SD block write failed to complete\n"); + end + else begin + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead); + if (dataRead[5:4] == `WRITE_NO_ERROR) + $write("SD block write passed\n"); + else + $write("---- ERROR: SD block write failed. Error code = 0x%01x\n", dataRead[5:4] ); + end + + //block read + $write("Testing block read\n"); + testHarness.u_sdModel.setRespByte(8'h00); //cmd response + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `RW_READ_SD_BLOCK}); + testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START}); + #100000; + testHarness.u_sdModel.setRespByte(8'hfe); //read response + #8000000; + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead); + if (dataRead[0] == `TRANS_BUSY) begin + $write("---- ERROR: SD block read failed to complete\n"); + end + else begin + testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead); + if (dataRead[3:2] == `READ_NO_ERROR) begin + $write("SD block read passed\n"); + for (j=0; j<=15; j=j+1) begin + $write("Data 0x%0x = ",j*32); + for (i=0; i<=31; i=i+1) begin + testHarness.u_wb_master_model.wb_read(1, `RX_FIFO_BASE+`FIFO_DATA_REG , dataRead); + $write("0x%0x ",dataRead); + end + $write("\n"); + end + end + else + $write("---- ERROR: SD block read failed. Error code = 0x%01x\n", dataRead[3:2] ); + end + + $write("Finished all tests\n"); + $stop; + +end + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/bench/testHarness.v b/usrp2/fpga/opencores/sd_interface/bench/testHarness.v new file mode 100644 index 00000000..ce126d67 --- /dev/null +++ b/usrp2/fpga/opencores/sd_interface/bench/testHarness.v @@ -0,0 +1,105 @@ +`include "timescale.v" + +module testHarness( ); + + +// ----------------------------------- +// Local Wires +// ----------------------------------- +reg clk; +reg rst; +reg spiSysClk; +wire [7:0] adr; +wire [7:0] masterDout; +wire [7:0] masterDin; +wire stb; +wire we; +wire ack; +wire spiClk; +wire spiMasterDataIn; +wire spiMasterDataOut; +wire spiCS_n; + + +initial begin +$dumpfile("wave.vcd"); +$dumpvars(0, u_spiMaster); +end + +spiMaster u_spiMaster ( + //Wishbone bus + .clk_i(clk), + .rst_i(rst), + .address_i(adr), + .data_i(masterDout), + .data_o(masterDin), + .strobe_i(stb), + .we_i(we), + .ack_o(ack), + + // SPI logic clock + .spiSysClk(spiSysClk), + + //SPI bus + .spiClkOut(spiClk), + .spiDataIn(spiMasterDataIn), + .spiDataOut(spiMasterDataOut), + .spiCS_n(spiCS_n) +); + +wb_master_model #(.dwidth(8), .awidth(8)) u_wb_master_model ( + .clk(clk), + .rst(rst), + .adr(adr), + .din(masterDin), + .dout(masterDout), + .cyc(), + .stb(stb), + .we(we), + .sel(), + .ack(ack), + .err(1'b0), + .rty(1'b0) +); + +sdModel u_sdModel ( + .spiClk(spiClk), + .spiDataIn(spiMasterDataOut), + .spiDataOut(spiMasterDataIn), + .spiCS_n(spiCS_n) +); +//--------------- reset --------------- +initial begin + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + @(posedge clk); + rst <= 1'b1; + @(posedge clk); + rst <= 1'b0; + @(posedge clk); +end + +// ****************************** Clock section ****************************** +`define CLK_50MHZ_HALF_PERIOD 10 +`define CLK_25MHZ_HALF_PERIOD 20 + +always begin + #`CLK_25MHZ_HALF_PERIOD clk <= 1'b0; + #`CLK_25MHZ_HALF_PERIOD clk <= 1'b1; +end + +always begin + #`CLK_50MHZ_HALF_PERIOD spiSysClk <= 1'b0; + #`CLK_50MHZ_HALF_PERIOD spiSysClk <= 1'b1; +end + + + + +endmodule + diff --git a/usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6a1ffc041f3ec5fb4aa24ca56056ba3907d58460 GIT binary patch literal 3871409 zcmZ^~Wl$VU&@PJ0Vv8*9&f@L_cZbCxxVvkDySw{hi@OI8?(S}ZKnRiqNY4A+b57m+ z=l+=Ls;;h@?x$v|yPuh6(3F*D=jPxCFr1z&ECaZyxv1SN9RbFi|LHlETrBKtr2n^& z`QJi`Q`g4d+Z15qYW+WU{Qt*ZOpH_2#?{W-o?75PjW&Q&-oe@1#*BBRY&WRU4(QoDP?g;QJk zy5N+^LZR`?J%!TUk9(4T*a@VUyN5DyQhB&E(P|N30Hvd4cU6ulHkGv}_a9nl?jAbs z4a}3A;3dqdV^6Fjz$pUUr~>)o|9Ja$H&q;z}@D5 zM-1T9cd-61FkUV$0H>mjgPpxMHIIN0fK%GR+e_2NQ^w83-Obg;)tmZ1os65ao2QPu zg_RAp;QucW{}(E5uK&m6|BK7bK#;ESMi09L0ae=4#01KLw45^+4u*`y=9s#5Vp1ZP zY`K86!LbYFnT>LI5?Ru>%7zmzt`sgddT;;CkuzLMQlb*1Sx$2fN)(>!)hnex-2)c_ z7xXR}0w_NGFsL!Md+VI8wge({QljxrPDY}=I-r%;i3^$JauF_BA9->8dM zyVH)#t!oS!Mi-W`z2}8h$DXZM--VWslgr~|wf@6}^2L0?_O_~EjzGrD*p8{CXGflz ze`m*1B4f_)rDtD1l^GcviC>E{FV20U{E+GuhPw|kUVGp7{BGATxr`y-wF&tg(qC?t z_I!w%#(I3KDRwhAu`<7M~<2e-2F<*|Sz|0F+f!Tx=75J=hY( z8{}SD46ir~7;CoV$|3g|Y0irG3%McgvcJAabid4s?|hGoQ&L}Nxa;$C^L!AW3X=AX ziQH{KAC^|14xgsXcks>^pXwuFZI16*8yT~d=xDe8fY2Xm^nA_JV2+yG+9sN(;g~;O zSF|<1X`sLs8s}59<}?+FgDB4B)!y!f+m8^@?A+DaC+D$QZ=kh4#Kn4h0_<-Em<9A? z!Sq=BJsXa*W{`L~%FxVrOb#Il1^yj={~~t2E4iqkK)vmr5nHUQGSx2>svwW$4k_ww z#tH`Gom^?L%H&bW+0GM~#3Gi){TaDOS3pR3#;ljFcXE25{40`69#wSFqiX5wwjgq*GQ^3AD8OJpglIV)HYX-e*w>3R3 z>;yJMYv0!3kS{F~)EZDKIF_>|ZWI(v$AVS`oJVGIx18S{eZKT9s)>pK*4hpsB}TW} zya}t+D}falR7&o7&3WKl+(budH}PAT8P4LiW{9p@V2A$uq0H;u1lT{SDB}etRo`dW zl4}qyC&>M+QGAhik1|XPk|8<_dUJ(F2hw8*nvrQ( zinSECza|`q*_>tU;YqoWy`JRA22eun)fJbYC3IH>V7)1w(>ZWfWpp1720k^m>)smu zV;;7k6$yiU>nWG#*Jt09!)POY)W%;LV(u94*hdaLDjE6mv-D3H?{()YBKPa+)Rez7 zVoSPV%k$+kSDC9J8f#K?b9uJ|uJW5Dhps~gf zHE@j}{?@1~KLB|?)G;z4P#huU!ZBW;V_W&xHd5sEpl?T(lO(_btqc`MlC~pYAUq2L z?hFs;sLwHKi!wKhz{;zo?7|IOv(0bNFZp~$IMXgV!VHA zK}Zks?km%$|2D5cy^u0y`%_v1#6sj!YSL^NS_N~67KW_y@?JYsZ`Zn_2Hqw=VQX`B z$Y4=+bT!%8_&LGD(UW;x9|}P=i}V+CN|!T8hkV(aTbDZne9E$#uXQd)MF>0*r}`0c zR@n}QrYk4t{cVigEbkRJ=A=jcMIn@D*8y+V;rg#@JF2DGM%Ac#uk)4ZE{nS$<#?!U z>1XQiW!dgH{E>m?a=!3YfcZ;3IdeLT>sdlYT)krue`)?O&UkJI_5P)PM1ET2(bP9* zg6%aU-HSCYgj``XW`#kK1GT0)bQa=BUs$g=?){>xKu4CWlSBz4*z5Y_I^bS;PK`_n z|1ttu3(a|SW%dEtr5bBomIcG{9@DYoOzd6edI0AZQqp7Gu)mm{pO}N4`gOZ>=j-*L zf|g(HZMa4dAC06_3Zq(=!k3r;xiDlfxAiRZhh54Z`XK;k1DhC)LBG< zj78b$%DM4CPj?pbR{hAx8^Yz97}Bnj(1z}IxAZzJDVIU5#(=BIh}>=nhB^!rFa`er z=V4wA^1gca28CudW3fT}eixuNrnlZL(V$ojv;)UNKm7<42o!ccwb}}{vBQw|_?+g8 zCn{R|?(D!agpbYmC8}S*J9D5~FJCj%7Wy}FhyC6YBNl-CDa!Tp&|>uu(68kH&Zgvl zm8n7}w@ZrQadO-)K(qGT8zwDvV4|q#MV>wmPt&&LGc-RvMgh%r2H|NDyZ9`QZDx zT%Ym1>d4o}qr5c*CQi$!)(=8%2!K!C2veIa1(g|sGfH)!ECG~tPs$G;XGm)Gxu;%L zWnoxjY_x8NZ7TNNJ?;JKeb72btL%=@ub?qgv7Z7@c& zcISi$r7Nx|#-${qU44P^uz_)(71_O~y>a{P4w5FbfJ>jAlaHtnBRZ>*Pd5ukPnXH2 z>)Jfg{6fYBeSN+4hcLaeN#5=(VkAAnw#xZA11+e(%ZSvW&)@z&8_NE`OQ|vH=55q^ zY-*G^!`H68h|tx@8`}iX^+D9RFIOZbX;HC1L*XyFE!t8e*bWC)9=qu=i6L zNC@UO*hG=+FT2o|Ug$s(zawljJRTg}$!lhVcmFz{O zjzA3XQ9I`=j0I|rK_99{0uZLFZ{OKA;$`kL9xdw(BCUop@UfT07JH#FVluCv>_wZm zsrv6EXTwer$qe?U1T^LF@kqm|BWq&zSGS}t0yG{>Z<=HkG`ry#3WhQ=un^T+3VRVH zlp9xAo}a5E@}$^#zR^3cf!ji_j^)0|u>#mR%qsU=wg0^54<>{Vz5cPc{Z?^{NwO6< z|AcPFvR#~@RF2_ym4MC$QI2AV&J9Rk-jC4B0xMvNoW_4 zj%IbOb7<%s`{|*lLf*+>)IjSozBGXsg%#I+sZkjo!VO8@NLX0d_3{Zcgc>7G zn?r$^B*Ky?LD$2TTdG_)*dVSUlgOpS?cnv$Y-F0Cu$S}KP#7YawjlXtvxUq9%CDu6 z*K`(ffbT8#52!*dmi0y`W2-|-^dF&2j|;R%s2#OZrS#V6Aw?lM$d;ynop7fA5wl#f zL=o10`{FsTGqXnqzTkF<;C5JWn&TcG&RmyUD())YFzRrwfh%8|2$oU8>_jp?W)t5Y z>y~vQUvCImmq73~cXx08np?0FHkIpiGs$mZF_NjWV_ilhD)R6kqWRFwpVCF@B~|~E zXkqvL!`&x$w>s?pZJZh70AP@8DvZ@kK2`x`;HN_M_MlG0Kr_9JZ9t~$Y zyl$Cr!0(6?AR`?1I3JUUG>hwKLS3DjV^21|x%#G(nD7l6pJ+W<>4A=?%c)q&UVLEpCu@(Tgl$T3uk;;FqB2hoVB^&v0ia=XfXgmXlq!Vx#0~Viyxau zcUSN?bevf_7jtH&p#H4{_=Js?ncdyv>2>M5ZdRb3w>##HE?+Ze&oE;<7B=wqS7)|Dh%9`Ff>1o@W7H5y5XWI% zX!#yw%;JbDgfErGnaD9ls;b7A-6I|r<=7IsoAe#)HnSDoLwD-`e5KV#EP|Pg{NnyL zY(Xb6q%yu9h;X{ma1y2LB8zpK^tSu6xPfVyBxodD z_78ru7$PhQ^@CqJxIARO8${){M`@C5!b&6@?)W!5cp4;dBZbHuuIW)3D|P)gH0UJl z9eAEKOY)P3Jlib|WTV0G8Zp9_n&EDnAnPbV6*t7HSxwwFWywP->RJB7O93&@gje$0 zWh~(r$KGEYq0C3_ZzrO@V)Gw@)G5>CJ59(1-iq)aF3GHvnKcgG>tU%en|yASu*T}Pm-a*N`+87k*o;|Pf6^fb1b=2vR+g5 z-MdOYxcTNih+LNZ4VWij#M>~Te)bAZsQoqiHuG03%zZ?EOZDUNkMeRP+6{ys(W%T| zH`u=l%Exi0M{P`V|7h)R?R>jT`z$Q&_QBKpXEKH8uZ$C%mCSqpw`2+hK}0B>0sy5^a$bRg-r{AdOS=beTSb2@uj}=RDVT3J17A0s;bDkhk^E^9oT(a_NLrOQTTcvmfXwZU^KLk4JmC@uj1=P}X9! zXyi~c@jG+rFjXlKJe^j9Uwg9bk}fVE9)T{mHZDO6 zL$l?zi9NG@#%?8VxPJC3R&D+FNP~y4_m}Sxylatw4WKgI)V%tnsq#XqKWGNs&m*%q zy+W*EDqMXA{Dt~CHtVa}Fic@bvaYsPZ6~7hZqwt}J%Iy@zi)2oYtHSC-=W>W`}3`+ zD^BwcV&`_on0|k^U(H_*b@SWv;65C@09nK0x}j=T?{aUScv=nfd)9deg&J-q8aB?0 z-KtShgz|8NYeWGCxbR6IsV)T+mjm@LPK)P01&9a&sH-XTTY?QWVz^RLJAyB+>eLk5 zfw{*`2;MA4MC}9idz0s(^bpBKG(}u@C@nK3Sv2B07Ag{Gyh+7igAA=QJP@(G@sSo- zCP$|qSRp`VN(3Tq4)BsAFpD3_R$80RTHjA&eHxI&EaBt9TNHA2Lt`*=cs$JdEm;?3 z=tJ#8#m5Q?-Rq+Yha#4FPXTKe_oO?5Sz^RVKi9j8;4PH;JfLIXF$WMU^p6Ee&I&MW z-M&=YAw(+&X`5Gk&1mPSraS)B_q8~afQlFtsqE4pLhBG*#Kbb)s9md$%&>)cEUtu0 zKi8pD;E6|@(d;!A8M1fI+!s=Bl0~q2sB~>QJP~Sy6Wf`%rr0+iMoA@%C**+ByW)B$ zjsV^Zn6~QTM3u96bw7*`Ax@DDS_Wl>jF?gJ9~rjnurl6+!IwVh^?^eJpc~Lo{iES< zozoq`*lf*Slq6R*ea%y)viqsND7#otfVW#P`j{SPKPwM{;WRn>_9%F*tZ-JRZrdnJ ze6q2wzA$!jO4vzXtzJc24N0{o{g{dLHjHwidzv?z{RBQusjkI8D};^GAPdGAaV%IX zc9M~mQ8zV*OIZ)QflmSl^(gQQ7#372k5uW@RVruI(I|oJUrY?OOuy)y8Dfj@^n;q@ zK@1?ZnrJ3nx|6y}pSnu3;OwtDZZB+G5GC;1W~8GqTF^;EQwgKT#y6jdPOLdV6E>O| z!`(qAP7*CM^vD%kWO0mxs!4hX228WFPn(dk%@7XY$TDHv0|{SX*0^JpyH%*Q!AN-o zk7iED4lfF7FsEmyrV5eV(|p&aZ?c7t=Wb^zlB zq+Vx>E?m8q6yc_Br$YCcebY*GJt18;s|%KX&kFZi4hLDL0BgWZk&mzey0r*Prx4W| z#Q%t&$p|PiyUscGig#SS;{S37AxY?mwF0mO&`oR$bh~~qYyNo7~Upbw^>72IFzN9@Y3W( zRd*9&6-NEl7Kk}1+0}_cFb-3PaB2QJMVGZ3hymuB=&8ZgGiQNAPy3{8VoDVlY8y|u z%ScCKtZQyq>spM~l$#Vfc*^RK zQN+{a_bvYt!hZQjzRG!eqEBlvZnjE8)IMEAVX&<3i{-q#VF@G?F7^ z{gPPAD0p@ns*?o|4_H92& zC@ocJcHvXaF&*g;U;Mz7QHnSmjeS>>=-KdKMi(7g*xIB>5ZviLZ}2oCNmtMWlWtv{ zlE$)aJKA$O+>M(?GmLt*c)wmp={as)31?t;dpn4UYhl{a1q-uKwbd(v>&h_i(d47u zS0>Yx`0_6xp`*N^iX~o>YeV6XKh6dQ2I}pNBzuLnxKY+E&kX;Selpkz&C2UH*jazU zW5&;y(vKqT5&J6(zYa&+FWEE_#t<+O8?=KI|3o1dg+|(@B4gf`3OFNV=A-40rI3)| zk`NH|@O$cvB$v(|N{!uuA*X3{X=%9d#u2o1zOwYrSew0cp0Cf7v1s+$AEI>~yj--0 za0A_BWo0ELgMqSj0yMN1K_kx;@bLNwU8o~;YW@Pq5cwoqWO*BQ8)THu{kU;^y(k4A zekZ>BKic22L)XnhqicOI!eRiw2!X_#JAF1zt6McSZGN9#AG_|vLY|$i4U%cF`jyq7 z%%>x%E)`LXk<9?~G*>>^3UjCu`Vw@{cc;B6sR9YXVolnB8_b14doNN;Hi^bl`e+%X z(#fkwX5Urv-%S_$^+iz1-lD}EE5e)W;!cACq66hSl{^}Iy#IqQXy1TEu*H6{U1qgA>WJ-_FHY7E_DOrm)gUI@Eo(I zLnyBCDB+<0QFqIxQxfWEB{QAI3tzQCbR5ZY{$3$1g{K*|w8rGLvxIhSan8)0-8)v( zN3NP+jjfp=#Gr~miw27VM6%}F)!w0cv$vsDaT&pe;X!OO&q!xKx$*O(IR!yC4h`k} zT~e+*T@tvOSa8=4Q$(^nJxw4&v_fD`bab*73F)*ExQi2C9_ecf##h;%RDPw;qJSWB zo#{nxc|ZHiL%K(vXtW+Tv8N=_K_7*0*$sBp%PXpl_vfqUx^D26JI^xTj^u@}I3xie zJe<|<;bso$zljIh;k~`1RY%%|n%sSsKuAcFJJ9Pzy09L6A_-V8J|{_Ol7n2$cju}Ht4ET=ai_;2U)>xAHU z`vI?+tx*S-o+`vS>8(+v7H09b&pXkG?iDm;t3U*3s^-69Yzt_HnXb`{L7wFcMS-UJ zXYUEHL#)9^%aOhE1{Zt#p|q#JT6t|Y@Lautdqr*SBAKUc5ta9Tvw1^)?F$RPl(U>4zY^;XGYh*VWB;PF7HnJ-d ztxyq{`WwNcmHNUgkr3V}YF06lhX0y!s29_UWMk2>21_XfV09)MW?DGHAv#;sx>Ns% zYV)OMCFuLMw?NNI?vzew9I)V?b9I@CpHDzwxvfQNmaM0qPhH?o*3?v%5&`1V;65LsGYM!8@?thW4lrX+?z8vk0X88)J-p;01la`5 zx4K7icXbKek@=Ji2{h3v*6G(aGAj$D$Upieyx%l*Nd`U4H+uy*pd_G6l7QOtw**ri z6d6`Z>4K%V1`<%XW$pX+Ihoq7|D-ukNfsS1qfwP1q02RW{b5fRwHV2nZ=EIHesKQn zSScY+M+t|yfa-owAvn)ysnitBl5`XDuQpxRW2T{N``2yrV{*HD0=Ex@=3udtiia}* zG#$otk}}sfqaq5gNx*tvY`Dehai+CHET zfhdSj(3+&5k#^;zB|%973HU%{@qLq_Nesrw@cpzvZYzB`4JJ6g1R<>D;~qe2QZ=|7 znM`c0oH;z%a=nBAnmzBO$g2;8%Ly#D26G>6oPC3n3TCli1_;Lpq7)fI>V$N-3VRq1nu|&+gK)sCiHxK!c=j6tB zz7(jZ?rmGR#ru~l4w1+kEAIKZvfxXX>EHChobHL1xR{GSn><+{)oBwvm8cH+Y*7dl z*icyyP5Uvu{m>s}ssBSd0O@WGqX5oJ3wJWqGkv^MAbneR#F0|CFz$5|tOL(5=&(UY0|XYm3=% zMQ4T&7e5}FuP_6{)_X7_{kK(?_RK}scqANTq%}!?F$V|(V`F1HMV7ecLtV#Uc}=T; zUD&+Y1&&M(nWknaXhJjL2()f2No7J+Y|T%2L82E zXr|UZ*yjVOv^hgkADl|=i)OE*^mUg0is*nR|DK$VpP+04tiQo{3Fca+w;ELJF)tDL zkDVPqDr?UT>rCyp>Vvf77aNQE;~?RSdgbc@ZEii|Jbja0o#0xbrktfj>VN2NS_=ky zrzEu5fyjE_4i(x0ON8Iqh5(|d_2mg_M{!1jBD00tjMVtu9Y^R5%axb0>K6Nw^gcNT zY0%!Q(lK_24&^P}qUI`s)Z}NQcDK~4%5V7g7mO{L_+d|fe8B#uNL9J9A1G;__Whsg z!>)Dj6eABIt64`a+x>;eB46qZ?v+7`NoV%ivF!ydfZ1Ng=J?Q%g~{F%|? zdTTcD;HDaw6ByO0;HiI6aPYR?b@%qXJ~`Q(DW>0DOOH~&tr6dGFavYH2N%xT=gKp| zOM@oMhB~VwD~W&okqSUtD>EVL>J=JJ|8)&K=i`^f8#+p@I zcEXyCJXfgR9yu6Mvo$SIzLdG;d-f<1)92!u)RmT@&^*<3UKA{Uq&ER?j2MkS?T2RO zB9E4h-xPlm81EJI`w4FA)aJM4qK-uL_h;gBE32>pJlF)AdB@-Xpg9*D{K4*@7(3ga z%;*)jgr**4<;oXbO*&G5HFp$fy8*A3%lAFpAl^xF$z603)h>AB19J}KPh*3#MUrzw!akS%^*ebjT0Rg7NfTIhtPp~|NU)qqx)i28A`i;J_f^O}}q=iv>Ov>?c`kd~llU6I6PHU0ki zZTlC|KBL*t>Yg||x7&f=V*?Z zf@}gKv1VX1RW?@E7sr71D8A%yQtb7F~ zM;Cy&XP>@Qw^b&64NKo5-FP0L=T9UZ2Of(f?X6?W;zP*(`wP*4%rvM*j-hoY!Yb}BvxBnQIk?taC>xrX^+R{)$ z70}Y+Z(0q;R#Da1VY{V3)=x_$Ri_8cPg5iS@sA(!qhbE-zFFEuB60&{%pyG~OFz0h z%C&&%>ZMfP%9kJRl?<3!divXJsrB!tA*N@Z!`x#uNI~(4>z>Rk)McLRdRiSA7y-D? z{07iUl4;e6?sQ@fm&lx2HPu(#h+690XiF}J#L)%REEMh3iJ&WWKK{IVK1d(|9U)VG#5ZL6(KZ`8m^W@7kMXXrbrX*b=!2 zl)kC^M%&P2Vf<%G{xTAi^2fcGSdLz7+n$SfSr}!s zJ2E=zPu6LBDsbS_+;4sLk0UgFD+h64PSK)=LdEd4JzCmDrHBb33bA%{Q+wf*c!6s)bpP%--mw>kBmseqgI(lPE*rJBbQ=+-$u4p{!zaVG0pjC8q(iO&~_K{ zZ|ns&gZHW&sBC=&GpaXYV==4;4@WAaH&`9}9ApntzVutIU;R3gVaD0Fa*WUr)Hk%O z=O5ng#J2Kw^+qk?FIriLpDkZm*%?_`QFB;)jBT@%k-72^QB8GnvNzhiS{!AO-hpZW zBY>+kJb{@!5$@{SS*0Ft)efW^=NQjZ!gDsr;P zoKZAP%u}3YSsbmcjZP@B|iX@>`ZF5LMOBkVJ;uRWRd3F5*DE6fr4Q)xAK*aCg!ZV3}hV z6h)gID>YXvK1T|rpjQ~u3**t-b1Jge&q<%OqypfB1IEj8IhhJv2s0i1D$H^<^fTuP zd1SxC_cmj>FY@mbxQ)TyO#4v$lx!hC`MNP=!4&nZavj*36r2q(m;`)%G9n2Ys3p4A zi$n(mfYfzsGjuY4YiDS=qqXNh3C^{D0@~X|eq)1I=YQwkPA0cfS5Q}YT(xk%RYV;~ zyjq$#cd2?L7{Vtc1m`|EUtTS)EEHp7DIxY@TF|11zH95jjV&}bflc?b({6itvpK2> z^oM?QgfISid-L^x5}7LGmE86DQ`YAf5shMR`7a`WY4!S~e>5~-gvVDyAZ4IDMr#!E z8Q8k-Rg3B^ExM^tpRQU5HiQsnvDZ9488|T4rCC+L)H*uTP@v&bt1Q#53w4`y(4%#Qy!ie-qk@7CPdt#x)Kvr zMa-Z1%}bs2BH~XTs>ggySHf;N=F7NU+{1XaYLRcjouzVnxOZCP+;k4&a{d~)3A6o) z4)dya>hE7XTf*V4w$$n(dm=v&LYq`8x-Kw@7L1yr!@7y;pg?DER-pq?`VwB0I9!+h z3hOtPWMvjza+GI&-K*t3N@Z}$V(`CEZ_slRKwaTKmqZ^yUNJwV$2t$JfwUHL0njb( zQl-tI(8$QjyigFbe*NejvG|!HOPhM*aL}*)Af?cZuQEqdeh}~uTNnF*%ZZlvt~W#N zoD|Wabi>0G^CmFmHypB*@?UeV&qO9+x_)|W$Ar}2tXaIQ6N2zQpiYPl!#gIf?YrR5 zy1MJuf67V_!vneY@cBGKDOgYqj#Yf^^@gL9awtS0*jxJ1f-gL#qGBgeI0ol}xJq>* zImk+zc0`*8erb!{u8S5;M#D`w_DV@O@r_f51?q4$&mcGg9?^weE8B43SwMwgudMh18=Y{>3yW|g;!ou7XF%{KS zdT)+OpXO&dXD=TZDu^K1I+%oGF z#0$HolI%e12#?G2c)OIcXq1HIc8-z4eC?95Gl$E^t>e(wQNG&G+0%)Z@2D;rH4G$hE@-dQW$Ji6uQMmeI@hxGtF3{=6 z!PhU2!h0f$WoDJ2KlMC2;`yc4>Z$`8k~3ap^%oUtK9ALbOIrWM%G`fn6_BMCW{osQ zx5)a|+KVUha9r()`-$Q_c~n@3B4(wcT0n~~7cYQNyhu}xIe1iW>}Xvk@AB!iPzG~e zh=tWc9J_(PTixq_$ZGq0o%vY8VzVpeMqguSsCkHznTu?M#y~VjF?YQgqKDsfeXX35gV%PgxJ5-~U7_h2fR!M7_Mm3WW!iZC?OOq= zHkZEi*3#H#>81%@#j${c=S`1@D4RQ5E_46nhacr)Ha7Vu+pN5%WkBt|Yy z6!Een!apDkWqhA9zqFI>4wd#$C^Y_yrOh2`lR2?(Yt847+8+?2*Xcjb*dJ^(qFC)C z(B;t9ZwOAPbJ_LUG&QycX|i5 z9-D53f2!=67V)rdi?6W1&fiiS4Dv9t8%Zp)lX?+$6O>;?*q0&PW#Y7P<$f&sg8$Rh zDWb^;5Xg@;OwDKsqP=hVN-4U}WHbODCB{E(sW$$K_q8;Lu;25qA}LBa#NBdF9oEo$ z0RAM(Jq!P@#)zXIZG-9C=;(?!i%8KTm_vWJ?snK`?2fR%$3o~Xr8SWQP8iB zaNf-LvO(Ulcu&mJ^PaoH1NG%(9w%1W>IrcnjX`+I1X)0A8icrzotO=1fc^owtPbCW z8sT0jG{OfsZH72%roH~Lqive$0LEad>&w%h_P5`rjvaUpt@j&dU7g(B_9+ zlJY{kL`vupoo4>>a%dK|IrK2CT*Yb(b7;mKu!OeY?xgqoY$r~CA5q90RN~5);dbII z+7-#egb3E6iyb_uKg{APjKpKSuQOjvfh-4P$G>6x1R%H5c32ug!t@yuAQIQBt2esf z{o@7L3`4@IQ(NLSis8eSF02&4Kn_3=L)ik)-j&Dm0T5}^s=ZzMr`5S9(=PN&Zw@}!C-dmU4w>>J zGC8ROWNWldz0z&hrUkyZ*IODL6--86{v{TIb?-*KCV2fMow4Ub^J?6hIC(>BH`sF# zV9%B}Un>OYL63&v(Q33MVW|W;jFoxGYLmm|8~2KoCHIu&_r;S#F=u{2(8$6R$*>EBmc*?LT3(aPQ)1sK{NC4voo|zD0V&HGWJe^I340P!xdRgrz zxO7clCD!Ka7Dr_ty{uJCydsn#x|@0HIteA6q};d5@dj#{H3o+c!3hF#RFtA!hc)b* zW4%S&jsP9Gc%M?`ATDzrzUl~*edbD_Dxw~WV&7Hg&SmaH!wSYeV%?>hN&>)`pMAt5 z8$Y5PaA(Q=M2wQh`S|NKpVhl0ji3`d<%wmMbB@>bs zNNW?beoidTT;Ol^c@-Po<$EgZc@Yy7y(lbTXU;9E7;KYt`uftX;YaW1scu8E$I!dtsygMdstYyrUd@0U>NTVnGhV!bT z4{v3M@hlXOlBAl8*;HE1ypR zA4~F5)Pgkbs={pgU($ggHVT8wE>)s({-Sf*?;!yLhHiva?V-YQDUf>0`dmG4w1k%J zaqAHz`P+16k1WXt`NOln=@JEGxI%)rPzYJlQMNzNlI0czY8sWf=9rw&W^{7Qb`SJ0 z5Oj6sAy!k9ZhEwqTS?c+$E1JY7^oWUzRvIda!2ykHXXc@MmS&Zb%cn`mw0j=zv@{- z=;x!@ZP5FMbMR|>SOng{)U-&GHI0f?L+o#d1)Pms#@HEsR5iGDfzggF;qLB24;lQTKjir*za3yXXO<2b{@x1RwK z{K--zl7UZ#!JhpJ+;~l5A|4s0K)OG#$!=N^id()C!EFgk+h=x!*P9=;zT|mvAN>2o z58q(`k&{%4dZ0W`vt;P>bjQ>wdwMGq)`7tYe&5)+y>nVTJK;N-xO1 zRiMcf#I^7Mo9GQLrM`_SH72SJfukNU_q++7vTv!WWEr&X2s>AW6&!r=ydD4A)4U4) zMpn)*S`G0{C5qV9u}v$dn?}x!Q~=V--PhxRFaNwK?hMyaNj4a4IXPYnZPtBLU&n=B z*PV?ytubi?-XcyxK7PP_HSzPqXt^$X-%%ZoV@QQ%Fc7Lh=16Ps2Dbm}Y9q89al=vY zr$7-|^(UQ+=Aq-uY3&8wce_tMOcK6v&V81|Ra;!Y&Paas<6IFDSN#qi2V}Y$>5!g82DET`{-e|FRVRS{(cc?C>xvAs zmlHx8&MU2Qi$)`uo-vR|zy!0(Fs_^?17sepk$~)JtEtM^~K+|KKRZHEZ{O7yQ*hXy8mDrB=R+4y=8R+1AwjXht~ zw-q_0^r6vwQWnCbo?e0+DVe`@eQiIpr-(hCRdd9yA~@tg{SQU+U;pC;UgtC8rt~i} z?@mD6F!SsHh=`EjWItY*(4@Ex1ExlB%D@`B<(d`$dvIZsEhta2TI3@^{3cS9I@r<1 zOQ%Y)Y^~zeN|MZpYZbhSU^G4+hne_M#lAzSX4e(A^Z^OkAaG!*2C>CtjzxqwDQ%g3 z;Cv&~H43sf3+8MpbPsgxmY1A`E9-lrvYP>tAMnY)Jb}k3iEMDOHaQB^)+?^=i3cv6C zYBlO@nE%2Uf&yS&~prQj<8aEk%n%NB)Z>`MzAv6m|&mn{7XZ9IwLtGez-zmh+-cx`VAuM z(eOlFrcFh9cVOT#L%kMVlwP(P)c9Kn4o|0}@1i11k8bMh-Y6JzUFP~UA zCL!~>%>Ge&jX`s1I-fg^XHaS>#{O}$&sUb!4%L#&KujW58MhqH%?r;ot(}4KUiX-6 zI$o#Cpf8%&YtQ&2oT<$p&mVu=e3szW{(5%0P@f^a`Kq*Oci!7;)XntkK2Lz1BD{I^ zv0%=l`Nl}rs_=<%yd2mbXe?D?;t@x<2_n;ZY-}f(+aCO>hIeo)9w$ZF|D$+)5WtqF zYVOBCh`dWpxaf?XF2S@dB~d>9y-wFQG38&PPflH+u}Zhj`GVgZsn zJUpC&XAV;OEYTZgYD!D-=Y9a_TayK?WZcB;03=74ISkB-+{vgU5-m(81Dze0?Wz_t zN7~C}YX^lJl{U2MtAhr;S<$1JzUb{$N3{oaP`I{-Cf1a6F2LhuzaUVbtogQwK-=Pl zOJD^s{NF^%H98+BW@_>O$$&*$u3JtX4plpH6f9pvmf#;S?Ll-0HXVz4E>P>jbBb0K| zJu%FD8tWFH`gl;r;W^J9iSKa9qaeRwM6;~99B=}H25^=j=6*0cXiPg@??CjgxEmOy zU4$kjP7i~Un@?gue&TMoQ**S^9DcRCM{ASD^$8<95!oYOGmMtX0i6R$dwj(p*V-^6z`Wh`u7g3(*CBThLXUA_lHyQ z7xlFGBev0b_ydxZ2$;H0rVBaUkOGoay+|v@3%lN$f0Sc`c0gJ>ynkK;D5|u%%x<0< z0Uy7?gHX0~%Oqoxd3-q06SwsY3bI&3>Daa(DYWEbW8mezG0E6B7qEoff!D80Y`rUd z_#|X}eQ*3)`>guL(FXTEA^rv{`BJt^({B&dk_2eixTEp7*!-+&Ag14pD(VBE`lXvv z7rw3B#Dgw`2t4GsqP`}N8tpN?hvTp_;Jsraz7!zCl$HNTKJ@gIG&j>BEaBX!B=Y9y z!h>hooB*Q}2A~HQ>H0Y`tgAU%q^CS)pdWJ|4E^vbf#yC(l_hZGn(7hxY$}*{KZAG- zowuCA`@ZMfaprcwOMks*S)1+onJ`bE^Ir-NljGSON46f0nUDu^9K&$-;0QDLoJx?G=xi2W z`G_U-sz7yB;JRRDsJWHV^x?xqopJG!y2EpaFv&C%9GOr-!2be$K!U$pe;>5h!kV(OMY>+3>g3-kG7oyW1`NC(yZ0aj z>Bxxtp}i08-?l&11R#pduBtMVDfhlIx@CjQ?_M3^?p1^rACr)V!O&(RwZdd*qM|pp zk$CA{<}{tj%;&EC1FZOZLaFWDd{APhfIS0gJKcBD-QU;O*FzKj|3M2MENG`Wm=Acj^@pNy7}PA~fVSao*#PWhmN&*GF1!iu1B0I7=r zsK-)FH-+FEL%1&SyqJbkD8{$I!>^QGTD-&;8p(3MnCNTYci+T)C+}NWSZJVUBW?V; z(%5*v9B8bef%aZ-_4HgsX}c#mxw$FX(2=`9Hxg*1yD>D<{RVC>biWRBTw1J(f>(N@Su8H0R|q2-#_gbAPPALG3*BD3AY{syUZ6u;>=@`k&3xY?01)?ssKleT zazRW~sa+74fiKi9;L*U(h6SEs5{1TEYY0)$cx7f zlciittIHVAD|x8G@=9gALO>!WF{5u^Ndp%0C=0cJx5)R?M~=~_S62R}vNA92P|CS; znVF9lCD8czV`D|AjTPOZ#1|#d81uQs5O{Q-jZH$?4Ww4gEEJ=Q>D9alk9EnY)6uX! zx7}7i7NI-)JJ~egDjk@Q?G$GaVIdsXEZ=4VpyfN9`S}icX^GpGm{?q#TM3+s{&QuF zCVKF5RjI68E?*uSA08ebA0Hkc`_Gi|G3+~DG%RjRQJ(O4WaPj6%b`Qtj~qQZJX|qe zwE0NI@Yv=fn>TOfTXkT<3axIgIC``wJ|QQ!7`XF4{PPe+awR|e2sQnQt_MZHOOnPcZf*2SLUg}ibgRdKZ9<}!?lW`C~$7hX^q z8<%e!ksH3Qi1z>wBeUoHl}1W&~n*Q+Sz6Php6z4(pY#=S%~WquL2GO54bRb}KniMY!hXglH9z zT3vE20IFgB@BE8rmNAMX}MENhg&2R5srHIeCf(m9=XtmdWq8)%`#nFWFygZjB z&P50rV@TOyq&B8E5zP!{6QDALn--f9h9+^OVwJz5p>?{tp2+4!7Y&3Q+bK?{ofDaM zPB0XZ!=ht0Hqfdvp$JIPKXL6^@3m{!>hZkR>#4t1Ur#H&yeew-^;hbzT*DKyYy5?J zd3jAjB;HYv#g$tY@vZBx@LTXn*TkQz7x-Mux^`_TOZg8lUy@atEiKhAEnTBY6ue_( z7@r3Ajo}7Ui7C9f#MKmTNI#UxePMr_A(J4+Am?Rv zELcXNH!JP|>L}pT`P{Ju;^aMdoGY*V@e1f?tHKp}40-&%3ePh9zOneu9^V)D_)&tt z?Mvh8GJ__pA*<!f508`WQF!KMmt>g7f9CP+;rqxTVhw%7kdM&{A8Bof zU-S$Ojmpo>TI%hsIC|(%W?Gt2&}yUb>xRsJqzxn7Y zRQ#4}v7(32Zhry8`kK92@co;HrBxpYAdxA;z#1l_+(TMgw&p^XG#-is; zmqI&ffJ`?CHRGhKPwDzMi@75KYK4ZHzA=6*geq0DH`Q*AUTZD4dR zHI2}#GM6E(xC|AnQ55p|?cIFxu@I|lh*YPzX+pQSfu1uqKh`PkqNkjxMwbI7y7G#^ zs;p7pKeIHt=6_uK|K4I7f9=)l421vN{IC*5?4kVS3*w#$tIM&WzgNU<8M3khBMwb< zkPY1^T0kl}Q<;#fOuefZ7&YV|7!jx3RlMysIY7}g^#^HeL+5mtxBwj5PDh<*oZ=Wg zM5>T3>6&%$sgE|-~L=-KemitZUSfcdd=Gr%X`F*iF$W`T}r0V><$zx?I1&p!KG zD$lGfv4O)twnm(bjyCugqnW@7HyGl|VCSn`24k~PsC!d0 zJVPg>oCJM3w)2TDcJ0(AQj(#on{P7F-A$L`xM!yeY!rnv44JLsPcOanvR`@Ok*~fe*8TSKdmE~L>&mWT)61*Ns!v$;ch?s2p{w7++h2Z>comt`LDJ+aE<_|X zd&UwIx;RxPBMsZoY@|+O`faxnK%Gx?W<%5gQf&4Uov;kqvDA0&o_09V4#F@vy60LP zGqWwu8Hd|BN8=IgTxe_7?Fk9{)vtdeOGlILm!Z!-clNpG&ixwYS7FaRd-m+v&}YMb z$@}~~E$lh0Kl|J-HJY%{=fa*7n}3t{^EABe+2?-sbNM;^R(fY>=r4ci{q$Ak*T4GJ zFRAcKyOF#ej zUp@Eib1%O5;;&x(<#W$GO+vPGWdwib>iBQ|opz#vJ)V93!oiHzz(Z3x>G0{k2rTX( zq?(&@t4xOGICv|7MF9xcMOX=55vTA(C_XVwymEAQIvg|@LAR604S%z{_3 zv&5^y!LiT2_~OeiUl~H*YM66QpM5FIE9;N--uTj6Ze;WhkBwdRuHN`UETOygBlsF$ z$hBjyT)BGn>d04L`n`2@^a=o6_7?tCYJca@e*Sx@3ol`M z`GpssfBN9TV;4s2uZ)cUpVgA_^uesaNP;Te;0?lXvr*D&u^2t)`(5EiQ2>^KJj0Vu zJSol|da_GMR~Oy*Y`UwfAhrOs>h5+FIAZg`E4r+CZpKL+or1Z7{K2~WKL=Phjtym9 zyViRJJ@;3?kRXh%SD?ZcZ2PKpc&zBgm*V9wzP$Q{r~y*^>f>LDwXcq?KQ=mAUw>73 zC-3#u=u-XF5t-y0=0sTqu=_oK@fV)|=K6zK6=VNLNaqU~$A*7T)t6p+=}&+96RN=P z`KQ;@O&LZ<%JCjT5U*i+`NfRZh)jyW^o_z@$q3v?jbLt>0bQKpbc4~TyqUdTNq ze@6M!%TI4T#KP{4!KPfafq*2<5DmYOmeUwLm1FEkHyLxHqiNJ9iIup&i@1iDvR8aa zV)YUEeA#8r&llDF{K4a=u7CdN7dOb(!MiM7`Rt2N`CHx?>ivW(pMLS#^2+4OXJ6ci zZy5VrfOX^A^2%qQf6Azl`>C(^OaT$Z8mfO)LPhVq{yK0T8TC3)cI#Q!u8c4L>z@ge zmtS~#{jm$Z<0}LmaplyI>(+>= z4$x|&lC)|v77P23mUih90K4Q$?=W+Yy6Z-|hPDcW)XQOb*emoIFYwqR0z% z9UP2wdQA0+iPt~>^vfIT>2_rJZ$A6&+ zRX~hazx;%v6p&&b2ST8XCGXyu92{+0fYd8Fs~{Pcn{Oo#d(jXK7sQ`ze8S?AX=M z#2S(8*eJw)WMpVG>TDL@=#zP!yzo4>k1tQ2 zq8AvfV@u0_Q1D$pwA355JtrqK^H63c`ZH-j3teUzi_Im)hGt`xxtUu*q3wXnA1i?p z;q-)Wr zTEObNPf!>>@m-126Y}W1_s~2acXo<{^92-=zH`+m3O^!|)4zSn7`-+G4pHrs zzt9adMk?so;PT4nAM-W>1Xxo22C-t9_T@s@UXw3CCI|ewSuMDG*Ey=Om58%#3r| zJ2IH_rgM+EEqs^0-N&LZ9Ip&5e=ff9Yjl@`B|a)OvV5Iif1Bh@zW&Kq>(`Hd_BVdl z-(G$FglJSe&*~;j1{08TKI#+3h~`rQMsbni>KDtOBks^KxZ&2l9ZiG4&6zCv@0#IESmBQ zre=jz0xKht?zY>AR6?)FJAAjxJLpcgJVNg`E|_nWT5_X2t2;3X3_g4%3PC2m-G`&c zuC9nL{Prto;+L-VzV`OeQ2nyN@+}HN;@HO@e)u*G9%0R;2Dfub8iwUjSAIGqM6BA`gjD zw1XF$GBXc1`h}m#)Ye8Lxf+#r@Snf?q-YAC^m>L>iUq;ymU`t^NVljhBw`I+ z8-DZSk3Rb7;}73{<-*mK*FSjYjsJYwJWcF_}a12;p=$$qYpns6ZgvU2Oo=F z*I#?%Bk`^)V<6T?Z~bNXUGds$SC(l5y_7ZfuYdT%e|XF9fB&MPPsEl`Fkf`SVO{;B z*EJl=g2(q7RhX|oD%wS0wQh}wM2UzvvYEyPqg$&*mREva3ztBmW-nH43>GvCUX-+o zctyfaQP`>Uc)VkzIK_1U9E@Zf#83nBT7c3M6K}rr(TA^P^x|8;_t8i1zcqS+zUBw- z0GPL4q1#km`70_z*RFm5RNnva?PIsCA9?p3!tJfsj$L_|-}T|!z2lRwe}Lt0U!`}x z|KVTJReY28db@Y5adLu(&Oddk=DzzI%8h-eCV!_$n3ryXO$vL+P7IO9_cocwhJVKm z0tX=xD=O-2)Yia&s4e1#xPe=bCLB5x8Q(aSIW^TGpdz5?lu5v4yzRDs21tYxX}jc9 zr}S6eODw-LUBIm3K@AHE3b+DV(d81QaHRO=Z~vwBjd$LA?>%6(G*mw}Iq|_e@4N?K zV5hEKdy6U=&%A}#-+TY9SJq$1;(Y-628W++g}8bTLs^7;q#l|Ov@wO9V~)<^HX|Nh%=z5fxv{^8qi zzx|h2-(j%cdgkg!@?BSIClSr>APO7ae22mM%aZuSSBrkJSX2G8pZ)ZwPd@VFAOE__xR*`iLa<(bn)>2PBjd|T-{xSw)cqH}`AL?1|d;e7htEY#0hifM%uD{Ftc>lxq#rF3Zp7(gmU&r1dJl^~0)mPsUwKs+vCLWl0 z_nmh>dZTvoO?oHdQ0#x?7kvYzKl|zb`sovo{P;%?x6I8s9PY%b718s1>G=yoz{A(v z9UJl53F89URI6SPddg16A_+b9jCjmil3#`v8^lGAvln3#=|2yfAc7;6yGB zz0Ie3$B!HWRvmuQj$$@aMv%PdcNKM)YURBlj<^(#T*I{gFntB|lmoDGD3($b3K+nK zzh+&lD5@+wdFlrbT*r6jFa7T8%ahmNee+$h(_3%6wese>Z@&5F8*jYzIxSKD+HmsZ z13!2Gt8cu~_(0O+>jLNx-dy?D<3FSFlWfFa_LJR@WOrp#+@EYvG#lI*uU+}0MCig& zt(RhSxGU>L(FDBwY{vg<@7=?qzS4Z(^Oy;UB!migsiG{T=%R)SY`G(sh(xIbQWg}b zPy>WJ9_3=(pt%rYh?daA;H3fxbTT^nsNE(pYBZ*MchYu0J^S?RnP<+P=k%O(_v!Ba z>?9{WW^Xge|L6OC*ZNfzCOv!qb^h9`po&Y0)Q9i;UGKZr`mOaA%1F(Ze)V_1{`D_D z{?)HOe&`p!#`~{+g`j=ntte~!t6&w5zyR07%T=q$MXz6f8yN#{5u*&pSL^DA=&JqQ zc0*XZ)MNXN_FXq%?~FnX>#HxGc&oL0^!CWWfoGrncNgUN!H+%~-FN=NNBT+MeEG(k zs1JX7?yaBv@|SO&lf#UEdTwO@{95G+so^559}W~zxVv! zz56Kg9dy|Z`Vf{{o)@sl?@hemH3GW(@5pz!Ozdi?Rn|MnA|e*OK? z%+{f>&p-a;Te;x*!@v9eZ~p2x=m`E#iRS;nbe^ngIAThDZLD)|oZ*^MvU-P`Sx{Wz zoMH9l^M+lqFH}|YySjWf6t;{cYvf5exhr*edq37%ZU5;jZrJ=_(K2hG@&>CM(Q8dfWP|nZ*)rk$4`!CHtZN3G9neJ z=--_Cr$7Ea{mD;$pZ*D;#r*-w`@s`OGc&E*c)|(Wj+#1QwUN^obpds*<#CGZ`UxYu z;_hLQ{h(a5q`JS?ciqsrC`3hXR~6zev3L6Ty>GsFrvGLSPqk@Znhyp$g05gNc$3#q z^z^}{{#D%jt0baZdQa=N!J=}l}?aUd1`tgrH`2H)eoI3IJ zivV{0?C`FgJ9o7s?1wL?g69vvdZzPM$NACUseJS|Z*>2o{?N$j@!21&P4z9ehDQGn zowWYq$%b>?|A=boiGTRx-}8B&e1b0`Fj4q$q(-?rW6&cJ==My9_Mm&`xTs5KqLMS5 z2#xjevL)#Scc~E>eUn^N6t;Y00QUZ`y7#}*0af)bFY@w}pvxx@!Qi~VZ{)!F3$*+H z_SIWML!T)Xe*O7d=Z5x;AYKnBQqKyf4+!eVs(tW%RH-k6)YGTV?rGntP%R7}*r(I= zPfY#LEQ=mt_n(G_j8fak>3{p5-)wd6nDg8k{g3)dzsYQ9I@j{s-~Z~`berH=VngOHzDWv{jZ;xJG*_F5NI<19tqg~zMhNs@?8d$kQL5M96_5|C!Hwh>> z53llekkp4g`AfXOlDk_$Lj(H{AZFPs{;7NKek7sF+4rdJoFYj5?8nzcQ?Fb* z@9l&&@(;tNp8V{A;X$6%)GL}ja9}^TWy6DRd-siw_TOr0Z0ne7Y;-p^-Wu)Lw{P#h zTP+(mUis(W{+2_3pFmQ7mwVyD@Ba9Qqc*5&Yt6>{E1c|EZb!g1kZK_GomB@io(8KE z#sa~2dX1l} zubm#?E>GO#yoZDu8(Ui1TrO|p%#51{k2l`D**LRf>&BM9^<_s6E!_oBi%Wg^y-V_njBT7?PyY^q0#d_+ zgR+&O+8%|ZerB{Ef1jvcdHc+nQ>RX}gVeHERk#gwabqZM3p~gjB?Z;+z;a%X>ivd1n$U2!Dr>}RdoH=!!HR0P4M@pV#YEHid^AkJpbxpiaXty_&OkuSe0lN1}bZgkIxu-rcH%v4QHHCQ#D+;!vhLf07- zr`N8Xk~B-w(0BCs71t?4J1f__u2X<$UHp9^sf86nqOt~VET5bY&MHBnsV`^*5Xd}||jr-;z7p%!;07gT8H9N~C z?h=F3)4r3tUwi%Z!iwNJb?W*l3CDLrhnfhV6>0qK1R8iQ zy+|0yDo<$1RrI|AN^f-~TWJ#&ULdz-SQ%Jho)8W{v{PqJJ@v{{Z=YIdZx>NTqEdgQ z(Dps*GJK#~lEe9yTyi;hqbHb`2Mgt?Q~`He8-mhJBf{O*%Z>Y5UVU}j<$aB>ic6{W zL=n@?bv%2)=L^UZ$m*IkYqoFSK0V7_pk90Z#zNO)r>;pmbv=aX`eS%UCed|$MG@Ph zxh*W**!BL&tG-!k$m@}=PJ&f?hi4@?^D3H4b&PJeO zs{YC~A@$U$$F9RE+rLv)5u1ky`Q^Mm*~mLDhgZxu&UswBiOK_1?zx*Co;s1$Mr2TR zQ*EO=wr$yRd9-sI6NPPC)Iuz-W#1T@Ma`P^WhqpLYpAR3Y|V0E?rS%0+*!B|MAztG z0_w5r48O-Hrj_e=`1b^|0m!L$d1Cws(9RWlM+Vs+ zMYdxY=6+Wzh{cs}i3*IaU3=>ID-ad_R_%(^w^4OPk^-uH>Hd0`{cUp|?lj=_@67l6 z7=d$hZSx&-ZucDB8pO74yt$VPvRn2way^5VmD1HzSXRDfuguS@F`2Bu28HX`v6D+D zdBzQrnD=BywRhjq$`WD0(vds7`yMYzJ$7|=*6W>R1%o)7U&M|3@ps$~&Ug54Bk*La zA-$9MQXgZmFTZa&+_{f18u8HzV{v6=V1cL%QXhQ(``-sC2H{h0Kc-RLuc{Sv1W2+} zK<^RH<7UA8AK>aj%9FF0zvOr2FakXt{x+R0&A{4gW;*uq(!sfXja#dyY9d&13dhK= z0@=-8nXG3f@20MRYI^&uqQxy2PIBuGsO(s?+MJeG!N~!#C!0@RZN54yJ0wj*Me>0- zx>$NprJod(oa81OTI6ZJQis3E5jgF#c??9=JKQzgJ9uXWNyk|QN>b1dz7JR=^%Udp zv4wAuf(+qr6w;ny)lV?l(A#45_T~jW!ph^yTh3o}`G_j$?|@+i=JeosP0PO9bs*IC zp+_d?r)u;Z{S=oW8x>Aqw%I4Ai&JfNo;7PYN}4r2F}-2C5sdGjY(93Zx%vH*i^oWi zBndQoTDMu=psH#0j$dWkGd-vF((ulDeLmk+-#i63uYswYVM%X2hc3QvP%=olBdW8{ z{>-Roi0b=FQWAe%UF{3JbuENBNn*YH91c`3Um!oBL+A*3`*hRZWc&mtaw{@&HvpR(|lSfxwzIoB<0=XTjjN z&(3Z?hFkM7o|{Bk+z{sd=H^)*G%$M=H{UGYeDVPe3i6U(4>skI#0rKkkW^gy)gCE5 zDgd>v1`#TX(!j#Na{CImyfQFJ{0S&g70mLn1;}b)K&wh&S_voa0t(3nx<9?WWJc46sxtF_IaZup{X`0k4AyRLjdh85Rl7;pG#MIKORu?n84f>H zGb3wdW;kw@z1Zv#v{N(OLZxPA%^GGLbpf|0kd?*wA`&z_G$R^!FJ5h)J=x3{T3qzO z(5K<)v(vt5+3bhFw63^!C)zx3S4rvW;=K4(50$klZ|_?gTpsKjVHXchD=TLrNxf{4 zqNx*=L2A#LJu53Azf$e2u-Y@AdJJ|Mef|#aFw@rN%5TU2)U)Uc`g>ezry@nlUDvkP z59LgG_O@-g{HiSYsNcrr>swxB#y%x|9%y-+H7YI@tqV-e%+ashYVn#5Mua2V>~=_O z_9}NFY6d%Bv+OuDk7R1v6POP07_0zv1yzYjk(LyKKJ<--Tg^B7WzV9#&|YD{^Z}<1ijtLtU%rAxr(*nd_+f~>c|0ey zZ$xhuX3%Q9J<`EQ>e%b8xlEI~OhlJiGqbRfF?J%E%gLFW;c>Vd`hjuqJ?0krWj5ScIa~M`}=Fus3At zJsml1(p>pW;QD&z{XISNE*G)}O$x&5llXJ*?P;Vh&7jW%uC2=1wWvTVi#;Gy3$=S6 z*&W`Dyq%NvsGIa0t9u%%33qqOosRjCR`&SkXQkis&wuFeL0&KqBuo@k-j3KrQYwCR zRRU2`FR=P>5d{ukj#M^CtlF2~N9BFv&Y2Iit$(0Mg;fPpKl2!?@&yG-+L;xD69V## z8WS9r^g{+0M*6sW4^;)LLgJ1LZxEvL<>a_J{H~y!ygS!1a+}g<>$|P`IyIy>!y<4> zW*}yyuAXW1iLE28*s^)^mYJEB#><S~2WO_CS>IQz!93ME(vHez$v2JPn`+?4R)$!ve9#ip$+sdA>n@4~WNp-a^!vZA<85B>y-JwMVt>usKYdna` zg

    `*p?IAJF*wA5#HW6r&kvXDzKWFnNj(|3@;DZG3RTOTpgl%b@SFbPjK#VTH4r{ zVg+qY2h`AVXu*S$S5{bFqBMR@Dr3JojfUyES2753&|oNMkQ)NA8Sei6;`d5i5^^Nr0gsg7%-EORFbgKwthsZf)BC8Xxs^bBCo;uVtyxe5- z=FK(kn=M;5Cy$L?)U1dLp%zfOlCcc8*9~nkcZx`>X0@ezkb4G${&_iTQnmyAut!n^ zqqLD!fl3=$9#n&&!viaWT$ylV;rg{33)jxvx$^<%XI?}Lv3mOW>4k9o8BrLP6iA)H zZKZ2qFubRGpNl)VQB!;Sq~93azkh_7Tnxb;mt-{^Bm4V2Zckgs$VeN-FymH1$iY02 zV#6cNs?Ng={J1!IGf-7ed2h~aPA=HAsQ|i~*#44YCE^OWL&}l~pOAGK56Ht1pgcGe zQbH~&dj!slLvg1|y#?Qh9MGb+VC79sQoncO#Pu6D?p$9uedf%ag}0wFKxtIpd-|Qz z*B{eXzDE*rIR^n4>-%l8LCie3dTA67--NRK{V&n3L(gFrRnM*w&_M*42H zdFG6+-!ORIrlw>mAEIV%hM7FKyxP2_F6eicq!ktvT)YTf6_-w+Xg+o_EG}3V#Kpr< zWY>gdTTv-}%1U{1d1auD2soxU+EQ z+QPdp3#k`1t|LTsdZA0k6BkgtsKja|B&jo$6yEj>xA!jPap;q*@)v_DO`uW9P}u&# zz8>Q&c5dn(4CdtYjO_o=6PN?3kq#u}Z8;us8^vg5Mk$N45K;qr>*N9f?jWrxx4DSdi84a z)n*x`cz@@6@5#i^>4kUSJ8^vh(Rb&@^|xPt@x=&I)YbO{RhN;PORa2ZY9*{G86uWJ zVoG+gLycV%1@6^|5> zT|l-#RGX^;laKTDYAm7f_?k< zf5^c;|Ni|Q_^VS@jdggKbB8eLxh=$>&*$MLAl0%j(H8gZ;Ndhas*CIgi&QHvDy`VC zouv5AoZU;4f^?OnfJ+`Y*fA}jX1xm0tQvvc`^3sbhJRbq-#TSnpIr6>NWiq*|OYS3vKvxiTT--pHTF&P_uLdbU3u3LRzCn^Y zFx)%RXPg|aV7Yo=UO7QlJtIAc)SNj{6+kt5IQSk)M`e|Vx7o){!ww%VkyDqvJ>D(J z1qB8x)Ho&MMUWP65{wgy6~uLHnl+A)lBS}N5;KZGV!|_LuO6Evq^p|K$=6$-^RbSAHC-vPbO#OwORt7h>v#ZM-z>#=A11c;mIFUq12z+Vi}7(E#<*5u$o; zm!{J`Fub5q0o!A0T@n0!aCx`C8K@SyX*WrENyz0jJMO0I+r9erVCUtQv`La22&6?`!haWB} z8IO<`%nR;?C^UHC1La`U6$h-)C8~Cn-!=z zS9bLh+p#0By|(KwNr#Z+PNC`&O`YLXO>Z8LH+A`&7k5Kdd5bQur%^UFZu3A>2k7{+ zr8JTS;{Yb0>KWY6JR#`yBVUk-98cR#I2=XAtO2x8MRPacI&D?nI+EhyaMjgQ{>7>i z5!EH4O1olSn*?1|Kb(<~0bU{FLMsQZ4I8%49uosn2}Sd)N=ha4#Ke`HBv| zf~CLn?(44|0hJe?f1YphK0#0~gh=h&#cB5ihTcHCfl5g2A-djtZt(0Qsm0x3)toce zsCI*%^W0>fz&Q!7UjVBJSqUjl!}*afpv2K*GQia)4{dI$3fh`!2PzclniaAHX720;dqxKPT%51;YFeNSTHHEX zVi3%!t)Q!Umb+l_Yz`RKlxK0aeB6k?iv^nvhk6x8d|@p0!1VtPq1JqJk7Gy0yNzcXaKM6h2SY3i*P{ z51TqbQp(yn(%0q*jUjW$9iW&t%;9bZogca`U*4jJTNq|=>-McRZN90Ju@I_FwY5o! zNik+8`a!g`lFij6;Kg7hJB3Rmb_qB1kEInNvF$QT&45&-UJJoeXAOyxG^FPgC@PAq zAgkvTu8EgKtj#CYYDN`#Dge8bZvhnPxOf7WOAW3z`@D^A$rvdq9?3K?%-Ngee0A`+x8IKSAOEh7h7mln^70B|+c2?x!}jej@lBkE-aYZc^Xk+w zX%VbSiHeUeOyHlYuohHAL^f1cyYlv3J9jQ4@OZ|eH#^%nCD7-U1wmXv#U$P3&u>S? zNK*MIczQyoJVR3Z`q@4)SUDrVLNZEKWqF$yk%1nb0z{ec@&*up2C7X5 z9g`rHWOG{5sjK3ml479+UXWKcc|EN75tJzFl6nY-XL0eNL&b+c@6dCH@TT}2`YuwG z)$^sLFFXhLtEz|~#U*-SQSoAxW$0=5?)hvlQ1NIMo)5U#>_Yt}GwbZ>Z{ExaHZLnu z2*0JJr93%)oozo!iL=wN{H~y6?m1z%QZeXO^$lg^_WBlga}sdQ;&CJV zE*_k8SU@VNsnudOfmLA|n1GgUWhK>R4_5+LMz!J>b}+`J`uLJk+NGjHx;=L&0+c~Z z*%ghwwDh@&@~VldstNS2+p`h9VpWP1SD@|U@t`N6C!fokmE{GgY&jJPUje9^jT>hg z)n58YuJhZ64NWbvj9!wX3L&WjgV2=!Ha=9Ea%o)|q#6||qY!ilazwU4E`&jn4O(+S zZ$(iE)uw}1xO!qzYHO?6Vs{|F62B@bFDh5T2v#L!Wn~!;yQkb$8I}5Lk-$(6QCUh? zhYqb4RHUw+6WfBZpGU~y=jWwSVY9}KIHzigR-i zlq8H?j~`s@?b4(SPI*0xbg2WXy3vN^ie%Lmp79D9mdsgsXPZy@rg_mReal@lH8(v` zap>asB{YiaV3UpUXYEX|m|C3xzl@o-g@y9%uwUn}OV zxJcg&xB3o30!g>Z3UZpL3Ty}jj%6Qfmi>FEDsZBnd@ycAT{gPzM_t+8X=I(*BB;6= z1|A}(QHCpFEqSt7o8#<^ygX?=z59pvtKkK@6!WTizd{vMZk3}CebF=Y2CC_79w-}d z*9E*mZ-7I`fnxsE$?4*y@q&YvR$5hRQ>S$@!Rc(ZgH?ej3Z_*JT7}BIib^6|Sy_3x z;3BWc9x_5#5v}wPjK7q(($cEZ%1Q~pfO~sZAe&1{C@UUbypsq2`La=4aG({urhW6i z=^TWj*Nazxgc_iLX`>8+&(Wp^L{dxnn$#jd4GtgRFzBLE*M4K1PYa7tM!)x>j`RJ&Mk&BoG>aOG6lr9;m@ zf9TRhhzjACWb3r2BK{isI}@C_xjIgFCQgY0z-yeJwHJZ$4 zqI}(|P$@|*7?Kh}E-vOR1Jqz|-eNN(#eQ#4O`B?6%|lgqSF#G}N`d0&?ktnLlL-AR z7C2MYWmAaihpRI1mZ3=T(9Ol&&;!R;^7s5 zD?YVrc_*Ba6Ly{{Q}DPqxRC9Xg~NIQ`ry*)>tI+!h_@H3maR1Bo5L8=I%dj5IIsX!_zaWdDI3ROA!yB*H{{_b37yxnBC zC)iCk3;ZfAq$`p#dA)t~brF?+Rg=W3Ln6>8ta5}^wl5ohr=2J~xiC41^t9?QT^SCADojw3RBA%K z8BS$x9g-8Zc$|~6^-ONl?7d}FT*1~R+yr-bcXxMpcPCim?ykYz-QBhE;7;%W0TNsS z1WRxTOy|D$m3im>m~Yms_05l*rh3(>Q(e^F^=#?tbM}VRDQ=Fz>aS=FYiUoN{>hP1 zLNUD*q77vwRPc34;$Y|A!xM(G;A3rT55Z*y{z_C;tvw%?4lg%|UGn{nMuJ#fjZ6hB z{mP0%gMEG89`~4!bf1)3)y*uIo14VsPqi^=DUuPiqNiK@sJPda_R&>ABfDtw?{PwT zd_}<_6;=n-{L2?3T#2se8O34h)YSTJ_KR;7hP`H|Le}-mCpK<;xfn{T@@->C#uZfI zI&R{vLp5yWoUW`?7+3b^cR-5fgD|!YWqN3Odg!TI@edDXOFa7>CNxwldHHzykUE6+ zT#Y>mNe%@yb-tFBEi5Am{ep_-mQ|*EkEOn?{f=rfDUSxYhgxdog|oA-R%+_^_caQ9 zo)00@xHkaKtm#hpjyYZ1y0!;Pall<@+IMLJc>ipDg9P9No{*bM8e4KiY z3i9e3PdaIqVZ`LM-+#7ZU zlywNO9RpFsVU^Zjt#->9ioW?ihShXtSxo*^_;paM-{Q%gU$1)*U=5{!)MJ!7KHHmX zoPNxax*obE#KMlyb)6-PME0Z5;)x#Enm&p0OT2A;RrGUCTz+jDe)YG-jSWlbHfe4) z7|jJ9>Ly**H$j3G>X-n1piBLzX`RiXiDg8B+_azSg3!Lrfms!ol* z;=P)3i#2XS5FXbN`x2+A#Y^Q&O=4OnR?)ShS=D0G>WIqvwpx`+oE(}r;b)p3bQCs@ z4n!pt;gKp;O|&zB8Wx;XoOYG9b)D&Kk+-5N?P#%6!wRd1(e-Gd_`5UF;4NmykSSS( z$;n>{ZB|*&-<8+P55n@L-ZLN>H+yBFA?SZL|4@A7iByZT*PcD`WTUzU7@p6=&aP#W zR5kICPw~50>Y~W7yNs8;$sz2K?TyfC{1r*3mKo zIsyR?gAI*QEY|>Lzi@g7B6k5TU%?|IKT|^MjQZW7!~MvW7ykPha|MOEt%3o6+NM_m zHN<%=DjpWJdBeQ}x_+C32eAkniiYH_E~%BV7EfKDL50r8N8hlGk5C%yEGyL#@jQ9f6c4b$k3oii|=nNxhR2wh5+Ad{`~YY-0B3*k?U zP<8}H&dSP4hC0yr5}#HPJFxz&U^jP5mSo+n%SsVO(K#v=4Mn=b57EHN&3IpOM)`+mv zlt_W`_b(K7B#%6)N<&?|M z5P){q>hvq&il=&?DU0D6zwcwUzyEV)mw}y&WlfS~emk|J&h|GWm^v$V`WR8Eol+N# z!&am2Y+C$@kRR=>)_~O7y&HuoA9ddo_uVVT@=6U&W|e5WCA+wbZCQ2s(jBoEZZ(ax zv^u#I?HDbp>fa%7%7(aZ(e3GBZ)S=tVh4*eh=_-fiN|E*javd^Anb&MLjEM)Y|7x@ z(vQ!-VxLk(WQs+bqSAubO}Zm;O(?S)RyCh4P^BUi%gC#JN9L~eO^ST5lw*7GkyvIW zHNJOFOn`TdjR{Bxs21A>|JA-XlNU$m({IzObO^E;~RQ?qQx6PjSwj{UYTQfgSGCA>)lfgAtL z3nC`AExlBRQ{(xM#HdP#?lN2{t!|89f)y(L9LeTAt%Q+`P3ptL3T*$4=EAy)P&k9CAF@raZ{KpR*iz-(G{N1MifuOKJuqmtY*;xwZpMb zb88v8hXJi&8o9;?H*`%KbA>w92eW4@7mZ=;grTwXt>No^ZewCFLUBR2?AT-C-sNyJ zgxz#%7^q4~%HyHQwu!9An z1a6yxInl<}6`pNrBfmpL`qaXhQWhb$7^_xdF(VUuE191ShLZt3oQQT7!Ntp7N0yAX zXL3Re*wx!JpThdo>V759mVG`m#4M-$Xv{!>OHU_1_X~)K{$XplF>Mp_P$(3!n#FVCYmIVP%1@R^nD1&h9_jGl_&@DyFA0<&J;OxlP&UK&r=)zwzp zbxJQ(M|aw<>^)WEyXiWzik;1^LI=FeRBYFZl#EeR85!(|v9ILE>N4b2J*~zZXVh8P zbb6;Ljbq-AvDcnKjI>d0!a=%f@(f9BnAM#r^2k?qPmOnLswA5L(vpJr0v_M_ndvzg z8^3B8A`&)%$kR?Qy*7vwE9XiOpCg~1vFY1ss%yzdr&Hxkq^v@x$)p*N`!KNUq{4Mt z+!`?~bEhJ^;FLa(*(jy7WQAdkR3fC;;MNL$4rh2Ltz+0#S=WkDU#T_wVnddEKI4bw zS7 zonae|%*dX9>JG!+2OH_`Xhwc&q69M|gmgG2DMjQv?8*uoeetNgYu?qM2r?;EysJ{L zJD{&yYnz*85K1Rg5^Q|PCq`7P4Tlci&o?Vf>-l=wS?EGgMenHPtp)iCwm`qAT+?9UVEsB@#W>F>%uc)hf5%>6a$wS zYFpQT*sqq4kB?I^m>@|L1VTu*fbWBG$fu|AX+S-R>*}EMt)L37JAtzdl6H=2oW}cr zhBJ)ji6*=GF{!7mj|yj@lganq5?aVliA4IQ?B_0*XvF~?yB61#U-I;fz10ubmqzf- zk*b$rrB<}H)3KK9TYS1&E;3q;2=beKz_YqdJ4YQVSkUcnNy%gCH;~*Ju0gfb{M9yvz^M}R`W`iL+J>Mh|;`tIU~akhxL4# zt_w(=>^_qz1(>GfZ3lE9e0>c==Y%ot6+jnf>p)^oEK^XC<%Da4Ym4O8Z3Z^wOIdo} zC!I%7Wo9Ov-91Ot2j8b7djmCarh$x1JNbkp@jWu__XElWX*=IZPCdT-Xz2bH-|wXI zawtH=p@O6g>fot?@>nV@_-2l>@@c#TB6{e{m(MpI(Tr9NyGEsrVHkV0?^s?3mKGb{ zSFl_e47`fy0q{8PZ|jTY>vCQ7V&>2egEA(Jv9I7-7FbpRku0gS*k~r%gw?>97~i(v zt$wpB`JJPbGr*FQlePDPZa=4i5-O;+al>)j`%c#<%pTdiRKqgOxajg!9!`|W!q8Mr zhhxOR933q?6tShAK-g4O4waFqog0eKIr8Dq%i6LF(x;A+-P@-~T7P}Nauh1XI`?!M z!5A-}vk8L%-#&9}29ei9)Xnnw4j$Uh2&+Qb?#CWp@0H^w%jK7rvRCk4MT7@K79Y`M z6^n~N9P`>@ZKmgEp24pr444X54-e&I4xng5ph|3@a;ZN!4zG2qgkl1=D>cFD7;35p zs+&MvS63-Q7s3SpP=yr<#Q{irFQr*b872`}A1Hk6CNZ2U=3yPOrbV6H{cZ2AZ{@JJ}m`_udR8h1Y@kPm96ddLzhPSfxz{xpjZ2kE+j1w z=$gx8l47Hzlr_tfl;8!S*3PqoX7ZXyxkITAc|)T+3{F8#ntax#*rj;Q#Uhsq)JC3B?AJa4s(Jw1(O zfCYrk9FpwX6(K1)#$t!HFjY+5iJCI4T@AA>7PQ-&w;$IACN!-Rm9<=QaHGPotClF( zf3>s>hbhBq(%7GQgCht`Pr`}JZ5j{xKj zy0|;~1Vo5vlqE~udH^DFI$9jpQ}VK+6wP>frNbuJnH`#B>6V&TWQ3(`v-I%I2UtpR zSfiPTDOBmL9B_Mf^|UrVH48#I+i<|m&Z*a))-F^$4^6iL= z$|ftwqwXh%b0G&#-fF_)$3R8*tK4%l5$A#qLF3AOj+0AWLr!S^^6Zj}3;1~|e~Cli z5gDGwCu0RlB~wX@pWHyfaJtc9?_5DshHQMd@+Vv*x!7K&Wk;BtnLJRt<|UIFpfl_z z6PW;E^|5fPHnVPiWt1#pjC_f?Pg~d8(jm0Iy0Jz8MYwtD_Dq{VmrSiB+-1be#D+{U z(|dY|T6N&pQ%V+^H)h4lb{q<}F$Z4)VpWTB9*Ucjv!N5>8e_OtU&AWAsB?u={x`0h zS2j8nO=;N~DP%r&7<8-hl?77@&b`*=OG;`?O~ziyM{s^@dOI$^HoT8MSqNjJ8V9s)8>d%Q?4b| zH`IhM2mzT%iZTBX8K2SK_I(0n)l_CBN8x5=y7i&Z^NTQKK1rY1racy~o5yW0E_t<` z>+C1aOjeW8L%nAf12eVCjr!@p*m4C+;j^^PRV@_Zr@qi{8JAQ7~`lUSui5hEhw5SbIvCSmlo{4W%EY&qv&R( z1GTa&wKA2pGixesGIUQX{%D85%X;4?m$Zy)};a_c^%zJYV7XJtR!KC2q+enr*>HmZJ2VfivyUmKSFj5 zPP5cw=)KF)6Ff6T%<)c;p8}#WGSSm3jprdK>m!%0{BrR; z)Y6v+0_F9!^{ML&Sx|Nn&_Q#`EK51r$&CJ7)F!)}*Ba1S+#|iNNr_3iY8k1RuNK$W z;U}>CE^3HLc4ug6Ts0~OIV!S!BUA0aULMJ(7U*e@P9|$^eiW%M%DrO{w<&OF9_f-p z9Ews3L#2b_tRQN)a(g)yG|)3{X_2oxpdPYOQB^Mb+EI~v@-w@32ror?pA1R?O4@Hc z_7a@cjp;LJSz8;Tk&Bu2@C)jDJ|{Qo&!eM?n5-xE#U?&xVOdw{5)wumO61qW=IO)9 z8KxNA#7e^1EX5|@7CEB6U`nqDboEoOp_3-$o7#{XGe~HpYM+Ffhih5Uy|w3N$8Qi6 zH^?vLLbcKG>C)s&E^bd*cHZackp*NhYWIY_TgEY zk8|VreWSwM*mI+^7`cl%pE!78GZBX)K8YLs9ATcGY8OjJK1EHMg%VSNn}NlkgqH5o zN}gorz$rE+CuS3SAc>angl_wme%O0({Gws_~2d-*Xj+&Tjqqm z{2EtSI}vm&23*;XFrH>w6NOOkS+WXG)P=u6XlRkK(dS@MB)yBZ^BKnia#91b;JGOn z>=x`mhAUXvKfNS%Wtwoy^cymk)cO$h1MM`b-L)2NIt=!g(gqnZ-#`(;&f+@7EWf+? zNbID2rpBbRV&fprQx_$04L|<>{jg43)<{MxcNr=$9iFL;C;zR_o2?Q8NXJe9&VbUnS)b#o=OTx(=LD(#83m<_JJmk z;LPGx3N}ezqkvo#G7aw=y#gH#JI1m$-|1zxh2Q=DH}9nu6Nz zvgc%XJ2e$ZEk*B6lmW)^V!v$d%JdK-_ZF*hdV}w%jxiaGj4Y(87s`{5kWN}QWrE20`6^2r63u)^Dwl03RKNG>f?=uSyhCq7lV4bTK zlhJ)UkDyxlW?rwc5PnLNH;ZGdQx9ZH%Td#@qDk46)J%h%R}eerj7iF=7@ny&jo86} zkUl7vKXsRYtfbnS>WoqA{&-ay9upPn?q^ha6$`=k8Uau`wl{+FQtPUiB)qO6Y@w@L zW!63lzYgHMWcti;ip)nLSYbdP?F<5We$DUoJX=L0B(5d#G*)~=gNm3uGr{&P7_Kpt zCbhPU-<^lkbE#E|5nEwCl@-Kn`Dmt&>#SpVU)Qnw$f9%9GoCuq=HQVW%LW zZ}QOQA7`jPdvG?N&2hFIXAeyX{d|R}_vvJboQk}sggamuP5D6jME*@jF$*-9W(0H^ zVbwp2PV!zTa+*pEC|imwChZT9A|W~*hDKoE)llmu(29dln1AJ#O3rPkcqgwo-T$eJ zuWxLu=5ie)*R!IXzCOYXHeSa6n2!(fc;)v@eoroJ^6=2T<6&FMM!?vP{sz(X7oySOs$Pe;V|7r)=W5R{fGsjDNYwCUes$S7uo6=3eFfB#%Y>{HTNT=q+d zU)CZl*PvV@^gSvB<{+}Iiw zW!*&1!R*-+1}scW%mGaU1vPt2-K@mOZ&g)w(OgmaN{Y~rfm)dGIhtmcCu->ZSXuZ$ zJ2^QUJG($Jrv{WVs8FIvG>hlEpIBtdwwL{hhRns~d^h)u3S}Jd2A9>|a&=9-rmpA~ z!NI|C?>xuQQABE|7cww(qcfdcW${t(A=`L|j(}Q)pkF;-zIe2WGak(^KFxhH2@s{9 zf}8ZT-$UZ*1WEZl5D&WrZ@gFBuhicGz^w-ehf&(l{Bm$br*! zy$?vRL0E{Nxa~=(BEM*%Tvt>Pw76w^l(?KEZA$jSrV)iZpIwOvmR3~F}O7Zq3h zw8uJ|2JXm@RKkj>esU1|X!V}0IAd7Twcma6Muuv(zx$bSQX$nF0q~drIx)j~P!lePHbLP>6lQ_Z0xP)og4q7!!@*0<& zSk^BNCGO4_{%$R^Ub#dqXR}@X)BZ?B(gHU7pWl9q11Syfmq8S~XU z&LE<7B?g2M;__12-#OJ2G*KUi(sx2S1q{){!6DmH+CL2fu>$SaUJ1uRI@Ct-Up(3E zw6u?3$=OQXKfaJ}&9>8b<{1+&fvppx ziag9IvSIAF@eLj3imZwqcP zjedm9RQ)O8{Y792jeGyY>DEWq;4ZK8Z~pIp_L2sZ%Q7}9zhKP+XoH^5<}ueAp7*xa z*y@`u1JTRUW|}U4$DG(b;okSN=WQ>+k652B;rI)g*aUVZtx38T4|`1SN_oO61+3-g zC$iN!gBT+lDJFhuDPV_zdLn`q(xgguAHrr?NFrpXRextGbC6_i(mw|!ue=w+GnoNU zY`;L2WZzT>ggsca?sR^p-?|5!<@vv-p$V!HRgQmr*%F4@`R4Bpjiq&4<^R4yLoejX z_Nn~UJ2+P>n6+29aNrWV2NgKJO(!5ALO^WZC!8Bs>F!R9qJOe47dco9C)hZ1JwW7)SzHc(vE{=IF5>UEC`)hqn>5$-xT1FiX@#N63| z57p+PzY+9A2JVsjAt;KPjGw7RUkN0h9!S1WZH?htL{q^?4J=igUw>8|YhhB_4Muqs z9s%*gF!UYy$O>I&mwK3vZk8#b_-kPq&%$eUD=5Mz%84d*)LFmrJL76C!Y{&8kfvy- zp}V4&N->zhdZf{BGtj*%5N@m5_VZ1g^B_aYU^Gg{?aIgAn3xwfnE7B1`9%e2GZYLp&wBO4>JY9>WwA8X<1xD!3@JAB%OL z=tmLe<1$I(M77dG6;!io`8B^Qu(*1)|FoVa>W^>*=OQ~DT50d^xqrmHzo;bn{i#|> zBv9jp-u3+0ZAWMn`Xum)9s<5YO6oX^U7o7e6C1JYiTds6?#IQaQ}(X{+=7-S;bb z-}UWs%%?sZH87Y_TPKcgNlNF%^urN#caKf^$fhmHb$Ucil9S^w4`G%Gm^b@Q=_y> z>^Ze%D}{LCmZxuvsi|x9E1*aA@h3jkuB;w3uXlMbL6+o`4XKkhw0iW)2ggMJxT7;{ z_jp#m`(9}?(gKz7D;9!l8tcRcC8Mkbv74frN~R%)=Umq-!;hQ%`ZICS@}i}LO?egV zSoyRII(VgFNewz#q!0_X!abaK2k$`Z@j=K1`@vmx7OC6)y?0SP!OvW--*62=-`n&` zK#o-3ct{nGS&B>K##9C10GIt*YoKI|-K`)Pu&UK{1WVa^Ks+M60t_PMb$(XJw{=?7 zVH`Qx_Tz-t(qmGB6gXbd1Jq*b568OsB{aq#z{8ABG~evG)YZB@JZ^&ESLG39 zn@bpRT3S>WHc8{lZx27RUPDW*qprRtBlW*jtRn>#g_XiR_+Pfk|Kh!WwS;friy?xFiQA9R;Yq=vSUB()-z@z>uHzOb z2K0V`BPM=U_Nboj&XdwzTpZs^WHgK7lw0LY^EQd$;g@LDRDN4W3j$R}!pJT|HmKYU zE%LO~cyODfrxOtVdgz(Z7KPJ`9`(H66gtbv{-T%3=;~@Ej6_f-ldy(A!f8(la}l4? z`vvdI^T+vX)K9K8IOTZGgIfb^hUtL@x-EP0m|;T-xb~p64uQpz3E8o@{PsqP>e7{h zkMsud_<_Lz?RR${zAxB80}cAb_2;5-91*NhWJKX--BVyaSCW&H7dFxdLC8NIG$coo zeZY~{8w{-vkkVHrQH380+AR~PWJ_1NkO?p&@wAZ}k(-DYF$v|1&XQkX=4GeRDkN^| zI+-%jD7ob<)lt)o6iwZ~)Uz=OVrbBqsxP+FS1|f(wbNjerofNNN{=xbOUHf4FCiGj zpF6z3*;-ymFMy~VZmh7$~7294aOlK>+ZBWePhTAz8YFE z*wmxPMps=je#+kiT)TSy>%EQXqi^hGzv( z7ayyW$2(L;K*PBVv|^13LV2HL+<9?LyDCibJesDuv^moJ-4IoJ;VF)d8N?9>zAN>F zLyC3z&OkXP1=C7i{y~+GIL#>~0~MXpz=+;jopGq^N}|a)gYMup z%^Y2a=XS@oRskN7QC5uC`o;NtE?1hJQg}}&K!mA;v$aKq|50V^nxpI>VpX=;?kUBu z`)BIz<0{>|WlH3N?t;jVQ4CI&R_Z(~8iUIt*@W>bLp7^{e9HMym3f@svFg6)J@9Wx zwy2T_KaCSQ3x~hNHdEL(^lo=i6vQFApvTFs6u0G$#Uy0F*Dq-4V7wNU>$&@B_!0FP zBmb_Lh`@n*;9&g4EXIM1KwZRx!g+wNw zaFelm@k$dGXgyMY8-pC*;Fi@4cU+R2^SsBxluVMymVXe}nipE=QP9m@m9)o?L6wf& z3ojuT0pXd{n?PaaQXMb_rK&+n^i|PTj8{|{9N-__z?mtl7=UJ$n`o3dfH81f@=#0b z3F00ToDH{$EA>P@L^7zwJ~af^phk%py)?9h_r`=6n2N&sTNmX#6|wRO+12srqFHzB zS{b%}M-a!|I!EWbR)eL^G49ge9UDkC)5eek9$j5?a88J4$*q>mQwGHYYFeTP`Izg< zuJ{8NwWLHRqpE47$WMJ_!)6yZ(D-8k($wGk-xR*D)N!y0koVNk8#pPaga;bvG{|_v z8YDt-?}P-9h0Z%3UWwP)$)PTs>q~H|p5Cj*KKc-sqQ)BBvpbw7R9hPuy3ZeW(0n)g zY2KGS6Acw^=|6`QQb?$;j#fm21}#Md|I`NnZX4 zglc&qp7$g&20l2<TPa)({WdqwYs}wHtcorQ$ zauGdtgHSQADnYv}VW(Vb2_wzaX&#es4jnJ`*nTOuLLp$Bj8}=IQx=bbpMqbda!{SP zU6N*GyKZccMp%=eNuZ)OWP_4Z z0x-4LGO@!Uca&4k$SABrKd4yUJ=HGrqj_wjj!(8jN~?eQlt*??PTMq=P9mOhCYFpF zrA-N~Lp7sYBd1r3Ku8~tdzGMHGmVO?Wb_D!RjPdA6pvj7he?=BD2P_pLd2~=I-pM6 zt3=Q)RX2A*+OI-65~P_ptRCAV>6WXLI-(rbXb9$5V!wi4DT|_|N>HUy>Yzqgt!3V{ zPGqA&Y#T6lj80fZ$iSUX)0SBR9Oz_H)-q7i#KR`K+#)2WZT%6=Af3=6pW8f=Oi+!( zz>miyNGEebBe7p2u}2}OQZu&0IB&)wF2k3_}jG71fAzVI@s)E2SGa>d+kG}9oOz#{$1VZ^8g6qgjr#&x-#Z_+h0kWD+V z*&_%;w=6Rc!qSpHu^%M$WZ%1M=vj!R<$3u<^JkTcHniKdwUZR)6aN0qHaBPR`v&sc zI^x-p{L%>g?LOkmFy60X^aeZ3vk;7UPqW1cm1A?9XhqgB87w0i;idxx_J!_OseHF72eHqZ(g_o69o{}dHYlNcAoI42B(T;@=IJdZ!8qUka-!G-3StVj6U*W ztjn$`r2md)cG=#i59xjJIg1)mc*?zvA!k^ngu{p`*&-uUXJjU#m0GzY>t8{a-xSqR z=%T4;&c@tCMzqt|`#{Q0y<6Ozeg30S&j&(*5kSAb5FwD51~@ztwBOf_EiY#t>)_Cv zsbbQqjK+@h#%7-nqZwufFA|Sq1L}ut?Yin*iIkh#-LrZ}r>sj>u`6WVqVn!1%D0Z` z*QC_lMT;fyEwm0hm}t5|eE)*Ae|YwXwH(}>|G`?Wf3_KtasS~c**|!#Vf-K0|Fr)3 zj3F62&z}zed4>0%SHRj&&CS_D6X-$qZ<>x(#@Wf^k4|JG`?pmBuL!H2s<|D|;!m?Y z8yWwfk^X2z@ZY~{*#DwTwR~NGtl}0Pw$4rnteULa8uAE#-so&RJX{1=S-rizSwy8Eby%B*70)5R`&WSHfF1fx@Be_wi9uhAX*`|lEWJKxb(e$g*PpO}G0P|O$ zO0}{^Q>8wR?s0WR07eTqYyVde{bSmHc+Af64~YG#f}e8u55E69ujL)htid)=*Vgin z3FPKvLtvEy+FILyLBh`mK9R8Xa90DmNjf{aI6DEIJjnRK9CUVYcGGk*vjDP60ljQ3 zfEuzAVBY^5gQO(2{+nUt_z!gblUr|J-gW?J3NrFC00;;G00R61ylny`0WeU|Fwjsi zFwiiturP24Xb1@K@CaBas7PqISa^82SU5NY#MGn&gp@=$IAly@lr*&T4D|S<%xuhb zY}9o0bbkhcfQ5xcfJ4AUK)|FUz#*Xf|9rd+0?^@L`=Fm7At(Uv&>Kv-q~Li% zL;T|aI|TnYAl^ZO=L-u5kAMj7073)2gMfs52L%ZY4Fv`69SFV-fI^4HAZHhc!BjVc zrEtaK2u&%0qm*bF!q!;4qT)1n3xh|%!NtQTpr)avqi5ja=Hcbz7m$>amXVc{SJ2eb z*3s3|H?Xh-T3OrJ+PQmpdU^Z!`h|aph>VJkiA_yQ&&bTm&dDt;E3c@ms;;SRZEFX0 zbar+343CVCjZaKYO)o8fURhoHvc9pqw|{VWbo~9~^!n$oo7=nlhsUQsbb)=GeM4}Za$~IE`s->yWCh1+W(SPlz&Oo@E8^Z0%)km)29??C!mpvdTPJ+eDLz?8{mW8 zedWsf`FX^kC9O7rvE0FYG_WWhfKSkm%dj6?M{tDM$-Sc0R{9o1l*K++e z?0@~9zi#1QulcXn{P#=6|NKSruh;y4=ru>Y=hc1#6fu&%AO*j28(^tHNdNB_Xnh>a zTEjL$#89LX+!o`-3(-)-$D-jCU9a&Hgh#?Qtf;w(uS2R?e3$vRucXss04#Os|M!Xx zf)6;M;$Dsr54XQ~lZ+S-(?j|ZJ1yY$5yfX7yT#uVIwAkwJ53h49=*MFh7wwDQAR&T z7y!s$fcmT>8!4n%=2JQ6KY(h-W{1=K$#F{$MN1A_uhIW%+UK^x4?tJi3VH*eodl7- z&c3eoJd55X{O}8Gx>$diI+z=M6d!l-<%8@lMmC@;4MAXJ6uU;r+Cx2O{SnvH9&Bwg zRrM|CJZ+{>$XPWTX`eDB*`HwLzio^$G&WQloDgVVR8%V-tm_ZoV@|bqOfD+24?^Vw zd?2|X>|#fk19Z#mbp4D$1-q$^DihBN3wQ-m9d7{EIe0aQ$F)N~CqJ!zhHIRRU1fxQ zy|s(6$^s`Xd3u=uC)2V+HNBs*;$j4!wUtRV+E+dDgjJQZRV{oGRH2Hox8fl*!yt%A zKD=Wf<~3NpWtP-zY0aN;ubgiF#+o@O^CNWlf)dHASqL-!mly$;wy~iaXo;)to1$9Q zRBKzr1#OzGV@heJMF>0};0neL(b!$7XYuB@4&#?B)z3IMpj^$vn1CO?rZ7V@PHGUx zw0N@sn?-3XfV!Ru^L2343%M0bpM0Ic$u%3bRKu@+fphUpiysj6+i)+6SKe{QdP2fY z>)*aMQ#;OmuP_zZC4v#n0C?W$V(-6?H9@(Osl&&&G6FP zna?0+!5?am4guTrl2gARB8HQL%sDr?X+j%ppI(dZ&S~6*JM*Zh;~hQ-IjMiH1BfG) zyU{_)#2z;V*zP{F;_E$VoT=;GK7Z+ZU=FAfTt;#4V_AG{=%OMK9{2PtP??ura^@CR zF$2R6R@}=!(NTNMmoPF_q+l2J-Sl4UPZ*-_`_YY{i?x(G%xN z7-e$U+N-()8Dw#lTRYiYpz$r|ixC_U?qlpllIsiH`%kYp)qwgYw6mw+s9jK+hJDdZ zP5(TU*J|q5DXKjDD-3EYQ3n1wws*NJjk@FSf3iPdzK&=FpY;3;L48o=N_zZtP5X+O zoAd_2D|^M9rF;!|kq7Vc9hJQS#u;l%L-^nS-|0p7P_cLUAT9)7^N{vK)cF}V<2C8% z(eQpc<@b3*yz{)|63FB1TqDq17xZySWU6x0SJb@!Sz+q#+q6--9Tg46 z}44mKCMCip9jD;q1+-HAttVSO3$ zYWqI#^K1e8d~Ly(};?I4$ zxlFVt4>256u0cSfEvanV^%+%M##BJpz-Bc}cDWB&`DVy#}! z6}Vpg(!RDzZSaPUs2CgBl+P~5kcgi}41!HCT%Q_^gy z6yp91p>78iH+a|(yws#msOzFFw{-=SznycAeFcMk?`6JTJooJrD-&eBWQd{$f5Fv! z11y5o`N}`k;~y3If4@Nd!i_h}T;9tX9l$c6YVh1Gkd*iwI|_3gV#uMAf5+ZNQg*Rc zA7IntC^?Md2UA4O`A~R4%)^_MO77lcO)^aF{;0znUhjA-8b5$v&uXsk=v2B?lF5UQ zlwh+H{(!0X27nnaX?W=0nlT7-cz{_lCR66JM2yQyF3#aleQAyfd;|FTn>Iragg+HT zeRyHLR#fkWVHQ-r)~TXoKuV5o+12v90yKp0k;cBadfj}Fu(@{W z`19W4A<%yf(PFo59yfsTVZQXl!t>^pWxz)>X^YEEoJ>Uy&@tOh8^7if#Pj{#7yUeD zQ=j^=l#(}qwes;}_W0NH^-gcXP79(1n2x$@9DX6%-E-C9RY|RZYos`w0(+`{HL4nG z4jz<+*m~7v?BgO#5GwBP-rbd?1g=?n;um8xA>Lf2i>n>ZB<58y{P#$3lw`a87XTin zKFq)!QN#e2l?qVL$GqVNH%~-=Q)*Aec4zJkv6)Awk8N@cify~&%ZyHeWCW=zUmR(MgQ3_&=$N)`nvMVtFz$fch&S}{=UpEOX}_!c39c^hbhD0vf!sd^Hb}a*JExHod2Z&fb>T}N%!Ba*I3H$8 z^z)6sK6I+t$cTNTaz={n7KWgM-8Xtz3W6B}fO9-}b>hZA32sWEpVAz35!LQ?6pBN1 zT5N>~zarbKU6V$=2-ZKaw;#3j=fYnEw?l?8O^TSt)4p^NLG&I@@;8=tIU^a{6u!HX zjktNwFsfP&bkF7 z1c+W;1QTu81*5!B`rj!EdS^ZR*UUAKTQ~8>0o8}O`i!gGh z91VifTj3}GduJxWd*^bD6>bQ~lN0oGu)O(2mClxe&@>myn!2qZme=w)t?>5q!{uIK zPD23CwMZ7Q>hyRNx!j0)tMCqE++jrGc|#r#Znzpn{HwR)@Ik&B6tzsoj&ryOqnhlU zB@d4`6Hy~`W5W{8^WC2LRBw;z%$4-4dh))9uuUeQbJA+ntf?xaK&-B)1$!P%kxyXZmj7s)c; z+I;HiX^O4muAkOq-qWGWRn;#tt>MZK+tpr#!*^t76{JE~4 z4DARa)4VaJX;6C7qkljGxM0#tTX_$;|hqUkgs3Yxg z5a%^*R9|q7ZPqLKmGN8w^@k>V>|yRUs!xk^7Rs#|QyHpIZYTl-;X@*%52|%O0}@%g zYag6FIiUx8va~t3hMX-9iKo}o_hh%Qpa93IF3HZ+fAzJSk1|Ue z8ym-}o%%=1Lam$2*2pb!uvFG58b%LIyZ2-KI}7`(RxN7{$BF)q3wefLs3p66t+dEe zA)o+0w25}-YY9y+sC&eAsKhj7BB5Nf-c@#2`j0J!BOrIEQtYo4D0}#!)3+9}M=#EM z%&2>-3APnJID{W%HS9Ni%~#e`Q|WGYiE8Ir^B5osO48}3sC(3)zd0K&wUchRvVdk) zCX_pZVWU?KXFf#W&z_Kro5Q%kPqfs%#}%^JY4G1Y>Ml1p6W{?=n{4#q=y-Xfe^a`0Hg=X;0$pynGJDQ)OlWkd!p7h*&UoZtkDWk-n98`w&388`Ee0^| zo}*Z2NiBF324S$#watRuo<)t+o??7cfryJX|-t9e$G+zK#9JF~bs`ol_QzD<_j>8}#8pT;ks(c3aw9tFM*&5tv z>YH`*c+pO$qkJ)4qb2^yxk-FKZi{Jn^%}n0>%~$?{kh?M+diFUUA2IH@|cj4GU-z1 zGAw}7zAS)aS%}#kN%bAr8w7SMhcLyT1}w=wD}7R3?JL{)08XNm@Z;uO14;hsUO7#U z>EymDRTD2o7&Z++GQfWR8FIN#b1&~3;ht=$kf1GcP0O0dR~G!0zR{oLD0y&XNNIE8 z`<0APJ`>{tRioS3KHx})2BhmAwhnk-w|r}*IL7+LywZ9kf{qaYuvFLb*O;%0ox`Hf zac_VVhQ+H(@Y&e>^M~LQMm3ni&OK-B(~a?(iWlMK8*c&2IOx@qdS!}18iq!5sdyZ7 z>?~TccOy;QRXg*Tr@T)Mui<-vBFLieTVn+y4Q=C_jU*1kW(d&;2~*M|@u9qDeX^p3 zaIc<6OgOHM*T$4_ZqK=q#cv6T&4NBJU}Zs7SmuKAU3d4Lib!ty#(^iv{$^9|tq{z;ozbWh8x z5chgMOi0-RH^sa9bn&}xp&aBnyGl}<&n0L@PFPmhPq**|>S1h072$<;q21Bn_?f1{ z@%VpG_ZC2LMQyfd6D&a!f;#~ML4pJc4oUE!32q7Q?$$_fhXBFdEx5b8yEJYI(2X|G zH23`T-?=q2@7_E2)x3K3UQws$>gwv#=bXLwx4yO3_wC!?578ccr9~5qgsNIjTLS**cwT ze}&}7s&s9=RZ01)_N)`j($=(_AU*<+uwj#3aORtiX(YNO^$X~+E`De#QNdiz(TNqE zyF{~5v#e_LDg#dGvo(iqvQq6sC^+1kwL;6Bzk?qJ4pXQb-qRg*k3hS}AEy49HgLru3TvUAr6%JdMN{|K6O*#o(#b%A?EA3@<|_b-vpt0*2pgJ(+}kcUKM z*v+*^hv_5e%AmiM#w}d~ED5rwCWa>inIJ~1RUx>CXvgQ>KH4BoEt9I-PknioSLG!F?GGZ`ZB|TEgjQ(Nm__&VVGarsznW! zlC0i`#H;<>R}Ip3^6Rpt#qHWm#Ax}$fub|G9YbZA-1y;mv0r&rf;T6`?q}SGY0mY8 zR(nHF9Iq+NNl{iWJ*IB#9Rpl*eU>zxI^r1`5(7P1G&he#ezDkDVy+=slqWkl_=;A` z!XBoX-0+G5IEQ-tt*{t%3}2ZO8bRF~&waHZBUe?@AoO3w^ric?E=mEZnH5_k(1D_z z2Xz}f(iv84wHkjk7Qd%`L86pL~pmZ=SmTkWb73m@&ab%gNi zv;uZnyLpTp1n4wb-wJ-OO$BWuzGttx+il}V@tlb2BvmT~FBU#M$SCA2N$C)ukS7{q zFitn4;$$s{HileQ;US(Y5lEIy=36#yP}GwMj*`8lCVXBw6?Y1sPRN0PC1>S5mw-0-ktty z#zCEeAO%L#r>A{=rNrVzv{XZ+cn)Xc)O3P6aI=12>;+-gEwPv8m|qrc*le$G)vqR3 zbANLGbk{buj!Q!KThTaVEuQtJD|8>+vFo>Dch{XPEV@?lk~w7vVl=X}*UiBZr}+IY zCN0*UtJMdZr@Qca`qW!;=i%d*mg%#tj#}LBILa7Ny|{3aGl=}k61JKSQkU1*@iAj}y;sC(>oO-%zs z-%l?5uz0}~M`=x_nfOg_0P$qGOBvJi1jxsu^lxK^61;4w>OPTQvV*Bn#c` zP9OjynTCwp^8gshbD#C`NV~x=Gk)(4qB0rI5jtBoxI8{q+I98mR^(9)F_yNB*krHP zwIoQt(6Tv#_p&~wmTYp6-gc5+p#gcfSDzh(3yKP!&>+FkA!l9b+o;zgO zICd*BNExND&XdJQkX&w+TBXKz@(=Kq5cGA?R%fG6;7NzZopj><-(?or8@IPkwN%pD z$Hl1oG|$MS|1LroMGdaq5DcyWH-UbK4L*71^azT%1qWLl9d8TcL(fNh0jKLp+so3C z)c$a39>><+y=UsO`bw=$I~1_R2KVwf=uN-t%%DY~xBLS8C81uxf;@#srJ6^VP7+1% z``rNdKjR?Bn?j`;;nE5;iTbBnFS4n8+9SyG%B~K=j0t- zd(QaT7Y$1WAE36xzlgb!5x8Y-vqQC5cm(0|8az8MZA#*>$!K05Y=yaw)vYGqWgDu&y4c1ta_Xs5eMy=9Knex4PittZ_i%!mwu&05#lJMZ05402MK~v^!+0!J0|!M1XU7y z1Tp@DxmAi5JEY(0M7*4Q1P!ZoUi;GDf{Bo3iO9y?N6^JR@F^gCzVbXF+Wie*>`x+j zzGfMd2eCMMg&k^Lca-}}N)yBRwFkE{!TkqssT4*R#39gw^kj z<4BFUV+-fLvsPee{0P9(| zQGmUOI~tPk7{pxu@Q-wh;%mzyuMidA@|sl5hAsp1gv7t*TBWIC5pDbxx)eSXeS)Gn z@5#7U^aoje<@%;D*8c?vE$zx`INMe>)3Z2o<2^+@eU%}OPNZ=!H+Zjc|L&v#vZAlF z;yb)wm9b~Lx^F$B2-t;*%rZ9oVTeP|EM)Tk}H8ZZBbCnDS+?EQxyp(Yc0)3cqFAX=5qSboHa4Le zsY?{M4`aQi3xxnrf8^L=nBcDuDDnLljpmdG@B8^bEnHT3&Y#waC<5uDG*?}N+cR!f zzh|mnGkKmUw@2Kp1egA5P_pjo%@BM6bGc39m4>-hc`wC;|fPL>KD^i z`(AoPDO>H9i+zGT5ep5QJ)1-}M>iSJr=K zF1CAXSX-BQyw&g#$A-Q!*FPRir>{`}hYY$k+}VXm2Rq*i)A$;`v9e*PIkq5-d@}L% zZO@b6hJmr%PX6MPl5H^R5R-?T>vc1QM&!gfa&>?Gl~3~6BS>T47?D=P)k=1vU4LFR zfPdR0cAwmNEq5crG{7%P51Zdl-WsYfow`i#FR>&bSgjO0hPKizc~wJ|rG z8T4N`o|H#Cn`d9n2FpX#?<5jl-3&_C7=>BA@ZXkv!Fro^;dPGM|b z@M}|>z#e52XORh5@)R>3K_72oI-zL>NDU1;m=RLeEE0$dUXP$$>bAizX`0b4lUsjQfAkD=zDuYt_nv%VR_~ZBs~V6j#&^1^gBS$y$V9h5oHT&^&=)7YIGEvr~ zY=K2yq;89*yCGiPbw1$#L%HoFcLRdb^dsnLM-}iaAz@-?1HeNas#_P^=KsM<^Kx|S z54-Pxp6P35o8IU0ID2ZLD`T9FC=5oawqfN`NFG>X-3C2LoW-d5Sz#InvxR>yn^H#1 z#_cZ%#Fk5(0NnGxACtOKKagVZz{0}X9C*NJ4>@ly85@!FUVBqhU~G--{_~Qi?*aa? zTnP)62JpcCRIwl4HW-bR;FT7sMBbC=YAb8?jh!1+A|V5N0(z#g6nb=}kK$A$|M~UR z_j`-LqFc-0fQX@Oo8xn!rSDF@s+B4Bnit}FURsxe^>m1757VyRrKPh^gdEb-xU!Hy zH?NNEZ$#+2=`S++KFUy?9+OR+fdI7c$M&CI=E|V~;c0%1O7cJ|?~heS=g>NT_TR#Y z3NA3`j);_?a|JH_wq#;w5U-EYfE2f5_$y1S?V$M%q;(b z9^W8o=dY}Ov76L|*%2?R@+5AX(SF1+j{mNhe{)rt^nZ#5e+x@)a~0|`Mg{$BNve1S z?0m}qRZ}^hST>_$*TkO^!zqM0rn)-Oa_&%Ku8fhiVs#q#^?F>Vq{n^tcG;RwYrnij z?J3yksJgdR#O)z_&5V?EwYFs9l#7i#E8IDlK(D zJo&eLp{oZ+4z6nIMYU=+`?K`y?(dK(*-=xmWCXwd<%`1q#TZfe_2&u&#cl*vJHa%xu&MCAiUvbPWG{b+oAJo zn`G>7=?(f*IWf1*QRkS8dG1&Bq(&&lMYk!^WaosxeAt?vyQGbNT5<@=t*JYEe&h)Z z=)(mKeba*cTQQrE(eHa6rTSvOBKf|)JWq&*S7-wuJwO~S9z+>$4$5u9?u^XBx8aQ| znA|QyaaH7QO=T2@nt%obsVfluZofw6;)2r*EzNGtF&6x5e8s*rhB^7j5 zoD-_X7z%QfJ<&A{gh_X6BRlTi#%!~)R>&$6GqRzDko8%MYi!cAD1cm@H2@9*8?%=N z3anr~6c0s@AR;Ej?L(%)?cV;wL#yb4nAd6*(fog~W)k`DRQMX;z%6YQH^wlS(PjO~Iaev`I@M`4w! z`rr+OGneBl|5vgu)`Lk9u+&FvP2OXyf)5L-vj6`(YEG|Vn{8Vh_^M&wzVS`Nz zUuI!Z^7?k-R}(T<*sX;TV=w60O2~uOu;2Z*4FS;;NUTsqa7LO)Hajof`981GPcnNt zy`n8Y+c^YGrmbuwDR^oeNK;7+5VrMg?68QgOqN9(XB$ktd;J2Z){&6EPJ^wM$#yBR zDCZ@@ne*FO&>_f`5O@#3D2*BlP{_575(XS12SdwbNxHJu%fAb~`x#f!B1;Vk}{mT<-#hh!J6MTNVv|Z%=`0@mV!*smubUl+x zZ=qtxLzrt@L4UE|$__7yU39fz{X-mc#E=+Y8u!9c zsk!HzF0(ca$h#s+>T@vnN8 znqwwzM$RhHc<&A2C*q`FqRzUjtY_ZuM7e+0M4#UGuYgzBh_Iz8?e@1x)?^$Bw_2V! z4X`!=4kbGb%a+gA4I9)mm!pNc-Cjj21$EcwcxuyAPoC1!C<+W!(r>F*mBAyr#PHk1 zg*mEx8bPuNU?rf`fRxar-!4j5sxZmUbq~_8xolyt379n$Osy_^~ zEvzrWdwWCz}blx z{*w)No!&)qv?P1X#!nFDOaW{;dbSs#o3;XULOXs>JI$!R^pSIPflpXlv3;cF8-gu( z(iC_Zy_SC|@&Ag)c`>?UJ7wSex`iLM7||xQ?L)9S(9l7m$FJQHqZA^ZL#AXh7NPcQ($H#b4fCN2uZh@_bryIEtYc<)W_Ut&W3j7Bka=p9t&!@L$*g zMAS>)S5`DQ-(Yp*Bq4YiR=EBB$HEuVj6I(wo4PtVby=ddqGhac3;_`Ecl8Wz!Eqv1 zt@|%fpoj6=uKreG_qNk|eeZ05-{!#9DcUL(?v-oV&{^ZN5a&{8@Ue_9p=5w9ztJVV zwe6l{l-^Zw%Rkyt#2m$1M-FCoLXvx2v%I>#D!*Cm!YWqRL)o`~<|xw|GP22!_APQz z9>(+$niN+k4+h|*TSz^wmuj<))u%MYpw#_S_4jZ49mRz*V)RM}n$CjNXO|KB(2R;* z?rtZ{UMrcs@UJYemNi3H!r&v6PsVVd*p`OJJJh-QG7Rq3LXkAEY+H|2~+}?jH-ZbUghY@$1vVD6gQR}Ot4fi4~VCsWEw9Gw% zYF*fshE|bbh200bvdsaAu()(HrcBW5W_;>yFJKywTj=x6^2oQFysA!m z8cJYes=@Nc3bv3zC~<>*KYATC=Nx@Fb1aKg_$!pJc>ieLSRsZY;j@iTY1zRycPT$k zF2F`Nb9wJ|sn*O{R#$nNosr^(Y9VmT?WIQJXK(>9Qh%N1In zc5Z!sXgzu7(|C_MaWDPLd-C1iTS#l=-;2!VvTIRBsB4yGQUm#7$catf#86Ia&Pm-#^`ykqlmT5$C4Nj6#Ecd% z4oc8;qh^I>j1fpUR2{1Jvix-4IDf67$|-@i86c!#2CePoYvk6kdSgLVm|#RtMgElj z#o$}`kXJSachOkl^KWk`@^5JQP{^%Wy<&jdNFRAX~GhcSpGw zogbxpI-W?+>Yw%Dmuero#n+v8e=pP3&X?~QD5s56%YRXdVW@jLgriJLAqMq7qPe&Q zjKs`WG)OT$HKL1}gfvI5+G}mX?;%CxU+43POb#POKK3RxisH=)3)blIR;-sV6r9=` zHzg3TqNXsII^9HbD`J2)u`aTn{Xufh3XA2y`9`~MrmQ@j`L0!uHR6J2(YUtA)#7yD z#fQr%qYRVXT(ht!D5WA<+?{lR+a0ub%}$}(gq%k902XP>v+F7jvy{C}?|XZv#`kA> z*HK$yaE+{&>;}E$&ShJAuFE#I6(_l7I`YLof9>10s?8BG(RiU{TFn>#mp$$OH$CY8 zmcFU9JiQoTb>Ut<)Tc>W5_+1StewhlJc<5On^RSa8l>mYLveoW*niND*g4`fbr`JGhjl&#<`2GPtb$($J{bzazBE8v!5YDJ-1kwM|>fp zS7LlHY~=S&0H{3Fbwdvj!NOl2LEnMYdPc}{qf&drMp|ycWu}EV#|w9TMTv0XTqlV2 z+TDmApXIkup6$Hdy^}J)H0Wppxp)H@;oJUxn9u^}PyGZQo8M zcht#hr{d|6*1g(V6w#WTea#As&^uW_`xnb{4fqq=!r3WN62*GmMN7IHdY#EOb`%P% zn;FH~@%943s57mQ5PhmF*}CSrdh@n+E0wMk)Zphmk^7`gJC5a%ngPph-dxIlj;6eM zSh_LlkD#(FiS85^YefM=+BG93H^_abXonO>jIfB0%T5H9S&t~~ESsn0dRTDF!% zx#GT56DYo10xdV8{@L)v)VoY8BSq+Sa*r%c6`yuUuMge?S*(GDdfd^?Lf^K$M~(_bS(UP5XSCB-B&~au!a^Gv?4|6? zihS~HoqSc@niNV9gE`F$+er@pcud823-!W!(5i0Hw{2GA;NFE~lIV}Zu-abwSWbQ( z6M2V0hD++iM$8~*Av+vhxaaKWhK6a|@A1!UY!*k>sE^uXnbha);UcPR$YBKR<{bnJ%@qzA;)PT7&57`~jm0Q&d4 z9FscpY|TFuw2gBrnJm%{Wtc0vS6HA5AAUPk(2(FKqmqu2?j%mIbbRM>oj_e==3~Q` zezea%B7a_l$%wfw4qivYUb}uY!LjKd!4;~^Kw^%1;v*kszG>WM+>z6m7T?^x+=VQj- zDmQ)&l4j$x!7qHQ*dRE$;oD5{b|e4(g&PFS0^+0It2qtOR@_ zxH{`g0H^p&41`PQReP%rM6yI`n8E)CEQxuw(b&KFcgWsBfsMrmE)L*)y?BG)(voMUXA}sAU@nR4s!lKt=S_wpvL`qtp4TCELWO+@m5zIiT0M+9#*HwZcUL`bxjizwa_KslaoXRSlHlp?;^YSUqVpNfq1Y3b z49hK>y8BNsocJLx$4!t>ybQ>V0qYLGXHiseL0Eza5?c4i0MCN2dMN5U(Tu*x-yeRJ zy0lB-D72^vt(m{7c%k^c2G5szp|0$9QZXY_6dE?N45@F>Fbv00x{O+xEN-0w7l}^D zGF~3vO@<+#!Wa8mD*9xNN{&csjuu|9WYtRSzSlbyFnd2tg~H$?WEUh;T|Drz05~MD^dd$MjW&?4(-o#~o{kz2Jd7mtdP_yA#$%yAB{71)bpZ z87HDO&K$3wV?yvZ}0DIZp z+44$XW=MNI3_RAgW1vKPwiF(A1>B9fc<=2iwFU+e24Aa_oXWZwCh6uT1)W zm5FDFl)^_!%m>lidd6A(kiF7=AhYYh+-Jpjoqf1w-^(UZ6&@KD_E_ zgknOsHe>&C3~rfpIeI;A;00;8N7K;ZyE&(YQh05*=&PowHf&qlf<<0d8bWQ$m5jM~ z7W63j6f&aFp0|5g5efcN7ve9Jis29m7!bZPjQXwtZVmcV+kic4S5W)YicKFECeBL_ z0@?}tzIY#W4~z_>Qi*%g;~R~Z&Xvp6ET+HeVy2qN{zjEoN*j2_8%uU+r#nwN{9suj z^$OD4gS{1|Ba36R&RHik4deUF=WRaXd34CXW;-DLuBqt3r+spxDCdJ2YwEI z%2PtCBY#+#B;j3S@C@4;mFr|J4=vu~C|X=JBW~gS^6t0d>{_n(AiS$yZ+p432*A*jL)bG9)LQGT++WEOaLXg| zPYppm@t)T^nXEZ8<=Y#<8#4>ohslun~Tv^b}qql zg-wY;d2HCWHtYv+uQVle&zPyAFNP;AMMYP&+}$<-cY8To_Q$P1X%uN_U$N+no5G~l z@RRo#9F`WV8=NsMG$}V_Ose)plgCz@MQN*Vxh0jgwMqCu zP2DAWTV^gc`>kv7A-at^en96gz5{^d**`vlAQf;;FfkqnFV3SzR* zv|)^tay<(-w<_N-LV04o;egg()jNiPb&j$_r4W4U%BnWqmHGr>LA^F^?X|I@C+Et& z*5Z^Qnv<#W_G%OJc2~nH2n^?Nu`q7Ak+`DB`q!pLexUPn*{a08FHwTgTy8QC7fk*0 z1K&y(tEjbPEjtaQTX-@13IKc{e*#$sWIV}hfKbT#D<6G+fg56RU72v5Na>?9kUtsu0n3mhzU7kK6t*Un>_ST;8sk z4>em+)uPIsk0AFBLbvmnEp?!#LkS zP~?5Nl1%;fBM9p#3-hwffC$dLeIYk%{WeEWUePMWmi^SGAd%jwdO5u16oJn! z@5?_|Uf-HQ(xXq>1bba!f#b9;)flHO`D93w)-3kCkChTA?O$ldCv-29hqo3jyBqX8 z*FW~uyP8wA6pYXs#;tE}<1UZnmnmyr%2v*sOPEasKd(>IL^2s1Aw}lfJ{BH6S%KV> zL*(v16kJA>5N*CU-a{OACW>XQpCIA+DMFHK$h@3!Cv=XF`%xoU;Nme0AL z6U9Uo`+73fbu&?j4*4_r=jtH%hc%WPq)ie1f^R z+K4BWlFqK(;8jHyo;HXt;2!Zs6;0i|he9F8>zOz9k035l?SzDQdnMkZte4&v7)9Cc z@|v^tHmajtsX={^mDIv4oa%|VQcj7eplNbR+TWl}9G7vB3-T#!e51Z{-5uPQNt4#w zK^HJ@*D-YCuvY&2-A}xDCSA_%&2MoF%}V(0u7f-1L5rbnIu0&AIcsa2HSlexoG;P8H{9W&g ze%`$-LUO^*#(P{|7CCWGxM76PI$mp>u!o782=eaQXSQXz+RUfNah}$ zTdC;j=5sKI6}riEe?{*w-TbEU+Z&K*<4<5t$Ss;XxjB?^_p{A|UK`?`nZ?i0I>$e$ z`P-99;qrfSJHDgUMWf~Ie!@8!8q&p+sgj#<4r@c-GQcwzeK`&JQWw0C-e#r_+H-iv+ zNPDPqxdxw5EM49ktk>Qq3}=L$2S#q5+haP3Q@d1HkQ?+(hHcPJ34gG)b+*G>(Pq_@ zc3|Kdb#UlVIQt#YukzCFJw;llOdCb+QA;!IrdQAB^vZ@t9;lJXZE1>a(HSRKqVzSV z3)PSs6zBrMRR3rXBts{+?Lq5bR_J)5`5n z5i}QaFRcrUiIglDFBg2+WwmbPs&f=+Z+pey7&Q`X#~JBoFJ=BD=5O;Oh=HkB?E2~v zRG_+8x|rzu?FScoo20=zZ0R_!p%pX*xPef}a@w3;_?6IVw6bndx?02=lD z<%!4NPQq{Zdb@lbWH9tO*TpZ|WVw9)RNHZRjyVVF1H12sh?WB@1XX<}_{}C9Uh4!6 zP6zZaeBI7GDR5m_$@BpXILX0chem)7Dae6jiGhD^;{rS!)POb2e;N!^JEVcu0*+%P zz&>(i1b7m8z&(?Xpb{~_)G{9fT-jpI)tWTo4(ufQ@j+|2^H5L>PAE3EnFHfXF4p~M zmSaioTT-$n6WeWN;~YIU)@4iQ#wm$b`y11el@E_ge{}N1ncS3@Gl^2e#`^~bVtlFzm7su4(F!aQq;%ztF4{`CNgKU2ZTn$0PbYC;y zqrvo}bV*09EbB_82Irw0U;MfToO;yMD5mRXYfkcR0fPomgrO@v8}x5!?En6nQoR#; zI;aJ^PTM{^@v-eytqB&gV;R^p40ezI!ja6pBG1az`&OJ5zrwZdpM@MmE>;{TR4mtn zC;WU_7C~G0lI5AY)3&Pod0=duUFtrp10uzgKxsSyO~x%SBn1uUj6}MMi#xLbKu}1*YI#3$1=hJXRcfq&gy546-MmG34 zeExr81YC#`v*N{GIlwcCyo)Aw&(yb7^U`0uJ$8mbs}cCXvrGo45U2$v07az{Y+zJC zKHdWyrsNWjpni>KlAc-1Vi1JbX4bPu& zE?IDC-o)8Tff*OC8bik=VmG!< zx2&JitQ@4>7x_pr{@vae$#Rhbv(3lG2s3%EzH(kU-|_a0Gq+U}yCdofNkWZOpJEji zy|r#LE8h9tX$hMrJn^HfK{C@)@?63o5JSS(zr5p2D!=-Rj+01KLsd8PNuK_FBnU2PhFcUZlKA=zs2f_Wr69FKYYr5v-3!B^^%Jq);&6Ff*jb%@$Wt zU(}u5vFf7$tS>e&HS3SXh{bl3+((gJjh%ONf5uz{>O&7y3YIKxo}UbRMJxsd8pG-h z9U_g=k<=Z*80iONTcUa`2F>S`YLUI)*NH;2OChO?$?O%QK8prZW*J4`X>BO}1Ka?q zkT)^gd063&i9~Qbf?iK=Jt+QBwvl4Jm$9+6u9R#of0q(hOX&E7G&eIICDOIR@=~3= zE^%Jt2HY*mSLl*7lgw5FhalV_`f*H9_2fb;QHDA**kmw5@*4HJ|MyIun&F@GvLWGH z$M6YK?>szun_gS|Dvhu;Nsbk^)4zMq4VLAvBeb;mOGO7hHaqgak#+$Z4n$)$ka{Nn z;DxtI=X84()3$)5-7Gqtv?mBj!lE%8;)1(y3N%eLU+x z6fF3mt-V>loBZ^5WGsemMq50le!j-DE2$_VDO>}EEY&o{K$Y5LWr^Qqd;^YMT4A zNDx+AdSZRr*3>BS*fsZt>G}*!vSd%+vth_lI(qrAPPJif-&eR^s7+s;a2|=ino04U zwPv_@70*AJ%%kMrOkr^nD}~R4vNWv~v5iZ1<&gEmHEzV7}R z)3<8&`H@e?OxenP#t$rFgzf=@z1rv#RmH77NknLD^3A)Zv@En#T45mkFF=nfjbD{z zFw;}OuVIG|S6@^#*>GNMU5?uN<7cdPK6kl;nX}&_h6n#6J1@-dRA$Lt7|v$O*YZRA zM;Xb|0os%3zLVq8z;vo!Ds$Ab+|pz6#ORD`yA$kHE2X-zhAEHpa$oC;jOt3Iu|Z5M zf%ldCTgiP<{Db_Nv<;0}O7c-cDw!)!zqe}XZ?A~>>G}yQ^*7PJm@=Ni^!Pt%tENf) z3c5LWN^sY6t;eq(C0y-9&RRb7jFoZ-;>+2WiPbdv!+B#Fl3Pj6UEfk`h1>w`PMG&sqg#DSnPb}}revui#m8M(2&8uXQQ`)1<4f&{ z!Zs?o zxGVF`rGZkcv(Q(Ofogp`W3GkFUydiewf>l z!jKpj3N&Hq`nM*beIPtwK&N3pGFfb>ml5Jy5^dhKxMg+EpLGej4}rE@wUaybob(k__rG)L?RA~S6`|vc7L^r`=TL3=&F?#Zlu%PGgKu z-(R7}a>sTJHhnwTyPKChAAZMjxvs5R*izVWG4Ut0cKT{v#4Nm5eU^Cn)T~J|O(AaG zds+Jf_Om%k68iU4tR?pxJ@|JNCt}d*CcyBUDmn@cLDq|7E&a-50r z7j-SQ$QCa|Ww}G_bZ$6pPTD@z4%qVQF>c{t=UUXzb05T#PKduh3%uklqpIJpDv`{$ zz)?RM5$W5+(bh@om61imq< ze$+L>tz8tPKeW_Oxq$!L$SHKF-7F{VjPyQ%wAxazU|q}#oM_i6W3oblig0Lv`^(Qz z$Z7}VnuGs=e&oFIEOGa~HH{P!T~y%m^?y@r>#i8Fr~!zt3q=4JpIvO#_;J|o0=~z! z1mEe2cxq|DvWkvlLU$myBp(r00L2uS2cVJ;Um2oS=%@y{HGrccHaKqDIP9xFlQSrHvg1A2NZ|d2~h7}U?%Z7QS#>oayjgjNk3xt^W z>*rYiMOQXFW{hXIw4nMTocP5&CzPy9SZq%JEa-3-*a{Ms}BM$tj_H}q?_F#WW5B8 z3Zu=6T$%55?N0D{TpRSCv^B?lud9c-W(i*iti(wPkF|0Ey@@iJ;r-n3!c~bWZzh+Q z?n(E8(HX@Ow5%4kWfzleVC*svBN{JXFJ*L&NF<*Z01Y#_<`7(>1%@j=bLtM3FT@h| zTh{vGdDtqND&^^f%`nM`@;jeZ{Z*H(1BZzU%0qvATD%Kb@1dwRPvPtpsS&Lo_Ew$e zY5#JqI*%7-%~o-ux-ucpv0a}eRGheNXD@NkiP`Sy0t8Q*Cz{LSPW%yVCYkmr9wq!Z*9>ue}XA^9m5~46 z&GGzraYxqFfY05v5I;##j;rUONW^LcNpIDVn$=a8`e&P{e*9{UxR3+aBFqlAy>TLB zn2VVkJxb!ZH<3mTbgdK_Yhd{ZBIuxW_gvLqxU^zdx|cuU$lu^?i`namPNApWjNXJQ za-|*$vqNW*FCKtI6j-Ad2kx@sS|47j&U^py;D2L~!H2UWKnWG+9Y$D9@l+9eYrr+~ z{v`EW$hRQpx%(K5Aq`L0eT`|al-)(j8lW0L5w|nS9yh4y5xtgwTXopM|1sc-uqqgv1=?m ze5F^dHu*DmNwb#xM=> zwPT{aM-U-+*Av?L5a>elKw+ZyUTkM@4)#6)DG3af9upNwqAScT6qU7)ME`Iek;~(h zs_eMnROWvRz(;$rl3#i*;ZbTJnQML?>LN6h@0{VsYDIpcksjDAKSu{B8ad6-#8l$8FAI^{s6!|ToKRx^a|Ni<>DM~m#%b~Z(g6EkJ6q?xkV zto4lI2pcDxK>dwCbToke1jfbXEB6E>(4_-{NYq&oO)<+m`mJg4`ziZ(G?pg-l3*Jf zWc(E`YY6-?#4G4lC8Vh)IH*fs5|gJNsr+D(PnBhWxXq_)^vRc0`QpJFw)cAuloBs> zP8Jr~DP(>HOAJS?dknZ6b(3`uRm%5vfNB@OX7?guALV^G)EDZoCDW8;{Nhy&rB>;8 zoapu(CJ19$=h{9NNK~1VXU4go7XXHN_WX($DDw;-@=ItVH`9&DvpWc8(z>SLa>q=q z(>v7)!sX)^ZRY+cj1CvyP~)OKNK5#ILuA7zd-XY>e?__ACV7W1MJ`=eY@2h&B3`bw zaH@T4HSWdj#gA1|*=P_m^LZ&(+XDA@F)P#r%!uJG*vtjt>qI+^UtT;fL4Cz3PA5%0 zKL_{P3Qo?qdVZ`S*cj@PVv}!Sy)$1=(i}b&D}6c#eTwF%s3^1$6VirT2nY9jcC;4i zS*kP?k2Cg%HNT#nFf7=AVHAcpg>6>o^AAM)|9Yv}zX~n?_`eTSZI?>8@TjNi5Z%ZINLi(gFiPh%8+pJzUx^S5acc3{KM>l`ik&1dC#{bjm?x=pbi zbx=#Xn5M{wE6=tE5%H5`;3eXlN6;q``^3L{Vs?9XS!wP-%_AoS5Dt(l-{4NjkJN8~ z_#F>EqN=ykU^FY0%(u#XCBLetbaPX}qkxy;z%A&Lgg#{aLG=9hpOq?LuBZXAezKz; z2t!trNM8MPCc<)v#%&gEXwO0kBeO*PC{+UTtKAob7}y~f-YKVpQ>Wd(xLkQ6Eh`hW z^f@~gEtHM?EmaaNZBr_%IYZ|5r*P7rtwVo_RK$lFGC`>AE)ZNEvfK;A$5IJ4 zlAEwyoz<~lR#qmiEcU)89=;m(uCZw5qb=M@Z;e!66pXU#tRg1e0_`=!;aA!T4w0fv zfHO*LW}l-Ua9ghwh4Y-fIBF4%*><=7Yf^9XQk{i!HWr9Y`Y6yG{ZR9V6r{Vvalb#>N9y~-@K z3)*aOw1X4e7iP8vmYBoztk51WGn) z`vp5!cN=Fev#=QJ5x;*w%oS?A#yx5`LW_(>#T)!}3HJB&jj=$_Oxpp|XfJ(`m6zdl zAQUHNlbZW)ti5+oQ}4U(9i=H!q)G=NfKsJ*5RfL)rG}!?#ZaWz2ucy@2na~;HS`)l zdXpl(LqK{BH9&}G`I~uX_HXZfzGuJhdH={v)`XBuvhu9^xv%T`+!3$H)x>-C%q~I@ zj9F+WrN*(-W@(ef>V7wCk3{t(SnHS+`A@AP;aewiQ9K;gXaUkNH#uCpCXs|D zL%p7>nw+7C++HiMrAwk&$4{tq?ui384X)ku5^^*9L?P8yYW2}ENDi5EQlRd;SZoDX zF!2Nk->YO=?zW$RXYNqm(vCSt)40ceZB43vY*y9Uy>-sTOJ7G~^t4{7*FDWiX`LLe z&xh_1DC9-t>yv#)zZ`e+x<4Q;XM*A2M(!VSnvZaX9Nl(dQ=r(`MZeA1i!3)2)Ws=sKzxtO9h`5I%gC~-@@iSI5LL~>`y5v|zXWEhO#28`MO zOGz|_?oEst8OzJq*$96L)5@OrE)yxBtsM6_5wBS@8hiu282JNYzjl;H%0;^cgFBG+ zXp-s4%7@JFGe1tD4&->{i#nz0V0&l9Z}P}PUGMxOp~ z=7uMA_|T)DYY|0C(ws`Li1tiL7w^e9tFT-QyQce$65KzN4$|L? zP@i}`!E4nrL9`)6#{T+N;5}xxhfg`*VipV)k%u_;t;{HMc=>jc$yaExkebUIN41)h zZ$;jpj8+wEZqIIKn1FV+{?kVEKW?D^pZnZ#sPrOl_Qlq~tR*$;!r`DD-((M_NANPqBA zL;RDXr1djyU387wdGqqsuBFKny_6UJ2ouf_-t1tL5l!{Mn83Uk?nP7a9^;vVM1%Q$ zaJ3~kr5dj%xqN$^hr)Qrfc!8>JEB?{SU9(4`efP(P;hDVg=11;06|@I(9=2gCe|UbHgzfb3AG8uaR&5uiNd&N4`1H0h&0Skxbw!EV^j1Gh@j=7af`FW z><&W8n=i0jKF4ffVZk0SVeqB0{~U4QGUe(AS0JL)6CS0GR=%T3P`|a#?toF4;H!xS zp_6pS*Z*1s2UEkqbM#i9DFnaYmv6@j5-^?qqON>}JJl!|;nQ6(s}T89v44Fe7|VZZ z+ras;R#A-Jlep~*Gf;V1|E;{1Z|5UI5-*Z-C*V+j+D26spT{Jd}N@AtbDw>#lv zcjT=fCwMx~K4YXgnNDeh7GShc?6vu&OPu3=T;SW*W3R`lF9@9#)VV9zE8Axt0JO;! z%!6!Gbo99(optE9X(y;V*q-b%Ee8?z^yAHbL$BX-lNY3`$?&tEj6mU$+@>IWk2-m2 z{UPpEm5*mm6l%yDDT}Ix$hb+d%vOE&NfI)W- zpVFAqp(mNcrTI}TGjE^pRp)gVE&)JHLyOZ#ITjo?ON5Dh?_Zu^`>4jfWOsW3G^@sh zp-yO*`NJuLxeeNay6`zE8XMLAg<_R&Y=iYQHp2p@p&@`Y42ZFIn~teJ0a&D~{j1O^09-b&5dN#{Pg=wjsTG-sPC z^HZZPb8>yp8PpB6sywcXZP5=CsJqEL8DOQ<)1Wc>9^w?0*Izh3n5nZbN=1MqC!Eas*h1Ob~po@Q&`q${I)J5_cWeum=$(Ri$o@j z5Gsov>hZj6HIxzEthLAJOiQceJG9=Oaw8@4mSvt2aUd4;7uwU2OQ{xWR^O=L5&XdS zE5e;XoK14Axs+o5kMq~v} zk6dMCF!jZh$ zA(%VW1o?s*VNE1iL&6K+us#KX#qItxcw&jhcBz0*I_W2GLinF?yH6LS5!}n4~{;)+brm13E_VlD)K~sf$OShrlAnW=0^w=U{PO zR%iY0F6+4nI;q0ZynLNLqIMa#Fi2;)8Qwgfs zojGiDPCu?sYgxgJniSq=$8ue^hcdTA*f_UM#d>G}%7Z!DHGfv48dy6&h12gB1~^rB zQifReN;wY{)c?G-Ynm{e=Co=-<<)Y^k8g(s+rj7NP7G0uT@aRJwx_Q?@Vr|UraAqc zuJwxaDLM^xd*nwyveS7AdIK+3wCeGVy&r-vhiKR=&YX|`LEobV*K;Ye_n3SBHi<6b ztBF+@yLx>ZHMN2ujf9jcTa4>i>+7l@Vy6{Y`(yVnzP__^$Vc^+i_*_8>rXZnAFv%z zMLjXhniKkNn2%Aa8QVbh1ZHk8(bS_z@*MK|&aadvV!8{A=bfINB-7Fy41ChgT(J!V zqI{N`ZPgQdYt7@1We5A#v}&0#s!=kEx8>pFM-RfWPteO99aj@B-H7}xFdgJ{|3>e>g-p< z>yJ=&|K<}@&p_*%aV(|R$L=QLNo-}Ag~^D&yUc2!3;R!<`wy+;KRq&hr7gan(m9Tx zSlN=Z?V74(WSWD_y5Uba)`BvZ)OcbZ#9a1n_VaY&RSrwKJY_0aAthH@g%NHWj=0#& zeXSF%7pprTCXEGGl%_uaoq#L))X^oXHmlfS&OC_`g=no;<0+%N^78v(rTRc3Cf~Jw z{dIaWJ|d}O4PX~=BQwHd5-KKy&E%9OL0e+a^5-nEdlA~#>RVjrv1N1fMi>QjaPjQI z@;2Q0-J1|R6_2Vq4h}Bbgy+db2k(6XZhzq24q!#6u5!~8yD9+N9B8)rGros)ZHVuS zY7|6S3!f|R;xhOxI+O#BBUY4CXv$4lO2_e>_q)Tr-?3(8j;!Kwf}J0uGEp(|KZaaI z+epudWd}HqNTWn#gtVYl^TrEGjAz-(&K@kz3=bKfU~I!z5uR&|o?RA%af{HskQ~71 zA?f9-o$xbu_Ic4`ykU~VhkZ(~?jpYddRT+KCLrm2i88KWu+#N>zut&p3!oj6*&TxG2%nrRI8Y$Vp<+iD#xYUZ6 z`X#p>(VU*3p zT37?mgxaHdRmt*PX?3@PJ!gV1&vkDZCro;p5uJ<-(cLHLvwCH0ZR+h`9$IWNoN<)u zRlI3%^!sPsMTNXAUj9s4P<1mh`s*#JBkf{p=aqc=?WPkUh8JafrIUX^%;AKyPG zbYj?1&WQ@2{&nV*th6+5?=+g7VkQ45qUrM;o(IwZ*Cbj~Wm6N*a6?m8IAgry@qTv4 zAn_tGE&-Klt(QT>D)zbdb)L!_BU;tB)n|R-_q?N8+I{Rqt>YCzI&5&xr61AtxQ2lPrckSD9 zA;Ncg`S&dp$L6NBlSUAq=9G0eH0JC38anHqN=Qi17SA~a`Elnh^8WQ+lMJsbnzHYrNL=-6wdl}>s%?qA`Y687 zmcG=|gTATg>{!8Dv<9z~K_;y>TT1x)r;xS@Ui<^*`^5IdGtG?Dn_+^Ed>~RkivX( zt7aK}j2!~df-ixa#U*n5#_*cibFTcY*trihH_0b*vL&z7l3)1v&M%dsH_lwV%!cFc zCGze$Z>fEPE5UcmIF0q#q7k{_plW+4URtT>zhc67D!VCFso0cg2s535j4+LbN~I?<=K1(d(3A8EiV0@t$Bj=*RcuG5tGIL1=YtLg#Lmob2XfH(@>X>)=1s+ zm^L`>^>sUfmD$lgPY8dp)-QoelHEMVl_hrfv5MR4&z*YRx(RdxsKiKEClk=Zxl<3o z?-&7V5`)%Xco3|E7vPu?cmch30-%69<^`yClQ&smSf_SaEZ{{irj^40#;*Q6;Pzji zUc2B$(Fx78uaZH9KyCITl3aV^1R5h;9*eWH8O({BrVYOos&%U|(09>y;MQ1VL=%0v zm83DzF}@ye&@w;1F#~ki19$)bBKO|^Z|S=Fv9BfbnuRJF?hlapQY|vAq3^dPV|f1) zT7*&?pFi@n=ToBVmtSv1CpRuu&;MpA-l5$yhs})`9>YdlJB7Pr~_^ za#e8NMhP3;ErYG_h62nwoqsi?9f>-uCiDM7S@(h_3fxDn6#_6mP{Jhz)*f0ZquWLF~7fRaoAj3XwLe0`& zFxSZ~z!Lm|Ou^}CR>-%k+_?G=}TlN0iG)6(d~Uo149g0(gMS7aTIw|0(ivVU`Maz5|?+xE`2Zl5?^UY z>K@@3{6~)9KizL=qca)Id;7~PWo&6^Avi=Wsr7^UnB!kRgrOM~JvO6e%ZO1r$L`Re0$@=(sL#Ntzg&m%Gc3n$`)s1%hHruzGL(#u!y_-SW} z{xgv!ou@RCy`O{`EjpZ#sf(f%nN`{J;_3>4Iv)$^@30YN9=#oI1H3q0%(HEC=WTY_ z;g)Fa+M6}bBx&!NKcL67Jz4}T7gQyG8QlVC-`xH@^tj%ruvcifNEy>k*FYSVP<4-U zF7?daSB;L6L@5>_bdGtRM?Hg`Sc#(vQT*XK8IdxZ#;AS1>?p9#~UcFCExYND;RveNxn92ebbM)L#sKHDVE&C3|DdN~^uQY$q$;#iVhi74((a$h z0*I3}W-S6hm9=j>4LN8bX;}W8&OGV9t3g#GQt6u}r+p1k@s{d{3R$uXBP!gK_{!Wo zGgV`iqbumk5ydbZvAa^Dn-71itmacQCOz0QI_SDG6mZXL$vAKPfD8b34flwGKoa2e zNoEYY2@G?+Yk}rK03*=!$N$S}4zL=%*06y~^Z>#W=%Zo2nD6##w@d)=rvM!isv((_ z0zHz&R3FWIzTT}p#L;0qhmLVeH0~m?Cm%(VT?w6q1`)4SG&kqq3+jNOa-V0FVtHxs z+}9}ioV&HhwXwpZ@RaUmlydpMEa?Q++b4tsx; z^=Km$FRmedE0BG0X{gLyLYv8eR(u%$0hlXTCs-TbGXz0ujcg0;M>4W$Ph?d`J$|F! zCLlYOZdqMPTy4kF*goIOcv)T^ev}Gb%qZy*`1JBkX>8BP(V>c@ZE$I+P0M&vXFPaH zaIT2}Nm$ir{c2z(ZGq@&N-j*6%xDM8GwG)YUCnr4H{FzxaQ=179j`tT)6l{3R6!GT zpaou|g+I@ZReAXLgl!TF5h0k!PSTk;OZo(9%`0AP8ETJU*e6o4LqXNansR&J#IA6vse_?)%0* z-QuUKEt27&<7nni&jK_bbEqu+(LrrdzieEhLDLu3dl2VLWw>x7y_rWS(tC~RWAjl) zD6gN)%Dz}YjjUC+=i|}n z7fpAi;(zjmLDk~}L`G=W%k#6kvB)1d6}^ojEjM191oTU{;##Vt~| z;^VwIK1dQ?mUN`xM;8N89lf0t%?w|`ZI3>1L!BB8eU;6x z4gfxn3#w~nbg)!TAL)FMELz>qy6sAohLFym4Lu50g7HK=dN!kwpvS?>k(%4T8fn8Q zdH)Y+cB&fO6xku5Nd2o-e9firTYcgzAXxFx(q?leG2eL}@48)iaFobNrSc@=$-s_@ znp-`L8}?&I8(6lGTz^0zaIL+zJ*{e>k19uUSmWK?R*ju#breNyUZw(aKmKClrpV;7 zpM2ZsbeD-oy)|wtOKk$Iinr_|>yFESM|%yqs?>3G|9nk7D7*;XUId&kHPrF&^2nmM zbo$sZVLh3z3i42arW3KDIMJR5aSJ!Z)?Vd<7AXAcLiF5-Mso=4ZZk))wMa8h(hBKm z;v?lf)y8K(Mh0ly;i+;C6s!0t?oQzr1U!Ba`}`g9LF%IOKG~6`+27QC3v7vfM7L;1 zo;ql)W^^u-*e~6gbRPjC`I6L)+4E{e#>@7J+fG0b_d)v{$odsw!Yg_FYFUoA)0OCH zq|=u&KcQ6(g@G|%1@%-2uf*@hk3&Ji`flQL@3nMgw|iU+fNGoqC_Bl7>ER@3SbI9_ z80$n}HDW?!-n~ZM*OMkTL5$jiOBF<9^*jAeCh_3%19Y_hFN8FfWDH8TsXsQY=euas z&zM$KmG#mlQqzhZyTumFDbnwdE)eCi%(vy&K=kKNhNiqkTdxcwX5CONa!o zEEDcTb9P^=!|rMz8$@n69-WpbM^f{#r0`HH=$KX#8A{Ms0e+QIv}*~vL>bMFygaCB z=GD_qyeH+)MJ%pf13~EUIKA&8&wKxK4}TQYZE|!GiBYp&+ZXG=ihb#f6fI98HyX@d zc#bvxtVo$)YRm35-Nm+J<%TyTPO*kQ23y^8}zsgq|8q$YYlb0YKFHgv5ll4`5Hdh|V2=(DX zwan4p*?u1ASin}m!6<4WbuG4M0Ug&^5_l^;)Sx^+DKswa-tBGzfOH=N%jYLqFe;-% zL+;rhkWvc0H<6D6L$-FjNv7Hy6fn!Ql@CZJ5#PnwFDc6gPtc zVd#&vKm)H;y;>K44e6pzd5QI@oTqHQ5L}568+f9rQVA#g1KJ!i%ZL(5^X(-^Q_iEg zyCmIPLc`zj@hzP%;G5-j3Kl2I>PEz~K^fqts9@j{umLzVoaz`^CfK7;^39m!JnuH@;M_ZoCZJ$|T%* zi1yCi4qzX5&me4f$W*^;g$Nm#)#aXf9=qPeM|pqVNc1iZTg$5@(Z-|e?xyps*T~&P z-%gXCL0J89(b~zpJ|z_MdF~s1VRKkb2o8E!<;f| zXD=f7Gi(2&#^06gEPu17-Wj2O7DZmNBVD>%=ZP1tZ($S%!u_n@m@H$6_E;ihUxGBB zj<8H4&@Xp4)$~?tfgg#W1b2Qi5m)l1vIXvUcX1pU?jLPPhH1Gi;v!hTF?I~-u>fXa zpwDvnx6cCeTx$LL&lTf8ZNvUwK1O&jGx5-Z&ErkX<;?ZB$H$wDC+TgSmqvyE?L6gw zkC6fPEa`u%T^r}NTK}W0mIjA$Veg&YkX>>z0j-uE<`s*pm%yGFu8Iz7IV)az%4X}e z2#&JLd*K+Rx*+W+c!%BWQP)v3A5iJw$?jFe49qUO8SSWWM3|KUomGnQ=$TwIGX>xneEh2UYXmwjU$c4oT5%-M^OYd`3R_ z3Bkbq!Ujv=2XBLBjbfr$t?d-K*p7$jv`Po-THbXs~FZN9IBcx zsr*ADiWVbWjLfAb_-bJw48=t4UBBJ=k^6Q@z|eWVOC}B*anP+eEzq0#Gg4Boj4r^m z;#g9?Z6GSSi2dl2XWwMq@*{bboQ)j(ZD052H35xI1;ck#(i%S@Is;2(+evT6ri2}x zLP+`MEtwhJ6hCTPTf!%4wPbAby%(F_2nq*AJ5k!vIek8rYT-1g4c{2p5Teg1cS(q8o^&f8@!5iDPcb1=w&~naw5DH*Kj~h%OG|IjB_~~Z6LN>1%;qNf=wy){@ z({-`NhLJ`&3H zX5Qz{JPHY1T|-6s>BH@OJW}(AvRacFTN37eLRFL5bvOr_zc6Al*`i#Q**ax$P;W6L z)2)n4ChY}7P7E>IS8-+~Wk=rW1_PiM>OuE6!6AT=jVc@cxyVjdc$Bw1;GtarPqg7g z_ISbA-aE_4(u?(HpIKGAK3vN$rjt?u71Pi-K)px?P_&aj9b_3%Jx%H4Mt;OOUNDW( z+7k6kUS9V*OU6^2^WT2xD`}VZ;#H$jW``KIxdIITGFnY`5Kvw$(8{oC?5_=epto1+ zohrKNxwt9b+iHgcMC@0!KBtVdhap@6nMgK`wz96N+1FUO%hj&}k$l4V{1ejSL%8e~ z_}F0)!CS)Df{bJ{QvIn`Xx!;>|4fG!zx~PvG4caTGVL}po4whQG$m`$dS#R2Sl8+X zO=h=`u=IL^I5c++Om_hU<<3RfUDYGfkeWpWevb2z7j^aNGVOJ$v0N2>V21nN1e8D) z?td`#*)UzRvpe8n;<-oCHJe&v+|(Fe>?cD#<-rXtE-QS2A$suZq;f4m-{1T2n?$C&|Y)xaIx&el_0o#}5+S(Y?Fa^XtU-O`T(z+l{OGu~QlJ zu;x0!@X5k6Mh54>gx5Q_emg69|E^Hs-{-&A7e4eL7_gWf)Xxq44j@ulGfx+1FkGK$ zdU=G(-Cj=4<2YQdOM$ff0rC32nQ!UyBQDfp9L_@SZs#>Mj_XQ=m8X57<<0kg#?^)C z9v`p*C-P94R@%No!2gHg4=EpQd)Y_I(D&rTOj`B(Qtz$OWCxqC(Z`3PXY)zhZ5y38 zSsPJPgFg(D1bIXgTlvN}(`}`6AN3|D*+_Fm1C!Y&W8M_MIo=T|@zZb!sDoN;I;6WB z6%OTkm{>$W`Q2OO)Y3b3#}A!O*C)!x&dHe8*ZgdWR1?Jb*2R0ghMbvcG4B9-FP^kz z5&Dh)^X{7K!qU|VRv71yd*f%YoiW74G*Q88m_(54u;+17x9A+nN2F9?YFGX2gxkKt z-CGcKcZ+oYHMALIkmh>+WUdhw4lr_y0|rd8e(?q;v=l>(`>insRlV-y2#td~(ibZi z@K--~4Ur-@;r*h~D9&EBfcs%7e?Z!h21O5E3Jx0EI+dv!zlSJ73F%udCFruO`U&B| zezz6{si$KHO;K9>@806CnkGDS$@uQEQNxdYgeLD9c1T88WFlN1zpt=R;f}#~F8ox@ z{OT^8H~9b|aFkcu_zqfHj!;|8*jG-~t1~uKdqClrL=xdlOQwB>3bim#gT!5`R(skJdDzWv|$mtZrZqVn$1W=z429J zOSRFfntDCDvXTlpo`JjUB$|S1B7Kl$qY)IatLpMtl8W!1CM(ahiT@dxUBCEF;nVTU zN4y_n)a1CPRgOvwYAb8d^=}68mdYN4xjUe8tNBx>kZSyhV(4?jJNVTG=-#jA|nOob)ok(CgDuDWu0#ax)R8m$ngyiNn3^=;jn7m zMaOqOQ7l1occ-(Uq~TW{PA_+w4%^m+-T9_l6Re z<$v}u=MLN;-WAq~3&gciFoILfV59-LAhf(U((FQ zkR8dJmfFp*{nBhR=}U6@?@gCt&(X4)@=Gn6_(G;CUl7)X==inB(BNmXQe$pkp3%~o zGChkQI?v$WCl}Rx2e^8fw@pV^Ubz7#nj!O&KOiwc4tsBlT?X=0fz0>M_#f;naaT{) z+_=9mDVs=~e&FFiDO&M3Quo&k6Q^0%*LLxK@HGFC?T_gtF|-t4Zd|)X>9{wx#)>RjqWQ&_rQ<&-zo-Dr;vkUp+u?BNi|=dc3`(e}6Pp~J%# z$yVEEnRQat6-g)@6NE`A|IEDIH;cR0P$1R~jIH@{-1d4pDoPx?NcS#Ob2s90dGWA~ z-euUuID^#2Ob;pAjNE^qSvTsZRM6>KU}geQseRon5W}wRZT&_OAN<=>9L_m+0*bi%aIq z)DS6RSsWKvH+@fLUIJ|bU1xk1kshy%)x@+CJ6Y~ur(tKQ#E#3I4^ry3S47855{Lp- z!IIBuN=O8P?l>ms9zf)9{j`t#H`)p{95OSAMPs2ZMHNi?1JaH!#%@Lr>5kPvU&VJ# zZ6gpWIbKPtur6ARoWGO56ZGide9m}(MjFcE-%BL^jvLO#R{s`sh#^}^K0Ev*iR+mz zh>A1ax$9Ii=u2Iw)PYFc{brz$dnv&l#Beg~Af$Bk>2O zyx?yqRCS{zam)Tys&@lFBFGjoqD@h~D~G}5o8sZb_)~^*du2`WnBw|&DSJ62sCx3? zye5bF6B`}p`Q0(UU+3^T zZwuRF47>$f-6{~}8fAC;q8sw%vxry=^cf6-NM{ZC@x|MWK&0$!Zm z0gQD=Hi39OZMogTCjL#*PTq7?Pa@@UDPrXJEi|VC(UvACn-XLhr*;D>y|mhFPEo4Z zWG0wsizYDjy-WPAd5HPWV>z30KNyW(`$Ue0CC6&{zI8yTh-eOSdH>#SGe2{u<#|7~ z{hN^E{&=rQw!WnZm4Poy(fd#~Y`87<1rWQkX^yT%=U_w49R7fAy_377`%i~0{O8f@ z7QV7vmojngzxVTNR?jbKB{j>ON?XbkkZHQ(Mw3>LX}#9fBJ5+BR`nx3P|5SC^wA)1 z5$$l#ON(XCp?#G01ZkB-3Q@_gNSaeJMS_*9(R0*V0t(q zVio?({+;8i8vPWR&Y#-4kNW&m!~J%eI}W5=&6{&~sGC|7Y>VuVFQ*J+^18^O+^u5T z1I|y`fFUG9-i@D1B-nL~nH@TW-*4#_@lbxx^M@D&JYS^7mfw7Nsn6YF&@rM@QPG45 z;@&-8og#2%f_`Z{hwIh6_ZL4asol(YMQJFvW9^ad%RllsXoDQRV7rbhto#1&ekWYmn?|!^DLkEc8XRzx8o+SW{}+qq zrD4(&V-qbPP3R1H8p%^N(5?$ydwyzxKzG5TxHT`SA0%D{2iNX@?U%{>=^!kaHPG|~ z&&c<_{NL#<|95Gy|LODpKMW}QkEyZ0t%-{@9@m@rPOfJ-Lm-XT`VJRYT|+ct)HATu2Aac&stX?=S*EUK?+QHef= z(b%m@rg5$hV+}%zWC?fg6ViK zShr+;aB&Vg-lSo|O)aK$`hoV3NLvo&UJme6RyHq>hjnS8>pE z_S*1xI_BfZY7CFiYnRSCG z_01sKwPV61i|X1*{Z-Y$2b}Tt(Aexm%MW+OK}Yy@WA66=b-sF{=F$&P=XF=_iY6@6 z7g~$6kj1Fr+I-;s*G|zC4$Q?Nf^~QTAB59+2IghP$>zB?Y%?kK;(YJ=`^}*v!VriJ z5)&MCVnduTF-^dC?sjXZ#=thjeP68Wim|*h+mt>{a!VjYkD|8$pG$N4Rw&CE!`Zg+ zlE`074F!}4`)D$=LhUmdH@;^)&G3Y_QDAHw0c|EpTsV+~bVsII5U8ydiFh%0qV^ZP zLH1v*J8pf#t8VEEwCj|CFxg zA9zDlKOCO)BQT5;)EiCjF2z=e(KA!v6%w(sKZT>=lTfU&XVs<9W$|( zGvAU%udAtwm0wS%m1E5P(k?6NO^bGu=nwl&#L*!eV|%r}KfR}__+twfD2e9tqqI3a zdNvnXz#+VG^qfd!JE2!FAv(&go0t5eY~EkST@v7;XAAq$=9Mc9A|+Ms|4VcSjxeoi>-;*EV-Se2=Kk%wjk)^0vDAwc+S# zUF`(>$>BrM*sqQ89{b09PxfO-G&PkS%)@6?LU%|^#Ufze|+1P}65MQM|&Gl0@T(#bz*!E!uwX#aoOw=XKKxpDrxZAz^YNbk$@@vKRXiL8p6Xq{x-_lrDS>~0Lf zwmqun9BFymb&^V-3?zZXSmamZ*hg{PzuQ6F0@vOkDBh0Q$( zKQjQ5TnE7}cB3?o!u~!ZLp9$LN0J-yk7oLMxx7~1JET>jt&7z%(TPw44MMs5Z+$3J z*mEVVi|VVY!b)Pke6Pe?2CrpDiNhhlzl4XRkTe!};RD^%RT=Wbz0tBy_HUR}t!s^9 zL0?s6ZN&W-pe#|6)35)@OsNFYuOEL0g&*>lm6m0-AZE`~!&dd<{6F4p`7j7&AUb8_ zYC9*1y{Wt@yGeL`Q&Mj`FFTy>v8;h!jD9zjKzVDUjRNs_6;9<<(gB-2-ik##sZH#Q z7-P=An$=WF9q9jfWN1l*^Rc;sYWttqC;zH2{(+71-#%_ASL~&(IdQm-Xl$GsGZtus z);14%^?(>u7>Y~AD`+J+ZR+k?P(_isIV%&IGcP6H~+)m}nCKlkl$X6guf-SgpZM&kuOC z=jk77!iCe8d0?kssj^lyOub;H{({><_H?;rJc5l6q2)8#mx>j}nj4IAzRm0@);O{` zHDkX~vQ;S})!r`iwJrOnmt1eco?I?DIDWW(K@-d(Fk4k-t<>*-?uU zcI9#)f)C{;X_}W^IqkG6$wktcH^Wf%$YQ&6Hf&#_mpg84{GPyqf8w)HH+YDg+_zvo zg5desevv73M)zE3AV$q^Zfy{jy`zJZQ|j_4*6~Ms^*~@e=Rlv9oFB!He9X&Hj6DAr z4Ap8hk$ue0$(F8JX&t{?U5`>jm`a1xZTtnR2y$ONKVu}LVXrK>&CCouehL*NviliV zZX8~^yVZpAZdE0CDzZsD>*YKocb!T?E=P($9lGW=gH};xp<#}& z%DMBAE@}_5g4uQc^#rfUa0y*h1x}DSM-9Stg?pZt^T#eSl55_q=BT;O&7m<4jKF6N zzy3}|Qg%Xwit{f@zdtH%8Xy1)uK#G%)-0?q_EOhTk#0`CP=dovq^2dL8Pdfi+H~+fCH9_AvIm@+|s6$*yZx8p6V2 zs&6ZGFs4VhVRYzJESbgJbMC`E4JYFhY4F2q*=YOo&q-nto(X*&n1+!errLQg$f^Yf zOt)VS$O?B1BTq-#9U6wSzV!OI=c5LL7<< zyc*>c?Wc8=B@N4WpfitkvbGc%-(G%o(!KkXL9KCcLxd-?_NA3eoU7SL2Q%s0bY|cU zPG6f(1Lf$PEJw=(FU$+RADLjB2HkVE$6SGXK*daJq z+h7y{r(7ol|DZC!iz4N6Ib~~dB0_)ZPI3C| zPD){Hf2CYhWLIrZE$TdY{_$#$>cMhi+jh7g&?0?}u2nnR3M8DR43@I=bss5Bh6j@nG{&v4a2-8m+7`DX8aRJ?X~VVL4q3tS&cAbPdg4STlL}uMoiZK zfNbZv2m9SyTy?)MmNoN-p6=#L2+f&PlZwdH zc74~r?aBi0y~u&J1#XMhpx;vfIG%%ja0$R<&5JHC8M_2b+rbaWaw`V%n1Ei!j`AIr zBYDOWSy&XEMQ83ud6b(;PmWiyb$wIa%daiXQg^z77a=|$wBw8_p03vm;OJK9txQFu zG?h^NVSH!Y>NXJ&BNdyi5Y^bj4nc1}rynW^05KDW(f%!oE6w4Hk;<1q8qA{G{HKx zf@=Mjz+i~puFtiXZgL^JtAj=?ldn-j5j;mv1Qu9pvN*Kw&e1x1#K(?2-x1m8>;fRh zQzffT1Y3zdzkL>{kCtZpy?DVqJzZc9`!g#bq72^MFGn&d#z}w&Lpq2 zp+ku|7+-v?x>omhc6?34(*dDDF&R6Yk4H&SsY-3Aq~Mx+UtX^+y7tUPcm(-T))NY9WX8E1&P}+fV)XyF*K{YvOrun(#E{D|}E2pY*&0jyR3^bBDQWq6F&E-O{ zQ!-MJ7L?3zWzI4VeP5VL>7eCEZ>FMz4dt}7OFGe zR`V)!AkI!#`tDtphy#{FInVDlA0*+CS0afeN4;G_W(vf^Y1X1y_L7(?dFe;PQ4tcl zgl7a@z(mrWJm~$uuqP9s$Z|}vvsH6 ztF3H8R8{)(ZE7}tZ9o5_+dcvQ_R!eOtFImRw3mg+rNB=!x|<0YTY+qpmWQsYLH$JB zy-AAjJ|!yaAEz;zbI=gwW(^!Eo~}$QI+g4iFcbL^I9Zl&2T+XxqjE-M8v`oU>nu1} zVtOf>*28$Z!`Rku*E{hbT!EDe-M3rkapjj16)TdSmL)IkN|})D(yDfpSxtQ}VjpDN zC^7`Fp((a`R~XGniH*I&p`4w+?1i?)3|$n@)lf{^v9rc%m(Szwt^lnD&LhqUg#79v zMb8yS>?*g6A!M|RqZ3kBtGbF<3Z673S!Wh@jCe^xI{0zOE#M*QcRlkRU~uQ1Cp%Yh zDz>&1c)Y}W<*avp{I&*jvV6QCWh^e}Xe0H?Q3ph|NOw4;{gh}?Is5mRn~)VX2J`2L z0G&RA1q0Mza<~Vc;?0B{XRwW>cA9UL6T@A5!?)Yn9?&7Lw*X9J#@_83)ZI z9}uG?aHgFTh!J{9FBuZ)ktivW5mI~SR2_2fn~70QjhcbOOI(o#f*YgP(cj+-biZe! zXf!iiD~Q(k$z}cP-RUm3q2qIK5qJ!WJy6qjnSOtL| zC}0rB)(=ppmgfVHNi@C66}vrTIf3N&mjgeIm3_Kpb2Ei8Zpgl@`ebdq+l!vZWHVGf zVCNrKd{U!$S|Nfew*QO0w+@T4-SFy5cE|nHg1V&m)O1g6p1*A(r8U&=9 zp+ma6yIWv}9AFsF7 z=cc%mSKdite0%NTZ8b+#;S#%ZV0Mprw3>eH2?+l4Eifkkp@8%goN%KaRVNv4>EvYh z?X`FP=lF4n#!~x!is&aXw0H#cM~*p;I*j+^lj7#5Q{8pl=8%f#Ethv8@AQ01caLw< za{*QbRg2j!U~F-D0Um(cN>*cWIc3a!P6F$5``C?J8xG8U)X2^ER97O!^emL8tL{x`cVA)Hx}OBAzVp!oOAOO!gV%bu+&BI8KKS3QR|um00P5?L!31J< z$xb3eL|p(YUF+5GI3%k?QSPe~I)^xWny1hpdxswJhn=1QaXC%l*;>^vWbJJ54RCFx-Y0rX@t@Y<#sw$=y~@I8|Ay?-Q$1J-;=$S(OZUxL<1E11tCU zOLfYr%dj$W_H%9ovU7P(YQMXm%G^L|ef@{Nq@7xVkD2cT`9U|_xUS{>fqic=u>N@gS*yqI641YS<|Q?H4jGq7+(r7D zdtQV^D6i^CJp%R(HtZcOuu7uggTSZfawDACI2GXM#J3cO22M$a*9}5fs2}dX+E3~Z z6xYYio2r_OSQ9Ih)*_#`#KDYWWynI$(N-hfQ7Dp{b$$<{Z)ZqB12Ap2_^a5`! zctXe?DS*0Ws+athL6Q5*Nk19yv9<=wr6*Chfgh!QOJ^kdf_u0#i@3_0tK{lV zXYVB7KwJ zrDk~B0azwapp79a*UUdOGUm(YB7zK|l}(OWz3mG94Vm_SKd27gt0VTiv`~}?r#wWd zn#RPzO7)}pOWY==1?i`8!~OA?(IckQw{n-PuHvEVxv-)+;vnZx6;%Zn_9i7(+uYhz zW9j2}=9&s^2X{p<(WTG&5wF&sX7~eGH%IcG}!Nu$>pBB zBNpjt4xAfs%kx`4CmVsB%4Fa)Qy^Mwtl`v8Vc!bZgavfPis=>;UZ93zu(?VP8RP<7 zS=v|wpGkmjHJhZ}3f_V*hct7zugi^i1Apf`sN~F>puV7MCY+`vXY%>2cMnfk#bYlT zjG>Ac=eeX3-lW|qEHJyx$}&RPR}`t%9W1VxnQZu@I*2-}EO-7u-{ec+@mlCX%p;)}2bssxr597~D`kMR32eW^h3YASW-+;F5X9aqA7za8F^Y>`M(|1}*>jh7SI9W!p)djuIT zBmD9ub}$wp)m6hk)DF@Qa14K>GY?;zCpCi;!VVY5sm}T>mx&s2^L^5PP|;S`T8AP| zXQqTVILxEUWan#W1gF~56C$7+7gz5@&D)e&vf+%SpBhC`Jb@}&hsqBv(dU!jZ__-F zV__m)kksSB@MAARAgGUC;$6Pou1W`s4xANjdSqCp1be}c+~0a@*wOYZ6qM9|vwC#a&Ywc-6vs%TTb zD8^_l-JtH0d+b1=SVGLt*|K=fqQ;jJhN{U=JX=&ER-pKClFN%5W$rdR>f z=IOh)ccPf5ijnkdGG|F~=)(y>!)EX!PjMNT~(goB<6` zPOe;=Dhaq4U=v&=%C$w~b~w}v@?AKRx;giy=YY)i(iq`dH84Zq_(Eaa`@aDN20oR>N;AP5L2G*ga5(w#3ZE3 zogNj~f~?yH%2sD*xP%iY?0YMbc;WC*L5g+POv$SUa{XEprWOYW#b28q zDQrYFr1%d*2SU6G7sOyj^u!#e7>C}ww;#%IXg(xn zwzakm+3Hx^{8Zt|;3dL*f22=j^Y$jIi`RV3avq?F@AF#yJA8=SV1Q`)hh90xC*cph z@-Pt7{Y$S5kWB5CwuOhA*#WsS#-q+9f>Cj!Z=Jb_ShWb$5NrG@y{j3zEG9-A%_)OP zCguknPnYnLM59~r>2`$B>^t;;%_iH|2tTy3qJI~-{_nRZTrAIf(k%C*llG+i;_<7^ zA+c=Tlv?;O8R-VTW(;8_UBT(+q?|F7Bf>3dV3awNE z1-ut|^1)It8NhL>%X%@dq)3w2-FZTN0>&3X+5Yw4yf&RGJF&XSXjANhiCP#vu};tz7tT^zt^$-BL1+? zS}RT!RHG)Kfm~_c){E1i-!zvqwEP;fUTWa7ImoxmTasqj4Xi` z^SA#BuKfBLJW*5(uEb#hqokmMFOc_TkS7GJWmYFo~YvO93Q-DA3+3l`aXoqmgJDujHz!a zjPc`hjx9VR;H`ZJ!lLnWN}C+D5~{v(Nl5_55Cl9H{-uV{)O>;c_ATubKV^InW)xfJ zg``J&B45^u^Z!vdP z3WQ*$HoucJk#DfZGE7vU-}>dvtrc=TK!qQF_oMx(CJ-i%@-21Sa#B)N{n0$30+@{ONc*)iMTB$#vmW+VH1mc9wOW((IwW3W6mY?lqMdtXDq zOruw6jd*oO`#OMQio9iz~c{E7e#d!sahW41v?}VZ_D*=;bu549KkaX`o_HK=7Ne($Wr{ zl&Zq|c={70MKbr4q~&PJ6|xSUTy}R-Y@VvJ(b*aiKt(VT@nV@h2!28Dmk}WH0*eL+ zXwwM+ZJ*g;S?DwudgWUY0d%3QFIW7>9%EeB^2UQy7$9E7OTWb<+2IdW?5XTB4!QE%3gW>u`sEaX{Wg?eqP({10qJr2bR+rd4MckkYGe33WLte~L;Kw!4sX?S zyw#B~KabX)LEZ62iJaZJ!_uNzolDR7;*FbXJD$koO;!bzx_zy>eBW)atzQuxg#c@F zH{oh2xkz8VDqE_7jcy#RKQ-21qS^xP6o{_NWObbuE)Xe6ub7pk` zQ1Y7QdQSEp)5P!hmU)CpUI>PvMSzM$SuogN0y*t@`}J~_Ue;SyI%=ustc_h%;G|53 zOPO{vY3j$q2O&N1p!QO1_rBw~qmFt;=-Fg5rW22;H@bqlhJSyI@2lwEwfa65j1Pz$ zqXHS&(1i?4Er@PH=Xg$q$HurWVwBU!UEjO4VUt#Mw9G_gk4HECzVmf4{dY;SUtSc+ z^AfysfEmT@S-NKh?KDyLG8+_NnSTui|9#Z{-|h4Ni7}3*+dHcVrO^#M3f@0_1_&;l zA})swSCK{k_Y*t+&LZdkGFkNhqsPSLswD48)R(OdZ!dM6)z5{YweTQRG)-Tt=GzYZ z;KJnnXwc~7MwUR;_MGcI2_)czXZ|07ZK zpMGagXn}`A(?g9$la}QDs_fOgNj$VJ@B=NrnvCE-z=*lSr|U;P*w?Wqm!xVtZRx%A z3BPUvfVSWK@3u+)pSWJfW?sPKHS&ACC>)eMy4E2L4$2Qm2a^`kH@( zfd8`#`?q)ZdZq1Zm&DvsKLiRPyIpJb1;2L~0<_7Ovc`yI&2$-G*Z(xH1uDp7kBwrS?oow(2YpXkC zIVdn1HuvOp_FN#8J|EVaBUfea3KTCE@{h-fM7ZLOd~>+7+YpPOb(8q-D5nan&hnJ6zZ+QP-FINucvVJKeD=a*g_F z0WnI!*#)_ZB|Qd~AsJd362c4C*4;4&EvdA$TeFeig5rF}A?!diyUe~faOW5W=aAXB=oDL%Mm$>rwJ!Ue()#gZB2}(HuDVcH9<=C zXm7X7RC0k;l5e!tv}o~Jad^d9{FYfT)>_BiV-;sBjJ1UCqs2C;iEE~u^QOe^)N_`L zb%~m{k)*rT)rOCtxaQpkphM0#AD+jsWB5>|X$U2iK3I@(d}@a?a~E{B$fsUD zX@kG7+*sWN_0_WW(0XQ<#Y$HsPp8z7<>Jyy`YJlgUVcS}a6OHn3f7fdx?tUc1?8kx zYYFV~vL2+EYka82EcsOB4$J6|D2nPfrE&}kve0v7)B;eNP&2y8xk7$U zJ|m6jp4`AOLtM3Q%Zthq2Xnz(XDr_%EV=BZ#xzoXxR|MbS(Ergj7EUnJPMRase|86 z=%Qrio{!JI&V9+zjxGM3kbECYZ5V|`>6uF0jlX! z)(0#8BADRK6y2oh&NVG^Y&SABtyrKYw4$pfmO4R`Mc$u3ixAUEem#DuenS`es;tgS ztmD&zX^~i-8XRRsxOlj8CC4S37sV}mU*&kp#+T~y=h{&uMM>O-z}Tp3VBYX zss5EY*+~j}v#R5erNQS(^ASBz!Ko^XE@ZSUW@u@wxxSF+eC?>z3Iyhsz5QQ9fF3G; z-SF-%@$t-FGvg?SopE=fofCvWok^OWZQxE1&CQ(*JpW%{j|qYr6~qnHXjjJWqu2nn z=M?L*D0>1*?sQ>Wwa9dMzIfxRa!-Z)%?4$Wy$lxSLupD)!ajh<~CqkI~WCD>kMlEuhxL0U$=4vK|ReHfOl>mqJ~$SsHuh0&x6l6mw$M8al)s? zYEn$dRUJWqP%`fNKHzvIxsAwd5MaNsU^e~Bsor8WTl-4F~& zSC2Z0@TnPj~+l4kywjBKg*;q!a)liDJR3>}7h%B}UlgxjJ`UR8v{?=6@%Mk0R zKRq%UugqbtE~V!j-3vm4bVRwW~W9x+A^2=Vbcva-;`Vgx+8HT&>cSj zWXDUqzjQ}8pvxKnUG{!1(9cArs*!3y-=*cgb5jUeKy^;6rQ3>Lrdtpp_IPT1?VV~c zX#V4c{q0So{yjqWKcB5NZcA_Bjse4X$$fU>bJK)6!y~(@lPro28xHcYC^XD;SehmE z$u>|MXBMDF@%-n1^ZLro*IhjSOvK}`N^FNV*sHP!FfpZ6<^h2eY3@q>HqiXKm82^8 z#fMU^OlT$CJZQTvbxQbasCqz}yop}{hG~ofVEhh9{r_j=_5bd9Fyi0|$S_~Pe5Y(8 z{mQnnq@d1_6w=eZqIQi7C;`6h_wD8VyT?Q))(+ozUh;K8ZgsluUCB5CwEP9hR8Yae ztWopUl^C7$3Ab@W)&c~b_(Uq80K^`Lumng4o7+GZi`|Xz0$B66^&0Sqx0;#e)jVMH zo$=N7{SpILDhm#>_(UF*nf8Rz8-IDi8F+lHSNO|F@{vN!e2mi^@E{xRkxY&^>RK%&}iHmVnAQ<%kXCu?lCcZ z_RB#Sg*(mROT4Qk%7~11kMDCoQ>0KGW!xP8VwxnPl%_7{hDlLMA8`xZga|Lv*H!x# z8A!#Jpy{r5$Q(#r&Syfd(sh&Lbdcg&`UHm z*Iz@tw(Q6qHd$;EjlxVMtf#9Y@3>pb)n3a*t{zYU)T3Q%GXj;%f)Bc%UtlF6j#QfK z5W}$gtGT`{Yj&vvH9&~_h~M6>`bF~0J+Afd!>`7^)=f0ZuSj_5#jndvd%s*gc(SrI zuV}(NXUp-WAm~G%D^`EcLZ<3%g-%Z&0<=vsx_v4>MDkZeW}`$N!bx$gsDyqo?_0wV8@Bh~LH3{$uhmFotRb*1nV}m8a{O|rVd)4lRN(M^U>xkD1{M^7QpYOZ z%s?1qsM-yF9AY4EGB+r;kf@a9urb?HNM*-aNDH<&uVUkJ&uM-jP=qe}DSJK%+jp1@ z#RF?*X*g9*4s^-?O0Kk2e@5~&e#t^8SrPj&f1u@yn7jRWZelgF{mtU7srBt}(P1DG z^Dv#D($JF2{5Vs6*uhqg*$=HhUM=wv4XMe;G@_<7f*~s1u$Rd<3#YznS(m;liPO2m zltOad=iMQPKS3V32=%!++T+ht0-FBDOPMz7sY<6CwIW!XAapfE2{&X%chnU!?V~n0 zjKc76@4oh`L<(Ql>neZY<}|9WOkzFAm5pIFw(q5T++#-Xe}~4OP3NZQL>0)2>2!lM z0H$I#HV-a8>|Ck0^4L9@##hbpggu~me*(J0jHJ72xw-EP$cb9W05=7?X-!#>V+-v4 zF7?SJFwnA`QKO{fk?cqJl!2k1lkeJu+UeSAO<%e1>=-pNTq$mD%lAHI#iZb?pNJs> zOoau^C_?QJ1p5cTm7?T>^7uRF&^txWh3wYkER~z{^UuoV5*bq1{BA2U@2Tkgh52)r z{ry-*Gn}ev4T4BE)U_;IDz3kYcPy^wf6-IdBiqYx(8_Q|vYEzc9qg?$HCJ7ex0B=w z7+#n`qZpi&hv4*tG%4$MRqvEcerUWDfkKxe`;R@c9-)W#z3Gpfd)|`V$DzE?Ho%<;pyziFy*JY{|Lm`6EL4xiHk5 zOZg!|RxE1PxBCVGCU)n_>|PK-OXQa+DZ#f3NAJT2ykaNPbwVbLR8w@~=38=NYj(^& zwU6g0;j1^M-f*PGHE*fNdIZgtw(JyHQX{afe}X!v&Nim$+laWDh06U+c(A}0v^)#< zT6zeozspnN`93|;QNvud^RYUpYI%*)Nt)mXpt>F-`GR1ZRMKyn za!mz8Ika<$luB1QPk)coaa@I7EG|UQCnL5kko`CeFK%IRrq#v4oWH+bS@C%}#i?;v zdgMK8?eQ!XnZ3j0gGKI6a*doPpDp{r1Ia#OId<${DWx<6uU||Q(<(llQ7BjVaeL~m z^o)VicX|=@(ijlHonLAkZmX9#Z!#*h`k>!X?th}5wl-Uo3U(}fr@rwaj$HKKJ(nT( zMc7_xxc9^C1#4@eBd~KhdzCe-I(Q2wEWgWPJQ{3>bJwQX=8@us>M~b;lCizUuHa== zsFK#An8(~z?v9-$*$2!%6%!B-ssX2@Ms-@E+lIWG_svxY6$~ES~4=#m_ebECo0M?ze^xP!W!D=1^pxSHEoK zSDB4@h87+4;txR&-5={`U=K#Fs+Kmb4rd_78F2gwvNKRFZxHNdw3x?AEh);)#58>g zu=5XF?5^jz$@X3?a4$xxS5(C>oQqA7WJbYLY@$879C@?<*mBZ$6D>EPMqx`iH7I@G zR*A^O`5U)X!2y?_Ag$Rh;h`0X4I*tA^~B)ewboBi-A!nIr{sdmiR1_;e8R*Qxw370 zTl&`?6S$};a!4$Aq){gI8y!=4*qMsn%FdmYm*A`w%V-+Ihuj_@Ce5V>egU>FIktz*!{zFsNg}q<> z=P)f9=YUn!}HCnJ@4%XkNzX9^tFhUxvvObc(&qg$M$<0$N3s_CU_U`l({k)E3H6U3l74+%9Ov(Q+UFPd3^5H=?1o$xw!8QhBD zi~ew2xBuZol=x7{dJw_dtBXwHi_*z7Z{cyG^cGc44}IUFi@`^_W6*tY{m7XZrP3@# z*Vya=Lr)2aQBhsaUZ%UY`0i!Wkz!dxeZ=9~7*XS=`)nIrvtL_#SZOY!{2lK`$!xrt zwp=~>359iqt->mi;N3=Sl9lV%Ty*VgjJ>ZGe7yj^ z@sLbaLX04*>puh1XpK6%Z3+&Ojxx&f>8ML z)K^#t08htWeEJg~0HnA^i)k_5kbg*qAZ!nO+ zDE;v;6QGO#a#E@8M!iP?i?lX3AnSHrVCNJZhsrct9+qmBLIy*0(oH?Ok&M++r}ux+ zrRsxzf=ILe&S~YZ0umtNC;%%wraj9)mrwWRo0M9!E8czldJnb>*#A`7FgA{J*}T-z zG7+cgCp)Cm>yL5tJ}%&)^u#3q&lu%#NRW)GKndcOuH0s}V;gFL39t6Q_7FVoHqqwb zY)fw3?N82fb@5x)!S}#DU~c-ytqfEjT}vF#*R=Wf!yk&9uX+_zttbV0%|{CE@f$0E zF25M_`6*J~a%+JFBivAaWb!Dq?w_Cz04lwc9t7Dz%Z98_K#(e)(VZh<3ug^(v$kF@ zx{X%VHHii0n+v!N!aXTU3cYeUF9Nqmk!q{wDjfV=2{i@t(Qz8Jv~~6w@6(T{d^}4L z%Hm>x{{4pjCkO-hS_7PEKB~31oPx%rV0cm}rbxsyB+x(_7x+ieI?%vcG|v7EUwvzQ zylKD++@|?beQWHdz5!+Pr3G%=vZoH9iB?di%oPuQM3HGDY<#9;e!a%>4NsO+kKPKE z+LrZG)I%ve09(RP0Nmw-e}WK6Qmc<(M>in;NF+uiuujgL`g-vhb$b6{kZWUZZW1p| z!s=P$=pbeqVTi?ysHi}FMVScZ!;udrw^!%Yy(dj$lE2kJ;xdf;+9K5Gn{~ao^*yTRXh&J! z+g2SN)z$$}^Pin}tb{GVFywmKwB)+d6EPs*=5b=@L!i0rwve@wd_y-aJ62`wW3F7^ z6nHBv2RbI=s@YEn+K9m39jgx+sDPb^nm8s9>Byzp*eA|&2=;{40m6P$dxc`rwGP!s z$)CAPeYaCXQrI+{a(!IffN6l{YY6+Jlz&YoRNkn(7Ea#&xRVJAp=?k|XCl;!u`H82 z;$pb9O#WU>=KIEqlVVleO!7;x7dbyTdJjSX^@6fy>?pp;MmrBTcySn>k|KliU{4Mt z=@_LbOoKZEPUC{7o(~Hd)|AbrK+JY`l22}ag2V??Ou`#HQ?|oAG%E*ina$OY`VG77 zI^aAMiU}`lL~DG(kdSe>#8wE59rDP%ZOSZ3ieT8ae~f&e{cS)6&&i#vaKzr@^(emR zC|7=v*~5 zU0qmHRe7S~E9T2wF{+mk&x#j>12EjVGI2uq478W$ba~|ki$8ge(hpA+7K_x(X4pZ z7rY8#k2T+~_+w*|#fN}^I)kCRG56)|-SnAD0O_Bp!QXAR%S}9YRY7c%SB9mSFf$|R z$%89n8PD4B*EjzKdIcG`%}nrINzurf!(D z{nt*Jw~G7WjxWs#fZ@5M3cWfOylgS9S8QyWoo}!d22{<{x1rYT`ao{l+I{+KFS&6S zDSEfK^LdTr{>AWlH;k|qb4q*m7`P_1#-BfElDN~CZk&H7VoT8^798Y@y~yJn;}UiN z3WyOnWgD#Zd*}TWwNN=0s|)KsIy!AS>CF{92TUmHVnD>gpI4P6r)U%G7Ts=7zxOuG zLaevDUM&Y5itj7>g5|!)V1vlBq9Fl+@{|CkAcJ{{h2+l-;%`ZK(O5lAN6k_@dQd`> zICBzfXP@~}o;_MDMp{hTn7AM50e`9hc%IjZ8^R*>Le5-1+a1{Oda)W;pAdj59y}r9 zP!^OQAQ9ns7GQz#f4CM^_Rz~7n1A9Cl6;3DOi&&Z zpI`&GB@{)It~$<96)xyub4IB-&)d;yKyGoKn5t&%CjX%IUbE}jbznuY7Qba*_PNrQ za0sk@EY2#TkL|}M(qsKTLdknjNHG@0y4=9O2ic(CD}Zb-Py7V+8(wXrYLW`b;?C1o zZ)i(wXF2(=%``6Q8DBaQN^4SzV7!0*0I={$87s0Z%y_#(9QcenmMprNtHng};CJyqYlZYyHCF8}qe%Ai3LN)b9r}UlPeW-i(WZ=9Q~>9M zy)fpH|Ym{_X8_77MON~gNZyBfQT;L!-#NtRM}Qke0)mu20iT!3Ql6CRtU zxSCV`oq~&+_;amC28#;#b0h;L2GVS+((jmlByT|1~qW9Vxb>3MvY0)`*< zo~(xDkP1uTvYphEEF~vPInHI-t!u8WogtZ~@_Sh6epp{VMiIRM zfl{zQ><>S>-}K8(azKlDai2a!o8psK1mIm5Qc+YlSPXXj7}+%M(kC6 z*L}HZ=yHXX*1Y$OZ?|}|8;i?j+#M-)jMgKaB^<>2RYS61tU!TeuU&fbG#WAy0&`N9 z6+ymb!gH*pR29PS?z!v4@5R|m7A@w^8_rdf=IxU21M45#O}rM~Osvf}?h-q&nl5g1 z_dXceW~foI&%5gT>+Fx5eUe)C&ttiJ4HuNPR5-%85=9f<+f-|#X1mb3A1>mzPy z6Fn->trWv~e=n1`Tz~XX>OIb7dfOz}wJY=PC3z)5&`|e6t6GBaQOX&Lx~~%iAjH62 z5$$+_YS*bjrP#6;lz>-rk)a6yab&XPblm|c&iq|}!T-KY^LO*6f9*3k38@Y@jjn~E zf?VN?@zisCZA#E8M(l@$ACCJjpLVl-tbUoqB)rD+p#7DLl^BE5TDb=7vzbm|75K~! zJYpA)qESr%i>d{5sBoBN)=kX5*R@1z=TOiHHr0EeNc-{=)&LtkQmDEzaLF>)kXJb$ zzO6S*ufjhsN9-M;EQp1{uwpNq|0YD3HiCp+VJ-X#9SxeM^mh=Rf4X7HmPqkC=ca9o zQ^W1WpCAQfvfvP$^z$-bm8h)E34jY{1CWu2dDt)D=&6Ubo!7hbi!xaUTf`zk9;Gf8 zJq^qQG_A5KMQ%k!Hfx=CldCzZd}x`Iy43*1Ix?Y6a_Pmbsy-w4KkAcfydj+TA7Ed} z9aP+%bT-dQc>5)+K39U&a?%;XJ?*sP^^c%rz~cq|m%*HhM!Szx+-gJd0jsUnkmL7Y z$V_kr%4p*@8{&nT(;?xNBo?_ExvXaHiGJeMl8)sk+Z@`27eT0DJ zpVq5NxYvdQ?HXUMHoWHV3OM8_Jvh5xp-1u5oz7rYcbay zvc)37hmBfr4I zOu!wFyp`d;q4@|$!#le=1jHlBicpa=Bj>bN;T0rn&+h433NOeDm%bQv-l+;FYgef; zw|IB3Ui0LKcF5NN%-D&Q7g#+~n39CFrJX(odhMSgTiAvnQC96GiMDSaBZ5Jk$0Cced8iUd5U1wv~SM zCLcd+ruN1kUx9lY&K~c+SkyD%TIG%-Nz&%Ku9$Crf_GaDFiDO>7*APq<5~*VC|0cD zC>TdO;!SCQ!Xoa|HCqSo*BWIh`s55PzU3Y?6)u6nhN&z#_d` z>Pm#IX*$w4Zma`gZg{OSVj4(Zs2OZVV;WZJ+^sW-i2i0^Er~Pnj(^5`zs|cUxR%R- zu6!d(6a(D&>T7q&F1{|&Lp@r2V16=+^8iyny?Wbh9H4XeBdxaKQi6U}M76$D?+jvr z^Buqa#HzmGa)N$Irny-m7?~QOeyv;&^v?Ds(nGyokFSG-{c$2~N9}oMXp}*#I#je( zp&h>L)#Hq}4meLQb7Rwl`oyb{u9rc`keMp~BQ02=j`dU}~7LU=;((hpA~x}R9eu&o$;VXmdF2e+N_I&nQVIJwM{ z4ZdL0`kYV|8do&ccs}3jHLSWb(9jgC3FHdy9w!L7owVnwiVD6g7lhndY64aifQ9~d z!d6RP>WNkOMx;RV;@u_7Bb)3Kg2Q-CX}N`sH;Fva5o%C3XrSne3lo(^v3}K*MM*{a zWZpO_SArzVB^_r+FYg!~=f{msrWZ>D6JPxv*++Yf9PDq=F4ZD6wB(9Cs6Uy);;P;> z5|;>I%iD4BFQ5S)FBJ~@nE(`wNtH2;y&{3}Y8+blZIb5rfWydILL{u)7-&#&q(f;Opohh{y>^Hrl} zuHG!7Y>ybt7}l?N>*lOoDTAS${g!^+g5xtikfXzqo^L)}a_PGBiYfOdk;66VU2K>~ zMq@Btzz~n0G-;Qrr+JeXiIPBp3LqrgWfiPf?CSN*g*-d-LXZ*e4H`cVxl&d6R`oe! zO!qLyN0cf3)dG?oPKPVCRud@@s&)^N`x-iBPo#|34#ZJywl@r$N&1+X5+4%7VZr`l4Lj5mRy z4seW)q^_LY84I1X+Z)cQ2aCB8vQ-mq6z)AF?0i01Wn z2$47h08ZOT*L~DfrU3KzUV-?a$HTE`@(UFo>9^kDiBZ41&|&V>wUOIhYlOK)oaRm9 z1efw-Cz5R7X2>>G_KJtud+esm0@Jo#Xv?Y?j45$Vt?cH*L9^YJRPxr3S@!OW>Jq0) z2`rt8cGROmdX)Dch}2dVfJm_b8=VsX%}AF5ynRIg1O*;4IbjD?5Ll#}>Q}fnNOs!x zslGm7r=F?K<3as6VLI;q;p6ik}A~(-iy<&03|nbi+=% zWuys#UH?B+0hah)tjQJU_1jBQBY3Ynrq0KqjWv-Tgy9XmF(h)wPD~^wG$tk3ozBg8 z@OP5**7Mp$wpGQ(opH9O`(@3hGJ%@!)itBb?7y#n*p+?=`JGJmR|FG%O{aS1kOKh- z2_m7r8m5{c`T%;e>HRKhC}8?6o;%1~cmu_cX812WoxOZg|NOT!ETjyl1Ze&L*7jH#0 z;>B&q@3#veU)WpGKS7eeD%WjJz{hpMUuyHx|35Y)bWVLT4IV2xu@^;gMp z>)In#y@P4`u$8n>vk%D(_~dD?EMKF~LUulu6#U`1A@VzMsPJ#NMSryWhxp5HSPiYq zm!)TYdz>A%lwR?D2U32xmyvB&g>-E$%C6={_Ozer&e`iFx?!{sK7>vNdYxfl`Z1RQ zWX2lU{Edfqx&W8@SNjSUpxE{Q91#nhd|bFPW$xXzLU?2GO5Ab;FB*k8<$fG2DD0Ic z!q9T9NxAoZK&YNUftflhJ=P!)0*@9Kh~3laN_a9=T)~5SUy9sL`v7i?D7W- zI6IEY+cNNof+Szn`ja3RPIq7EA*zXB2hj6$92GCuCE2DddlckJn3#>o;tpC##NHaa zuB9!P>FbPO<%aU_FGOPvyxsy@i7ENelHzBgW+$I%KL=LJ%@Mh7hhNJbiV_Y#1qX$( zFTIKwRS<33!t}eWstGM_QRaaDc2Rq5b!i%ZdySK&N)*{o&;Y;}Hrg+}iT^gGv4E6= zt3j^xJ4QyAesBUSjS2R%ILSuO&dwDEzT!6Npbe{gPnY|G%)NV;XUP7DR zK}58qfp1vJJN)IuhCf$q=J6b586Vwq0&nO^2U%L3R0veXLjrc5qL$E`*sd;?yq=PhdsL%!y87~9-c=8_tkTv15_Z*=klmQ zZnJOshMHcNg^RkfHCjGW09Cd^<>k0WsU_3l*`&dKg2F+AxmgjSbR92xZ5YqpbBjCZ zz^mjY_s1~V63KW^nAj16Kkt1KthCxvO&A!-XgCX0ecBvuf^TxRskyJI2ammr3&So` z4i{VINiIs**O-wBb{`=Z!~p9?v!rwl@`(wy?C(N8Pk16ALZCAvzoeX~pb%uY_Qvz{ zc5~6S$(Iony%n&Tdbq4sTT!Kky{NZfS#NWEcw*M@UM5ONc*cR{)%#e|jSce&>#gK@ z?oaW{xK+jI{j2YxwW9{)^EUF=u5((tpXR-kUVs!G4QVJ+H{Ld_x1!j`#I5V0K_@J0(zwGDtDz9&s&Y1J+@edlEy(A zO=|F3J#jnU;NNzX`+XJiACg|7%H#`A+sHNL`N|6#R97u@dH=fqV+7;(-pdqo5>f{A zzfar;mIGWh+=nYL$r_5{J)XG)nwSqac8u@)!Z!9VQ=8IW?n(MeJWtH#Naaa&EQz?3~WC zD-?GdmA?(K#3C8mB~Mw$xC{CZYQ^7B^Kq}$kb&OvYbwfiS1qSUWtYA!@U60=VQPpg zPZ#ejU{qHE{+*?>1FW_&q#^O=63Q=Yq&%n9CrgfOmdCyMlt!!Z?kwbDqCb}A2YCa< zix};7?rU@)ENUmHGznNqYrD&%-cw)l2?3dyhDwmOTEH+IyZP7M=}{w9+|>dyV#eF2 zh`=}%dtJ0<_K8@gBd9~!o|Vl}U*b}Z@w*Gdo<7{N49=7kr zR)WX+W6pLdRjQD@UM)~uXjL(Lf}?o7?5!H(R?KSO>KBKTa*8PE7?^vlad|)Kc2wQW zN%HLX-OYA?w5tZ@4ePv;cTZC$tTbXj=Pc_B8pew7sAjLa`rT@_fEZq^q21=^R+;PP1(j{(r%Gb{c*@fJ|YP9z1|!Z*-~J5>rD)G;FU>F zt!}<;sgVdZKi@(>zsf-!!_yBv027F=WVhv51Nju7>*@n9nf&tf!mHTNudD{sv(;li z6J!_7i56UruL!l&mdIU^NgyFFB0P|o_RN~`t!utJE~bFv@T(# zw=J>5Z)chp4>E-pE+~6ny_)|n#NR(6+=pKCj-;Fo~40=7esQ zx?{#v@5~Z!l1ppcv;TlmT4I6G-S~9XOX?mHeWaPja+)j%$>>Vvnk+74=f(OWVuU+( z^Kf?az@|Z(6eHzCU%ovZ%R>2D7O5~15P4;rD3IEjo#vcgAG5b4m$L4Pw>H>{c@G|P z(5MXCzqVVMkVHpK9E&%wD@)b*q`P{5AYI%%r1t9HZ3sIPF4StqN^4(pxd`Q7w6*rK zQ5Bw6A6KatUF3iHWzC58GS)(*?TkJNwCi%|BFyiV$j`28-K41D@pxgtIDAOXvGsKX zucxClg*koy!Lsr+cTE4<+v0rrJmo4}DwI^&>q?tVlR2dYyhc*9Zm8ES%}M@!PMt1Y zPSr7-enq)i+O)?z?F&>a7+t>H`tIa%)6Vmh*4-#pSZHT%SM`-^0Dsy1C0Yu*O$5^m zclHVU&~BwnSPdA)I301?<4hf5AT$kSI`J+RQ?E}w*y>Q#b+^!<;CgKuq2a^6#D6X3 zBic6C*G1qzHpdu95@qy~08}912huo28$`;(pG)3_$(62=e;Ft=!`q0A-clHNNDw_j zWQKW;C2*pd9*M;LhB#WC$`hAA-{oYSMfde7f1`5Fm8l2(<3KS?-+>1LDx8hcU z2X}%7z4z+#y!-6^+^_8Sd^qEL*kdpT3|J&9Su1PJ|D4zLyMT1e=xa_NoQZ4Evx6Vr zwSX#B@u+D&gJLgG#@L`3oeE7A|ib zwete!yT7}L@cXVi8BB|BEY)Q?U7O=<$bQIClFnQDfX+_eF>^ zCuHX0?5N?x_nI8kt#OTAf7|mjUNzD_41E5=3iN~|Fq})e`4cltP#cs_s)OKmUfk1zvoro z(BwJv%pvP8#SzMH$5RHLCL z^g~3k1MwlXR^PrpXy^`^y>vojoiaqXl%2fFK1;FvF;an^b& z`(dd+qtzu8aT+=P{A_2_kp3pw>xr#UVj55LM3YK^T5~B|T~cP0679MB*H`OyJvdbn z1Z*oY(U8N|AR*Fw$P@&L)tSV{kD>G_@Rv=QScK~`3yuQrAJo`3;$)e`_3=;3Lfw3x zB)*r#4x1EU<#SXRkHb8}nUQP<=8L91Jy+wlpLs@tFFyLn0Jiv~094t!WL zf_6X%+4J5K$s4+bbG@-sal`ixdG0Oh1@w?#1|@Z-oPOQ-C0yJ+@tQeg5GiZ1iX$ z>5t(|DZ;@MZ@<}@Hu_4$frW2;*85L+gd({N!0(=243hVk^FY7d8JfG}{_`L}ITw?s zRyV#@Kf&mn7wlvs1g_vQXUCRwR3%XW);G1Q>v?tn~vOnxUN_N!+|yrDS`NxG52Q1yu?j&Ub*u8LalFp zuqj{{c-upR=VX~@w=nri1?^$&Cc2diopu|U9b8iot`T_yq6cD+-{Ok>{Gj&k*`U#E znSob@NO~Tpyp?Wgs^5N2{GI#1xc& za*DP_XIJ6V(NgAax%%nEaEs;LszGtNN~QbUJf(GW%Epu(cck?#<6`meAp92uCRi}7 zOZBIs-miPy&mJSe9r<WZXazQ{XOsylpzZS{I)J2bVnk+HZEhak+No z4+O1!v&&a}@mU#G30jEYB3GRVGA|zX^2n-s7n*@;q|dr@gj5AH4&#NQnZ9wv5`#s{ z0e>*%yP!9&Zab5;Esb+Gf?UA_CIyo@7K;Xat5da}^QTue(v_H`6<7$JOt=uQn?9N| zsRZu1HvluqlNcIi?PiS?*V5dz1HJndAl~;=42=jissfyCW!d_0nCv5=@Yd>C=>m?` z_=vYja19!BzFEc3QLAQ=EmwtJgc#d`#Q}GxWgD}Ew{{R{Zf%4y7C_oBJlVxnRa#9x zzR@^)e^^{Yo*Q4f<2s%9P`{Sr$%>)8W7sD(S|+&hXZLQ>d`}K=@P3(;jZh+j>h{TI zf&>IMlVNqa)^ux&OUYt7$|8xd@0UDwwEbzG7zd&1y z*YOJ1Yz=d*jR8L|=NxPMqg`A+d@gzzG{eW}e;F^&Yh^!rbQ#w*f48@&QH;&^|?1Z>m+Y(zE;RDSXQoI=FjtGQtr+BU*ftloAUI;BHI5IH{hcA4v!m9bIW~OY?`Q!CO4c< zZ?#Fh)bqf8@!{h22Z;6cvBmwpJM?cJE^rz}V(P2cHIq4;oKu9>f?R)roR#bi z{7(U?G21t@Z|VP`XDmJw?)0a zXt@GC)kIgvH>zzc{y7lZpKzpNUx)2t-5pIZu4TaGI-O?2elz&gbYSQy6Ou-01hU_( zz38(j*qxuxV<$JC?S#J;^wk6v@7nhuAXtH^efx#FB$n);`qwvCu0e@Gj>14kOfGEUQq_uE5u#`YD|4UvcA4kiFQn;ohxf zI;?J)UR6F*+cn}I`t1rkKCX3pyYdYTmJ>tKeOZHr0gCGPU1CGod+6Mj<8PyTxpipQ z>VmlrHYj|_qk%j_r2?4Q%cWbQorJ{X@%{#kXWe*#bQcVP3%l;44&gN zf0FvuRKNK;hlP9M<7;CCy#F~*${qCAFX=rU>8Iy&b(ut^l^ z?VbZorV6rg;NsgfpJdaS61nFPckd~4%z5;WL6BP-Gp5lr8(yh(cv>6OWKg`Yl?Fx{ zDUDB|gpOHBk{-3u;?*$(M4)P#7}2EiX!(rGkM`Soh83SfXH_O`>3~>YPI~`ZB7tY| zoRouJl0ZXt5AAfj%yju?Ql|U0jW=SsCCd~CR*)C^s2a?=4srkRMHOo&GE2sHNA_W= zJ`kmL4K`gi0>WzWOU-VlCYHuBjwn@U%;&39*i3vhVg`<|~ho ztz5BfNFdLbc0*>-q;E%iO|^aJu6s)xLN_)BQ`HCf*OG~y$vy>^-nJ{V+~&4#hIcFV zUpM}8tZ>FD0y%WAeRPmzQh0Aj2{_Ir%c!lVlFK5SRBSzMB&rkQmnq!eKKrPiyUN4K zH(0l8(fv$qqzO{Gjlct5|2>-|q_^;VwUwzO`Syh3;ji8BAf8N|tcb{BJPp~0Yx-(o zw``k8+$@o#yQL+iHe_5?NS|-Ho3)HC$g7iTVO0;~*l}yiy(zP2(?37nx=tP}((Zw&9fDbNA+@{7a*748nx&?GrXoo4wjKtxdYH?lZ zOiePS!$4M|`U2+VfC1dr?koc)@zl1w_A5Pol4QAQO!DQ!OUv4dx-@!syX+_t7k`$_ zHD)ueeP**0T4tv{3M^sDwFaNo0?fdB373UN(ja!EvK5*`SRk`^&g=__!f#5*B<`pe zE_6WuyEV8fl2monhYgA0!oNO(Xz9S%n%Uz+7wwlTa#F-N52Abls@6U3PO0aJLh06A zo>nF=nZoYr_qgMzA3wki^xYrSE2!?aY%*J>anGF64|q$e)i*cCn^f0?<|fFC;fE1? zP|E;~;NeU2!Qndy(<{Cj9_i6DnZ5Mg3+c9vi!`Ie4UdBrvDpK?wExa08@)DKorp1@ zKzta_!n^@r8SK&i-u^!R>q>h8FTPgn!clcd)S_7uC0Ck~e=Uht3;md60WA0C<%l+4 zfc-L|6afdHJx1`%m-n=ZJ!Qv*J%!?Vs|j^VQM$4u1uVE&zHF8_mN-+?k=O2da8(p? zNSV<{8^|1jejh(ck+1*OosH|51$xXQ&gN;+WOv5s*|vz|CAl)IMrnQEkhj%fL;P5P zH~i;1#nCTMr$!sc)wpjflv@vpl2IEgh)zG3hg4IuZ~Q02V!kGe>o28cd5Ws3S%bEl z_)$0Xoee~nEh&!eWAm$FtE$1AHL)@+vD}Wu&5F-I3IEzmr*4ud+^*3M9?%CQU~G!c zd-A}|9?r|38m#r<^C>5T8PVCGdvs^`jeK{<$_W)&d96mSz+S}Xw};({c+s!hq*K)> zHy5jvVtbZua~$&I8ut59-4IPJ-cYJ-vJ9-@S_-VKDsAwY=(U#7ECQ*Qc0AiOA@MV4{{{!CpTRZC?8M+K*5v{AH zhnzRT*??@rWet#RUlflH~itAuT3U8H$a zo#Oj%{xAJIiIyHjo66Er`~xnga+Y<3fpsLuPr<1rVntHyBE-2+TE~~pWh4bXZ(AEl|AYdM~Ww{WXWQ$!kKXyID=8{WOGL(HslCZx{D5+ao#t}wnbw4{n zSD#BhOh7ku353O#yxzJjF}B}gfV-$Zo!UAnl43Os6Old}$ep!w7;<{N_U7gwA*bq) zKX`9HvoY~a*zF~r?xUuO`Ge9&T#k(#-x~ArK4XE%6=~Vh5&%?3ExZ-bOhxmus*IMb zUR7FyIZ!AbmqlCB{aH3LkvV&O)7~cvfH4a2v`CzXxjc#a3p9m<7S>R0Fu9rX**(bM zd~oD+&1wE`b?d$3_SoZms{~|KGtOn3t-QI>ekdQ|55qhwF`T%FxHN#~`F|97|4rwE z-G3`OBVmAn>Cz|Dn5C$GvAr;T5NIBgQafxHen@^sdXlAsLA{_e zrpDLrz>BvxPQhGoXh*hG^CRAUi1;|&pvw( zG(Z!|-qb#mrVE!(p(ewBt6s?`H8!)*=`<1RabBDvLtw}|Sh($RvyhpmC!qwhVN+}> zn?Gi7#E40)eCD2t5+og@stGJD^Hx{l)>|ByuHWln3fhQ>FHr@98y%J8xOLfDDACZ; z8=^gG)s`l7PpIkS!CHG4)X(xZsv>u~hdGIwh*W`AeCS1&-1nTC0F)ZTHb1S6r`Xbr zP^o#`jp~NMuJX6kZx5o<;>T<*i3j(#jkf5D8?-Z6kMsc9xb6dSte85n4ZIo^Z3F?g zl{$3Lo2SIdxmGmpmtXP<5#f_=5YA&GS+OpQa?RD|3tc67Ubd53-i4{Dg@)PJX603R z^h2)d@594X^oB$|xUI8tN>6J-sihv6@`eQSnAQ&?*VLq!(sFf4&W4`%mGnO@<0?^5 zw}TbcqoxXXG~<=*W)X1hGT-8Kbxcgwg=e6LzLT{;L+KKs{0N)v&HRFM1i{YgQ_vBZ ztC@AFG-l080~2g=bptc|0XPOMl8*53@a)|ZKhwC+lFm`?9qv<3brq&QDjUwbxQ_n5 z{PsboX}osxZ=2&8M0)SZo5$ZJgwSwLqTS)aoJU$m%Q6j5gjlnYB7=Qn+H>ZA2`1So9 zc-rgwdYhu>Z-kCknkzrE`3a^L(@=bN{7q6)>q*%rUWO;!yBz5=m9mz;bc6VH5pfW_ zwa!1Q?o};=w{vS5`CiYXCrC=|`&)Eh&OkA;JI$nZJpR7x3L6cb2H$ePQC?D=ag=J; zI-k_5Y~hrImeDstfS3tDVue=(L8{`(0Ze(aA2oX#_)f2i=X zqeSM2ZpTkAM80`kJUfVxuc-I*oQJ5@*1fe0gM5+KkBIPh6l0!dc=V)EPJby#e8Sb= z_JU5cZ!HSnEZLo0EK7ZVUsmg#fD&g$k%Uh?iV+MQyB01K`gm)U?&06ZYA0^~wYX9K z1y4+?mmPE134-uiuwuM4qjj3!d`g&xL5XZ;Rj+;Nn?O53-&LM~P>Sv=j-mKH;|d~e z7NaKpnB&FO)}fw}wd-dQUHzxv%4oONK16Di(N7;zK*o_bFi~v<9H!K(k)Iv6FQp?o zZoO~tu7M$KDPqSvEsT5L9xkCH@g|-f@Dc*E@3jkWhL*4_Iq2jmtnuEO^Wp`U&m+J# z%9r#PDC=McFkPtti;O%J)771=^h@d6hN1DoGESpAnBh0U#~rscXxv28WyyMr&u>Q* zg$dc?2O3`Xw@Fm)nfli=IvAE~R@rKIK*qnfj`1@$mw)*Y1!s_up5n6a2=LGLp}<T41wXh=euN{XAiNveWz%qt+`OOpYISMO>@TOQ6q$7gy_ zGK6h+i=zxWMaeE~7(3kwWAX2PiC^*?=V%sbn%2gw9quSj!kp({bxN!3eH(^JAwJaG z#a@l(;=yJb*ALMa z#)&-_dUM<2LupqL!C*$smGZ8S0HSRX^Qxn= zE@j5>gD~D$9tco=H0|?n2Yg8#P-3KaJK8#StpF=ooHtTj`K4uPsrUsKiFE=-ql$K>;;^K}2o?h!JCRvi2rFPl_ZiuUw4m)5g?Eb{IG|^F)1F~oW8wtvm$WlY4 zd}ZO&s&RwaH`|5nQexUhiL9JaUyEAqjD2jO@#3o-k+Lk>y06>D+tr{yC>AU}roD1J*aq6V(J>DcoX)D0h*B8M-GHVxTx*QjkSco+f7TFhsjn zN7%q(>g=vdjA{K?Dcif1EYRrvnBOBa zv~bdse3#rZNMP;KlvC6C4_VMHMYf3I;)in0)e*LgImtDIyF|)@jm)J4^L;_sr9Q$qUy`Yt|5n`Rwh7RgIG z(8dWOB#jjSYmIcN)xt%X6V%x0s&%ZCe&3Aq73ScLjFNdsw**y8;lfr!(0QDvWv+Ck zl+WA9icy*(rO(+6WIpfQJhf}$^7XbawbF9(1~zmJUnY_%Rh44qUp;99Mfi)c3SsqZ z`e1XmFFg8zRzX@zgnUX<66XHIdX%{Sg09$96l)Hna%XY`o%dH;zcsdmj}NLf z&B}jrf*y2n1_Bw2n8vraBLKDuHxrs#JW75KxDILf=i%7P3Sk+X5}Wuu>dO68oYHZS z)7lHVLjqow0aBrH0jvSl0Kh9KBD4~b@ik?=Si&u*nl>qrV*d_8UmfjjnH zzJig@8wPFD^j`#vY!;{KXPDIVy4}g3*ZZ%Rd^)X%Uly%p_=B&-3k)p%oC;W>HJcr= zrR+vBdGd+|O3Y>^VVhQIl%qnByrv)HD7x^>DCLWAH8(CZ#xkj(Y=`zGL54pged0oZ zPEqb9SLjrN3E0)%>#H0!xo-|RP+;#&0qDdyG5GXF#^jAcLTdLmlX=G%bf9Qrxse|B z>#n@Ucma~>w3lOI%l5QUck&rPxRbzwSQss`?kN+zzylB=b@+_O>{i2cz7=)v5Pt4g z_;WP?E9;kaMFY9W0zd`9dvN>71N1l0ax_NvB>LhSUZ1?-6$U zkc@aqnwz+BG}QLD?>6kgKWM+IS0hYdZ_yTGFdo63zGn7NxW0wQ0W7rVfFUV*QbnV^ zg>H<8y=BrR(yqIo$32l+`f+QRP#?%Vc?m04_A*8*rStMUMA(~-i9V9$xybEAcH-1a zxv+)P7as!aWg4Av3YZxR@Wd(Gyv(Ou)W~j!40$H2xpi!L_H+GCHeWtX+-sv|Ti=7FE(&JwIEAS?#K#Ne`&{PV4TZQ3Mw@7t0k+naXJ0p%VJsbPuVy_9RKI`YccYEStr4VB5OZ78Lxge#jJjm4Dxp@- zHR5d1Y?iB1i7ibJltLLSIAk*|<%UYN9?&265?#8H?msqa^@o%RT&gEbea@x+6$kq@ zW1)rReL(>N;7W2{!Z$@XNjK#se}SOxGSDl|Yj)~exA;a_Qso})Y-8l_Zg>&mUyqid zhMUuhG9n@o`K3YiTN-oip_xC;_n6$8MyrGSzvY$W>Eml6eVu*hw-RNv>R9SqLnRE_(1?)JbSkS@cytHV6e-b^h->Z= zWTBG(@`Z1#frx)rF!xj;#$$H*wt0n-<6wDjA$|FPY|AOm&HQ27RO~#2@@AEv;OL0t zeZaDRJRYvEAv;1Z%JYWjX+*H}iyT&JiE^NMXmvYk&5nPg<;a zT#erxj*eyjEUx}`dj0L%RzzRM07Y^X73gmvEy@c-kM>i^ui=5H&l1SD~^+AR@0b-pbz9r`f@^wd$~k%cM(a~T?;)+ zN+3GQE-fo?Qb2Dh52v!u(syr6A&pl;gSIj;sPGG^0x*5nM}4ni z0fD@j5rs(DP#fXFh6me^S&<&kz+S3X4 zG;eM9`#MxF*1B2M-Hacqf_irbK^{f$2MSv3WnPM)RUmf+ka0%qUaA37;9{YjYzk7u+F%3(w-Y z=|S=&G|qdXQ74SpKhqv#5G8Oog{rZA&Z(8rb*lS3ELNqgF%Hc7cpY3Ks){`nSaXPf zJ)N9xISy0f6RMZRc&nMn*}%w@**X4eHqi~Q4@2v+;wjN-LgF-1rkN~_29j4!S8m|j zvD?AhuAAXA*v$D&)_%I^(D1-{c`eMYX$t(WO>K~^RWe6>2C}&{Bv)svW@ueLY-s+G zyd#L`rLUkbu6K}oSr>u?VPP^ncx?bfo)UWnrF^hi%ZR_zew)1Nas~#(zmv`*WS3}1$&7D8pDJ# zz9b2yn-GeR!cR0JSA%9h(wDn@_yy+4$D0>mjrK0|5J@!uzV#V;X+{Wxf*z5Pd&DY} zJL-nAC9uUR0bfP&m(m8l!MI^Lj7H%3^pZ7QbE#UA{0{KsIby0!?v;(pU1X!)uh>HI z$Rq%I(MEfU7jU2f&KOtybxH@F38Ea>k$!$S6_Bt}cSAi?rnYG!* z%40vNbT;WE{I^{e&HRs}`mK1W=uYj54(&inr5E`vHLh2K8-Zo2_llP$jI>i*j^BiF z(GTSFWC$Ak`rL_cFk~;F3c8Gyy;Gr7Yi1m0*P9&nnC&sZB<{;*{$AIL(US&nei=Qt zZw3KAAy_HXMucuZtrn?y>s|Eh-4(4nBixu6ra@>JyIz2sm~6FG;@|l$T3F99Y=7J+ zDN~N}%k86a^7i%9Qni_qed6NiwffG?c3W&(nP=FnEEN&#GC}&?6dN)@fNclQR6Fc( z0rNkZb4Bxpvw8zC#?Jm&eVIh^w9?<4Aa5+8G1zNiYECnq?}hr-+BKK)Ie``T-CP+n zWh2~hK;>*id;$_N-_Xi=)>I8)s%MTD;Y7oB8&G`-hNr7e0do#&u}V&mm#O0U$3lBI z{G&Hrg^vr*L~Eu;r4pQYMzgBS6JzRC@rS)0KG8+49@UhMl*>`5ll@nk5a4hBFo*xE z-N>2FFvT=mN6s`y7osyGm(I6omMV#mR{aGQm2e8OFe*^R9N^Pp$EkR|p7?)i5L7NOA zf0H0=`O4kp`Li@ezFos^)5c@-m|sI7xWdtJb|s#9PzEt@j92*I2h?O?!vkS11E&{R2w)y-|SJlSprGp_ptUL^Vq=(h*cMl4{hL z77ra|SRH`z_FfC#d7JdeKj2*RY~$-;Jtll965LG&1;W>5YCcxWkQrKkHbB_ULe|A; z?$VQ}$>Du_=-?Ly+N|zn24p)u!vy+J*A@*-Z2#r#`=b^u#3ORWRTl;-+9!3#Kmrn& zb0wVtlPDkkeiA0-w7iFVIXoOodauuh@>ksVg!??PJUIbk?|k%)YluDYf`nx5TT4Gh zP{PN!>BTc@L_F+LpijO+SmU7vtIT$;Tv>j;)Dy|ajcRw{8>)wipQM;fm%T}JVO_}9 z&{F<*!!1k80Vx|=#8d9O8z5uT9FW|~+0?ZGnrUgjC}U^iwYQNhPf#CHz2RsI$SSi&w4F zLoHZnk>W+cEM&I*+%41&X_-K8PahL1&-QFKE*X@WgBe25dtqbzoZO!`Pj;un3ZaAU zwzN^)8K2233S$+CO7kAp7q*i6@E|PVcpcg@hrZXcjaMQ`wxmd2XP5x3YiE`RP!T4n z3Xs~%=^rKrNNJfKj-z~Higf^n2$&vV`JZvGiuyYA6&B{tbws1|23-&lF9OD z`UZh)YQ8FO+($>O%nGj=F?hWyw3eXm9;7?l`8DpGzN=RLYAye$X)1E{!gs*jSLPXz zUVj3kw@PCh_hHw;Ot*VzwbVWun^kD|k$|>UeDyTm3~bIC@Gams5w;-$H5_s)Hg!@K zuI7+ETa$@;lr8+l_5A(r=XT9rza&3;P`3`>t{I7gEO1Uc9(Mpv!#(UmXp3=E*sP-B z!n1^krn{oi=WcexAHfZ9wGI!XplcbMY^$@+vomcpD*0I(VryRkud7t7n*KDg=@O*m zRSy$oRJ~PSCOnpq&=Z;<$03_A$PfrpQZJSl#o9}b8c@gNE$=8Ba2OFneK?-ouDMvb z$W`6NYHuo+h^41tdn6SQHGvh5zUt7HrpM5)lzbC zYI_e@=;<*1%i(3F-5Y)toGinIy0k5wXE=mrVz1i%0_iOKEoH1X->Y9FgA2+{^9Aj7 zRYI%_GatOKaDq8!F!-%=5C9?~g8r*_xYD(Gm@DNmp&~UrYYDzQDIaJ{Bbw&3(jy*P z5q!`)TzyjpP|ImLFu!kK2Jlw5(*P45ag(wEIOzw@h9&#wM0e-A_v*XhLxOsAi|sdf zT@v!~mMeY^DDjg;p;vAW+%F!HKjpL!{2mwd;v0g*BMvAAe=dgT$ro7`KyM!&!9K1l ze`33`9rzeSBk+wDUyRva{xSAgQM(I_uxt4s3b>M%a+%jVk@)EgiZ{#Zv_A)fqKWPLknKb(VRwQ)~IV4{%9eizy|)XkEhN2SKMz$jO&2n zoFOzL>h1@X?!ESxg^KNacgq7Jri;B^j_+3^K$t|8anx}cOlTkl&N@f!75#uKA{Nxg z8tY(aVxE1ihzOyQ#!i1ZmgVpLNa*4;q?M*7@;iR7F(@HMGybkl5#^A)gDm~i9gzig z%*OKXNpc%}DXz=Olvuz-4qqX`%a@gvjzZ9$%&?BPTHP3_Rr`yLYaUL}1$8iYUwo*C z`$^~7tVp-#O#?Z9a0g&Og`w*vezWp=d{)U@8nw|YDw3mtWyb8g{9UcN?QV17hHq7g z$3~||S=hCKsco(`b;8ID1bV0sU`j3g1%_Vrf{4~uVU0gQ@9@-?Z5TCFM@np6_KdD9 z)uY`~ywA*Qj~zS9_NRl|KR3B_drp-Y!>RQf(M-X-)x64YCA3!hgw$t}I&U=;1iS5* zKtFeTnN9H`qHKKrA3%t>Q6S)rR+gd%9GnpT8?ryak$)GHfc}&*{|@Nk7+}K&_VmBM3x(Us@li1*yk|w8wx;G(QUl>h z+KK+}OQvGRi z797RD1e%;duqO}T*sd#sAT8khFWk{sX>VX3%6nj+9MtJQ))%cGVr=fh?O!2B@o6@Z zII>F(BWG7F?WI*Rx9uRxpEujh%k%Z5O^ySsUQf(W(HmJ`QHP`kV6|LZ9=5=1ql}6N z`ZVTLUX7ks3BYTM3wj6YW4lo*(){0uj*hKVGOG#jf!m+8t(TAfj3-e&K!chV@tf)# z=ubZfxoEAoNRGoweFU%hT52llllzCSH1!C2Mou}< zjNe9el_<2;5xMT*_qVr{v&XDl`xlOQ&x38|H#10i)xzn5F8fHL4`+M$y?=a7xNYNE zw%Dc9_If-d`Cw0Hf#nePNoX<9ex`?F8W}%T+>rN)w`%$c`<&xjv$`;P22ywqO#NUcTSIcdu0QPqs~}ea&D`~!ja>I_>4!+0 zOF3Z0&`MewpRZ@Mxb1e?om$w$JoDo#OK0X0fxY~j6<<=}U1tCZxWIN;bs62>Si-4- zft1!pmf`jE<-IXZ;QvyBqSaW4}jcDy(AQ~S^p!gS!)tTkXoqm8% zZ`*QZj?X66P7VjHaE6^AA7c+nGeadpLYm&2uA~#r%+0HOshTS04Uja{i}PdY#+v6b zTQxQ-U=_>vg4*W85h$(bXrUYG_fxyG^4?tgQ=L!hLcK->6k|jPo$Bs?J3%$ehk?)s z_lC=Mj2;fv=Wo*G#%GBJ(-9g~XI|*cKVea+fBj`C)h`rDk^UlyB*wm##&N}SKt@DI5m%;p4`&MW!fzzDM|0Ee)`fl-nC&%<5xpbIN}?6)h`(tA z+=mIrz1SHCbO#aM-maQ++jNm_b@l01?ZHmJoqV6-sxge55<6@=_t;0~3O6$=I9ww^at|-G;-#^gtpv=oshXS&luI_46d>46?JFNm;h z{gG6%u_8t^5VYP-rn>w&(}6H+8!_Ay7IwO{ir z=IaXEIoovl?dYZLS=pg!r-+1B{NU+stL4$&-gl^(mwu-zb%NW>6$avNm%3YQ zb4$>So}TrhMlrtZd52cnJp<0gU{{`gYyokjd7#({)3KlF7C-lRjNQfSg;lI@c>Eq< z_YH^Uq3PibjL%oK@Bd;4>)@nf(@aC{e9KqEMs02sPzs^fq7*IUQzy3EuO>)xT%^nI z$hE*%%tKk;^}y#J*{(##McGW{XAl_(cD}QI_;~7sy{g531R`xViFF)E~IH-2@K}05=Co{d@ty&8?0pYy4gJq^2QqZc&MZwqKT$S&rRdrCeNtis+y&$3okJ>bv(ya0_{R? zNzlPL9Bo-0+4A_LA~`PfWTa>X24aqz<~NlJX&^f#Be(CliNs31@g(Sd*!+~lInA)CA^4SdqB?qs#v z$5=bv3zL%=Ct$oJh=3xiVytFGUPk!R2e0rs3Q#cQZ^gxpQ>NzWTA%yS0SN(-&riO- zeo6m5k(-rADG0w3YQTE^7ZHf*bH^rmZ7==av#8sA1QW1!1Zr?mIt zJPU^U{32NHlSd=!FDE1_=#4^s9>#VHX*bq1D33MSMc(%r~w#nscbPG6_5-xor{ zuf|a-Om7oLdQC_Bd!*v-4vp+GJxZZ%Kj|IRNB3`gtVIXjP2Cz#J6jixWxdx|edeAS z<+1zN$1p_dq&TGnyL02`xRtgv)A+}=Fv_4()B2a^vZfC2t897u*rUrzTyEJbCR%H~ zMBQ?K=j@fl^;UFS>O^=>FvrF8YAycUNFT`Tj~8$2NZ3hUBvsr(fU9SHEH!iFzbtJX z%{$F5Z!x*v2*Xq@<}Z^LfJj1RrKNETAowYZN4wYPeZ69`a)HdJY&qZeJ>pDVeq$-7 zD9^^>5WTY;`@;d@bfj_EI{PeR_h{f}qHowSi`8rrsxxwJ$wzulY{d}@htFwZhVUL;l;aW9m84g7ee6q8OGd2YqedHyHI@m42R{bGOg*FRDE{KJfrucSg z-ccBwn>uM|UYibcCRBzAj1iPrG&R&F3m@O}Ee!M6dz8Y7SHqoGDx+03RU&1LEKSOp zwZ1>6o0H+r7s#<_Bgk-_9nS{nCkN=h6b-4!aauOcw;D*yp@Q_p!eV3n!>u9Bv!@l> z&9{2i`nMAeFgl}I_idqBMsfC7-T)4;1#`mo(}MAZdTxA+#CB=os+jSiNn!TpuX|FZ z8+FV?SC0pc4DcYgUFgcWpK;a=MMCf0%{7&1B3M>mQu;%`^oN{YaT~vZ#!>s%azCoQ(yGm;=M_w;~I?}n}xvJZXFYsSDoD!p;weW zzrE6{FKkyYW@cKM_;#HlR>U?adx1!kuD?J9%7CrTrnIFx__7~0wk0*-zG9XAHl|+3 zI3h{`ui8=Ou&9XpucbJ?Id&v%AlRAj0$Z;?RF>~T4a2pPXii9n=Igjmo!!6C?%Pmo(OZ@mpn1?c51vBgr}1Ar%guJ7?elL)f^Qz={!3eqC$0(T}FRGMTNsr17WhiWQ258&J&Rdd+VxfGgzSU|Q_;(^|ae9YX*-abm_8FdC+mi2EI?Iu!TJL=Uf`Sa! zMyk#7O|pl$EnrGNSaqBz^Wv{mQ{c`)4xFwp4)mslJuVd-{?FvK|Kacd&yq|a`t5Pq zBa9JXTKe=*^3Xf2nKCc9cyFV+?w*0AMeG`z`CI0X_&+j4bZQ*saUWw(7o|^1*(@8d z+(H<^oek`fyE@0b`!H14>s}mlT|ZhJrcBEIt-nBIu+z@N{q*lMFPJ{r<(L#f=5Wo& z$ysY0f08LJ2CB;Mwbg^BWtO8!tbCX;duYhN1&Lg70|d81m9_n9$(nsU+Mh!b0D-)0 z%OfYa%&JyT>$K#w(m4TX9#1;@B}RLvr^)C$l!@dTx-{?EK?Tj>C@SpAT%7s+z2|QK z+p@Jv`KOsNKP7`n2pCREqRHWLJ>=FNzK26e8M;spXB2fE8fRQ~`m5|OlY4ap$F?L& zw0L2l6L&Vnp8^sYY>#;yVu3kJT$eU$_mn&(!4Wq{i*~$gkfXgaa8rwkp$D!Qy^!#k(cS-$S`y5*B39F@jZ?ztsn*9_94Jv-w@KhCP; zC(91;u^dFj&p$1Vx;foVEuIF)$=$8OA5-QjrDT<(<>@>GfnrtM!EM>$YB0L(Gb%@@ z&%LxYKA}c;xV;N)#Vd7 zj<1*5O`>`H@74Tt#C7Kk1DzH9SM2_yvcQKdjkOhrWg)_184ySr(eH&Vr|xXpJ1@hf zV6iXE*=fk|`Y}B?sq53i-fo>f&qjs7HMIe6%cB)h`*c{rCS8@b4Vp(rKIdL_^U8^*k8t@d)cDFc{|z)geT?q49F(Yb!Hh@}6% zSn2jqer`oZ+94TquE)*anZ^uA!t+Y@Yuy=(Ntwf*|EyGggcB$Ss;qBo&u3fl(_b6P zTOo!^`7n(wkJvhD8tt(DiVyZVg0MPEt#}rk>Vft)f#?zGC+LOL(s{j28Isl-=ig@+ z;KkX`kc;|vkn@iMbsxZKb;BOjOJ(=rJ>W_6fX-WBp8`GlO!yLwkcaaJagP6kySI#L zt8dqRL($?;+*_TqXDXq_t3^27Ddm-`3R}bRl!#+k{Esl7f* zo!Q(qK-M?8lTBOmB&&RpV7lQ88Wr=rqz_pj&=4KyDHaI07L-D}lzaEu=l`8S(ZwQ=%tf%;T z=}d~(N)7ZS=rcS2yT|u8kK6yn$fk;z`%~Pr*cPK0l{`8;FHLHX)N&Crfnk-_#w{VzJg820PB!eGMF)daHZpX7qL0De2 z(ad2^3ihj|M=trTNa0eRa(BFnB-5Voxj}Z_#39gY9ygNU)Q!3QMq!UUoc2|wwwZR% zRVqFgpS#(%dTaaO(W8Q;WX%S0j=kp!MqiSm4;)P_!?>Jz*CxS5jZdoanuCFQ- za=M!wVexdOxJx>02&}{YjGce6J%5(Qz^*}B1BS-f_5(aWz|hD5+>DVju@;YziYds5 z)x-9P8v8R*6V6?oF(KAEwM+j`)KntqgJzKlAvdghI zP5v3NhK5h@1|Qky>BW-Enr^vZVS>Dz*Oj?iRA9R%r}sazhUHB%HTi@pc{*W_tTQ=3 z?#6Ff=WrkPN$;Z`jcXx7Y@Qv`A2@r0$$u979N^=p^}#3;NhB-ar%NO>6dh5pztO4B z?Z0DjW|obnw(KesqKzt~Ryk7s?)5yrtmC!Z-KNcr334Q^5`w)7k6Ftd9FK^0;;Fok zIk)g=(8d5SS#<@0HUhj6$YX{P-JkdKteN^sSQ}!ij?%OBu;}Knwx5HZez3_dq|ojD zu7RWsQt5D+(4V!uzj&z3v1#uE)0bLK%1lYCmNoo$m2 zRD#KT-O|W-m*@Amu5@qNlE#fHNs#YprF+jgr3~R6BMMutX;O$lpqn^d-A{Xb>gMNY z+6d@z#bCk{r!Sqm`?B=y5p7HkO>up&g1wvvnQBPSoE%Ro!Q%FC{pJL&(yz8Fwv$g- ztkei=`)<&XZAJD74Rgmn;rb^N)i1hlW6n8~E#wf}{Xfv^2~aZeN$fg|OWUE_SGYVrLb=dh;OS zygw!N)?zHO?mM8o5Jl@q#C7Og@=e z_|>*mG~vu(_9Wv#GUV|;-f`zffFTMD)8wC@Q*q93;rB_V55hiCTsZ1;!@568UXH+n z_qZ?LJ&*zlHv1pw?5Iwm(eQjEZ-oEDGKV%gHFx&_zw<~d;lVPsvI4rnfe>0SI(AB^ zp{GpriyZEnAE%5&3;W=Jd?lFifU|i1d&y>U!WD8#@OQKNy8FzMmff0{0*<5C_x6^r z=zFyg-Z4)8>}zm5v%N9%fS?%jao7wGZo#8}_$`^>n%!Ij*Yi2Py&;t|dbPbRa1e zI1@^##1b{sCm!AmQ~J6sTdj`vK47#CYtd^@>b_CXsMY1w=i0)bju|#rUR8FOhp{X7 znX=KDfnYSEd{DwOOprUJwD{c*UZRlGayYSiACK+@1!q!X;E!&{gsUxva!AS==1kb; zm+DFXhid^Q=cdZrIp)}!B3@(P+-RO|lz!vTWESP{n>;~z_n44UAkym3%WbqCs7*(u zJq2|b9bq3E*7I%!l4C~+GSWLH|Fn3sB%p~KYERWTG4Ue&_W4cvBg0PS#8%Ly`%)0? zDmV&?NOY`gvb%X`Au=I(yS(e0mbx+DU#sSS+_Em(v7RhjxvZVM#Vx(1hC{lBeDpe; zn&o6#33RCQQ$kLZy0gE?m4O0LAAfr2HN9_vin*=++}}K0b=MuTJUp1#-lCh@O}yaQ zCouz+Wnf=bKw?R!Fs@&OZH%{2 zA{{O5US%wwYxJ_b^x;>GpufJTY`=5Kz1tYQZ7Vnjv^~iW*1*@EK%b$c1YQ1)Lg==# z6y4E+c=B|a&|H(gwGPfQCd$q1EYHQ^-BY0&qZHoC*h2}-Ce%kvT)=OW#Rozkb_e29^qrA-T{8?=Ke*3~Bz1j+$`(vp-$B=j zho6JxZf=nxH8qlIC!KG1PCIL*lru9-dPz!-nF3j@%ZiOB6fi69UVJvAjN)B|scEGT ze62>@*7lq@bAJ`AGq4W2FA73nIhK!m;+b2jR!`Pk`tNgo(^%&5mI`)u_Z2EWS!--~!wf#f`@T6v7tbHKI{5Z=pb?dr6p34_UtpJ0<{<6|bOo@}+^Ss8mVD6>e_}yEvP#wXZ{m6+P?|hDg6y zytT2xF19Fu0RvT{w#PdbObCnMQu96g^X7qv6O~k^s!5f3uM8kx4bjs&#O8eOse_#V zmI3}pP`D{kX;b-u2R9}A;|^bLUyzjU;gTr868GzdqNH}TH9KQNWK9d-lbP|!Upjq}!w4bJM-WAxm7Wb-cQf#WPdb`7^t;ke<#7@m)`W#9 zlO<~h>r@oSp0en|?zkWn>phP706GzSatWvRiwohpDmm_jrnA252t8aIr@04dOex8}XF~aZ#9a+IKq;I&M&qkc{8uM96*PU1 z`>!P9m>u{BbIeSGnC+w9Z&P75x;zz!Qux0>c)zQC3v;p7IXAW5!(% zs%Yak$iWn{{JbHnvsJLVAo$H_Y!Dl%&j%?HU%Is*@jpJMqMdm6julCsY~sj3j#wOS zQfAcyO04M3ryazE20s{L9kV9ynD?HdVpaqCKG`77KbFt$2{=-CBO<>$3JH`oZX4hcg$}(|O3S9{Xbz zRl;FP+~i_bQ3;_by7n8+0U+X25s3ICyJ)|OQ~Kkvu?(3sPvZw zhkKy?P8T2W^x*mTOA_Y7*V?xa+7aw`c9!R#Med-$E&3jG%N~&XwB+8s0|TehswS`` z{x%8ymcnpK+SPhVD)+O_K2WoYae>V_v?46Buewm5kKd8xad-M~QO{9l3 z3~TYrM_Kn~9JWWUPUkfyFP%t9IopP<;`WH=bJmo0f+wjuqfiI)Pr1{B?;5lR5@` zGTMK8#!$W3-vl9CbPoLj37=c79PZnEz;Xml64qIomQ1iZ74%q`Ae#DT6HK>mgTpWo{EBZ~vuLE-qk-e;>pzaCi2v7d?vwtkKQ{c&9nlm6jbXrSK+^1JK{7heM4Y38>iV1xaasDh zX4h%II_6H^asAxQrME`do^6mA3B_tBochoxPTHnQHq?U@CmuW*d5Y(@@bX`LKG6UC z+^kqC47dRVltraaLkyWLB0hscyW1o(yoFoi5s$^*@~YcP;yW=l6d{ z?>=St{st%hqN^Qrns6Avs}p@P$XUwJe*iyExl7{Yh(tECki<)bj<=csvK&joS;spH zBw^;-t-N}3Lle@ei{5Kwda+2D?t#-!EoGxg9~Vt*FW=yd&>l*l>pqGkyxQ)(t88A;5>c})MZ0YBr5JP! zeNFJFA7&0YY>1`mN|dI>Obg4E{YZi%;U(($05Tn zRpf6_{1F=>>yCQDlcg%HYi3Z6N_qOZ)rSGujn(LF8DUMBIf`{v&ih(=*Mb)LI^7j_ zn10ewI9*#%=doiHAjKvZeHeU2Vo|RE@`-qNdh4!@@Q%8{UaM^pnD6At1(%ESna&$q zbJFElQSpP`b|>Quj5wyHn;>m-yZqpoax2+BLi6+l6@fp4)eAVD>H6!GQ`kLpE1~pS z%Uc(NLjbKCRDZ&5A`lCuWSqn>YJ~WlZCCOxRaN{_>v0YRcK)01QK1X*YcPm>w|H6= z!r|Kaa3p1oh}5U4+FXvapXI@eB^&Fv55JT#KNDRciFGyCjPb|(jDj1~HBW;*eYneDJ<`!kKkC-4aaNoj=4;+l2nm+OC;a`SFMttvBDH z#V=sDkA@!{cv_O}Mt!;iR?0b@zt=boTUaLsms|LsVV!SQ5uAK*B60oCzo@tj{ z<)Q-=k%3x%@#`Gu1==^kQ@{*UcqeS#vFq$PDr_(aX9pu9dhhEpw99zy(C+)Y?(+a^ zo$KeEX}T>uW8s&sxz7R|Yd;0y{<6GhUq8J}efN0TY}TyX*5@|;+%f8rZG1r5cSdWH z@HvR+j_*v7wk(4&@~#_*ly9N9W0)wKOamOT7|~Uqlo2@1LrA6 za`rG#S4&gO2yznO8O7)~H&2d>c1v>1Ge>fYe4x&Gh(@!o9kSnBQ)dHmC`g-?_0b42{=w||{+-tne=#RQgI`WVrXtN=1RGaUS zd?h=OY0J!x>wo-FW5?K-0LI%5r~>qn78!4WPa5`@)y(uK;bcr3YUbw$BA0sBp z?(m5n0Hb=e3im62iSAeZT37WoM%ui!MvcrqS*5E4WRo0NI92v9TH=3=0vh2_brVbe z!bn?K7XPTbbc#2f_H$sR_r?rUON=EMbg#+eF?uRc8vVg^mHB5BYg5_(4_~z@y;unC zngBL_$U91A1MfEJTn433%XoRbb(whs?{-=CKZomo0wVMNpr%&HP(cc=P1WL;yRV9) zJ!td!n-}_5Y3DvOFJWH}c^p*)tkqA$8 zmXpSX6R{JqS1Nb%!KS(Y9CdB3|8E|;{|vDDfBQ89>g(B$jBH{}A=x5N%y(CZW%;)o zZu?C_)sQa1MZ0Of0jUvD%(XRPOkvm&LPV+L&w%BS_70}k`+tO6{|(q0<-KOg_yASk zRd*#w(~@l8RFiB$U6WbvQIDpYcX_)w|#0?_8s^K?!3bt@@59m0QuYSx3)pUAlfVT7q!eF1fd zp`!z)2`PkBCACmHN|orw@R?Cxd3#BM-Ies{m0h)zOv3kL?Yp5uqF@GUmKCB}=&oKZ za0?MBA|hubfmQQ-bympKs1_w4e${D=?j)J)rWmmQF6JDHvZagMUk&3bd`b}D)}5eb z(+Ok@jXJ_)^iZ&MUb2p3zrvkB+PiO!!rzsXE%~<71Z_9ImS~@xa>utyuCKkgdjy_c zn%8n%L{~!GBpMHV-ZgMl;qEH_NF5jW<2ZXy`t?KilP{ybS9XeHg2NBg)J2ph4xJ7t zMe9SJmX2Crn_Zk$ib><$NND?FzdJoX{qp=HzSqXfOCOo$^Vxb}Rlt=fNGIl;W4jyrrKyDE1COl8af$U4D@jb^0}Gk`KFiiZEzgLx=6%(N{H3{Gb02;3U15tT`x3y@I=GxYFKTJ0(*C>%5_TLKGJGT#>6P2`*FttFoUkktRBk321|6|^;w!mf zQ=h^efYDn@0PM|N?QovsEuAWt4dLKJ(mW+JIb63qLb-k?eRI>!ISP2$(w<3JLbjjJ zXX!Y}9FLf`v7gmSK^^$XfiFe;eqbj;(t$~42l$%cjm#C(jGi)Mt?0o1%7_d?yx9~0 zZW)Rjgnk~iZW?-)v9zv{Fp}s)D%<=@j38}Jf{*E9nC2qXUk1J}ZU8IaO)}dvF^l2O z?tE2T?pIhDp!`p_p{*ol8jf zn@phu3cK@B%cf&D+OB>jkm*7G>8rTg^#!4koZ}NoxzT~pu(tw0Vt{XD_Ua+8#*AkG z;N1d(@4)Pb)jqNQ%TH95PEx|UlBKl-mIW8~r;D%lX1t1vu_WLS-AUOj$yW93TT zA0;TEw*R3n>|?OXQ^IFyI`_>|PEz7E>Bb?=%54#y14R%e)gRj-Qi*LJq12{6uqH{h z`ggCyNvT}FZ8M>Vp-@*Zr|u{fVa~UC{<^PzJZAO@;#)9mTljF>NWOpZqqR4+hj>BF zktOLX7ZQ_~gc&XUEi-e;H|BUb_&o%)tG^XVk>ux!IDUb!t;{avDQ1q-J)99CeUu#; z22s8s?sZQ{^shao2l=?<<~alsOllN(=gY4(dL_>FXlB27;^y^XlZ6~~8aHX>0uc@-6j;GDM>zMLMz4TJos%8Biq>5g9o|!FS_$ zJ9vq9wPBMAwK(tt>O)23M?Eg9D9aX**n0HoA8PX{U7r8oGMY!kQ|C+;IDEwniTyGW z9%`3Da=Vi6f4Co9Uz8!!89SJSyS`%rXkooO%Kbf&}=!DeA-J3u= z-yM(9@Enry18yfLL67heK#_T^A&N2no>CN~tXD@1!beYh`Vki;foO8Zij*V zhmmYh5|M*r=Mtf#-~v>jGS|k0N4aQW+N$pN*uM5R-Xmv>0>q7%Ms}^f`AmV6>+JAQ zU<%N&H>nx&8$>v15xgoTamz)*CQsi;C2gU3bX(`#@31s2`CxU?PIs&pNSBS@0%&cX zeoq#c{S`C}69b)y327yNO$SNtYqm_CaXa>Ft{T_y67EuDWF_ty`o7m$)N~c^3EucQ zQkE1pN9Klu5xn1_#yoV5hm|_}^RbrE#)`vBv{Mio%CKo4iZ4lC2?^TwZI5trITNPx zvC(;+g_i!}&^ic9nXO_7IQ_awQPTTeup$mr;Fc~|nu=g#aBPU>`*2J}1mP4D;Rhg= zqhHe*|2L>sk4RF5S#ISwi2qTy1nps%H<5feGqN<0Hi2{9ySIV)@}=Q;AxS)$fGOYW z{?d+tWH+H zX1~W^A6$~2AzP1ICHSTodxO>}_A`+fpeUesYXf@PNeTVXbDD(TAoXca*s40q8)?e1 zQ_sXf!D~@x^xU&Hs)LaKBp)KA)ztOOcwnn_X`J)ucojJw^`tf0519!^li~{3 zC4mqqDSvy+i^v)&Ub$dTmPu}ne5vsl6cVPdq?JUmUA;v0*CmX$qfZ#a0ZpVo{d$1m z8b#4s0OR3I6{KFPUPXFJ&?uJw3zZUjxrpef(2pD5xI0D&OpuWn)*@oTsW^FD;*JyKSo6;6r--h7m{} z;gCX|43YF>dj{I-NiZAYI1=VUGd_4^m<{7`o}zx|#>c|^klp@BRC29wN}6L&+jMcC zMkeI=O!L06;M%r<+D~Q)D^?rGs&(Texr@dUmc54r9A(7#z+;(YZg=L(8)=5x90`f$ zA+Mmt1v3mnYbCTg4jvJbfmhfsa#^O&IHkP1me^{L&Z9A&M3EZxu9Xo^^-#My)@-Yv zNo9Rw!L?s!7f0O3gCugJ8J;5V`D>zVMc#Ro*2YPnj31rM;heG5CuqM8O?5&@)^IYt z{w8gOjzM!QX}BJsI%L{7=FhqslR)Y)o!Bom>F7gVsKpf)g5^WR^{lD`J4niLaC^#G zP|Y$(nr)Is;m7GMkE36C$tR!ZIx4nwZwi^XlUL%gwM}J+Ycq}R*e!SOq^4TrKkwX| zTfU}QR=s{!bQ()F+?r?J+X=#4j0NWAQbgT%Jej>YcvJ9GPT~4#7|qsjh0Clv!G>%C z_h2$#Gg$f^&50(?t|k82kh#!}eT5kj!=th#dQF3d+NSW`prw+cNwkKIg^RHyEn!CXu=;{ zXx3Y<+z{~SH%KAP2R~+#joJ1k&PwPG@LhYaDb!W1w&S4gF_K!+oBc%1eV;d1+_FF7 zrW}3~o6E6f-a==`f}X7IOBr#;v}A^ATkc+BL-cM(RKnNOoQ1ZV!fl(3I(UfEG-g2C zU`fK-O-e8ExQLKI27+hPYhBaONP`&~=diBBw2|Q@U9uPaZ)FGiU&_+eCr!t>PlO) zBtbFv%HVsnF*(QE z`1gn*ertB!GNcY|9wt1wYz5>~gU?PPGv1IhV`@=cW(Eh#(k=HAFn2bM9uk4UNJ2HL z(^SOQ0g{$h;izrAdUQg1H?Q<{>0&w^lbgA8Drc;h7Ube<<1d-4Mz2s$jx(?tQi~%(|jRTEK*_BL~zX*^-ZTXPB+=V)lf9+@XUUqf&7CWytu_w3x) zorgS7p1LE2oXoFYg!6hR3kfMyC!2n3SyYzwE2i&LYNC0fE}r_;igUt^3l=?h-v zTqbeIB|1}67v<8lxu8r!7xmJP()Sj`##hEKq;L4fVpwH3%*YVP`9_)zC13vL%C@fb z7REl?HtWd!t}0vN0w_e=UTYPk&OOyo!#5m1MH||?6Pe&TTI$w_KQ@`tOBMFDv2bSw z5wZj_$Am~<^SJjp08_M>GZ=bz`&{5d#OESc$@-iZ!Zi18+up1_R`vy| zF7?QT4q|{Pp6^9EJdrHyDVB%Nh%(DP(~xEXR1Ux$tQxKl=K$Ig>_8Y9`RGj@42miP zl*N~!Yj`_+kci&>b$ZnU$n8-P1G#X0I?ltmHHG^7RdvtRHiT~*H%{TN8WEKRWsuy} zrPk8#aen#p3%6f-gA%x=_hM!D<2sU}*Cff;4jDTFX;Zo^L``=kHCcfcJ?U>YPgh?35fptBTD;Uw=`&f|9+?5P8BR7dHc*maQmh#dJMq|8}ljXmCj2zSU+ zvW+oQA;qF0ddV)*LUAPNS;e5-lV8m*8I;Yge=!2C{9(Av0bV(D$D5odLaI2_Igk#u ztrNa}{p5z3Fd@JbgI8oW{M+ZaMLp67oJ?HUnCa3TG;`#`>D`RfsPgXVdP+OFI>Z$! zWP04k!nVd}PI=R4_UpXHq=bs(HhHj2@S!bA8Hge=xYpC8t4q4Azb#AudSvkAJb~q* zgk=7Om-FuBj6(jLk-s_;==m!-Vve4ZPY1Oz)HdckVWL!FmP~Hqw5s#p5qU`$8oj;T zHywk>yAi!+DD;0Tn0p{|&}qw~=5#HSz2!W+31zrl_O5pTowW+|h=-RlhVh&ZGas5L zRynv-!rR8HZX>Bu*KX$t#=+e63C*z?yX6@Za1ZGH;xK<^?$J~qR|jVgl$V50Ihgbq zD5SeqZhGwM)IDm@{ucV$__r_yt-lZ-*qnd3U-jME`2Siob>yDY00Ud~nbfI&yzt+7 zApf1;nBGnf#PFnMK4t%dfbV3N?(vtSma8`vdOX#%-#(fvG{kko-Db^;5oqmvD0NxP zzlAOAmH*MS{oi)mzbv=^;Sc%XZPR{lZsU0Ga8A%dTS+Ae-1_dY)L@}(Ve1vyVP}lj zSt^LNc?#?qQ(ALOSXlKe{>OqN3$p_Hlb)om!W5Q{n???6gT;nw#WCT^sDjxyb#U;@ zM& zb?;k70IZj&^OQjaK$GwyAvK*r*K_BRR?{DuF^a1C4PvS8vpmNH1Q=sPW~1Hhm?#IJ zt&l>n_3?Gm-W++!B#$u10LKTt&BFbYZO)XF6>F+IBBkc`>gtR++U8~mYZH_MBTd2J zBSK>m0-RPI0a_>kYTSyo(3-=98bf9lwKR&j6rn3H$7-(Pt*_Ooh z?)0liYDTh6-pF~L!;}>3C^A$$X19$SWp+EDzRp3U;u!r%gWL|@OW*>2F1BZRtq~*) z#4!_?KuJeep;WtCyG?thx0Oq#Dki3;KjmzXNjt40y+|?UHTFV0@$ZQX4YW9xd!!uFIu#_zhdk|&5CB0iqv##;kj|}@ z%}6DqnsETZvNmimGBU+8QZM;zRKsBDTUBY?vfoCv>kmRDRnS@at-;`sLgUouY{FbH z`a;V$dET@#qc6;G&`j2D;3HJ#8YeA*`?Il@C(fTNp7T7cYj4R~RC$1(zYtY*AA`<+ z(eWcn4$d1MNCCOpHv2+J6Bq|ymOZC=Rgu(7Xw8#^&4KS=J}N5Vep=ZyvwD|bJp23( z4cS-x+5WK3)KMa`pshxEgs_JY{T=gX##p2*890z*Q7sRmbFKL$WcvKN2LbWc|Jno= zs#r)j*5iLl8?2?DfI+PK%i?44-jz#{P>+dMzMVIBwNo}zwhf<10Vq)Sz_FvnyK;2h z5*H;*;J~6*Zpk>mbKz#{qP+Fydx=eCN@5{H&&q~f^sZ1VwhAJ*Uo*UXHJg7(MO~F% zV+rZBV)Sk&kvZ=r;0M8$g5(iMd9MW_a=VOf6ySRdw3D@sq?*;;1xv4&kniSY+>DN1 z2ET6;2%()TG4eM_QJs{0SPW^_Mfi`xG8^qCJzC`{(th+54~eh;8VUQnBRfT;MYw=udw&JK}?KeyDBhM&) zUxM$lkDf~fc*jqw)768XYe6Ydsi_ITq& zmgw)}&mwU!+VrESFGtzKn4FrIPf^zXx!eaqftN6knDZN8)0sNMYLTWNf8Z_PX=LL7fB0 zTMnH$=fBbG$f9v3^~qXY&SW^u8I;n`4D!FshmqT*T`VHV_G9nj|%Tzx-_g?2P{sD@{GGnqVZF%+1@62FVM8h;-L4e+}EJ z3MiO0+bd9;d>w!ECUoe5;;)0B{qI2~qEyr@7ecfC(#co*YnmZK&Z--OO$kBFTE_7f zu3WsF)}NINZG)A*V`zB_5=91Go)$?YZOyDJ@<}EY+rw^N4 z2PzGqk%qbcr9psY+0 z?gLil1P3TD^Lo-@zDR_45NuRChvPDb`I_UHr&(r|Xg2q}t-qcz7hteCm+ua_TDe@D zm%5g4N)_^QlrLaveb-hQvQlQJ$2Ms-YY*`d{e;MUM{875*Dx*EDomBUrQAJ$_d%cK z@qq}>!OL}kUs#g&4=dN-#$dqm3258L21)?&Rg=&wm+Jq4|LY&aVRMl?)!aw``SuyQ zbA1K8-2`-_6cusT(PVd*(EiuEaSq=IAiZB8r9Y%!F$AwUHha}(?0hxmndGa|B?-8e zobG!TE{5ST0>ryLuG9h3?*bF4qx{!A;1tU=(Ta!lvNnlLX)NJPkM;45Qu>JeaeryA zULVum*r6h<-PegI?S)M=&%I}1r`hUxu|9RP{-qe6X2^Jz5qDXJeFYy$YZDZB4Nf>` z0g1mbN3_+t-ezW7DEMWXeySKFfB$$1UQ=qYK}W3NYP!UR$}HOPt+?{1(Tw!`2s76~ zo@R?3S=X@22O|4G50zQ)vq*YD-g<_yHx{T&p3F}WY&}HY1reCKvFG)-8wdw?!0Nchd@SgyrwxOPQWx*YZBHrg_Y#^YJ4edt|+vx{cWkOZZe3S zI*+g~dRy|rI)Oy*xi|k$`2c%&d#3Qc!Mnx0hI+UBkS4}ggmTPFE&W{v_3flqK->_V z9ug`)wbuHPFrsL&*U9ObvOcN8oXImiPMa+HNwgzD!_XV8RwO*UjR3Vpuc_T4V1Gf; zKI?nH&+@>m<5zFulJ33Ak2cn|^7n8?)V=4tdkJtadZy<@W@}@>bu#I;0iISY2I=u= z60Z^yGQ(KtK#)$r7Oic;7qI@*Uup%+u$O@!$T`h(=-GVD@1pGzmfF?Z>=v}lnnBE$ zqo_$YlVgm!Far!jEwp7_cQP$4)3x)uCG?7zsdjPJhRL+DzASxmo53MnBGe!^v@?D3 zwe|-^ZAhiKK=R$RIe3($h;5}jROq{bH@BTzv-5f?sVqPGla&xc{tn+MD9sy_gswvh z8R9p0!bl64N4*!4K)|qFt+0iNqd+mJt zxOS0-dc7e*lg6JS|GNu4MrEjbkiI5jBl>jkmsp!1#QxzV&uYyKk6?^)QKCbY{gKo5 zn~T??TcSEWrRbtM%AjB7+Yz-!LWk%trVhsS>L$5gHAmTk#0w*-us}&!Bv}stgrI>% z`x*gr_w;~teTk_tOWapJ&ebCKF>T*w=-0fA4jE!6ZVz=s^BIp@O$9(Koci_ZYN0gu zyK|$FA%D<)xnGa37exipX2&e_e}f3H5#4v;;G4uIfN$Wr>-(Py7k^-uarQ~NdkQW0 z(3{NnG6+ukQ|IrVpI6)Km@Fwdq>n#M9Q;1g-ZJ;BG={|*n{I0V;W{trjU>v`&qru0 zyW(@Py=NJhLV^9^WP{bC$^~rm*g?e~8hpJV-(m7qX)IKlps}PoMHPTn8dyQ<-`LY< z*VKoPOsQgW`6^Leei4}cLg3lPi!_?4Xrcd%QZp8gTWcJMz9VOF@*3+IAN*D+`ihKA z`BLrl8}OFu_Bo&d&fLkrd^p;ksyfd6GxK3Hwm>yD=Aqzd9H0qCt* z6jLkxl_tQFmc^)f)A8@=lqv;tKb1qqnB(SH?6pdN)eG9jYa(BI0Kw*qN10%sfZ|0B z>cXm|&+qJl4&oS&D`$WO*F7P(23~B`S*>Nn648w9#BuHYv-FT@@WD^XsruBfU)7jK z2S}TQH6`4{XZ&R8;9XDvuK_I2zHq1CMXLT)*=qh(Uv;*hV*(=OvHRxwpub>~e=oZY z|5lp+>u zx8Du}$g=kR^sA$P4TBt<@mDC=k(Ql0qjfZP5y6Ad_h-9xQyUd93da3i)DPijVYB%TijcpvA@0VB0*&8fO4 zQf^jZ8$3#4~WgZ(Vvcs(X-mucEaY`HQA1963S^>eVp+PL>dzj%0TjS5~QVi`xG zvjm?#YWxjCY1A~s)8*YwKX=7$qnzwXw}XSrt$is`ibZI+u@hWblOQ_60N`zAse!2}l}rATeltxpp*d%S{XjtO#WpOfZo-5Qde@Ywf})(=qA=5{4| zb&SDR;~bhyZbuu=|4n5MYK^KqzVMBRK7@T@d>X*0YQ)C9W3r|)3Aeb1o+$ki4I7AM z1oTMqffE3vK9>ZQqBDO7zm+(UwuImKR7m{lUtWxLjjhu}$qHg$f~_ZOY27bip4=u! z=Zm)@-GVUMmn(6DZ)KS=(EnXQ^v_A%jEKq`rd*-)2*E}1m4JDeFp2HkoMyHNrvn~Fp<3RGWUHl&R$6# zq2aaCUxclaH+6Fx@|%=2l0z6H{aKlQ;keOaW>E{tXmjYqbv5l&J0__&1|*gNxjN}= zoU|yL>c&)Amap>s6|oHjzc86MT>^FELxAv2=XkgkcYL|6bAT<65Un_{>5rpfp3T|(teb+@k-dw_IUjI(RHG@;tfP&_yecVaLm3e%%l2ld zW~DozmcDK4^J=Y$g-#g$OjZb@{y4`+T7vQIeg_Z@S_0#F*-{(6H}a;qE}KHRD5{$! zvisrtcpRn>oYFV{EzW7BVQ2dZAL{t7TN{{GG>rh~oefk z^=Qt6OLr*~I7}TVzRszR5!35D=TJ??8M)slSK)Y!@pH*vrVioLGWlryQ*HP_&J*(2 zX;hOuea;QtnB6b&LtLXLk*@(s6AoZ;@XWURcCB>o`F;uuf3 z4-|ulM2Ml{w0}bNZxBFg^Vv9F-n@)tbUDCZ7ahS0cziExIkbWeDIHO1I_hcbSvWsn zPv_N3A(kJ|-keCzQZk3rNhQ>;jxg!JHq>vMxUpUj;Jj8nH@!SOJ=A^~F`V?Z=W)W0 z*gyvz(jxf$Q1^}${sn3S?MXkdfSwo68Quoowkf4vv>gw(7rWGEuUJwWLE1Zo+aA}& zn@Wkh3Iu!T@w2#zlm28OYS1(RSz<#t6%k1-=`Z5fO!voO!2L*K!AwKX%3HZ73+;zT z<*W6T_V7xU)@ajV|6NL#aq0M`!cv>c@5Udkb@-B1`dklRSVl=RO-u{m_ye0+=>V!3*vn?h~{fFD13tQ0&rf6r4bjWwTBIzwpy z9w2D6r9S}#L+<*%;nMhMT|Pu#id{FN%rL0nYtHXe5W=1Y5HS;NvwuEDU&sKhAGOh< z0@WXM`zmA!*k1((mvooTDL8bzHmWD4`@$N`r3NLD+SfEj6ve~WMhPL|Tc)Y4KiVeF zZQ|Z5-uYWGLYPv5L_6uuhCP5@Ga6v1DwhWiJm3z9dvR!ze$IPy{_DXZ4Xb$HL3_tpsx%N&p?E}1{ged&6f3dxtebtE0 zOo!I7VdKb1dZr9Mqx>NWnDl)q(zz0s_O#4;8Aquud-(Vkg(p%)`#EGsINQgB)Xc$( zRa1b~e~9xZ=^7<7M!{od=BmzJSw=n!6fP0fJfMz|C_({K*en%EJSrsS$gqrBoY{Sv z1xksLjy+Lu3tzCM{$E(^Z~5`e52FR;nZa&lSX&*`(#4=ay{msvn$btkz+vJ-cQEb^ zn%qc_A-^aFQ<#j#OyJgFkTH!hDXa*0& zN=opX@AC`Jcj)d3PXmN6U_|(k1bIN?(+`ebo}U}Z{0s!k%vu=EyCgFTIF~NgyHfWl zx=uW5b-7j;uf=mT^}FRC+*_KOHidi+rnmB>GLTgy3sT1Ls5sQ^v>*pa&}~!xLZ2)x zh7$PKwz{6!_=B*F-=_qDuFz!ZD5E`Lv}^2f{txpZF6Z0# zexE;n{<&*V?p!o$YHa$rs~1e#7rH}+rnRu+t3F-KP~cwlQ@up7S!Ykp!(Pu9o0%z4 z5bYO5sHDMi^>OEXbCeFJsU~HoP|wKQ#JtAFA+eo28@B+*@wP{hWC~Ph-rPJYLKQKR z>r<%W)y4ujTs+eK{=&3dFyf64P9j2%s@FGjM_hh)hQ@E#fWYeQA8ESCaUncTKZ#q8uD$VPa0{)mineS3rIU&$Fmypm9ns{2-J`&(~Ak28X&f!Dm2OF z+7do550enkE}9fpyz^lC0+f%x>|&2Ij#r?)IH#G-na9|sOS1|E=4L}I6)WmQIc3K~ zieGJ>3>@!T6d(`vmAc=Sff)EFWI-N`EpU}d!E6cb2}X@cE^eNh3y@F+qP(YrSWzpM zju>aXgbeVYRZRvC-{#vod!O5#-?Dw< z1P$&IXhMPpf;&m@put^3fZ*-~4Q>H~ySuwP1b24`-iov2S=P17<*ngV?Z1Xma zh&Et|5MkSZjO({z{{*hSTR`9aL~tEuI-AZbXKaDv6Mw<%R}OI>#W5=U?Cj%7uks)v zAx@sY)qj*h5{DQfvKa(X{Z=|G#VA;kABNip*G@pA#JDwF=TAsc|kIFW+H( z|6$Jh#EF@exzA-?BlcxBe@f4eqt~9kkhEQP6H^|3yQEbu;HxWzv->`MvVF{`l$-Wh zdrB~Ws=Tp1WoHnXyU|-$Os%tBYE5sgjkt{XTn@Ok=nE&dWB^?kUkA(Z)@8}d65v`0 zJ$@-%YG@KY@+PziwAJ_(PCK{YMbO&W4k>@Ubs%$^pR*Z=rZ=r!bkA%CXXKt+i>|Hc z`IKiL3iOICcO)74O!B!!&b7#z7)@4e+IjbXVG2?z0V%x!{eFcs(t*Hqur0pK>19^r zZ$ImE{wVKW*b8o$?x6IdfcoUW4pEpocV@OK4!wIJSD3-=y7O%xeZT{I^*%cP>WODi z;X(1nJE6XC`Lk8>>)-(Ga_-?`t8 z!%Vh2vAp;oC=0=l;^o?!8!(ssv)8OP1!@@|-U?OMFrwT_{+66{%+zO|zw)N}IK?V5 znrkud;oac4yDV1y(%Yp7F}HovDf~%t9sVF&)!fne2k4U{cZ*Q0Z%dykKpG$LqO5I% zDE1hjAEvC$^6(PS<>b$nL@W@r%D&LuVNaxebr5y^yFPYX4|SpM`5|;Y&s57m+?VW2 zFXu2ph)N+$LGl?@I8NYvJ5XZ(?WzPI1bx}O|onn8>e{=rJZvW1t z`nOy6f3ZctEE~9ZsiAB`Z+E=fpkeU{vi;>lpr%DkP@+>Si1`gGo1|KA>P++-=3Qpb z9EfP-kqb~?I9vi3y+(MN4fCJB>R*#7#HiE=|B9~g@1Lvtw3l?}97cOO#0_Qd1oP#9 z+G>W5DeWtyLA5PKEbk(AV>rc7HNx1;XKg})ord!po7?K$*(!}3s7F>~Qh!9Ln==HO zZu*=_-L;iRPHO9jn_FR*r*Vi|BeK{_;G;-SN&X3y1%VK>^a-X5XSfa-W;0%Mr{0oy zM8w4J)ptie|K5#+SCT`wYi^0SWc*gVGhfBj>@6SuO(^`Y^`be z=-elUf)v)KD(=J#dw2*DrvHrTe3oC4{m_ZAtb07&xSFwsp=DBV>C!u5rru?Q7dto> zXj}%=6X+*CZ^CT62N*m#6N$1II5G6K%D0G1=ko>3!DFOZq%2PQ|U!r5- zqIi2!XE(+pK*($BuNJIe62_<^xj^Bt+(Hsk*N!=1&9h+LKd@1!Cr2-DFS2@i`O?8O z{tFoUmmMD|rF!{)d}{ldCUsKI??2kZu-@?pe6y5uy91#E8|sn zlmMb&0Fbu=8Ca4GE6+|G`MHFg?%YP;X4sL{IgajV_7P{R-0KD<>oZeE@C3pgW%Wvn z#L{aFLg^e}kQdncz`P4hh`yl~-MbyTtOKmBjgh5$*J@7}{mrSCwt!UnA1|uET4;a& zaO?aJR3~Wte?tcvfU+K^3kmDRtu!d&)Fc-`&g zT71vca(?@GN|j`R10fosNisfRQrwe;?j6`WNZ*D^saut*~(&K5W zY(-I9_g&ZV<@Q11)uwY6&Uo+819s!$%~R^A0kf_APtw7yunYEORf}+l`M`FUR`~Xf zt>Uef+6Ou}+?=M>RIjK4Dd%OTZ76V}=l6)a|(E z#s?9~=r1Imt!qiMB9QdGoU2`O+jGh6!SvHJq;qxac6ZwMW!IMVvfxBR* z>uvDr*~97%6}BqG_1giYG3-&iNbA^9CfD*7e?#QQ&Efa6JSKf*_I28zF8u&uSQd6P zx$f~z3S}HjWnlh689SP7TR!V0j+UgD%lMo87|N$DUFsyOEnj@g;F$rB@|)r^G~*Wa zR+=SxT|9Wj3PxPf4(`LmZKTK8=i__ggl$&gGUqjsdH7T_hpr?g($U+=2f*RN9;V&- zjn&JwxVO?v1=OLnq`rkbDj_a|1_DH!e}&Lk~27Zc84hTYb*|VnEHpA=!Z^V`#ZiuMcO8ojqC@ zpK?hZXi-XIMjT{>%!CEiQHX{BirzLni><`A;DtW>Bg|q6;oX_CQ^MDD(q03iB5o6f zM8By;A;6PeCnU2M`np+5OipdH1MR}Eu=5@f@Pljo z)3=+6D_>^U%!5Aqhn3*9riu5NmY{=9b9+aiuM;3g-XOAeu;E>4Pj)G?!1K5h)m+y3 z4Nl##0DtC20LS+r5&CZLETgDxchrxUYS`tID zEggor&r(t9RH!a+(|Vb)C(rmzA};zOJ~!5{v8zm98DJm6vC|g2O?=G>tIAtO7;03A zrH2h&yfS&9r% zji9I}PSeW30@s2SrdiHt{#>$OZzOQ_jVeS^ffIpfO(PCIYS#-S5hC2s-4z_}@jVQl z;zwk5A;6T-vh>|bC2FUy#w4v^V;O~DWi27uX^P9v`F`8S7Oq>~C)V#2Ldup&LY%_o z6{MY++ht$d6gH(Bi(Py*R|8OO82reHV%MNKSUXgGv^!A*x3#9T)>F@1Ibd&x1+O^eA$u&;-2lZ_!`>ANX` zRcQm%Tgvo7-*;SyGHt&i>1z0~Wz{@IU87g*NrR(5&atM01+~m97%>8=Bjj*|e>;s0RAGtEZ-{|=rn#P8|BpCi>%71uE0gTQsUNpQ z!xs0bg_~<1j(9x?MVON;u**K&&GsZQT12UcE8$A7NlJ~9ZhmUB^@1}@a|ugHz^tuy zG{KkMdTQCVaYMms^kzN8qeNdfahnAEUm=zLvyiPrt3DxNqmq}M1zeq=Hqm^^MrGnz zy3Q)|dWr!vrRrb?9|-6mig}?;%GKFu>r~}Zq2WR8w7smR+cwR;h6%qoV~G}bz)wik zZDEiG65-p^C6Hb`4T$?h`hgpDBY^vD^;z0A8NcLphaJ$kF1Jj4*Io$YiuCMTAot$x z&C!C1?BAeVD^FnLDi4;H27|)-Qv)|IyNcU1yti$iyY(FOir~zb*AdN>)3tQW zf@^e|c}ITHMdE$eHRfeeEZJ!X+LO_zhQR8&y0fPHb9GIhDeq7KtBaOpGb%!k+WWW# zl3#OZKqchC_EMRx-n>wMg&sRU4jf0ub9reP#7M;(-G`7O70r!J-_yYr-@iS-qXsF9 ziWkoLA(zL&64RvOBWc)$7l%M)#d-6vO%q@=^C+?H?JGNbH5y*}g`0{~5CL(qtfD$G zSg$|RN&vDGgDbo1Wt$}fxNdhkvhzm|x}6y!lu6^=Tx-I3f4oMEPphOt7xvI_hHhJl z5_r)G_@ujIMQ&4sQ+T3A2Hf?Z(S0pK+wTds5kwNB28PzpaFT!z8jt_Nd|g=VtP`2o zYrKzy>pv~7+%^q3o`%i+YjXL&5eok|6UP-JI zxCO`}F$m7QVAJYJXP+zd|a>3H!?&;%{oo3XKncfWiX$l9u~6+Gef} zGX~`)_dZl7%BA}8^^&lIRymQ`R8Ny@tp-|x<_Z#sG7{JmN{`|(F<J-T|0bo8azLsst0hCW2(=XYorT~(HGCl=V|M%7d&6bVt zr(C0XRl)quRG&ZK4;Epu*wL+sbr+@$9o2KL8d;DGU`cz~Q~|`QZ*< zOkqemedRWmV*`-ZLrwM4UZ^J1*ey?Sd9o7Eou1$;RqV@vQ_M583_v#SV3spGB5`B8 zl0VG_q@9q+4J!lD@OoU4wPyHmH7ijT&00d|ysn)VDTijd{ZH|V#OY;BK~3wgTVa@A z@Jzy9#V|>Ry&)3Y1ZsDT#Qz(D<-hE^=etGGG3Dcnenc(Na`_bRrLFT$w3WcOtZ|d+ zmC6*|!Si@+a>nmjvlSU5o^q#eRX=cYCtQi^X3-K$sZ5Qa?hZ$;*jxj`V`j_R>vjr- z1y+>}wYsoMRpM8`cl>b zC$ZOR5gD2CfmaF7uV3Q=*z79Rb0>5i)0NhhW%(0H_SQ_J6q_-2BS0`$bP*SV<$o4- z|KC$ePC$u^i9@%Z0Cyx=aL>F>AMk zeVVDc%c{$m>-9zD0PbCr=tENHjVur|5#qy~y3HP49}cUmWZ{Z@4(3@_Uc+3y3vbf> zm4~PnvTC59s4w<1bw@t>rlQoO5GFWqUwLDF(m=3kv8+9Tn@VBiXT&&p8Q{;i59qy6 z-Bu)__O0Rao5AKZR*KR3 z2u)S~qCEG#Dh(Y!aDR4%Qw+xc2Gf&GtaBk8&P^PPFjr3tX>Cp5>h?P$aY|s1Dg)4`T4z{9f@J zU@i^UoV`A$e#D%ue-hbIm@5d^BvGH~nQR$q*pgWU5;a}1ZU7d5`+)37s+!Pgfq4Re@nt#%GplbL-Ufp{>?ftd*w56Mb%TdR`g zyE3D16-3%({#VG->sp4K9|DBfLDa?izLk&aQ*|rHsjSQ&7arxDtzHN3aj^FjObbUyTuG1NE z`Pg(fFT5CGUk9YUowPhX4J7BTu4^4O3Z(bRVrc6S;>!(DlF-_xUH``6v_l6@-o0hv zwTgtI>A8l@+YFABK}ciY8oj{+ z-PGR9^%#Xx6V8pjMDNeM{DvJTv zfu#n`vWVh6L*K*csaHMo!;it?R(=}=MefqS_0|1%I+Fjquler{bfDMi&|>?TAPP8e z7fC(>%FNqqpvPJ82Wa>c6!+s4iYy`O+Tn= z-oA}~>jIbKg}M&P{$^&geg3=3ax{Oe^l<9vYim&MYSzzay}+}f z8wBe*3zLlQ7YSPrf&=+V#gb@#_WshsmzvbCVS<~AL{^R3C6VFpu0cA11|5c{ObpgJbn z_N7eB!%5wkb)g9J*Spu+aGx*Pr3Gm=sZpdT|R9KiCs~R&sglODrU8E>gISP12JxkZIFl+HenEc;@ij zdtdw&(=JweGx82nycm_~2CmR+-XI3ZYzi0SyEygC6VFvTK@Q_jX@%g;*C6wVPhR4X zjUJH~({=5Fnv(J;3+mKJzNklWtw1J<$VRoDPjoc;{$;l;|E?LMhCYMZB1b5 zuj;vZN;k}yHHE(Tp@aDSC@^6Jsjh0(=c{=}edZ2Lun0CZVPq8+E#A=DLi%)H+e>dk ziwI2sJ5I(cJ-&cG74|8jcb7_9({?hzXN<6i5>BOl9#g{uZB&Pb$PS36E#S0M^%Qp} zbx%5qt?dD*R&H!6GW36d+{K3;zaT852IafCD#&5uDmGNrsz`^ezX^|@O>-96Z!WD2 z(no8VtH)OG#nGZThUJBAtF5*MhK=lk#MBMP5|t=2>KVfyb-c~Wbzr_d%i5Ofv?=U$ z0&=_wD0%#}GR%I`!^kK|jA+wUqFb!c&Ol?iiSPIN0c;Vb&v>%4v!WiT>8u%^q9CRHfnx}v0nt=7z%J*G0#T>;gK*I4rSFK8?21ks?EDlE1DM$_XlpNo9&eN$Y>g-6A=RwC1(!h?#$ zf-`o35Rvds3KWB|uB_(NE<31E74{(8-q2B#B%;?}SIT1RFW&o_cGF)ZYpw)f$1K*b zFSR9EEsmRzalvWb0a4k&^WxP+ndNn0)T7tvyW8SKoXN8hJof?3*vk1mgT!? zHu~?y5w=(qk>TSG_T!eKH>aY35eNSO{H1XlahRXRSM| z9=PA;Ggzlitl69&B1J|{bT~Nz+Xh%S*)|su7Nb+Ejz1kz3r$3~dFCD09yX9mg}}Ra z^+~`+_{{=sO^LV4mX>l5^OClR%uDtJ!U4^!x>F5Mt5r@J=&0E#rFp3k~KY z(bkjqbvZ?EgL+FmWvCJdUK-v*J-Eb9`cAC;)KR}`>XF;CV)bKXA&}WHDucI5rfR-7 zsC!c>q(}z4l#G|36EeyA9pmPz+}zmk+QgZD&0^n}NZY!L-tQ#q|Xq!yJ2n-9)!H`eGAhrIa{24 zVL0o7$jXYZZn|Fou^fZ~**24q=!v~SDaEPIzVI+Tf#m_}kg&x(2L zXCL82=O9cxv0X421U4DNrmb1EKEhs}g6aoS_s%ds$P8Uvju@^2G z2&q`Uz*?y3laaB>GXY<)iFH}%EnR-KDaSS46uiFt9IFvO zMmiFK)*KWkgHclUOHJ)3NdBM4n*x=DTqQpIvLB+ulei(IO(998dc!N3O`CYyF^PIoK>Tb*TAy#V;ynz&dEGhSMf!M()fzP4D)VU#}xU{Fd&ZquUhy2 z>@}i^qYR2m+r8O3>vsn-bKvgSZ4pxvWDr_9l2>_p5oX1(OO`TS7yu5#@s)!jNBR;c zRW1Rh+@lNAf$G{ZYr8i(c)Em2V|5%HF%>-g%Ru5IirO52%+>GFp;8}Nz8}$bPrT(| zsqR3hXX*m_xcA?5;Q*L}a^O~AKe+R4Xj=Pe3JwRU>e$G)fM5~VkwK?lBYr?DqvX&d zsG`(}XfLqCx-A)$z%3WM-(A;KwA6jEG+P*N5g`hR**j!Qr%lcE7ioy@f;e zW(H4~gz#K2`eUTKOZ;XurS`I>o24RwX8#ri5`MpGpM0JeVoQOnYHxNkXWvY?8ZIPyq{?flmt-?^fj^ZuB1Xyey ztnx$LI^%<&rX}Dd%JKBk!tg`CB!WilFiB6yo*a)7-1xza&x(W;5KO+c4D90e{e-+i zqL+je_KRX1bua=$F=GQ{nS!I`x%raJWmc!p2He4fCm`GejVSktm#v~`Fgf)GDAhu! zkU^<<@v%x5t6|hU5>b5`Kh`LDoMxioGh+ukG;ux2kg~&;n^fZLoDH1~Et!~jogpe2 zDoH8(Lyg}^Bjt}IJHA*?wI3lOtvQ2R53R}OA7;y`3TGaBv;rAOnIm^&D6!0o2L(v< z5j&~bVD?4ti@EnIgN@sx&OGnZ&ul(UvRHO)1`B>;1RFX{$e!Vp8gj?xdDq~czPGV~ zkG8pINE>#1UT<}!G+#K>0K}OuU{*WfiWo;e7&G-x7#lr}h+Fh6o-+9z7yGW}Qlo*Q zUXiPD%vrO!lWp7(m)>5Q=hyN~lfEY5&qz*RcmW{>4DXDv>wiZ7fN(w|S^<<`0tP`& z%;o0#Ee|hKtsBQuM=f~H48t#qz>mMsklNi7v!RV!sQIjQEm4&gfS;b^+re}Nn1h3q zK_+~U@9Y(l?WRaz*s*f8W~MvGh*&QwKWy2IR@^JxNA3Ckq=Xyca> zqD2S(0#|f6&>+8hXy?9Sb>S1Ody#H%%F%{Lx^waU&Q1oU(Q{|M9kKel6915#MO(Ec z@@SB_*p(I25#K|2$=bl zxmOzi0c%~Hv6j&RAYj_91aUIt=xlD@NH1RV?Q@JHZJfd;?Trs6pOv1yk5LPD8>vF} z3|j^ia22=Ra6YK4ud&;XDr6T{cShLqF|NIBY<}ecyTj9q}FVJ0YwNVq1_&ivZ z%@d*7k8JlcVsjHI4VJzocTVKn!}yfRTIahYLR&Xyez_FaaM(?DMfP0laVo~A*k;#r(IY%YQh4xA}QebG^ebJ z!|<*L(VL`uq4HgK*hS0hwO0DG11czBslG8#QMn`b{2m z+K_Hy@98V#a+3(Hs~M%YR#oDZ5%K%vl>Tl(W4i2`Rm4DGusM=vO}cy>r(i$zcO&Zmow4-g zXFx>~jeJNR=T^G4AGw2##XUE--i{nwkVW^|0&}E{!9)JdvbFmpJ;8gFmPS7LIt^FVfXHsgjDbTYG?FYs^(YidpX z-tI-qX7;V43joS=qy6rZ#Y1J-M{(QY!xIRFMgLOIAX5Yyy5i`>h=MrL(qSi#lNB!3 zv4_A|(W*?j4tF^`*V)0mEnS;4nUhb*ukTxAR{Y>gKcR`f&I>saBw+Rc+`gtz+gCod zHO$lPczTHcV!$%L$h9PYVXQ z(R1XIEK1rr#-P#Sg^f1AxbygzfD2y#I(^-0<&Ch3x_cIbf~4}h;9VRF`Y_PtDbBbc zdmx~esFOGU*tBq+T9~puDv_eO)7XS!8bcBNhIg3I;Wfy05H0@;3`ss?bf=>{$5NkW zlFJkOeGlAuAR&KS&O)qd5z8;fzhAGLv|B}BzujP99b?8z&&(Wqbzz=hamci`ZKjat za)m=BFBc~njkKF6dCNCgfHC+{spCa;>)ifaWbN{*qPxcewnKy}9MYj6E*{w`rMvGZ zRJ-M+jT-sDC|`0U!w~4|()i5RwUEVrO#$UsbT1mhPMR;)jY|R&#Azx<&>%PYRjJlJ3b$fURUZ(i4enlr6--oaQL|?B5~D+tOd4z z*AbV<;a9yHOvL0ciE=;_!WcF1zb6lfDh8Bo5f z*l!3;B4?1Z8bLP(Vl4@x-+r1oVdL60is*eF%b+F1-Sc6m)J2j+$Sx<}Glewmb-$kV$kYd0a+ZxM$%=^$<6zi&9_7$;&Eo-s6TqBm`q4 zFIXqB?9aq21!2P|?Y}Z<7!qIQd%6pWg4sq$2Oi!Le_A{>*NyrmO7?)zcdDN$1A*>1;VcAb^yVGh&#Z)D47W9ix7cB^_F z^#z~40fzJMNqw1*h`acJsVu}2i2*;BYA%%@Ah3}{1L*;hjklDm03K=ge z8fqWk=ZjZE%iBmdj12u#3R{kp#w6R@iWE9cm9=9QNMO;)! zAE#N?H5z74jq5`5X27dqRojD5;x^x7 zdo^dpyDAJV9XkfqO+zKL1h?q{9bdFZTS#L_)&q5HetYCziR3T(2qF7Xdvb&>Qb|E6 z8c{yfcIKP!QSwro@OZgx4Qnfvge}82W zAm=H=@(bYB?Hlmxfi)la4Bik6iz{&iWQ6^HQ>F*ao46A&7d7HFIiKk;*TF%&`=ZZE z{u7|~|BRd6Y(V8(D8KbYEPCD8l19QdddbD1-PCM>ajOEAz_US2p1iOt2O7t=e}D*Z zLmT70f7f|)u;#km8Sw1OUrHW4j3nNak@zDo@#8cB#^Jx3+L!**>bk~>RpiK9-P=Qlv$!Ol#_(P#;2^YW zUz6RIF%iF&UVEu4WG3)0y^{Y<^W&fGb8xMQEyeoSQV?$c6k9G6EpUXcoOtpIL1hd5 z=_ukAGLbWdzX0mj6oc=zzJM$fy}Yj7LHDP1;=x$dsThm)+LF?69a6hX@H4eHb)0ANB^L{F|Al}WB=^_j{J&TI0UCtA6$VbCC`Q`p>3Y#aJv7(7 zqm&C2P8olI*T}Oi2+$sWlf9jDHFaQ)gh(_-INAF9kk6KJ*OpG$qLkk+hQG?)Fxr($ zeIvzk)EYJ%qg91Vbhyqnowv|NRdM)SV2~%=Vrn6 zVcPl2V>-25T}PM^c8+AxtqJLipQsoC_GrkHXzw>W@$}s$`bY%E6I>g+tKPAmiiJ9Q z+quW-_1U1O(ISx<-i@Oe`;2DM02m5nQ<}eED2g|&k(43kVeECRyox59$%b;NuiIvU zaH-6^s#DgsQbQ53E|%5HK{QB-ZRk20L?mG=9x`RqpB>{}UjOi=dxN<{7SxB(NlB0f zm^5a-3}ukRj<Ez}>l>idjbFcJ z5rgYIyf7;0B|ejV#@bu@zMFvxs(dr=g}npZkpe%tQTKLh<0Rj>(M9R(&MGxz88bb^ zzQZm%$%?1Pkr@}5M1>dTA2-s}dk9B=hYvo7Jo3uZESkhS=d3XeTgCZC_KGIoCb^&? z*0p(V%X=*7bdcx^Oaj7L>o>$Mjp~p!dEe&}FC~8^OMGS!!=S)SnVaV_z+3S$pK8;~ zKg3&K)U&|MHITfuz+6JI->+*s_|>&zc}-vGF%ZxT=YSkUczxoD-m<3A_O`q}x4s@7 zoeeEl%_-?Q+)FZhhl6-?9xp~}fG?!M9@%LsH}PDzFJ^VEAC&yu$g8hida-D@i{TmN z75AEjD6wdrvyLDQOw5N?+q=Lt4otYDcw?A08GSbG^M6 z4fo=J@)|5WvgT+VC+dTv=4_B}w`Z4z5jj!$-#4Sv7brODI!E_Tkd!C1tRF(#Ct-0N zvZ_3T1Q|vGyZ!_c1ACyo(lBnQfx(!MzziM3QcqyN!C*)=AuMz!g_ynU^7f6#g zkXkwv@J>ujvCfaI6YyY6Y#4ry7c{`pSin5;C<-}NX@v|%*NtiNBsmtlgQ$nvyO{J^P8}myzc+jwpv$n~6u`Qsyw1V` zocYvskd8>mirh?IlNH~ituZ8ToMKqRT~o3N3B9=|stX}rn+CgHloVFl=R=>e)5js6 z`=#S$d-cPc>d3sNAfCVwUkV}zoD*VxexXloTAP`8x?l7G>V(R1Nn|Yus$OahaidJuHWwE6M-Vsb3&qk+N<)W{m*t}otM04kv1G^@XKF5m1d8Ttb21a z3Sd?}`KmWg)_JDOUI-?$p3c54ufydE0ps8yFjf{Kfj1GYqN-;f<#s%YnkUb$PSGUN z-!sfQFkJ5x>p}>B8q?Fm@*kNetrF%RG4m{W<1LBbc~fY`C(b$zUc7WbMTni$>YOFW*;g{aI54@)aUmgl=fQ%RR^_8@n2@ls$5dzq!{^>q|PkD4Iqjh!WiVdqGv8X*)d$KV{=jPV0vM_noqH3PWxCUMc)o`xsSkK zJ-mIRquZW$(Kk%hXIVDIfsBUm4LM*OojwejiQ(q5&}&dvmpEUmyE-NsDBnLeH(MZ6 z!NB`XuK6&HDFRSKfj~s3b6vhY!X>^vfQU3O{-hJi0Bp@4L{F)W{{U4!Xe8I#xO%TU zMtJV~0f2^O!QO@x+n$Z@9a*+nzEnIT?l#3@3$-7L#OLJX{GHh1@M3MXX)!XLpdaK0! zpf8UOkT&g!03oR&OWxZWt)(vuoV^95fsJqT95{c$DAK>J_$f@PQkthMz&ugrCc1sG z#S7QHgKrFQSHL9tN=ZX8E3Y&b-K-1I&;laVEBjbQjx`{-p-yWe>;gBJADbKfg}Ysc zpqxd+v{-Tcj6|ORI8#!H2;uLWbHkVOTx-OnW3lPag`iz%N&61DEUm5%X!<`8CJ)~L zNON^NOOi~R_Ao-3v$kxKOj;$f8yph*;jT?{BFkDK_7aiCt#U@S#HDg@M_uq?`BEck zc$RVCra9Swoq!Wj;r|ySe?pDXmf%S9tkpF=FD1xr_;}s4t_?Ie-jyp>0?)sQpw66JKj1iP4-gdK{egIA~m8uNyYl0-~J-$(V zD^HZ0Q)fnR&fsTw=Q@CbMpY4czto<;Hbn z(@{3-Ke zzi177L}+s3a^pepR`fx1C+wDIV5XJAe%!;@k8kb$U}6=lvYT8X6VX81El04}s6(cz zI`2)WIA-11Dg~9K@V#1QPzM`uKAm@^n1_n=-rzReb^eCirq-HP(yT8xkN>)&vI>TZ z%}5R41~6xbix)5*9fN8yUSP2PFyetm2?B6a>Ny+dN?b2~NK~VhXCX{hrXqUz+j2_! z^2ZA>#kY|GUf-@{=~FQpX}7@v7dTZ*?M!(YJGZyP6>;NCnSU}F+InpG^P+%)ZI3h! z(Lyh&xvw^?J5T^2Un#_n@+*h566Hjrp*t1fLo~HejUo~~75{$f7LgNdZzr!+Gu|e> zAW~S>@G0$?>!yc$^5Llt4Y>$#Im1XAp!qYq+icauSN;KKV$f&RbK6f);K1jFTg6Nl z9B0-`qDWl0zdlBON<8vJZ;phF*4ZfY>-C|&)#i?ekI)x$HISt!q%!M4no4M+p6$_x zzmgZWRJgpp`skFg&w8;qw)GJ1vMM=@<)bA;?IS~Jxa*jaMGm^34jTdL$GT38-|Bz4 zcF6OrxF+EKoVH%=2QD2lcRw=}J$Bn%i``OIJ|)tzU2R~YcMD`he`T-a^=if@Q!$~e z8X06q0vEhO>U=B7_&k|loJsfPR#OolBXu(UW#K~VVFNWPAaa8@<{kYynI{d#SM1X>Wg>5b~GsFg{9 zm%?niueFHil5=}F{2Nt<7SWPDsF7T6;TzX*9hI%hLf!nOSGkmmi)UyxbMU&BY8sEK zDGs5xWk`QHg}f~iY*5RJ!evgQ_cn3V#ROF?d?dC3^$1mlFALQQV9JB1;key9Ke=36 zC(80nbwZI>iI-pPnVrt7zUoJxit!t&(d^ zgP$@jD0TP_NLle0{^tJxHZmb|{I(%8e z5&Zfsg$ja0AO}*$+vwC7yH`jn^*RsM%pY54+4nT9S&c0UVu3FGuxL!Bz)Z(0OXFMl zq&|^nb543_su|3ltddsW^91)EGQ4D6-#zOZo&cK@9r*Azcg(;GFQS72Ua=sCi(U7M=I5plX_+`hqm` zs;u&#Vsq5X|HDA_f72SRw?ZDsyleAIg+`FZ5k*9Ir^9gp=F3d<4=vOGvurv*A#nRd zx1u+@5gz_zc{!$hE48%CV^QY}U@eA+MLCS$p#K@FRYs2Ut@!9RlzGMCreGBJ?tM5-o$ks<;jzKKz&{%iN1Xw0o+ z-!l~ec-|1mp^WF9f-m~^sG0e~l9Q#oQNsJtnYtGy);o{Joa#qlB=DD=Hc-_xz*u+k zK=Z(ZclID!;CdeCBW8Aa!@CNYpqKx16Sm6Ke{E~~XS4CYf1PMd?{|`i-t2O8kXNOM zt9jE*MTM!H%qV4&F4_fnRW9+vi&2qlmXX8hDKRo_;#R9NcjzG1Sovwkl)bqtUf36z zaU5K%ywY!U#FDR8w5qJsYwFi97BnxwadN3TE8YX^7t@~zC*va`qR3=aU-Du_D7!Kn zs>!o!boj$r+52L2bk@gWEgZj|7`87zGep7~WFzeSA?MZ1bn!JN3r+mrO7(wUrlYC4 zkqN)9ZjmD&CSV@eg$oX;+f>!gnES^bM#O2`L41W4RZ< z&FG)!Ed6M-H2|QL^b`Ir{WNfQ`Es%Uf`ug3U#PWs8 zAR2?;4r<%MjXgv$$T`{{PTfA&#{FkH&Q0cD;&H%Uy0ZV0k8?bU0lMYOkNT1*t!Ek; ztoCCU?+9CZ(D=z~w?X+5dq5eY7aXzuR#b ziHz@R*T?AyQmzODvcW8`;G^)q2hm4*$k~HbYAf(;EVsX7OYNK7X$!Gl$#B#&4;7Nx z3FQ_Rb2A49-gWH)_L!?~{uWtQzi2#E z0jY&?4TH>n`f*Crs4!;&xnR+ozW0=Eyub}wS`=1ti5s556f&?;lbF5D?Di4Ulc<*K z!@;O?TO^g^w-_=_bIMs!NQTdZ=;gsy=OZJ{AD{>&w3b7U1R(m4=qADQ&*(p|)^H1T zAo?#LSVg=W*;~9+ZTJ2l!k~9Ky0@KXYdC`V7#HXrGqE_Utdm zTNVt`i#mF(`b)VyQ{b#`6bK1J@4F^g!$4QcbY$djj!cy>UkUj+`b%}-?kQ9vL6o4` zusi4nP2P|=y7^8Ei#T(`&d>2DW*}OE$c!&w*JUZ9LjklX!#0>LZ|Jpj$1p<#0hQ#c z=}*QGI?cffG6iADS4g!v)m|j-#YZCe)9lVLfMV3#AX7p2O*Zdqub>z><84%7tX5J7 zZ$sk!fg)rqa^gETg@spmU>#G{iYRU9XJaDi?vaWEO0Tv_G#v^1;NwAZm(Y?U4FQ+r zGE4bA?{JCg)S+jW#_|iCkU{KLK|-&eNGS)tTRbq}D1V6l1H`6d00#u#>RBDFfV45? z?0%cVN>jD1_Tv^Fp)<>%pYYgQnT(?Y+1gB{+6U~Ds@w^y{ZOw^4FBOayA=gVDKxT5 z{HJ0kYxRSziBN9AHM8J&iyITOLLI5S;Lj-x=IIw7(`v1i{rDKch#=ucrP(s4^IG38 z`YS-+#H=A;BEjU+?CWWygU@F^KIP$la-V#YqNlwt@@ zp^*$pE0TeAlJGu28BFbn@RU%ha}<7wml*DXM&)xWdm$RE;lruZ`JD%jHFITw=+>*0 z=zguWD`BXGmP8sUv*zc{heTh=g>Z%RyhQFfXg7YjmTS#iazTBc^{vXxH69*^LgHoK z3huiK!ZaEaeYG85GF<#e!Kst8acA&2cn${w`m>_4>RvY;6T)+)5aZ>&kH~e&z1~i!k+&|Dyig{g;Q8Hj(HX~_F)^s zw6COV4{LS$x%$Dz+8hpDO&_VU9K?3G59eaH*zpcKSRqdh_1V8>4i^~uRBAYh7f%AW z{OA*eB|z?|jay5fpR!o5Dse31e-miI7>GxG^yc)@V2w zPT>a*O2*sx>TkMHirGVBju(%7P?4-@&ErgbZmSJkffK7&Ct3dPBoU>nuU5s$&_L84 z_t!pH(1ytE9arL%+cz6p2_)*gRa_*`iOKY)s>acOOG>pn%_0m~QX|!;_WPjE)!j`G z%=AFlB(THYC&a!C`~b4 zwa-3lpL^eU@7;HY!x4qaB>88~f4=YgwZR!ZqvF}C_WaW8iJS&Qtj+Co^Zg@_W}J&X zV>KtF6+V84y8!tk9q$dhDZ*fP#QN2G@$n@yT>4(mWRYq2n2|(wQyQ4(=eXs21`dcS z7904N&v2j_r)IvC7L^a62+9Yv-WAYXnu? zK_*-0wD6`{%$NFt3Ny2U2&)=O4NiHD8%ZdsA>5ETEHwKWNHm7G39TRAzQi-0*LK-G zedxq*MgHwaq;}2cuews5E2{tve3DfiFl_=P;F2+^*+xc?*EJA^D2s5XlzBFx`0m&^ zPm?PIO9@{bfCACBCmZ~Ds~YkId{nhL{s4;H;kcp_^>)@U^hqK?ruukk(6Wr!CFRmm zrbJBT3$2{h7cU5J=l%sScnuJP4)g-jGt_hgRAnh9*9W=` zyYXF?ziI4;riJlcKi!WSOuRRY=}nmulbwk^EvYa1dr2H_-o}kb?*+UN{z9%_Icb+^ zkZE`qkv_jBHcun}{-!)pwmgpfqMzHyuXsVF_KAdU7Lmyxtptx!B(`h6?R<>di}DHa zdgho)Kyp7uo?B$2E_oU1t%>dp^(fi$c!Kz9eCveM<>WT=*9;I-ytDibQ;{kR8Mj8S z6KOi%w1&iYR~a3@6*TFg`LYlsRxnY&27&#`inVWvO*h`foh+aR>)r4`b!e= zNQHl_hbMW58Z3otG*^An#pTR=p`U4=N!ubgzDffBk=je?a=s`r9YDS$gp?UIv8@TRuHzlhv&(Pzcn!=~DiBO_HNSk{yh z5)T}$zmbH=wG=tI<~6Ws2E5&gDgFnT`nSyT|EYhM6ocy}Ti?`6mL=Bxc-L^iw`*x= z<#SzGH-G>S_s12$M2@KfvDb2E-vN5mPTDu|B;FPhDI$Zn7@NV>OL7JWJ?qcCo4}GZ zzV?@`Z7sqZJZ2qXkoMPoZ84CLEh4G0q*d(6*e_eD#R!O+X+hJtsq$G3eID%O`bFteuV722=rvAUNAyyf^*VV>mo#gKIimv$3D)H!*6AtM7q#KE z^C%lh%5R`ft^xFtNjGQ4)-qAb1o^P%`e|*Q^FQ5q=15? z5HOUzj9b<;I_@#MJ9#%5nDLjwYFr}?O;nFY^N&>C74@#g9a9tdgND5-ZeX~B3nu-j z=fRRro%3!jR!oA-Dh6%A%t$4k{T8A7HG>94Ahz#cSrQLnzkg+b-u9Yu#ZS-!?r^!i z%XC0u_6(J<4fmGP%Me}CTO%G?@#eeOCR4F-Aai&_b?audV8y(IrFqwTb`KsWum`TYApJ4QM zJ9t5Mg6DLT$<%pYd7T?K%8gAINLl`e70Du}N$xV*Hm_Qp30ZhJ34=pd1w|reYX-X= zZth3mAe*&3_!XGesTW|KD?dTS&KQ7;jR(6(2Mizn>vxm7NZWTgGu-@|k0LZ{%U$#d z404etP>Q(2cb`z{z`tL!$Im1~fv(Jadv*^C7TA%i0uVodU)ss_i<_dka?<`{es!-` z47%><5(CKs>X#h-pP+22?#yE#OaR~+70WH%2HcCjD`B_+JZGZ)ga`0aUH;ORoeP{~ zZotdE(`TL`j2>(y9tdoE5oRfuw> zz1$_)A;}JZ|2CI@RD#1D>2MtTbiMbIsttWqvY1J$Xydhd5({<7FAcJeKwT|Y-OPbM zngNJ}e|UEXJztS(Jiz`y;Wv%d-Ejr5NEI8d@*F&cvg|XNX5Mgf4lrM56w`_xK{u3r zNtS&UYt*+k-U4+doj+8}m9ycQA#p}LGf0?VJ6$~0|NZr(e{+fSmNv=e{mnZ|P2^@amS<^2jo5`Hvmj{kDNZ@aEmUWun>l!jbPJ zI-`mOt_Cp80CyIV(`B(7zy@Q19Z>^sQ0Egs_BtBAtbO(qQ~=x8W&QodBhQSVU~`e4 zXZZCZe{FAjG*`~PDdBU9X)*q>)3Q}m!d!wG>`Y|?j%e?Qy$)FY>Wj@(Ut|u!>%;Tn zFfJ70x_D_I?NNsBf4ClEe}BD`6}NDFGU{z#OkH61g?ISfoV@EsegioI=8A5xv;3TO zpCj>Nj8VNmFO)RRDii2h{>(LZI`fI48%8d|I8zmcO@UFsBeN%v51%u2>bm)>jS5D) zCw(VoQ7xlspY-GO%&hH}!S4u5%i%$A}ulbCGyNkJBK z|G04}J_1O%p%Egj*PsGfjpOl1l_bx=9?3_gx*sKmKu;#qNQB~sNum0TG>wId9?ov8 z{?f|)+(ewPTJVWQBSH?fmo;$y#o(X3K7gZCuK#B)hyUfr{XWF%53d@1S27fFt_>>! zdq~C~9r|7X zJfPo&yN?nmlo-P7H}vb}z>T$Mj|9jOeAfGGk+0%f8L|0)3p81VUeD1`C&IE6q~T)q2mV zS9dYROAT=5;L$Q(KF%VE(F7TwMO%x`6)-o%s?}su3$Px(c^A3&-}1ZNeLfX|a(xn` z>}k1(TFpPd@)MK-%vY>3@&M|?HI+>7QLh$;YU5?qYbnT-ED+`ysxgLOjoYf==@GA zWf;HY%~H=<#ptZ;K#OU;+!t47DzI{$Ms@J8-4?aw3nNl(qa+*%o_i%-S?N;0t-)k` zcMV8`Dgmg^mzVyGEaoV7Oesm47?)zF5FyhM^ z)aqQlSiHy0oLYn~vnL*$G85%i#{PL$`RKJrh*;fWS!2t9(BpT}j?RBsp2i8u0;@qy>Okufjk8;`cVa|s#Bf4>IA<%U^E|1%EJlB97 z2O@g|(-%=&K?3X476?I2)@D)}ZB|^QO@tXub4fgDp|qL%(nlQiiZ=_RA1qJ@3pcxp zA+4<+vdQ*s-nqkZFYX-s;MOM`0z8*^@r@MF0n~QS5N%t7iY0!ytm*Wu4%o4T)=lc0 zCi!va5W{8cKJh$7eGg>r2^x#a(qN>`@F!Z-eZ0$HP{fAdqnljTRS7TDyVdATca5Ed zXy%hRt@1Q6R-MTUh&CY%EEReI-2H?iyNRogPEudy_ICkhkrVx}%WUg2v|}uWzy!@2 zS{fTx`@TiOHwRIh46}8gd?&4Hc28~ploIa^)dX9HDn3_CDs2SNoL_Jo!>8+p3sd4_Ru_smjS0>7nWAQZ2w9Vat_Acvdo!-KB)w4&b453oJ08i}zQZxY z-AT7~QJiV#{u#9n8AMY%e%$tc-00Tc57ecS4)*YRc*IKN!*^=$fl_)%tILDcXr)aE zW{d6W0aOC#g2l!rTX0%R@atOlguBsXX{wOKWUv&W{gm>{SKV&HIN#-f`9N(xnky!| zT+^BBvT)piKS6b5%{?|=)UvH^|1&|x<(smN^sPTmb%|zxGat4%I2&X z%q9e#cl-T7t-NHiZN`pSMq5Qc_~>7plZ9KyTihfh8KOtW76o{ng$H$g?9!TY>%%}- zn$qg2l$%=T3a6*-mG=ZK7@rg6DOyLpZQu)p0H}Jc8|+kkj}%BcZw87vRN|H-EWk&m zz#KVe@b&I>vrk9IqPP3DU3lLb)Us|K1JQmGctO)Sz}?{tfCf}PVn0EF4RTn(rD^fb zt>jL30heVBC#pf8FNnHY=RW4XJ;sl{>e1~kK~q{@UtG-T&js=vSgvDa8+~!-kl()R zhrg)q|8j$S;O$8yy_8ZK%1_J!CuR4rRkTmFGNMnty1uG9Qr5J!R^tXZUahkbWF7C9 zI}M9IEc&r`b?fwDYIm|^NTxHGN?rA#7l+N&Fo0xj2O1@iThzQYV}j*d(v*7q;Hbua zT58fNy-K;OqJg>tjAUuSaQE&-OuvL6G9tl1RhXZlDLBm=PX0}6l#^}8yhRINnEYVVM>x)c7YC)~QW zi6S%89#mbTP7D%%tomcO-9CXUw&Ow_r4{KmpV$*sUs!BR$v1gZ9yimG=O`47(TZMv zgVCHciYZ%mj@DX63UG;ro!6@Nsu4ww#%rm`P5}&-pCIPV12Doas;-r2t1HE~oAzqy zjzdEP5Jt)8UcQmrEU;7Vg@v^fAgS8;T&m{S zv&UgZ@-(Ef{rd8RlAh+$@i=m^ANL}gU(e<--MVA1viK+N+uzu%WT^iG<^F#X-TtR2 z_Ww%bZ>G}Bjm#T6=jC$MwT3eeSZAyXPLHu z=Afp0kB7r$&92^W7VhLEAR$%x8y5Jg55;9FY#C~OKIBz20LAgMRxR^~3uZn%KsCBO zb`IBg`ML8~9vrm~5QZo%;d(x2{!OLO1b)2Uz~VX*6XY5%Wnn?G2(aq@{lNHt9_s$j z!`|`v;n}UL;|>g_hfTJnVH{^l&ZXg!R}3vb(D`6OIMKSj>x3Jv)%F=|fu|dXvt!gr ztwgk$T3|)lPx1#=()2euqf|j#b%71mro|)EhlL{E&m!!S=s!A(w0`Ei23-XOuu}qQ zT6ouW6F(RT)!YG%er&w>Q#f^j!hg)PuHMiSh!!zCWF_8YXl+v3D#&V1H=$}mijv-w zC%jmH$7-v}2msEb_^{s@%o^v0C~ul{Tggl`Y->tL^uM6QKI+tJ5*ts@*7x5?3;rtx|9|)Uyopo7 z%r1bXPnn&<)ZwglouTo!A=2HHW4TQ;J3T!^RIkrIA=|~+m%n7g9kDXOM!Nv0_WB4g z@_6SP+Q)i$59DPnYS$q&{DHQyI|Ox0I-^H`;0tB?)!7}!X=>{ zFb^60ORnDke~%%lxVFKHj>YOL|H4aZVRnX!09^8I&C8z3eJ%~4m}lovOe)5H4v7PZevfpKB}7O-wir2EqrOUxLnN8L6_u$c~V~T@$VFMthE1ohy z%9Y$t5U?a~T-49n5e!rCz1Jr&yLs=4w!P}@O~G~#@s59#HT`$@mfIhz>lP^NPs!hk z_$ni&jiWn}1kURwmA!hm`@V4a3|`6NOahU_$urdc%5uCWTLkM3T7s%u!+z+$PT&h} z>_Z~UQr~=+|ICyIySAVbhlvUxbv@AXemiHRK(%K5LO z4vI2IbEGyZ+EK!I&9$zsN>w-ZaRd;I=!+|LT`3BlmoUUXQq0c z#!}dpS+9GlB)W+KB&DTi_*BA1X z`moFI=p*dqwOin|Hj&ya9it&lP-M&5t;YF2cGnVHP_`*chi<|KX&qPxJ!*Mwa(h0h5QUUWEK#rM*FT(S=K{QD6(`n(t`z$GfGeVf=D zaEW5^Cw~K!8yeD#KS3780vFd4fkflKbPRy$LgcS%=Dr~Cm-6#xHA^+lcuf!x z*YFjw20!qOeR_5^`zPqVW296*Y%32*qqo9KepfLn(dnLEdGOLatHQx>T!FFCkaO*v zR+#iw5`CA(&68ZG58-y4@!bjWzgP#q-RF6Do9zYoZ0lM=?(7sO#~9?v53t|s&?5*W z0m?A9{i#q&M-{;1^45zPavP`U@_Ygi3w^y}d*#fW66Ej+pkahbsXNZd9;!!^Z z{o2Y8&h>MAY7A#RV+JHOop=z)#P2t{u_4OeKVMqQuh#d9`Xd;)BWg7rHnz7#2xC5m zS;u!;jGA_Tz;)?hGraz);cm{a;9pK$hX`KY9?6}GkXqg{^T#o(+hy*xYe)`W6?%)Z zn@@%(@tP^(sSOrUH*gYBtW=vB3?Cdh(Div`U=}qn*SMi=V~pu$Hfb~TZmy^YhajEA zV_AnKVJAAQipfNIZ3P)bPjV)BcidRb^?JM_j6@R%+xYyZH2y)M8U>Fds3Cf|JES%6 zUx3Q{6%p-Md<0MpYfW__d{m}!UFi>|nZji)N7j^xN|NT(92uqjEb+&2Ixh!VBx1%P zKS3dg#QC}}z3C!d8#74<916~KuyhXbtCyDhMJtK6XW96E?Qd&%cHAI*BaXX+e)6mG!?;0=IB&@mbP|sByDI3wNJ(^bNORr*h2iM6C6zg7{wDz{ zN}R!j8C(t$aloI{h}R#Z(mli{x#>+xHfU<~B;fO_^vj2({DgzG-AtJ+f(!$7?@G3} z%-o8$WI3h{(v7=mi|B6#*T3)W<+Qj*RLv@t|Ei4l4&a7*+gyM??fFZmq*pD?eCFrm zA5gaRm&BkG2lf5!I+$$a+7O^GY=6_f#DR7U_adwhSsv1fpcg1F*w3yDbXl!w7oT18 zq8H}`X=_exz|d9aNX%E<|)u&$~50i9nccV%7aFR_f6_!w`&4aMc^4Sl)gdB-zV zUxo$s%KEm}$vw?9u#4+7CZ8?EvL{J`TvfFfnfvNCZq~;}bGRq!GWah;y;InwV$Kfo zSHI#7^eeJJzw#Df`Td_`{?Ga>{_lKklmc2MY;3ROUj!x@jOeq!NlYL$e>HYQ;QXRC z$}>;>!#(z!ms+_q91dO(wODev?%3rS)PbDf3nPLg4Z)o$aF^ zj5%>L9C&uW*tkFo9uC{*Hw20l2+(j&M7REr3X6JhL#`E@x@?$pvaESutKP#**T#^4 zK8L#oFX;`Qk7plyyX8}W6GPDe95zQwk3w@s@GwJ1T#ge zKgRJqnCO4tE*X^U<~i$-Q(E6*Txk06A~O#xbn-gC;9fK*5#8*kcHx7?py;Cg5^9?R z>o@nh^y(7|5E~4-8L0(5E8h$|lh7&+_5EWH3I{mTKoZ>lBEaD9!3O_zl!9I`FjV>p zvi6KrIXTp{I@6nbc~>MK*CB@5sxex+=aU2<&+tesNzvV2V|`y)U}LkU9S@BoD8n?U%%#`E@X_l76VwBgn*3x1JkYYG)S!7e;7)009aB@j;` z9SCPs=ljRDo6VVNa9@MJrA*>5p>r|Y3GPDlh6W`ZSBR=BJM{3b&|Oym1rnAYFYQHJ z*QG2dVyL?Yn4;LLCU039zE8VLCAfKUMb!^r;Vj6Kw1_BrLr8bhj^Ju-NKeEaT24nj zIu4GwjtULF&zp-pX8f4V_VR*?cXrg(gT+rgg63UeXkg&4xWU64iZxwMO?RI@)1FGV zo^qX_^Lnszro>O4EC_S`)qL&3hM_lqU@%7sA8NJM!e%Sd_%h;R22W3)R$3Z$7*3Bt4-o zM7bq0wW-I2oJ@B1D;801Hx@$uL{m(8`w+>IZsOB@4>8O?-npnoM3UBeivkuG+m0OE zcU_Q;0<4*&>Aas7(4nX^X`csm2ku^}vSV%LVgN&JX+E=g|Fu4duP)L%lg2Z`g>*g0 zRhz|hZj-?vI`T`hE;yCdQ<>xiE!|o7;SHRvb}F~M>kHWCrQPVo3X1x0f-uUHl_sbR z|22b;YS*{l;a)6F`feWtXihCG3v}(=f_m# zti&xE0KH-J=vdn(f9}hTG0-ES(z{!YbT1V;5MAgB;h96y*L};}fB^zI7|9*f)jQE8 zXIc(hX%8Vkmuc+cJ{T+GRg(bbQn3>e0J)D%)vk_YC%F@;B=-`_nQv`S2js zid4Pf7vjM`s91^`aNq3``={KSvvLg=lAHfpOS>e8mnZh^=qvvyx_X`k3v_C|=OPa&rOUL+gEu7x;` z+5l&{CdgZ~qSz43AcHI^9IpIo)Hy??8Q5Xtt4Hg48{NUvv555siXvJ{LA%ed{V z^*E0k%Q&UH=c|N?8%&U`DQ{$bhbLkTsZ*j!m zorXlIFtVh62(8*YEQ0#n$INtx7tMvqE#0cBN%{1-;|XHkOoCl;f=x&i*)AxI!?rCowYt-RD`BTQkP<5Iay}^~+ad_4xALEGuOBOB9vNG5*EXYUBs~7>1+@?vE zG**H?QDaJdHyAv6oA@9;D>FfSFJcm-9dWoo#(2Aed9AH2op0yGBF@@}uc+V=g~_T# z5F`i-gW_efUfwm4R-NcgdCK|5y11@t4(Axr{x%E$TpQbyi*->$`{m_0BK?E-)6_h_g|=Rl&1u zGuK(2?IM)2fMfesyFZ**$*Uo9Dp%6HQ%;Nh*_#>y$B`P5jX8mYz3cJHM8z^{(l{n- zsi+Y$2b!knZKH^Xc?Ktzrs|7yP`XC87a!2E!#F&uLjq+kZER@A0$_T8*VO$M;n}n> zVwgQq2bQj}3GBOI1$C?TmW>h%(~IUCHysuX zXA=Phucu?^__d22zp7?oJ-x`nQlDM3h`t2 z0SFmyG+;;M2_?R<9;ulE+e5KGGG%4%&m#OK1>UsDovC#A5_BWQr>xF2=i)n$$?0@B zEPVwt=BYA*j17G-!AvdFTt+5{s#B_yl_s;0|GL-_I^jXHC7= zi%gq|_hF6I9Xm7+k-de%?eJQnZfcy^DVt&xrP2@%;5V04sz6iGxxf@u&%}pt(lDsNosYubpz`k2kmNBVe1lja>b}2j3U{ofl z=PksYmM0|&7BzN5$|U=;g7JU*K)G*{yn{F0)E;Doer+Of1P^oKD=W*=!+%gB2@I|; zH$PIUEjn-VCQrHUQ8_HI`e;LQJv$e=#5lC7AtF#w#=b^4&hgFxA#eSIli8VGw-V4n%k7!VhZw#&c+!=F!3ml#Yz>CbNuzUf1Ql7_ z4|zv8XYv+IH)j@Tq%~|7zaFLwosJIz1%}S#G#H_4vBRKv-R5yIG3BQp;u10-sdt#< z(3Nr5`KQcJ>1*eem-wLN&q+CwJN&eWBV;%bQ@YS)OJgv34Y z?6_85hGjpaRI|kmFylpADaH1*llk5Jo|3q4+9ww-kfSl=`Zc?X>h2i7syyrZ<7*uy z2s5}Fsuk8DNmf9oalxQg$NY*S?e0-`*Y&<*Gd&@GZ#Fr3vQ+))7VYI&%1s1qVEMLd zB%i9TlRoC0_{)w@fyp0b&w zg}z-ewgNbnV!r)DBucN{su~HR&v{4>wq9RV*nAxi`T~0HU`{K2EuRsvL#D1Jck;cJ z&LjN_-w?$nxicG|Re91kwAWGYvdr3R3Zw^$qM@mqNmdUgp=+(}jRw~5!_+Ap$EvRo zf^l*EwFf0}2hZ`V>XOXEtB0JZ2E-!k=&WrYYh>Mikon|5jAgB5V(D%Lhu=uFaMU(_ zn}5{^NE{ap!jWfvrY~2DE$>ss*o8{VxKIz7ZMB2X?)Mg3X|vzkYl$c9m$qPez1&1} zGSg#DSdoKGTr_~T=wPm#4w}P#3v?15gRLhpgm2-ZJIpJ--EZBBEf?rw7~getqjm&zc*v9}RHgE`uTYFtCGBW?b`yz|+j( zh6ERylLcJyVFD)5Rfkc6#B*7Uc9_qTGr57~TMe<7lv0sWCv1t9x=M-GA1pf;qxdJ8 zg3??vToMb;6()rRm^MWHM5nXr$7ee9PSY_-;!~OjT2(cHH$=5miNp`7i_Z9A1J}?a z7p<_3XLTx1ePv({7vc`2pu}_D`cZY|M+*)v!6TR#BsqS?)T!@^kKYp|DXlr$<+^u;Bndcy@{P0$^w! zCfehUim+Y>&f1)7KLa;2%Pa9(unZltT02o^0bJDhZv-7sWPB^Fh-3u-2b*7j!@|Y& zU%5iRgCr!2Z-4x6%abI24^@}^0nPrm&&}Ix^*Gok`dftJy49{l!BCpVFo2ViuUcli zy+m)F zW7$8v#8n^2!Il{j9F~HF+MiUh=pGr)tEYx4)~lkNGom6?*cdi{|0=S`ivQmJHkyZ; zw@uVVp7=qUTOEFaM*LFGQ$y=xV}d9YC^0jRT56m>{$z;cZvgv$0q{rct`SQamRH>R z6{?te{UhqxU*Xxjwr_k?OhUgy6#f$f^*s%o#=|~hl95`gpof4O5O)3ZjC(jHmZMcn4 znMj}3eP%y<{9*Ze)pN?I8=$K^Vd0Ln2QqZkXiW@=(pv zqt|`-lmLX{PWa-UJUxc|W@7XiC&ClKjc0c=g#4hKLj_}+86q6%%iBZj{M^~{<2Rdo zQazG|oz+5t5|71q`YXN^O;wm@lrf$u@8uuOKp<^L`*Q4g<`m&aiG}8fLH&v4nzrfb z86;9gB@6IddpI>o>^iaWh`MYXOuri5IM*Dj`(0U$Bc%@u5EeMMRDXi%7fvO%%)3s@ zkX6nuFYh8}H6GL8rxR)1_kb4cRy>bOFk$V2F?+fwqs`Kv7dgmk&Y9UB?sLAdRes+8 zRFXn>8#0~qlt+4eEqJ?P+TP3wM0ZOn&@#zVk2`qT4J7m+?RF0VR#at8G#7oc^P{%_qieMKbGV! zBOSi#k!8ONc`8IGfu|=IEw`dI1Ka9^UC>OeJ_ia>a@~^*ep)R0hxvzuC00uN$DTS5 zLwq5RVhpykRaBayges#I@TfXUNy!Y>(JI{%S#g{Z8uJgwMgvRp*%a{HsN0uVNx$o0 zbsnbp(mskeyqoReQ5RJs7_G(`H&E`OdM1+`;CGX4ziemx^78h~8{I*k;(*at^0?o5 zzXs;6>U=|^`#{@MC*@|ZNhZV?hY~Z zf9|_UO&DW|d>i+cKH68&oB=D>eaQe=s}$H%IZ%jn8K^*nDV-vnZx{B{`CsEi5xH!b z>-xw{^4GyYSjf{!u7e6E;UP%)3WC-rma>Kk1RCJ>7c^Ik8!pzhpVE9axVedtx-4q{ z@MBKwX|3)H8T+~+-jWjVt)r9tOFIB1(Qom+_xGDt|Gxyv-{DN@i=kMrU?II=$2RV9 zz8|=bE>vx+t}B$dcL)e@ImAWA^>LPf8P;pFA`D}XEKSmu797gfnY7Q?E#TfO=G9rj zx>>*EKYY(6#veDU1??W-QSg15awCo}BifC6=Z)ZfrAkd2_j^Rj&JE~SWM24r;_U1CHoL+j)We5|G>JntP22Xi-yjSQb4t0Z%l!R|=z2!0fud8D7b?h79==e9eB zuRF>dL>vIfV{>oyxi%bK;6KqN!1z6~r1rEjEs$h~o@h+$?2Yr*h=M%h>2YT!KIBw6 zI&bq-%H->JjIgL+YU9j+G7;e%6U`Fs9#s^ecajTcAwZ{Zn%SE%*9Gn{zS8E9-oM!^ zRK_7_CL?HYba@XG8zREYPacNQi*dbBUV_p-8{;MeQCih6cGc3kg%YRNIpvVl z&S?Bl>bY~7gPxHq-lCd^=dKS~Hn~<#e(iK^^A|2RV@>rzU%>$O{X1jXY?KA-1WtGq zGle}Mm(%qwb3#ayYyz+%OtgAN&(yA_G`D@XdJKBJ1g8i#3Dg3z!A$RWoC>_Lnyffy z%`!6H6f!!oL=xOnd8y-~TsEb^6}C{D#_|fE*F^=eTXdY*F%r$OD>PcENC!&}eqdH! z<lH=aqvI&tT|k0Ut4NkqI&qqP@LgCT=sAV{J7o0oEWmQF)9n zHKX8MWH4*~?du$JR?*w5eS3Oey@c}&-`p}G2_Yf=U2>G*{^CeT207ZaYt9q$puVQ@ zMUaGDl02CZZX?iWd=f8fmFhy6rI&A>eo3rIE^&)Oc)owPlD7rV+$9L(vHW!DB7 zY$3qtn_vjec^2vs8%020uYFW7>r*)A1+$q4B6nS)7VX@(k9u5E&Wl%7d_S5o5`yNaSxMEa9pIP*AN)|wiJ4+==?S|m^QqP)e~lj5kV#vY^Hpi z7n&{o$mL8DQ~JadurbU|O^9g$CSsC2#Kg0v%EOGUvTMX8YRRrH3T&>1o3^Gef)BmtkfYHCF#sp=Yz%6LGl(z@uaPC(+k^Mm~QcfI79 zN)V+!9wq)uMm$HR3`X|a-E)y#(=7)6BANKqd1@PD0u}4)*RFH;X9cqr;=XmBrLHnF z#Uw2Ezyl6VpSar7KAR~WV=$oBcxcO1sM5d|owaMiuPCZ~Pe`7?5V~kiX6(s{Rc01c z300SdS%a+|nTO!R2K63-62mGl^z0&ml!p7gVn;J=oMVX7P2@b(Dz1F}0msvB+`3*b z+m)4r>2e>184+LdONxqZ4OM=>g)(CUq*t-=V7>3`{L3zqd-t?dWJs;Cw96!~bNz0^ zDCfaO4qzll(^wgO?!HnH%cl?geJ<(qRT=kg;~IA?koj;detXo$I9m3ioG9_&63m6r zQaxZw>Z^BHh>`}9AL)%%2*PV4p$b-b%Hvm9?m4HI=L)UkW;5SGieVVAc3t;3osBQ* z7i(W4jCq64l=knbz7|G*#XZ^SsnKo&hDRo<(l?%+v3hE6N*~u8Go$cePed|Q8xk;w~ za>cH2L$44wo`Dn|D9mgG!|`-LLI&pTExuFLX~DRCXr;660J3zSy0Mpv#U@ zOR%xsmp20u3uZHG(W`KH!eH_0rNgjSL^O&70YPHC`ObBnBgy8b+6sl_yrf zPzx{XTeocazrLDnXk&-3@*=ihiF`+e@=ACeKXuKXLP`*kc) z^qAy1EO49w(rUXa*2mrIQJ_Zveu z0l*20<(Cr_Fq+NT{*~VN^p{)I(%#vXP1vby=Wja6RX-ZP;p6?v$3QK~nemGN-3dG4$^hn=m3+yUVZcNV60h&!U=aKcmZ)W2XKdRpzt%Dn>SR%JqEe|AxAS>LmMg~QbFU1F*Dpq z_1+aV)jT%Uw14VWtempB?RrC>f;4?3+>KdDrQAW!-N<@*n5*X99WM$TkGL?d#upYp zLGBfvPDZT>U19>#PDPvUv_gjJl~aexZgNOPbR!Jg+g}PGFoGb z-xJWytBK`JPp{4D7gX4a9xGy$W)cP&ObX3aL9 z`wo}vmlJToL<7GrCPrh9wYdUre`T31-VS|4-AJU*6Tn&|c&DelpWV{xjgZuHm&tu0 z@3;+rVYk!|yV9l~HN~~SOBM8+cm&M`?>@5H&p_|{-lXqm#?*Y$5x{nOfgkrxP0uAHt%tW{59?EGkC^CN(yQ!C)p(dxJ?nLm?YaTE0O2K6qmMDzyV%e{r<=Vo0)cu#%Xw4D z%Ze@3nqYMVw757*@ctsc?~>*Tnmer>{pO;5=hAFp{-T}53LtGWX5r9b)0eJ38}{rRIqak}D5L9DUOGK|W2YiC)G z3M`qc$6N5BHnqE6NQpUf?dCNJ+W}C(CsYKef(unEh6h z>0w-{7`J-6_jC8i^pe|VS&lOIe81za?`Y8^9NA+NAce*TC194@NxTNoUhInz1l!;z zRXl+`8xY#Pkr`vwg;DQ&Fp=DG$y$v*G^5 z2u`eyy#7H>d@eX9Ew&ttA&o{>h?YV#rkA~krW+vdEDMf<_g@i_KoOEaQuq=%kpWi! z%;`+l_=8+sox~5r^CO*lL-$?XPju$*ESNG~tN9$qkj^DlGf9-pmd-LGIo6z&aE9Y0LnBA~`RfX-zV|XvMS$D*Mpwt{!d4)5 zHgQIGNM0##zABHBAR*i`0YWAUR3@Yqv$mp(w&17baqA}@lEHfj7U#QuhLkk)ol%Lz zwO7>Eg>jY_2!4Xr7UXt;`OLSinV%rLN~j+TDbJ=ee^I^zZT(C)8tH`Kd{McRXe0N4 zXFcQ+7>ct3t0V=avL*n&D||;IRbJIM4`in@?|<$jL2)y>quLytsDTU3ZN~_u2XC1c z=CfR)=58BvN|`o%aEQd$jS(NfLq(zQ;Y@0lgGaZf5xn!BR@csrMJUOhqU3CoVx>Hy?BLq_xjpS__es_#5mz9}S98Sd8KQzIPSNp@t4?Na{wK zZqnX-IGkN*BGU8uOocJM+2hlvfenL8Myzf&7It}kugcUx8^9glv$8#F{h^=F^n6C{ z6W)(XgU-gBWRWfR4$o@Wgp7A08I28|aX#)0pt#{ml;bZG?A0Do+v=xjx#mRC0jux? z=ixKT(7s4wWN{7{ zvztP9qam|gmXxr&a^U&HoE8-ituJY@kmFO=aKms6A zpiXV^LUQ^F8qC6oO<*p4xMImL!0(<#&{U#cEb-~vPQ+nsG|hpT`MD&##uv_tPBa>s z477@o$k58%4wPfq%t1Q_u?AVAi#NUtOWd?KTX3Q52*0ag=WMAaRg-p*Hm&mJl3~M) zd3r_Yx zhOC?}1H6|QBLI-)A90)C+2xp&13ofb+Fc7rS0@3y%QqNkQNH;dFK5)Oodf1vV=sV2 z;uEtM$9}d8yLg7cBI@t{nfPW|P+M zxJQ;CL@wjm+LyBm)o z2>gOvGwC8|q0tbSS4rMY?RpW9Du*~XJ$~)&EbU2YHk%(G*z44-FyG{ z-fKN;t>3fn(!Vm_Qv9q1@YfNxqj)+WrzSo%7nTggAhcn|W<9y@D8sMtFv zmWT%s4eTWL;89#@b*-4pK>MUvdDX_55gtf($|w!FHrr!ELxB#zAl;vtULoMmNP8Uw zeOyW4t;}T8hFnIN1>QDmXhte?Q ztc-t=zA4qnc~<>UY$ID1X~*Oq-%~-G|5j(nt6=93QZ^H{0|@XD*8*2=w|54PbkxQ%Hh&#QeXXD+IDI8+4@5`dHjbi_++z+l$r96i3q7{* z?h5dGgU<25fqf&vwBcK!M*GW<%Hf*pPKxfo)1pg$$SCdBInTg6jt;!1hn{Yv!zZ*2 zhUJIr9hs~1x)7;6udO}AVprjnS`)0E?DSmU1fJ$@tFGIoN_i7nzd$c4z|##%X2pFE z*b8IqI7)#U^1sZYib{xOZVE}bp8<6Y_S`THX@9iF#3 zvUn}hVI>Z&2TOcyB7PSnJ}u5s0SNcs0|Wv67N@Wxu;^>#;l6F-kT&4!p(Mp|Z-~*m z!#);aroNULVz?p2#lWrYl1jUFSkt@&03wt0XOu97tj=lk#_R=I0Yflm?Y z7qw~OQ6aZM48%@%^82N17HY2_?$dU*ZwajocyWvdXwwO|SciHzQ+%$kG*-#uP+vQI zY$DW@;qd0@VTy$`Ns#58Iqc3gX@=o-E*(PLo-0VDSCT|SwmsKk+1*?7=CZxqO4MB& ztXcv5Wr~$Z_vbTAvFni*wv0b;iuyw8Qk= zCy1h?k=WYj`95Ahy?bcGhp<@bPB@Au1hVdRXV;E~IviDc_&e%zavz7kAC?$7n=RT}Fl8OG7{mcc-8HgI?D_FiWsL~3<`7gpvvt*_+=E_!u3_wz`3zV4#o ztJ$p!fQ78gz3yKi>VW&!KuHRJ--L4Fe5#Yu#ZI^XjnB~S1j#YMi0>3wIU zn$(&f3JBib;-g7Ae}>q>_ zhuC5~a)^F`x)^}&v$u_CQYnCc12*5+6;-@i;U7KmLZ2SRHZNWJ_KT+PmF*A{+7cGo zEb}06!UX$sOMI;Rg&Tv+E38@~zrHo@b-_^~>@^3~+^>|Is&qtIB6xstUs7m)%4hw? zD2qe|ZJ0c-q5GL_1{zc9F23k&FTLiuv5Fd8@vXDCie$#4(4#lLgoSNxtM{mUiS&b6 zn27id(D;aSpNMOXiQ~GmLrSB`Zi&9wJoeBDRULy!VFnu`#?~ zw@6kPA6bD?us7In86w(J?Ki+a4J%~lsw(oQMB_#MgrBq6MrGscb05koNa`f=iSSmq zfF!)A^C7&fq^wcOw22|qxVVWwWXP@%o$rTNoe6gtXlptVPK~SWf;!-;zO^CN2$6n` z<~L+cMEIC^1Kb0d=^H3EC`vS~&p^Nr40QHH(S+|WcUS2z886lelTy-}ySxUK(P83Y zVV^w0+I_y}-0@(SBtU6goZ1|nRcu<tGVHZE_t6Mt zGm6JsN>H^gdOZ(zG9wld&Km02^U)d$!CH~E%?JIS5kv~8xq)++v(ji=OSO(l9$Y zOqsdQaLhCOQ~UYhCXt(O4zVs!7_@}%>*?DXNc1;X?A<~Szrik{(5B=(W^sw)%Xb~) z#1Z-IbFcrUPuz~?q^B{>zT9lx_&sC2MbWRAgLt}e@;@!K>LV0g2gwI~thHNagZPm4 zdMS7G>=o9TKY$&%j{=;mU31*FS!kL%S0r#@>(C5!r#m9tj73u+Jku{P8+}d z0s-CyDtR?+T!7%--9lawn*+N^R&7|?lG_%@@aFaU`7tTTiY; zvZ3j}_fY))TbH8*JfsDu}AWh2&HEANGY z+v2ijR^XD>TR$UIqK6kQsxSSax+vnTXb(+*&i#Q*Q`@{fZbMVl2~uN6lhf}2r5yR> z9z%(BV}KeyZGJ@?p=VWB_}tTSNL2_G`{;X#iP49=&1)_d?Xopfyv|sQbg>i*_&PYy1OAN~m#!Lm=EH!i00%it>LPH5cq@c1c&kd=97ZBT zq*rxj(1(x=7TlWrf~ZA3|7#=N)vn3?IF=2U1+C;UnSXWFfU9v*)o9EUPq zS8w!PSpcA`zd(v74L=#4DM+<99W7;^QC;SvNdisLk(~fyJQis0PqYDI4pUuV2KpGF zR&S^QkR}6Ae>>8yB{gMzYFbdvwQPTsnx~gGsF&pzDBd&Hn-tqYIB->k)MrE&k=-)_ z?xmC@o+?MZPPgh@Ll&twR;1SAM|>ioZfgiE>xM`=xA*fJ6Qh`~I&X-BSOJncjW^Jh zISvSE9hJZo7huVYtd!gW?pHh6hvvH48IueG01*b z;dCU>+T>K1CGW@_dk~P2M}CKo(FU7zF>9cRd>q`(11yX0mjFl_0dS;d~qyx4L$(>K)9=N{&0#buQ6g> z&3BsLK(aUt|Hiu6_MjJ&MRKfV8P~U)tOtr|&lEPlgU#q?8CYsm3oRY&kldWc_MV3N zT6Y0nRQWcr2B^2AV42;l!-dyr1#3gKtbE%u#@2AwjfNxN5l^Y#j-KN0tLQrpLm7Nu ztFg1A70wfV9Kh#XD12`rFbQZCek{db?na!@7(jP##11LfRrSN$50YPM=iPEtTcS|4 zxNLIB*rMS|${bw$iFK7oSp?|L`3<8KkCZ`<1F<1 z^#8ivkEk0s;zl|HQ{b)NvrhX$)j)Pd1*kBv{S09payr}vGEGd@;#9VYdn1^t>$|9a0Ay1gbO=y}A-+W=tgv0Y;wW&>;Q zSZXXv@v@Py)fEycIrW!okEVljLTZf(UQwG56pXFW<2C7Hqw}4^r*}UeHdFVz$HZLC zX3e8mrtW_)4-gZMJ=YiKqAxXE+%3blv%W9w@=zp^#k_TMsLKHpL=IFI{_4siK@NY3 zG>CqYEjMlbT`-oDZ{B3#SrWE_F_gA{g`?l4$aa9e2(Z1zU&9L!dzc$ox=$&(-CFDm zw(EolM6-w8c}D|};v29z>YeQTt7DAMNIYp6--?NKdrueqO~0Tw2Is6ya~ zjixk%+(u;t>{djwm4Masp{H2Z^RLU4ss`KEuvwCyedbAu3JbSWMzw>rtyn-a@hEm$ z=PMeN@=ty6iG)WG-yfP{SM~)BAepJorcCP!!1ZiVuh!8@8xZ5SCFQ&FSAF2b9(j0v zHy*Q?-pY$o8Qw*^Mt23EnA+z2SXb+N8RqTPP>#xpvjuwQZVr0(udx2FoIkxT#yK@V zE3@6n!AMfRN;bO4-GFj`-F|j0S>$Su&!_J1Y%^ilrCYJX0GTgB#{JxyMR8bKyRt(^ zdKR0*qln2|@12rND_g9>eJZYesAUR=zh*5jrHs8yH57H^R(mz+Z)oX_q?ae}{{dT< zMYByEAF&C&E6ys#I7o7XVYo8Gq3eJHWkNYGD&T?v%oid(d&aQZpjbP$OwV55knOOL#_9N z0;{#dbLw8i*C;&8Et!`JzPJ;OmEzg}8p2CB!^w%^f<&UdzMPA5;<1L0<~dy^k^JK~ z8MOsJlPAqA!<Pz3=}nE^iCceUK8Jdje?r4~@ ze0$}ky<=jXiyx1@AjO5+&7rqr%JHR_m;nct9zr$s9d{Z|aq@tBP{qrqliwa`%e?kF z7hxi>W}Ormqk!3w?8$P_t8tW3#f;esmM9mPxM->yFR0;87FwU%RfwZnynim7@?T|n z6Wiqd^gml(fkev7y8`(LO5Syyo_*cF)qfK#SfFqHmencdu-csE*ijpFeYGP9?v>>K z9w6qInhq#P^A!xd>_y2eTgpsyrHRw3uiz=>+TS(X(j1>daN6vLiSo7{jNAXDCnO*9 z@$dH|j;b`7RP4M~?N+d~II(!~i0NLchGYz z6sFN`F0TqbTekd6og!`JUyj$SNZ6}JYD_*nJ$yJiBvi9Q@Cq(1Aye7{$XT3cGNhwv z!opfOyaq5?%T#I6HAN>yHmvlaml@f$DklBBxi7UPlA^Z{XI0ThpWDgD43MQ?FJ-3c zsZ!UM%2~XcLbqAys;X4yEU)~mssyexw(8-x-Ztuf-uppYmTF5^%igW`j@Zb_lS*>B z&L!Dg@lC2n@L3-n)K+jHj>tV&Zez3c4T-JlaqSe zJ+=Rd8ZQKcmCkLM2JSh%9QoPQ7@6P4yR3@sE*jXnrNcz$XesVbb3ekULfdlKQK<8y zRyVgU`@~kQiv3yV+7;xTyc>jOW;5h`M9ipN`Qfgq0ll74nsWazV^ zo{D&rI;>OQm^jVc)?DMWnwXJ8;AeptB!iGbVei{pefK&El~ZwQk~L!w9d)fLI?j$4 z_iQSxPEPcL?$7Bf3Y6Vy$mW_FESDu6EzW3Vh>tnjoMMD$+e+DLZrYi?wz}PtHg9-P z810Dl?dq~$;)mYzB;_wAKw|QdliZedjdsa&;<9nYKFg6@=3Gh3IQqHG*1ch;S#~7t zoig^s%pIvG61;I|hIUm(dza|}k6Dy$q9%qys`xG5j=HykNO`}<8w|{Uoo!S>H9Wb{ zsy{5OJZbObyCKumu==}IQRS{@pIS- zu@IPRo~qBu&^@MPKm_nxmo{8gCk>KD=a zU@R<%2I<~Cp`4?T*QlHEA#S~xq)O44yOwT7g z8Ncjvk<01P#;ryX9cnD57&^0HQT4w_pzOmD%zL&7`_;VtF^8*~p3>-|`mqlafr-N8 zgSgnI6V*Yu$POMN6e*lL+urI5(pWO$P7i&nM)FC1s&&&!oc+;e@(O0Kgw|gt+}rhu zQt^^ZdkmCmn$0!i9%6>R=eHfWX+*fKGewkO@;%tv#&c9$O&;ZABq+(r4q6|34FqFP zzGuPWuB*h^jMb68VXPM zpdj-2+59aNjZleeQWBQ8b*5E!eKg3(s)S!PM9uWtI#c5o@n4)gI}UY{{Ru)v%{F-7 z$meK#Th{Ss7W$s}pC}VbFfA3N9Wbxou|E;1utrm|-Dt(XlR^Tj*uf7hK=t{3wf}z9 z>I1ld=8bNdT!TIEd`s%5og<)qYbxi&i3Qddt9Rez4j{MIX zCb+qGI&`=^j-n1X`hq;}319&zH%5zwf2kI$pQPmvDRT zeh>;w_{J7?RFog!h%8Shj;!#!c@93vOC49IZ()a)42xHIRW5^wl*h2bq#1x4(_dX& z3^WLf4(4$|eTTD>L(dTr2wq+5EJ=;pUD3y$i$YbF_xlxyT>Cl30v>fRMPI~QAQW=R zN2WT+{8Jhmunl2Ot@6AB5W^!(qt)sIKy>w^{9WbwvsPGNc5$eMCatUjTY!7h4otuo zMKIl)pqmcGl*^9ektt?LL&t2wRA{E=FD@Vdrw*$Amy}1gq)4$B> z>tH2Jak!;Nm_)VA=TpD4nb=RHD6)r9eoSxccl2&G`|e&t$5RCUqTcQ-rDl18o+D=> zKgiXAh)?WpV4t7hKQ`U>igDl81_e`HQn7{Y+0SR%o<7%1x~yz#X}|JnkqmVy*b$MnU+y^R zfbLJ$&jzab_fVw49J3=(ilvL3aHAy=8_b}ic_(EKrZKU`D(nlw_?Lc;a@y66$d9yI zQ=Cwy+DTq2h;~d}($FYA!!Q+UkD625{XuYb(&QIa3o^EOn8-JOMVUsbuCDdc6&nW5 z&L$x3W9!o8uL2DT){XWOWhSQ2ybRZGo=TdB(#&@vxoFiq_NUy-o|ctx(ag72KpgqC z0J<`yu}C==8FWM_d#R}qTwnX{<+2c!FRSG$h(B0OnYp=Lx4Hf{M%hM>Inb;1(p9NNmkLqFHeT+;wIu9M4?qx;dB@OfnyEi(1yq#j@Wma%;1 z0YqfU{fzl@bS$G6sZq;ZvPvzn{s>>yGTjyp4X?$IpHldE2={{ykG+u<@*!5q{0 z5i?h582&a?`5c$LNTMj7n~P?~AB>~o&|@#VD|VkDT%GFkvL15pCkc;Z0HsURmlUfw z?>maJ{LAUZnU#;(PL0c33|0LMU#93ao47}>9I{Oe7uL-d)^qsaDAg0w+t0cJ7NOY| z^`X<;TepRAIXAh#<1B`pqe>gH>C)pWi;+r?g%a$NOiUV{5Vn%AD;_s5V1qr?p>}e% z8-ov%Y`9R=bQ8C9J(PQ%YKCLS{WiWn?ggi!ai&lkr%wuzOa1|NNQj=1FO%?tr($*MBM4oo3cEq z8#=qJI;D+T`=CTeA%U|tu%=rXpzZAG?r6T25v{6#wx#rqZJS$-B57YN*@y#;Dzhxt zZpE(?jMuppy=9GUV((rJL%tHCU=W$iJ@L3!zh%&uc@Qcx4rb!Wo}c_+w)3d@fpfGv zBpbdXTvPa{Z&cImea&T^KkN$JleSD!9H6e7sJT9IwmOuURP$}qTI#51&+cQ}Nqs!+ zJ~uykc#WZAWAb%VOzc$?V>k&6c_H?xf+g%riR%+h*3vYLOdi#Nl72OQWx}F(rh8G2 zC~B&#Z%GHr10Q=|*ZL)g43%oWAO9*;V+c?KF2QYuR8YDNCvC>)TGg|?vXT#~p&s^jn0j~oS5>r41lPsunt&RY+leCL{DeT3cj_u+< ziUMPS#!`Wog;ZaSPGSq7`3tYkuAx8J$=*<_LtN`p>bx3Cc8gNlvHFA?T8cu>X_uI% z3`fd_=@TGIvi04cuw{@UmM}vnf3IS3`GRHpWuZXHe&ifXZ`Z`IP44pT*MU34(!4aA z=lzNS(eA+cTr)R}5~pSF-)F{EiMTU79^pqaH%?y}Z{D1~{#2YM?cOcY=Or%G-Dit_ znHC#@^&;%{iyxe!702yqPVeZ+Hj68lMum0jmkxupr#+tc(VM@z7r=BUZ~3b&g@lkL z6T39}^?R9^Rg6=Hran)?^%X(`*p}yr;KYM2rHYq|OkQ^ik^+M(+yWWdLQWejM*@=a?SOX7Ont&5@+T*>7$Dvha zVP&97hs2armFEbq-U&?e-9cM{QEgomHR!7DHMpx5*4V~Ys9dmnJI$f8SZ&1Bn+YwF zox1ZqaQxtwn?YRS#-Z#lka}}782?F4!U&mZ=bXhCjCkrn?2SzKvx>?dFgy`l0Pa?~ zNkmC1UVuLxw|W7I1rhJDk-O80~(;sB$i0l(?6Y!ip z(D61I+xL%?82}u*^W+su4rqZh<_CH*R)DG8-)wyWyzJlF=#(v*JAlKscn=JZv}53h zH^#}Svx?HU@lze`EXvj@*01x{5*+E^N3d05?Y2C^>Zue5S|M&%_CMuD8DbBPtPb0H z;z3z#lcs03E~~X)_6bW0V+>GJvBuDoWE&#Yi}&vu?%mbf%_d$^T5*YZdSoTEwv?|m zj6H{gdVTzq4W~T~O~Qz50mDB9wxVBm&NG^ybnbR$Y&$O@9T$!vQvqy$%!{wCTw~6I zf4t2hmZb4KFeN~f`_w8;7ED#PiM(;-he>0k+uJ%)h@?vCfFkf$oJ7|fJ|h>_K7DHt zB@=BZS!e2en2_#)7-`zT7-o7!&BCdMV?PDh=g=ZJ?9>tNtF`+jPU-oIWrE#?*29WI zrO!*)ScyIz$IPapIKR_&XA_FkBcxQ4_Vl5a5H1sngc^GBF=ol$Fa6yeRyce>yvng} z_XukkaEJR6BsC>&Odj5M85tmB>yTDE*K3so)04Zs^{Ck@HnHn#r~)ok`7sGfX0n47 zX{`a@=}Gh~Gulg(h?$L;(1Vt5xHc#zF6kzeyX3Pbam*Fx5Z|4(s6tvJ+~sCGwIM4# zSl#XoQcF_F(@no<7zl;}EZ7Z$};Q}toB>FFGu$&(G zQp-Bfm}B2ebj4_Ck{yjddt0t+ol*=nE9eoHUlNWqbBo_2tc1F-{gIUj`I5#tG6{NfF=Mi&*vzH z0kz|SZ$#Dxq41X#x(;^Z{UJ}HG^UB(v}(h)AuN2YdLt%IVa&Ow1H(hq)R*axu)d+m zYG7+gnhB&GK^3C zPTbeA_>x$D^pCO+|F&ZM|NHgtSiRp1vfuwXFYx~Zte5`pv|Ms)aRyp5?*a>v@&(YF zdHDzM@G~$5riCEB3Go=aVFUx^>%X;;5Am1I_wYFsC;aE^212ObJ369c>P>Arf-5qc zds3h+yM;0&3Bv?b8C03wX$@xdQI|%t6)xEOjl^ZUJ6=R2;g?{$JtsK1J6`sB@QK%! z8()DZwgzsVy}?DI>qci}9=*Dr)ig_c>KBKHn&uv%x6Ed-L|n*1KmO?5ZX3IJg$(lt zuV(BM264T#5%}O8t!Zyb;j$n{#@?_l6Tgg+3R6(pOfOlrCsa|L23rpsI~w!6T+lO% zdomb{^J6N8;BGjMm|5P<2j;42G>K1scbd1xgB#T4gF_nW+CYe*jn>F~)&kLi)2=lA za`f6EcwH^rex1<04|eg+Ht5!LTz1ZAL&pokZ$TCr`dMES)R`>cPb;ETTW15P->eHG zB>MVmb8Wq>(6cX@@4GXz*D7P>u;W*B$-R+T#1O%$LQ@4u!;g|zZ^U{!9!{5gNO;RO z#;di}O<5ay5t4=zv=sXePFD)orlf7Dwny;vpkT z>`dv}tk;G|q>Pi*BJ{WphH5o$#SIp4pEQYRe@gRkaBm|2@wA-r-Se_*n)%=y;sZxk zxL8_aMReEYMJl+Ct#^TAxR|M})0nG#HC4LOjdZ&4 zr#{cJlB89eyf^jB@X6y9G*bN3~^p=^!2X0A6ymXO@;OP%$MX>e7-|4+^gHc<3(SjMxqnXY+a@W7IluWI$;j5%Y?ImQGVq0?%gZzFmPJLkw+ekElNkyv=u z8t^TcI7NB$qR1y{XI*}?fl?B`5#9%VJCishKm%{0m}`_R6dwZ6#d!V_)+nEeazf zU%E&ad`CanBMl&*I1it!ob0_9t;)S{twa3S+4+G|_h^%p0OzQoOTTObs9Zu;IIS1J z0$I4Sh{xx{*h^zl+0@fOcWTTdd!;~aB*j0t7%IKj+za=JovoP?Q42QThlzl4n;uEV zrub&OrZoLiBrs{B!jKz?VC*y3f3*o4CewKX3>c(2hAVBJv!3m|Z}!|MK~b-%((JE4 zDC-IIEa6U?n1Aat|1K~M+v%rfitt^&o~M24xP4=wkpk{Kps|jE6k?rv$zF>Qv+r*nbd}nP>=)4=P1gNO*2RJ8rX^6_igEl{rC@6JI+smyNvl~l=g)4G0#Cn z{JM&x?DCA^AQyMtK!hQ3!>Dn7y5r|2s0ce5Iiq;6vf-%GAB6c{)s0Z|oYt-1SYdnK zEc7&BVq?g1t>M<4IV@bvEW|yuN@F+w3i{zM(AD#L!wcQcy0q1!_|F5LSbIW*qD!#y z;9)0&ZL_>*d(G4=03HHkfBGwm3*n7o3&NfhSH57Z3TyrffywG~Yw7s6&0Pe>io=7W&9`>je6a z7)aRrjmV|dc$3^?J0?xE|Me?LT>~n`F8g;(lxpMb!MQqxeX3v98O<{Wg4Vc4W+~$= zZ3IUTjp*<&V{jOsoRo z?^h|>+palsaUVNlh4_kxI68CuK z(84S_MMat+WX;hUO-guYRtr5cRW~N(ef6*qH`$e8hU4_J8h=cjFE-6|X^TmrdD)zN zV4=x^slG}!_c}~FRrm|j+J^)e8Ac7e>3@2D{P!%7{C5D=AGy{)z>ClR+nj}7%SyDk zNY2uOiss5)8pq+BM6k>Mytq>vjJF;P7uJ0srFle~JY-rGD(@i;BEOg|*pchl)ugXyqg7Vd;Os z(EI0Ec^)eOEARdvtUMqg&p-YHS%Mbtc>D_lzBZM=@-;Qj{sm%Kx%dTg?9dHdd4&5X z9qi9C7?30D!F>#SE)a7AIN^oQQd#Ts3N!7vhFFuSu(pQG)Oe?^X8K&O26|U;Y?fJ~ zWu{Pamd>Sv3*t%2x93LbgJF@0JtXO%-3oyP+Kg+l{R|Gu9BU*Ie)h$Dfesi@T&~li$x;Q^k%Nuf*Df1 zVSB83W$Jf_uqWmgEVrJYF@?&|a|~E#3mMf>o3r2RvgOA*ozTcgl zKUFDyD>zYKK77A4aSo>RQRy?_j^5z7mhgWuLk&IjwBeF3uQn>JJxG1hr(~%W+Wuwm zSepKD^j)E`O^;H`B&XGcHYu;=gBAgdd$g3pEKWG%A#qP6*p)q?qQ)=j)eP|!r{26w zG_GT}j8)gDj0q0JsQ6<_nWXK(>i&Yi6sdjcjIh>*1uK6C9uxm9>{57;Ro4de4N4W^ zIUO4xd>p`A^2|{%o>XsMML_0(@?qghvkX%(5iSev_wTp`nnvJg$%j5--3A~0z)_yp zhGMhq;+X<5tu2(diM|}okpmq=c-(PL0zNud!lK=^ddgHh^j+G^UV}J`hntvg(oaW; zKthkoZ*&c+CY%I*@a*ua6ffedXx|>SNGKDM)NpxH?&g9cI-5-K&>w(< z3#IYc;^bYJova@zEs*l%-;D|hdo-&2Mch@IA->eMLA3pCgD5nm{`^DJDc6yizIni1 z1?>=AY^-z4{4k|S$MeVaw1J|dT(T|{h95$7^g%zUyN34s(zn{!+}B5VOjPmvoEd7d z8~W`7oo2puwI&3Qj|QjciiJJK#){Z@Uz$ygW~dYODC(oIN&ESPn&R10g0Cu}IsJU) zd`RFn5-esTFpTfNKRn0@1!9{y?jof>xI?hkIf4dUERn8)iL-VorI6K$az}^Pz64PE zIu6oWNV#k0dK8Y6TTU}_~_W_EU@5rKkX%wa&rieE+f)pn2Js#yv-D)M) z%OQ9dz?-95_OL`KvT&%RXsOj+>D%yZ{)Wq*|D~X}#-xKMV=dT5-_ZCb-Lg4s?489) zq0wQYo1_l)(>H@7y0i6596cAN_A|$a<T5lT1*pZv8^O2Og*#>{~h%4*!37NJx7rfNQ zJz;VAP@$gZlXch8B?p{bT-L3xFG*$YH>_nW%3$`rK&*f7EKT5gPo6$fa{J=eNxoUyj{}_-DXeSKKb02_r5Uodo&Mt1 za(av%pbcMIPFr&nHb6W`L`a}B>;=Y!C_N^gy$zMVU9R7xMl60OqtAQ!Ap$psJAi-n z&~8D-SagD;>`+$aL2GhAdH17ns_=dmx8AD?fLD=YyddLiF9_Y_Rd*B>aO-2oQ6`DF zQzd>^hAHfoBT6dYaLLeUVVA~3SEwr5=Yfia?t3QC?Y9J_!Jw{<5Tl2Q8zXW`@XAqqo;>Rc07Qu=J-`YEnk*jkaz=iFTZ8}je!MDB#BUF45 z*CpM+!BK9Ro91c_8*O{Ki8T={5mCD9=NOmxhCD9C($U%jb!3sy7xoI`{=DT=;1>*!3;P>3+HpVGmZeU8$2(y-yIf!Cv9ffpd=G@Q`)j zwqex4vqa}HPL)&#$UdYFW0I|(i^^DdL4b!s&sG{!(7&BQ?_luh4tQB}Oh@CX_^f8B zPp8^so8}tyjy%LZ`!0S&UHV<<-Mjs)W+San*%h7;1(pT*;Cn0!T-98o$7J6%(M-!WAh5G!i`QSV&YTozFS>E(-|*+kv1*rxj=i$vNtez&xQ#Zicn$#shEjr$B_j(YMSp8Sr3jVZ_P6IFmd%uIy+&=Dhx1?wmzeNWQe(s&CBGL!N{oIEAs*U5qZsG6)dc10Qb zau;#E9j~bLW*4kaCu#krhchQzqA}0H%8maz9d!7k5`-R~22$^hKb&bo4}r<^INeI* z9pyrJR`en=X`%Ndo8JrSNas4>dfoq{Iw{|IarIma;x0`ou3Bl^LG}IUJkV)R%n;vV zg*6WR0IT(07gmxQ$2}utNTJONAq%2!y8U#Q&tPFGD*qY(!N(k#&@XP{U$Qf1p%y4> zct=XHk6iE7))}$8zjdz3gPLI$0gdd3a@(91hotVY$faN$yOj`u3}g4z#&Cf{8GP7C zzTUBBoL3($QOBwV&P?ojE4^tSgav+Hr_14=8<=s(!(1GTLJoiK#$yAyCy4B(FE*uf z*!x;HdB#zFXlYMfC+*)e9Qu615JK`WUe_mygBt!RaA1Re_jORv)AQRVTEJIYXBY9 zk~}_O?P&)nVQTXm$6}>~U05s{0yi)fBPi{=zo+(ekoqwF%;1y<#yVsU2fu8Z%v;iT(u=9v-+_`NKi9{}GnX zKS|@p{CBn|(*4qT!izjc_h$wl$5z-MLFY`r4&EGn@{fb zQC*v%Hduq``x)*Vz|(}59^lnV;d2LMT{k+M8ajCg%=e3)?i&ppET%EgAs8b%*X}cK z(?9Q5kr0_zN2ypj7)QBDny$!+stzPWuznLv_%yV;qtFp54;>?Oz?XA&ia(XQloMl*Ip3it z5@^$cdv`(DXPy2<5jH7wZyd=uOde>pduy6vgmF?#M>O5KMvRSg{_<3L2NZfIy2AEjac3!-dbpHj>YCnONh9m@n}H$ z73BPr_veAnK5|{vhRDPKc`TGdV}fyE{5*>e58KD6;DlF7tYT?fKdYXSA1-qv{nw(&AHLi#Bdmy z+imQS{S@#p?NTl(!(s@H?FJk1OvA4%bvTYVP1v@Ko$RBytjtJ+LN0K zL=~U#`gNwq7tmI~V`vY8a$Pd5Y@vVnO|C5m@4Kr$f6p%p^jHHlcm2_)js8-g&-D{+ zJg%#|6^a+5Vg8=CdiknO^-ZjkL|m8j>DAW0Y&QmxA!SYRY`lv!o-|aKuBeu*eB|FM zuW9gl2o6`k?ZE3AF+imCjNu_i!jNflb?uptWJH$ea%Bchd2c&di(AuW8-SWRI3_I- zZb-NB<$X;(!oGndtOqq%A=^SeoPIo7p?-G|rV(C3`4=Kn*#`*cjOWu26Ar1pbuu{^ zUC3R}fOB;ZUJtd1}J^vRN#i!L-cwuaON{%?c zVSQy5iji?~^^c=Vb@B~M>A?vOyt$q;;EZ=v>;_-4UJq%f56FFhe1Dk*;IP9lN_>k914}QKXY^k;j*8EdL^fa3JF7A!J45q`+Q>^V4yt5{lg!sciyC@o&?v!Dx~);zQMC>rZtDD_IMu zwu2>zx8qp5ryl?-EZfy>amuC4vh>AY7-Lv(-SA}ZVG8BL+ob!B8|s6e!RMJfR!^N^ z4OKcD;of54txwfS!W_N*qqllzxwZ0+A$JO5av*Qrl5}6k&-1Y62~3|^`F^sql+f*$ zu9H3}zjk%tR2QvI!3)phGjdp;EI=!>nAe}a|JV=z(lpn$!$!BAkEjem32)fzy+$Y` z-@1}je)q9P+_&GWC83LsAlP>RU}Hmszq2B^6A>#K6I-XNElK^4VwBs&=H+R>l7$V8 z>c+igXy<4_A_TMFb&$|YskKEYAP51-ewe}+)cPff&?~IdH*&)CA(isq&y0m-Ch{f) z?5Q}*nXy^#=4exkh^!?ML{)Eh*OjD{N8nJ~7_iVJ(MwVtK2wKY|{g6W*pzmh9OrMv_M5GlAq z>>*QiOU`P@9s2?#I~o|&X2kBT`kcq0$40V5rk#fCEgR%ID4CNGxLgXrf#(2c!(SlV zoA(RXDnr-8FjV1b8+4(q9XYzRcsR;G0&*es;qUdUjA+w9vre7uXOryHyl8R2v%e>A zZ3U$zELGciZCvxsx6A0!fKU+}yK#k}O(aLXn3m94zP|pNJKsk52C_%h?X1z|BzKBg zMEfCez-d-zipwLG{St6=J8>vq^9Kyw3@vO5Kdxe`fy6P=cg}%fXh|wKVAJfeLTY!F zJ%47bIDE}DFuw)n|0c&AP+A!A>fRvL@d(KMp3MsRISY42u}@?Vg@Iv3|CGSBa`-+G zf4J4j_r&av_u`(Owfe$;t|20OGM1=Uqm|%oy45Ol6TF{#zei0~V)HKInJMiRwA8^m1w>jhcI@+Sn z>b7@_U9~?)_oxmDG|CR&#E)UN5|jC;Xm(6HpybyM73f)dzjtwMS;vexx>LAsDK)>8 zHhW(6g<7(yVy46f290Pek_Q)<$oQK-enSU;>JeD}YAq7?mJ;d_)+m7AxrgYC&Q+0$ zfDsP9PJX#M^_l4D>%eWDfS&(<)V)pIW#@c#5BLxv_>M}%zUD^4gaKGzRd|1(@A?kR5Z zewwQ^_?m1@)7tX|%|;ylEEgsP)jeF_yblTtuL6;wrt=PRY%iepVmf1pFBezg2v(~l zw$@SH*O2no`zFHlMJbt=-GoFoHhQ50M5WCQp;w`$5hT_wgTT02d~MKeLzbJMXM-F@ zyTzT}jNIvT@NyTv3D*x-8hpUa`cP%J47Y;@q^#?1=|NZ}07xM8MN83?eajwq#{+R8 zJ@=1@o!rc01BQg!?#C!%YFv77wh~oj?X3;xK8a`u`77(gLVgT_2ph)Xq^}^QRIQNanE+LeDSd#{saAnNPknua#1RCov4qreIpR&)Q?O=U{IH%U6vDw!cj=rbkhYFl+mgsg3~DCT>%` z-qW{TGte5Q%s>4~j`_;>H_x%2!90g?Vy||p0e-JBT7JkEw(R+Ji`VISJ8~z_<$jO7 zv?1A{mLSP6`>H3?dd1L3w^$d`tOJ_f+ZQn!q=w=V8|r?cOvG28+YHkbEOI)iskT7b zMV7&_JKhV3j8GV9#lSaop1}>#QEklUgrf%aFQY=Csn4YYTis`rBU z{%bUiNkVJ@EBZxG!*2%G1&2>3&a}f=C8^kxsR{A_Wt@2gZN z-m!rWYMGK(>nmxl-@|qtg^v~J?^Ecj&hi35fD<}1zy1yaRF7m`27&+!Qa6K?6zNDa z%S*i@)Mq``%#`Ze&qaATMs7aI8547l>7Z`=Kh)>vrDl5#0H=5phi*W-f@@-WD276Z z%y`BZ)D%06K4z-bzUjS3-Wv9ubvM4LWXp_d4~#!uI1AJCzoLEi@yR|%3Ts*1Sw>NkzUVwOm!O-gn5N~i>&@NO<&Fe=82zrzEwja;O)!27i&O)1i*WShZ zh@EI1o^z1|=;4K~2TA|o4xYjAqiMB~SI}z1!;c!=YJvo#33aQSvBN7`@4p#y+~jX{ zahD@oBHgP8s`)SgA5a8})9eHTE-;|9Uo1>tE51z3KfiEnPXfV(e!~O~Ib7`_jDsK2}r@ zYa(8?{osr)>SBWe!)(iNUz32q-nf#xZN-q1c68L5EGV2M77Nd1qZ_CN9&NSE%J|?# zIPrDOz=5bd*tEBME8;lu$f5&1R%=0Zxz^EaUx@Ys5|~yHyLY|v7ineKFBAbs%Ec+U z?K%TGw8X&JoHbSl#fKf??tNO%aDiN9tjjAXn~&xu!ctFrCOoG)poLI_f9)^+8qz)Q(on!dtdXS(ORNL107>qGPV_m ztvCY{bVAUpEvP3QU&NF%$GmB1UXR)p5)xcX!!J<$K7kDd`3~j;T9+>kd3{?mUR(Yl zl%mL#MCTih6AHE{MRyMc2sL3AkdJcUUvA|bS>qpE`hAUUv87G5){Sxws&5w3oUWB4 zobbx`?nZQ$jAvNcKFPV_9+-C5p?U1t;yU_dGt>>|b!emG1>O8r1YF`0O2$ZXD~SR( zz&kX+%zpIwDvrEGNtlo9l!O#57yf07iWP#*zoht3A5tC!4kVh^P6+M;vEi|di?lfW9?Vmv+&E-;!b)l2>*h%PUByr3- z%bX}<=myyZF^OpBU}^rU!OQFB+D5Hap8CG0iN)CxBZOEITl4M2(O{}62wOQsY8EE_ z&B(w`6HzHG>BJoMa^@9hq6#a-YV=V_y^jdiqUKiWBmfGEv*=&0iLi>%>}n60mX$k9 zC;hhFoZn8(5ogOpTqX>a=H_;0{YkTI-A_G0pzDy6oUwgz9tezUhEA*2OMaUmaIm( zmHke@QYloWNGo&%jIuowqcc$LS%@KuyvH-t0Ck!7xmpA#le5}tnyppUVQ!WEj15QN zenxEc7`m@`eH0}P7t4T~D)AGW6xz8guMT`nVXNnb1#nUXgLH5Zdc{nu1`@ zg9k=~c{}DsxH~g^ZKDSXRQRnUPi)v1#qSAJQA)g*+BOf8UC{1snBm#(n5~8$^O{rp zlqM=g%Bqurif3w$E~O<-E>=4HIt+1j?0s+|`(_1LX;4lvSId7_`>o_Z?>S3dU0R;WId?>($3 z{9xRsv^nRAN=Z~7Yh)jDYCJwu2!73dGaR%@z*vL5^6Lf%bEiimWvb=%;q87=yrXTx zjM=}wgb2sdS_SN-(w zBcS|dDF$s>{my2G>om5C;S;a&js_o(2G+zl+YXBDk_k9YJvyGNf#Fp_MCLAzt9K;*+iK*r0xZ}wow zo-)kc=HiAnM{b0tz<}o@wQ3&q8Hg`eWlnuhqAH1(qCf}Di1C1$A=XRbV_XZG2`?ut zJ|8r!Hry2|=dyc$#tJaGN9lPA@tkZ&m1 z2F22B$vxs;2U>-HcA)lmISAv!#iz3(V;JkjdCup(UGINeuEuq{2FZQ$tdd^}?K9%p zz!T9>^5+}3zbUKEPe-We7Td)2S6HknJtvVneWM8l`4R1uez3BopZj$T?W~H8PEqG7 z6g0mV)W4amg1sR6%L~Jej;Xv=1E$R|O3KfAqt9>HcjwZ#RWJ}{v|#che8;|6kDWRU z{g6|c_Zjh{byNS+J`AN#F>>E!V1;>c$P1PAeo*kR!|T(+E95C4ryZEM`5d^cN_z08 zIqw7O(G#}zHkH`V7Z0go^GcjIs4VR7{NUqRR$^l>Fl3xg%>}=^CJ1$?6X=fnxN?0c_i;eK=Cy>YH zh#=}SY`N+xCrY6{dHI}*3UjuVTM_E0338&A>(m%*Dp|38R$*eNr)`_el*YI2ZeWN% z{C@kGDPD0x?RWSOe9`XUzd$%8^SsBWmO#U&aDB)f#l5pJkwa*b(5ffV1CFp6cL8Ui zp3JCc4bk9+mU^3S^h>2xXRxg7Q|i2Ul4I@ANj`3AY0<$&`aCo^7taWE87GCAc-s(v zs?pxk(UfY%9-{bE;*#(IE^J5>6&QbOWq((*NiW?eh=#1H(vcrr3sXBzi*t@wlEJa8 zK3V3KyoXTdo+_XM2mI7?_AXF-JNRGmY}WQ9p~u9%E{8^} za?EHqM5lG1XD00Vm9#?QshthRD&Bn6myAPvIOw; z`t(VR*9^v(bLrGsKd|YP7!(yHw=FB;&~4@EhU(8aiau-H6D5%Jzz5kn=y=##;1yWn zv7jh687X1P6?XD?U2J;{O!j_pnu@KpQKt?cYzkTpt-@b1t4g>eQ{8hJg1R$4h6xs; z)pgJw<=$Se8*69t2J}2#*I$rDeT&v+N>o9Qrqioip4nj6<_$f|_XdCfLs3m;k4B&5 z`Fq?i_Vr)jVbK_IU6W`q1@9}WNMOqduLO&pzZaxXc!Qn zYP8v`gbr1rBFd-4*eUcC-Lc7H6Gq3z}DR#RK_7`wWqu{TCH67JGSf!*xA|ITU( z@`|HhL%tWl8N!Ucs%-TA_%3EBVxJ^}CrDQ(HEJDkJ{a&hfA<(30QprH1zLYI9PuhQ zHC{IA7dqEuiX-t&XT?%2HCsCHbXnVP@x1n|CoG;ndq5gd_FhF4u|av<1aO=n#*>Xi z?0tP1%~Q5y8_IZ*>8iYJ8DseUPd$?K9UlxoJk>@kzXIe09{iN6Kf4|_yA^c)G-8YZ z8;rDStiM}VkL7gwO7N&dKb{EWM~7jWf}T`EOXn{3svSOvQ zgxf0nb}*L(hVCpv+xJ@co$saW3moc;>&sclq#rw51Tt?q6sK|Nv(T$bRLerh;Vw5~ zV^Dgbv8E^(keAE;?FY^N32O`A_Rj#fbaVW10hd@8WdNMT6#%p%>aDB*wcm7*^qO1$ zI`^FDow^zlH?K(bE)_N8=TkZMbkNf3k$Iejj_SjA=^UWi!%$-|BT74?x8AOcx7mzn z#9nx1EoNV)ReSCVPnC|-W-)Df?a`)W4G-D}9;e=ay+KlxH$Za|M<~bBX_cUn_5n~A z&K+5M>X~Of57Q2gy`&m)LQbP@E#UMP>L>@8RK0CGbUckUBYkgbgNKYLIhDosc$jMS zTaXCM3n6Phq&4HtkH2KFh7Q$I{rEPJg{`wuFo?!bEaa!S6sPvc+L2vExQr$u<{CvD z(G(}I+JFkru}N7`rK`RY2b;IXEB*!IrND{Q4c?`HP;pJ7NqkIi;lY!)m%%`hiBAH^ z(+o0P8aCZ;s`D~xzpbo{@gFn$c@O|_sTH&y6}y(^pP`PV6qeF8rCUrRmfPk=^yLJF zEf~ICfUX6iOZc^UP2HwzJ^52z$D;20S zGa^MC_|Dd6-p)1NNO#2k7FabYc4C)0)=`uX)AXp=pU==sZ_&R>G+B$Vw<-RryMy16 zozKDB=;|`*YCjZ$C9804*l$4r{d6kg;=FGu|jkBvCR~xh`r{4`Y?kC5nyPorig0K4}8Xw@IBK zSyM-hut8OZc)L@uhlIuR4a?fx1L_i%`o^F#j#3%F1^dm7#|G%i-mB$bg`-Y5!Jq3) zs)t(K*({au3iNb{XGCEtpkxK?WDUrnHCt>T;pHgwHTb~DD^kW*q+K_xsx0JIcK9rR z^cSSmW+`4UQ3K>Ro>aY0GnH-grzGrmaI^ZA@#lK!TTE9+zHievhi z^8|#>AkBw|qyfI#(jHUk7gLQJ(MF|94(_LQ-VyJbZyXx&lUIxi2Ukez&I-4f1NK-- zKz{dtec0b*pS#lbxB@sC#-s{k%}5J(TvU4vfVsP-<=DI_`{t*NMbkU3P8(s-gD=mR zA7$Y)5vGhQZhhn4ouD(&utu6QlI#WGPr2K3yEn8o%vYDjUD_&VQLDxeqdL#1>(?G^ z$>`VJz68p)Ekw@G|SFcgMuU)LqYt16M{hswOw*QT{OH$h5FrqCl zCV+QdhN5`MJGz%hXt!^EnsYAwql?S05muWxEVGP|qcDsMI+rot( zubLBD-GkOcT&t?f=oj%w(pj=`vVb>3NW<+jio8g9i?TwLT%ki1WL0z4CPwjy$swg=pa6W^EWWySm>A=p~2& z-zX4!O!p5r|9@051JTQj@;15RDCHK0_sBdc-SXRr!R~8kFR>_HW_+aVB|UO)JpG!;`G=)~CoIB_0+iS}Jb_0$w9beM zj4A4xyvzfkKVnpqZJ;m}zPbDLb+GWab?)AV)n3Gc7(`9*Dyo)U$J!C5 zm+xOEW|wi@5L3VHphDEbyvj`NFj#1gayzo$3oW@!Q+ymLHl}AXuYWp&0Mt2X!0CX2 z0VN*=kw>iQn@(4K^60q3-BO!zAN30s?q^kERTW$=`Fiz8py<7%cyV;yvHPKs=h1F8ORn(QgY(tdsv zS2o#_rScV!0BTIoLW;NeKzP^R1JQVxgU zA?DEZH4tf7Q>bR~X1V)YB$Cb@7|srZH;+_eE7x*2^Gh-}vLY zPmVax23NOML`GKv86eMR@|01y!S--^GS4N5QgKU$IH~h%mm{q*+5&UcL1)Qw*ax8X zN3yp;DzZW4Ev?c#@43(Ms&%FqW~`I+yZ2=hb!z-0SK{{qL?EApp*4Hcxr>^ZcJ+e} zk+??=6o{r98Ec3eCtntl>f;N#udI1%$5e#&do=_G2brE>_VzVEn{h=d)ep9E+Q8eL zmChFE3FCVlwpA^>4wE-VyKM2389|Ip)uzYi&-=Cabqqz^DqkKuip#v;bzTX|`ogEl zWZpT{N12&}3A6R6v9&L@)4BJt-Z-t5l)fKphZD37^4`yOi=D)iM=;Vhl}08+h}dJf zRa5oHT>b7E`lPsWZTa5F1ecnWdlNaJp>A93T~AsDpcwC()+?@(Us=EBz0Hw(rpY-G zH{Fa6YCZ|8#iN)!G*Zf`Hc+*wS~S{N8o4fLi?VzXAA#e+&@^G>{bYX8PE4cxAVZF! zyD@p%^y$v#C|Sg2Y9i(`KxZ@v{kwJWKFCcT<@XopAS-bD(ibnRyG6bh3b=?dW0>XN zT~l{i-A8|cypNZ(qO3oBO<=nPJjFmEy0vglm<;!sv<`uYpU;BikM zrm|tYfYlbGB?c~((ga!&Gu@5M@h`Q$iddSlUKDq{@%-s9K9S-mxPyw-i%%&;$0(qu z5dKC)?9#{B{o2Md8Mf|8okc6(hk-z3lMd3*L|h*kJ&`Udlq(Zz+2D7sqagI&WX*13{eGBo6%j%t-=mGLUtb7l z$pxX}6!b;@^f+qAk0+R4SqL-gD~1D<-fXrnfc zW)Sv6v(UARocD&NnhuUQMyaYIe$wvH@X5$H2#Q2sk}*>&FLJU?G!CLnYaiRcHdZjdqF;8cHb=VfuWJJ{*!$Y`jx;*vHWCrdhj8K7c zx99PC&FI(d7^G)q*nAL`#FRr%vPJQm?4{qeEWhkv;J_bHrA-WxX0QvS+Xw#kVp*G~ zBpPsg$xC|n9s8JW!d0_D)DJ^ylBnn-KA6A}0YmGUcrOiJOv0cjO0TnEf7vlhEc}n9 z=as&_VAE5}w3m5YCp=zfLGhA9&JU`f!08QyF6v)MLfakor%TP9o5dVHGpE!FsVKx% z=5Et>8g0xK&b4PWXhrU{!8fAhn5I(RO*fUpL`7)Qll<&>4a}(t-BhVf2T*O|xZJ_< zMWWEs{dEzvi-TJUTC=a2fem@O%$hrhRmPioRjAB2MSq~3DolpYv^nRuf#+Qc4jsfW zEkJpomr%{V8Jl*`3~Ogg{4mpquPhFFo=J7tAiMw#kejnTSQKg2OHAlJ(&R6DK|n9A zsVefSZd4T;zi4AOed25QxWHp;x-HSg<%0kY6k|Mw1MQ@NBEHhUa=J3Rau`}H%sc9` z1Y34L!wxtuhV*X%J=iV@q-RGtX6(uOrj-2olR#KYsNRyM{j+iAsc{GK~BrH)g139uHVNXIrkW~g|}ByZCy^J zxYMk;&sb&x`l!yPoGg_!#ig^{D1S&TAI){&ZU}zxoo{l88BjK zDltSuOeW~#(?8vwHV@E+iI2!_YJVxRuwl9<+}_Ur&;-4uzW@+XFAmKf?F+m_#ffnj z!;%l4_mPPND-CE#0H@@M*ZL3O<+p}#vqvWTa=3NK^)|R?=7FiWsfI2~$e_5Ve*+Uv z*U(2s<~>{&1-k1HnHLq_m8NK-s>1~{``PNi7T6N3DZz8j(kx8@`;HEkh0w6ht{{1P2Ff%)z|*AZF9M#!0H+wdk{$R zK9J_>lz#6|coQNIN15nro^t&x81qMG?+JT6<(X^oiN%fp3!s{KBau%Ocz;hLf3K2a zw%c}&k81UR@}--L^LIz5{O`Jqy%qV++&=+kQ3t)w^`<7!r>qwZJeqiVWq8s(mG0D} z(DK$Pbmu0kUe0BBh~iim9(eC^v=eWWG3Zyr%%l7a->-Ff<89=UU_=LQ|xIvmAn6QAd&UETTKA7NlUhf*x5O;)AhA1)qgDKT$K{#NG}DNj>}}Bf9CwPjoA% ziN8-1UP6@ZqE2S|JL)R+oIWSgAxrW8_@i}+(=q;ACST=SjCTrvUzP=w0SQxpZ;T=7 zFOYmLE0CI%pbZo*7g*rH2w%w=8D1+3(Ua^hy07eY>2s6v__}GM+_?HUC!5yutrHZt z5~14Yo~ueta_>^*6!6SW2aHA+-9mWvZJsKq_e|{P_O48>;IQn*KQFl;TJ6oRUXSVy zXY;Eep|$Vtm{AhFIcNHs#POnaEG@yRswYUME`iG>4YVi2h`d%p4(9(!)j5#Ltw+Xq z%{Cdgw6zL`<`iUwQE!LcYiLRcqhTnm-mv4)S~x8Qy_n-?a4nDN}XI(X?dEtyMsNCONVt>V+YZ zWx)i>r~;}u24Lk6?E#H3VgL`GH$fH%S1$Vtg!%&t?U5%IF~ha{lUq=zTK2$$bIZ7- zt7#(ASV`wjI*F|EUk3=iH~zwBPXx zJ=YZa|IUp?55X~M*7@EoD$xk^ig)J0$qDM~48+Mb%S6d@6NE=ibej3G0ePxC2O}y* zgLmvNnx>Oi4Ndz5)W0x1UZJbld@n(dir1vD_;;>mcuW34t_9Ak=QpNgJVEqIM6ZUY z=O^aVb9UP(o$*DJZPF3e9jNz0Z)RZ3#g`)w78wmf)ZgC1T0`i!7ir02OhJ7~!!qQ$ z^8ey{d;l@qgmMfd8PaQ+vR%edHg-4WO+;sU~fuTVr{dRA;M!E%fog$E)QqnDp^+<}#kXVU|3zjKfy4LUmpr7_T0=(YK9^Pb#z1 zS{iy>$F}h$&sI}#X)%1Mk;G*4cq{%E@Vfr5zsNuCQGsF??NyW`+&ix)4Bj7wk0jeu zJD6f4!wgO8YptB$oZ$M~Gxjs$S9t_JvFl=PMrp=0+OKK;xKXo}3RxM%n0z2-V&(vO zaU{FME&wg^|FAdy^9EmS{soF?7Y`T3n=Q=Hp1sWYY}{2+vDP`(6H9kYxXL-f%1Mz^ z1>u~$lm-0sQsLd!Px1#&t9Y88F*UWj-pg<|AyWYXg1GtrxIg@3IR*(C!{sA@haIN{ zneAq$wLk9_=WoH|g=Jlz+9CC&cu;BjIK zZuEgcmq}G}AOj*l*=Jmny0ZIUEe{-q2WX!{w6Ol{B@vm1f_k|!%^L66=o>b7o|j>H zys%TI+r_J@PGvYljObAdjS>{0NnADkwX|jGQoJQxPu}m@F!gB*Ma#eOHGbTHa3SX= zfufCs@W1%>M3nmiQ1wR9!PElN3Ws+!+0RNcTsl*ygvh%q_SzM z7+!F$@fbH(TGG}0bj2lZt=1pFkk?Ol*YPvYLR6YxybW2u5=79RO%KWKu@HQ1?LYh8 zzfE7Z7I5hP&&5M(a4SDubwT`OpVY*R)`{HIbZuQ&$|>&Tt$pP9DhRa2+ddb)u2LE)1q7+gliBfy52WZGNl=*e zfr%QC0bk42iwQc74cZdiGmM~Hs zMNw+Bw*~jw3W4uWLLE&`$SO~ldrU*$JG)%lH#gP6kjjJI1WK9g9p(F~aA`B*m_un{ z9&HuAfZLkhiZIikzCnruzMB8m4XO_?5;a1-^!(otshdvm?>@UKcelT8x-rlWV#8)` z-I5>*!u5vICg2(n-xm*e&+1fv)yV9*y5GXioyOeP@IZybjl_#Q*-IP>)I|UCnb+Jh z!`olyZ)lGGV}GcgrApY`GEa$15M#~tu3ln4QH5!n0a1<)>@#xeJI2%Ns7=tHt6m~n zTb&+W@LHIl^Ty_&%_0DXekm)W|6V<|V@BL4>t>2}LeWStE!>Kh0dwq*u3B5&s_G*B zGq9NCHlGqLULv*PDfrZ+=HL3p(yAdm(;ga*yE-j%Fy&&}5yF<{WiU`zn6_zk6f15# zlr*vKvj2*@4$f~!o%W9L4XJgQ5!<5dv_{$TJ&Y9`W`3~0x`}I3npRQhtC?#)h0BV-krA#(}Zco@jDLZB?r*`TDT6c@3^9nVGlk20$0KfJWDDOJ#_ zg|^F>q-r4Xm-g66!_}gzd)5e{N|BUQ^!|JGG}YZ?>3WlUV8pUg3WC>5w=qxatf_#x zV{wF=WUY>C&CMxx^&(;> zA0Wjy-TV$c-89Fd^7u=3V0PqqhliW@!t%XU-Y)9rqq|BhZcHo5&O!qEdEhj>e`|%< z_cA=LL)KhvTtQld0`2YG4jO(Rm42!}Uabrg=;r(`DYpZwL0E@cPIVC*K=_dXSycxP zWh3F*cTNkwePdnAf=)>cb#{XOq7(d`6T7$VA zhrk)gDp)nQ-rrDbDu!>dlA0lgfLLDYz{MxmKq{}S8-^X3la}5Dm*8z;BxBWI&RSXJ zzhxEQg_w`;C`e`{USB(#;`nb+of(NX~6NmOFaNGh<};prF3wZEL6(E zw3+Zdgj*Oxddv?%f6%IOqkv_#rY_L>uIQ)JCGG84mRrlITekHE1<=QDIG%{B!eEum zr#Tdw_T7WEYgDtH@7_aL$hc;Q>2XB<*d|8cc5EsnJUq(k$HGq3%6+_0Y-1_Aqw4%k&(au^U>7k$io~h?lKD_JSif$lEL?H#Gbc~+ z*58o^GZ7jy7{*&0<`te$9WqD`vy?TM5q?TN>Zs9BQFES<4kvU{stk zp^Wv|pvp1d)j&FC6+drI9O7wDhs`K|I{MY^^xcEcO``*k~)w zG?&GIP#h*iogaT77?wnf?Ft#*i08yEgQ57stjdNy_(5&cug{#*r`HCP#ivw9D!u-s z#b@)z7x+2Y@qIJ4k@>AFvt%r7@jCBo{G-RNF5n074YYm$E%G@1D~hsbwA2n+_`<); z&Q~y3I%*tSjW48@`O7X_xNvCfTN$@+r4cd@kSDy1It)xREGTI9AFZhRS8eA;(k{%N z8!ac{!vNN00OU{puJO+J&8+*tY~#(1I#jZcqCLba`RU`tehG=q5MtRlXCviUh;}LZ zMjg2uFLF$Ce}1bqMI%c+*HG+>u3=G%Z){F+P9JuxIV@(d{W?;#W=UyOcbW$xU2Jnr zz;)o+tTp0j!RYXSs`(Y0f;sKaRUbl^`5?KBX^&2BgQoahmDwXFXt5iOy1jF9kpMN< z!{i6p*}A4q1G#jQj>!uq3m0)>za~}cz~CW*dNPIg)WL&_)K{XLa_rMGwuO-A=(koS zwivvbORJc-_THc1x!n8`1?TD@YzKkg1}r%@=Y!mD5#cqPYqVDpEv@JX`q)f$Z$pbn zupw>ZdE83UXZ%I!7v~Auxi;7<7n-DdzCaS!k*u}GY_s!UAd7a@<~z@n_@+uT+2u8~ z_y7k_MY}Ku+Mq0m|uhGs9~mj z$BrMqJYJ)H!9h**<2+X2!!ls}ra=SojGCWecxC7;J)pG^@ge3DuM>_J*%({7*DG#k zIjvh^!XiSkb^MWhV#mU0L_&OE^W&P}#u12uxR8IFCCOqD*1ARVoy$#Afa@bc1$rn& zzu5+Q_XW^cr&O*(={1P%-uf(=@P783d@Mio%wb#Tax>=+mm3d5?iBSG=mO|Aoc#hC z{RkWEIlJV8WjDKt66jsQ>@>gZG0x~Nj}Nxb>l1=xT$gyeW5|~MHxpIl=$2+A&+#YL zImb(0BTf&3lGTaQ>N$6PMzMRvS(MUVT!1WDE;er3U|!`bSR5-Yb(_SO#CC2=4sRY} z0Y44NrJ1XNy8Csg8#tK48&qSvmjYf|1ajQ@5;lMyCIZ;G4F#0!LgcNf-=kPwE9Agu zuH=mmU#t1P;4YEk@XbCiiGQSyHAn<{okuD|!BU~TMf^y(uD9aAnM^bPcV%g`!A_KA z*u2W3mEjvjhWv%_fN{A8$m%+zS>Hl?OL(LD;ZnfNj)}?QE7pXVD1}j>WM>e)FP1Tw z#MtcKtsV;R^F?tRW}tPNvj54vV1gj8iQ3eUBz`TrGk!++H+RXPu zc9U_1T=s`=4+llNqkx69ljDF0B;A*zuSfRhs-|d)3lr(!B+Xi-=Ii{RdZHlQvr`Tr z4blSp^h<%s1IH3@Qe%wpT9#Sc0RCxbCQj>pemiy(80xrso(Z}(;vR#kA~fk#%MY;o zq&@~)mF&+%g17p~HL$@SumwMJZE}n?O0rrqa7lMsyhZ0A8T^%dd9R4x>eYWB%aCWO zOiLG7^;kZjjnALNbIfI*G}FcW)Np$3piX_m)Db(Da4noST@C10#TKqS#l@Q^*VmnH zL}AGlBXT_%i^ad13_CQG@9nImHD@FC_#SK~-uyny zw2pST^v52|yYKM6BcXp8+Syh9Se)-Qw?~#c{>e&q(BqJ?bPk;0aZc>@>XY{kO_>L0 zHu=rLG^$o~Y+rIgATre&$+-aPTmH^G4B6NV<*C>=d3N?QlIn&O_3IX(UUocB zFA}$&s+bA-!z;aYVdQn3d(MN)EJk7zd%Z(D%gphURXm+!mLQkJ3Z;PenretHUK8&u zW2Ag<(Fa@j7k7F+ePQ&536_ebyPu{dU-Kj&&-q&_IKQU znF%3{7t^~2e0lx81uZ@+HXjYNeL#-belD#7fLrcJME|QI%6$0{-hqzp9pU$2_7zE; zIj&BcSD#^u)3(~vO4lP=!2M|MtxGocvL;H&mh$IW@Wi=!ejbV3cdI<&TA z!Lb6Xr9_E9ytw+zt#ZME6m7kUvdWl2FL}SQ4YbI|MMGKRpi6Cg(`3;w0QzsXZ zo~)3=n?YGM?bJrU&*T!9_-{0|mtjtD`$ZcgYar%~Li96nnSpnxfrV>rd3GO7ajro? zhpTXl#DwVB-r21j49T9E%LcgpT|CMS*#?Uct&Qm(&24EfgtO{P?YZT((@a|>fMA&Y z=<&IxF%Bgg+?oo5Me0>${PtEA*q|(h7ir27SJ4o3pv;DJKV{KmFN!XvT*GsGLC0M1 zm&hxH=jxVS@R<^y>ySnV>>&&rYP}92%P{dacg8T~RgjrNedLFhI9w93=fBpKhI=G; z7qMqVbKF#?c(yv`o79Pi>gP0RUNWu07|^R}^LY1vOj;nBrEs)q2!P^3VFYQi2!BmrHB#=NcXKHPI+!*LA0y2|&6mgic&ukMugG=Vh%=GvdUY8?auUHNr7sWr4`&Y!=n3)vyi>r8*LYv? z=pr!slx(@&wEDQk=lCs1!Zpd!8_&^Z@MA|=V&$yfOwTCC^OugyE8Vyhrg}6@4LcX|g_y zJ){0FG^XU9?)`b~>3QAEz|?IjH1GV9q^M8^E5wzBts!{)!GLdi%GK#nrTlSVL!hnC zv#Xl=lQYO#QNY;h@mjWZwuK1R#Q%ThX{IYQpSiakf9fzwGrHZEGui5LTR;bLQ~alM zHZ3fZnm*0nl>G8XqLqRBgNVPmA~b=+Z9ad2K0uBC0=*G`{NGctUp@Y>lSUwl;l(xh zVMmwKrIF?Qa@BYLo#=UlbQFcZLGkOyboW$7u#^5bc?}Oa3jq%)#0!()26xc--BLSW zaQ@DJ>nieBgGNy)nW@qnmESK&lL4ZyYd3k9Ccdist??pw$&QluZkYE3o@qVwwpn7W zR_KiY7VAUML0Mrmi1YvQXZJR+bj>b+XfA)|>AA(T%*NxW853W z;(Y)|nWXZnpLA%i90&Pr6;$#tA@!buRK?$S{(tx*X%-cD#%BnOaP5KV)l6LHe;8E$ z_pqx+|6y49>pz6&<`Wa6)ywU3@zK(5g(hfC;}p|LBNg+Qqy7PZo(7eXUsBttv>9%R z_d<=ju%|^eBi6ZFX83qdnrQjSST;7mJ)eH2FDLe-)@eOgW@2%43CZ1MXn6(l#tXhR z_TSXd9}<&_2!=TYUTu(@nf17o+7j{blh=na$MCt;<_ZZYi>{#URt!;Q2?eM(ZG{ts z`|YMx>C2|jmGZWvon@=EIaPdt=mNLg;>&xTPoqJwXznZ%1o0{1V#M7rG zluuS{zgtOiP|+=PO(`(YZ>{lm!)?vL-hk(BBId&O7`ipJRUfHwzbI(kIgLi4!m!pW zX(l=b?)BMY2od zt+kD~?lVkYNzsnlK~YKRdjt$F(SOLILFZ=l`z;Id)EG)LV?&cME9<-RtE@=G$9STv zALM>mVXNNM2fq55!1gU!9oR?p7sx!&!VW+kp2M&7ZORKW@eR)i+?P3n>2p3%D#xZ}&1hu;G}wS>0zihM3%x>P*NICrIufcRO=C zK+1cepMe>zS-v-oChw>5Sh9cDo%@T#cyRC?lL9>I%h!8l%Ti*RCI0sj8pLl98f~J5zqTdYt z!f4@E`tB=&k>Wt_e86Y-MPR=8B6~8^XPk^v>`_5!=SP&m(LKOCTKq4NE+aw{Lo9#u z@Y0C$9$>jyKKP@1l2!I<*AV>t>U^lR8oj1gJIoXt;)5r;4aQR+^MX_MGd?tA`Z!$; zIIZ^KUx=nEC_W1gy@$KHdXI=6U5@UpKb*O6qB;m*o~gd%G}C!|m4)S!rIecX69bk= z{fzDDYaMTc9ge)}K;X*}?fJgRfI~k{^sCa*kU=lMz6(ocRc`-e>BA*XVoV!3CXe7^~d~$|<9<@@rBr6Pwu6YNlpxB{)h?*yMPt*$!_2=c6+Fw;w zv-;V*^<(+*Zp%&OCOY&x$XaHhR9k_O#XF^U=0N`8Nn?&U+_8OKFrF&DsLJel{OMB) zA22ob(l4HOK_u>KX}lKZ|%e(M5aWI*ErrzW+4#ZbU8*%r*Y8L4QD$ zd+2}0AiO`^kc$a6t~xF2%Kg z*ko396LmdY9{6S^JDyi*QxAUGd;(r#`5a-3D-^7WlWo!I=l>Y%m(eXvdcuvOt<;~r z<@ACQv%Sz)!b>k%pUlwK2t$@wK7H`votT{KzGvGqzd?=RTwh%>8L;xX%eb=Lj!Kuj zG8XWURid@s+>(&Bp9X?lgpuoC%e-k3kN;?d<;-G;=C9XQzN8gvh@DC%3kN-a`Fm9g zw1f?H6$eu{AR}UAG{xGGOEBY7yFbCRpH87XOr4n=84Q*}JYOueHfve9!;i=O<{o2h z`Id%y7*B*}`VT~ei#YI`%rt%RxG|;G)NPLalJ_fK$t?AU`EPaiwfG=?X@G>YTmv|s zBGStNU8b#=9)`QYr*sx>5S8{o+CuB6so3vWvy-^4%i{okWLE}a?V0v!Ahapu$J`CU5fY1hr(2P5;4w2fq0g5=1A392F>(QxL9Wbua!`b@q(Su#; z?Q;dXj9w_42cR*&1y5}!6>0!spq)i3o$pj4+ZbQZ@wcF(f))14N_KYk^W67+U7yQZB}B~LER2d7zDM!6lAi@)se=TGBj@jOKdZQgiVKCj!buPFi>exx9tiS3O+@g&gufhZZ_w81jk{Nlk zRG{voWU3GY^Fb+|bCpHYPhvr_-tqi|F-7ezmhQNOxQ+=20Cz57JB56~AJ*`&973bE z-$<00oqpp2%&LR1AyXXbiBMh$35));asH8hN2x{A6#llGraZb@n&en9M)j6Uqs;s@ zx&1TFJ#c*iyOnVZQr-7i#>D2Su1+OpWVHN^@0c^>?1Kqol|k>?0L2=Mrzq;n;GE_$JsBd8-tfU0bUVLj@2F8p-8auu-3gtp6Wks{Vy^iL$-u$K*$%Ru75OW9tGl zc^ntScdld;BmUvki6H<9jobLi)>C1nF9{i3QWIbG1n*@WL40nYAb`HGdkjFZP>RLB zAz0YD4a&bESbO_xdI10g%eI4qHO(T;A_WNu5|#Q<{cT@rNoKZ^&c^Ovj;PYv#w)ge z1s8)Ku97nyf&Yb43*2+U416Zb7a2*m5Z)%Pd+Q&aVK`oa2XV`m&*SB&S)J6upR)Zq zwvD{@YBjhVXCI7kvEtu%>{F#?+KZ#Y)#)buboS{E0hqE~qU3yJ zR)0I3OkyD6!@il^O9}hebes5MF$%`*Nl5qmW+OEs|1cv7I}r`c37FS1uS2Rbuf=A5 z>s>pVe^PS&<^tyetl+MBfO`46Sp4(S=+CvD03K6!xq6}@dFnzp$dz8T4e_S3)2~Fy zkp$-`oJ7bW0imEpMcVyX(JmUD?nu7SQBGkrBNmU}L}lDd8x!@}nhB%r)sn$qi-s+c zE;a&#orXhb)VZCt8FwDL)`Db%{nwio1Z@iaq({ebU7VpEQz;tZGGMQQB-#+PshdT( zDO^!d=A{U(1AGt{aMz<4qezC@Wr?nY^QJ}eij6jtoQ;^D-s9TqxFt1Bi$^VWbK9d# zXKLv@M9Lj#cKThhd0Nk4I)Rnj?+ypx>8oI4uVaf3ivK(QpYq^Inb;Z9iYiY$CK zb&+7Qh4@4XBO6hTk|V^!iaRId!^3d{Qj`J3o0PoWvJd4_S(Y{3@JiPipBhYJFCITG zPdB=yr|%0(pi-Wz=Tz*e-=5c{EFN0opu=G+`34fR#+y~6yo#ic!%iRNBW!p@m}b?` zuQPHo$Jn|+E*i>JF6CkFl;k|CA{3SHSrXe2AJr4)=0`jwY;HX1vJ*=K&+cF5 zK96XcA)omimkr6Z)w74*;OREKuXtvrzC6$hu-OHsmer!y6PNB-jZUXNKE!FZ64hY3 z0};G&k6w-?StlyJ{Z5s0hDd|bvgvNLS9{76itOzJy*l{W&w8m3=1~ptC*s(vMvnb4 zb^}02r6=!Q%s`DVeKU#StY6+t?>iks{mE@boz^vDT076cn?`7*0#kH3P2zE002v$p+chy z*+hKSZ`~4OF8VDnPY`MN9X(VEq`A#%YuwnrYr}XKL%U$x1Fz5Qna8 zxt-As5!tWD`(~r6Aw+!7IyyV)A(=o=6rXm$Vjw<_E+e3Q^3BRpY(@py@tVV^+90~U zXQ_OGX}rwJ*C1Ua-jij2mdPtv5!_sPGE>sfzeuErx;~hxL(~p68uH>4%jqx6|+EDzII6~IGGQz@R&u@vb zCUPi>k1Ms^(w#6WM$uW0A<^`q{aDf#w5ymOy^PFg<*5J0_tVdAq3Q~w8QMdA)I0RX zswwS|zItsVv|81eXJr=|bXM67lM!4~?s;4zx%keH05yJS6_t5QcWKs5A_6_)^4bic zIkrx6yPVfLh0%VX$$DVjzT0~k@5P~c+mTOkmkHPWyzbR~(G-)}C~ICGAHO^cxa_j_ zR0xIvEdy0*Lj?JfN2*m+ry4?*wWIr#7!Fv|F(xbLgAxw6nCoFQGbZ|1x2H<0Rb#7d z@1pIOB|jdnS<1^7lJBHmMza3G94M3KOGEZGJ|&T<6OO*IoXcc;|YeA8w^=sP0t*$snr_ zC*)6(sw|0Wv53ce5ivhm9nHIx*|Bif4s+iy5=z;jlayD!5~z|Bv%KK##rVoBFK%U~ zi)iv-iu~#U&~=ewKUC7Gt;oPTliza85OR{E0-vG1`2M;-8rlcbjb{! zr+wR%BE4QrQIT(~-<0RUw)<5ihxBQj@>eiP>AO`F77n3VW9S|-ABekth3Nr?b-X`- zFTkEgRr$sBrFSg&z-J-VPkqj=$NTk)8slgbemGr*Es^cr z_YP2l;>%ZQ@%%d`fU6y!2w0Z!b+1_b0Pkw})Q{#WnW44Xi)f6=^^ckJZ(YuN5q}e^ z_}6%e*opry0T=(diD^K4S==-v^N7d9?}wev?~ZXN&@o1XS0@|n>;aXq#PCrz9VhH- zb>dmfTbFp{4SM|_87jgPnppo5295__BtC(ml~2kWuQN-HFz5Ln&!TG^C83u(gZYx8 zj%mLW1thO&m+k9_FFuqD^-F#j+b=oFO~x1U_ao)>Nz_LKtYLQ{{~^R>-(Pg~m&eNXk$>zsn^vwqy3U3NX3 z)Z=xMi0&xI=pWm*<&my4=@gPUVPnNhZATQyS*Z>7?}7_FR-t-_HD!0xq30_))bQ)D z%dxw+(f(_?=TGW$KMp-n*zc-iylZ=GqEC4!8+0nE#!RWNbvA#3zc)zm5$}DQGKxBY zbDHxzG0xjYDdDG)Dd5AWnB;|3m(kYAQU)7t3ERZ0#QE~kjZY&+DQe45YBE;rjtd9c z&X#Wxx6km<-i_fnAEfpdDEl0%KRQ2X3SOq?H9nqFL(DnCYWFBAyM|{U)k}K!7~!+b zVzD>@tAUbmz<1!2LsAq|e6=`t>)8RND8H+b@rim_OJ7MOU94F2+`(R8j$G_%TAD@4 z@N?h{1p~^zn`F}#df6UyOZQYscfl!N4^?L&O|*3)_t_>b2$+w*uJLsFOwSxUBMsJ_v2J3zs{I{U89`ls>2v2zJCWY`QmL*nnkrh8k@ShfQ}7ENpYiI`?9pGiZXWU z2g~%;g;;LQ0y^`wB!DdBIgzdDsM3_h=@h7L>}s042_#La{I9Ry@85XfLtczRzwG55 zi0p-9?QeeUABR9dNEFa#Zd|O z?HBnGF0@$!^|Reev@?N+iy>;|xVTP4OFc|pfp9@x)JD(=RaO6OQ?fqnhA|D%P*-f$ zqq^B6@gs3F6^wj_o|7RCTTp}>wwE&px!?J@;Yphz+8T7FxIqkn1a{3A+$ztk@bo;3F$ z1sBxb`{ufD2(H3_MAv2U3qXHx7#jofggdgUM!ze>NuWZ^r;Ye?F~XV)r|eV#R1#M&z8!mDCPvJTM1FyYr`Z#}&uwMa zbk=-@hkFGX=M)%!>A(8mn)CB5}S>b#OUR_o$sEo0j{ZBP{G#2D5rTyLx1&HBZ2niaTiq!TgY(VEJO+<0k;eIc_f1Cx zEQsllH?-6>T77%x((Pzr@9sS75ti*J&r8Jse=H}lgP7_phiJ!@>l>r%=2A%ebnfxW zn;cOGHB3KxvBeUw+3BXpEz96Kf-s2Bifa7;$wXY~$=MfI?ALvv4ykE3aPjEz45np7 zP_bu&g$3nd{z*p@+&PS|Q_t_ar)|In;->)=$y}lQz3(RpI&SBdc_%e07 z`D%k6`kmt7@b=>`HL?Ans$Wvp%;dC5J@gU+y5-P}25Y&8N^kv^$j5{}ct2tJHr9|F zxU(xrr@|lu{kG}*O3{;lz1U8Mb7bFJL8dqtz6%Ml(ZXgtlN>lIQ(g-#CT$^iZXYjx z{;ln~PW+_6PTq-z3r1(t?!|3`YJIV>_vE>WE0T8E_9HO8dT1VkWf;SxMkhls*)Uj% z>?PpU8r;^Fqwi(ayh4m+0=3)guQLu^}=9;8oytEo{oqhBrZ3G~H(AX+SXwLFSWQS6)`obiEl#4&it}ShhuGG0gRPq~Ms?kKy5|T$AO}zj z0K$myW_K-^-lkECSRF0jTfDrVD0Kc_N*oWO^URfwVY*a05i)p`&*pwrSrgG)Kes<$ ze>yz&V=7%8q7@JQ1>)MveUplKUk%-9N*+ncBwoCvj1s2hmgqWA9nG$cPU%{Q@rCQp z5XrdBB;rL~j=9Wim3Z^ZgnzD0E*vPDgPg4}ZU@Ar^}d}phhnZgC;dXCv=^_5btlRf zI!R6X3&Py1&YboM{R5pysl>MeqRfinc3li4wTCA4WhS<2q;sm3NcKRT9|11~QMotP zl$t<8d7SUT>dp!I58@-ymL>7W6WeoF?~0a+$J131S`d!v{eFEmD6Dv*zIMbTU5Y{z zdG&ZiC@82jBsEuRa;A>qb(}f-T;Tc6v95l}oE}n7eBvpszWyr<`1ioa8#czD=vLFr z$u}_f4>JQ#-K)PvcD(qpO_3KxscEQMC-1Yyltq}2{r2TaGdbGWe(yl)(F*=)WU83~ zXp)%ldF^>1r_&F>h2k7j-OojDTO^of=~8V}S?>;0va{Pb7mV@nWv&cXX@hj?m+2eV z*ShQhFBPW#eg5!48lpSag`NjLucl^fcO8)k?Tsb)5&rUCbp8R6IJQ`)Mf(ylVgGXM zT|=WSo%0mmOgq}(dR%9mQCw@Axn2viEPT#KJ$PS=MVcg0-ZCuB6Pcz&_OBywVs~E< zb8A*e?QO6%;_|dYXtgWYR)Tz=rUm+Z8k^x|POp&4J!ns^Jn&xKA=5}W+=(bHZw zRO5cCm&??NNHm>6Xys}qXM`zX?Yb5WIx*LbwLmOISpbnBZz^3Ha6r!4x}kaD#981}%ws%lH!v$VBex z_a%XM1WGxb6KAGnCwY(f6A59H8zwIK;MyWJPdkoA?zM9&3?a}8gqCs|q8DB?ef#zt zMn+RThU0E!ghNzB7=!|`zEi*X+7ALeZ3JVaABq`<5jOT&=!nIhHyE(H$$1husL$9{Vo_>q_ z@Zn?fy1igp6k{?~>7^+>T!!)9HcjzPo4hlQCabC02M^oe@C}$a_a=YDW-#ZW`iuOB zvR=E@UU}qRJX0{!^uce>J37VH->+XEd}SMO$u75?`PgWGIhR!ZAh3I>rm&>jnQh`M z-|DvU-u+bw?zlE~qNWijCx7ZeJ@mnV&>rVt-~F(?CFPgaQk((T;9j1qM@zb>_RSh! zE{YNE4J34S>#J;hzgf3q*J0PN{^+ z9ms)*1K|VF63dz-q{x^_muqF;X<;>l*Q4>ZWVJ<374$R0nDSIw=Rl+W&?GBYP~|~k zp}|(t(_9WguGbcv-D`LSv+$dW&QaRDyBc>}CFZsmh!GVN-W^tr38ti!pr~-NG(K<~ zdNO(=qKQ#s=|`1~t8R78TXVVLnePnjFA^P|x(=0_*nUo3ZoU)w!`}6PW_EkQ>Rm7F z-q<|V@Cw!kPVZguR+&cdXM!rTS%?g>%N@d+G^qi7aixy1yLU^JhUn6cCOKY(<4PoQ zMw?q&J>F{u-T+>4zX55}+rFsgeJthlP`1h&m+R*zM0p8jMH z5t@Wq9=-jl+-P=8M7DaB3TKozpk!c0Nv|b`L1CvWoOYxhB|3eU{GcK-!ouF0SVLR- z=QIbQ<+)=P6IUM2K5W6Oy3dOtWpVF9C7%`%#*59WbW1ra5NJQF`pK4;+|I3~TDg7T za&q)KILjbGBmU`ZVVVB+dtJ*Sr^Ye28AbPt{%-S?Ynpv667gO8PY~iqRk_z`TO%7CZf#7kYsCHKInzC<*P_<2dF;#l{5%hI1bN}>aQE`0jyY8A+I1IT9^%-->3fU?J8*=J%i1<0wR(7-3W`1lMA;I6Ml%-mOScA-XV(6x_Q~APXP`##|-w1KazIp?ZRgP zYO-#>&2t%w@r6{cJGP9%O7pTdF@{1&2}ibZi`Cfo{M0}U`M-9WZyFnFR8O1~&xvYA z4pue!|J=sVNQ(lPwNYGdUJ!m7@V z?n8;O1Pr^7_n{51@j5fWqZ$Nb@np{2BA>ilA2?%S`2 z?wj%!&qBZL!OV|T&1v+^t@+eUJUBWxcbjWj5u@%lBAED7qvSCZ>M`sJg(<)g6q6?d z1VHmiYV>vhD}TWX*1f0xH{R9ZU&~{%#G6g`>~Mx ziB3fO|FdIvf9efl9D zfdVDB#F!Wh$gqZHKT2sA&FOVII^zad2c;|zx(Dy?%k#l?UUK$TK#eD>nF96i1J35uw}Ttwp9 z`F+;Uuyx3--L)T>)BUP~>2CBWVhhaq;FyVx|EeXf>IMs9gK}bAS zVNagY0)s6Y?ftw0)zxYJwsX?ns+yh=RsI&7G!PtH2+KC2ICuUR$Yx8&eZi`(>hjq` z(dqr1qxDFqw-r-pJ!>2{riuTla`{J^A^(-f{u6pT|6vcO=r3fQ{o|2le+mm z60qrn`7P_N@DgvYtku>Z3q@ASDA0C)#EBP`uTe&_zPQr0#v!d9cwsUnyTpkYsiJ35 ztH0z0ymmny1szjes^dmTl_l;Ag|_kxMoGP{-?9$)BWM zZOWE2XL7U_5z$pBw?&j1$o)hYe0D(RH{7_?e8s2%gxR_H)ORzU@WNrgKzL(-wVD1; z466S==D*L+|G~8(yfomf-7s}3&0;jh^~V0q9<*$t1VrW9i)AvBYEfdcnN>M2zH+mTsdM=62es7RCK#&^hQRz8Y(%o z4B+=F2-uPuY&-nuSrNNi5~Io?)-O;X#stPrb4Sj{T9z8*HC{Z`rcI_6G)hJj$NKj7&`S@slZLMrI{fvLsUI?ty?u(O%arJ#(B278_b+Jn)jS zU$=V62B z8Zp|UX{bBe6(-kPO$JpQeN_8&^ZH-OfYDb;H?? z$}TihRPha>TX@?yoqvI*5#6DF9$9M6C0hVnG2fOl_;a`t+o$waYx8681aX|oW#vSN z7J6;+%xpUew>sN%8tqwK{;u_hkJF?CK=?6FWQCjvqjEs)SvRUUehWRY*G-YK+L>(& zBr}@z$~mL13wR4Q8D4>_eK=+0kJH|+3xAtcoE1M|b8TWlN6WB#8;to<{7?eh=;%H^ zFh&G_oP14kz{kiP^5Yz;XeCZU-f|OnS7Rnt1Cjg`6_Xoh)i-aK>R~Y}qtB{0jVqWC zN0t^4P@9n>fa&xlR)x~)9QuqqMW)t@P~?rg_W)PtT8g)ac=I^62Kjq1`^%*KA(!*7 zX;c1h_}@PzH?+P+_?B+Z+=pBlH<@(;@49a9jdB!5hx0#_w|u%$_vfON{~h7VfnD^+ z(+W&n{Pr8jA!g0nRlQ{{1uB;Vl^0nr#TVuq#Q+a;& zA7m>P8V_cqet}MXb&(Gi>Sw46nsDo78iB7E{%vD=!(9#+*_vOV5=_tB5#z;Hnnya2 zTD0!Z2{-aqIBY6j!9M|%g}!n7RdAQ_)4d@`-@wbk0uY0}18%-#Qw=Jg z;X{~vvNY1^C$$u%9{IL4aUNiYf|n|EajSc-T*P#Dy%@lP|1K9u%MNO{EE~%}7OVbE zKNr=QzBh25)EcDb5KiV;vD<<7#W26R$2ZKgS#od)c|Z&M8a>uVP*cOi zscB#n$G8imikBEIp?pfrGYZeEYe+kICvqOw)ywL=_$smILZ>>YoHram!>CxrWhjm8 zPp1#6lIC~zvKKz^m)7mdyeq}M7)N=+&Xz7-giw9# ztyy&J({E}TY9aH6iC*&S9syWvx{RGiaWQqv-@rSK2A9j-;cpsf84^C58t5DNLAE_p z1|*-B(B~+d5f+g@GSg$o$79bIMR^&I3(1D~-K#d#d(ojw!NAfKXBONLwy$~XuBh0lplM>F`vZ5a^tv=5i#s?XhPc%-6Mo1NW zeeG++*CW=#$_V7(4iQ{ELghya(-spG?IgLMCrt||P%|x>bJbxx9m!bsYyekGxG&EP zT4}L5q?kB7`|v?yLGFrLW3sxJc7sJ4O9GV7XQJ>|8gx4-6qYJiV34M94V>=Jw$y&+%lruuD)^tP#+1_v1 zsH51NYqLx|9@NT0C{f#(AuXPa$r~e`1*1kz1h&f z6UQ#C>@cv7GriTSa6n|Y+Hpio4WuZ9vg1HGbGYO24)2*Xn+RXZr1N10Jax~u-d?gA z&R>5W`UTQm^T~!@HdX*|DsFj`F_&wNXJch$j~8s8?LY`vBvq>2`F}oY3y7*{p{6?m zcYE{5Fryc=4}&iJI%Ie4xCJ{XQ;aB@eQ`k?$Hb0-pz-1X+p8PDK)=OZ<{5cF6}gG6(Ux)^E;K|9D8AWO zl)g44El-pS?dgp2ve}apgtNp;rI+OetOOF9cN+cm8#k$;o$0+RI4alEwQYbsc=x~_ z)=)#pY!{@Q6CG>CBxzm-lD;*Rv0!3*QQm4wW-B3tqzt{HXY~wChDd46&a1ok(0cW( zSj5XX@I77i;lQr{`&WW5hxr}Ex8bY`zzQhy6=rr6-Fxp2YgAp=Vm>zSz_x>vC&<<0!QnGX#@m2BzQJYS$0Hq2Nl(uLO!G zv3YwlMfPLYDInT#pW@?!s)<|Y`|6s^#S6QjoVRXTcb+@x& zMteHGwRw+dl|ET?X4 zwZ_=6gCf4VAu?a=5-f1oxXK#l(E<;LXl~qQ_TTHW1rl1^iWw8V%H*wy2r3~Wnbrcu z4)RjbEamhxB8q_Op_RdnSGa9)fm_9H8jz$fdJnNPrZ0XWPO7@z@7MhW!rm}fI{P*Z zt6m7^_p|EWP_-_c`TkmSfVKWyu8_vxo!=uN_St!hOyVLjp#fU36`>JYV(6{I7X;x` zO1;mP>rLWV*DoRK-lAhD??gY9H?7aq*BT$ zL#mW5RG?LwADF?Mi@hb*sqEL^b?16KK%-u4Kuszx}RpTF8Wl6vS^MlS1?R(kXc zB>FS+`Eyfo10&lr5^9$P61%hGdsPj!LvVuAuKrAZJSOi}dAC7)ys{CQ2X+*?tmw~3 zA_{LJ>g@qGL!28&t|E5zeQRWVY1V>sVO z^KZmb{72RMf5l_}j2H_Yg`n-E-NCj+c@BkLT^Fy|#m5+HRJHb8MZx1H#of;P#}(&% zFV+SnH>CYSk1FJD{+WpEw|ooo+r_wX9a0Uu7MuOI+T~y8T1F*)n|@^bQuBV*E_w~o z&FyC@tH+|A)_WDuF6ZjwD8f@f@?U(-*oh%Pgsy!{Ia|?)L#*RfrC2?4Sy$DgmG*sR zeKX+St!4HtEYS#_h6W z1^u3M7(D-@OYfAOe!yAwjtmdmbzGefOYSNdX}mQf3N8GZpT&gfAp2z1R;*x0{Ki*o)E5&xT#486<&tV*>8`@%s2-ydb=} zdMePX3?&QEXXpvw~{IqmaN+EmJ z*Z|pD0vVm1d%|8D&J&km(}N=ca_W18#}cCeG6%pGQ$Y=eg)`d>%)@)Ia%juT#Pf%o zg2B*Sd%6r-=BftaC1c`td=JLZlOIB8`&CjkLTbJK;M?y$A{#gchXu!vjSfOEtPsRd zM>#26V`ywSS=ENQnt9JawcBQHogkwk5!qr9NaQ%|{vNAkTNclkaa-ccc-a;At0}L@ z_|!P6jJzDJd(C(4vVm-^EYoS5Gk2OdkH?e{5oc{ws!!gjQh3*3-J+tFeb{qKo>ycm zVxSgPi%6fPaErS$swNe1PpMAo#mQyAk7670tji)}be%1QT64{q>PCrl7}M}gdZl)x zNe+;mIqR)xkw23naF%92-$&l#ZxpP|}D{I0Ql+um8bCTNA%mc14cN+kA0> z7(F<6+msX%XM6V%d+J>`OOE+YWvO%O)&sz5v%~;g5lcYdAt+(D`B37L^2`q@)%6SX zy!rcdt)%a{c$d$i5tj+yVba@@&Hj~i_9v0gCd+fi_F|G9xxN}c+wP>$?87EbtnBxf zNt=Xq$cAA6IZ|>*E`KpHQG4YjF)Qbue;omR%n0MlUpeVp(g_#CA2TA zk8{6#GpvVDC`Kfg5S}_gcg*^cQLRW3T3d!(4oka;zaUp7R{R& z+m0%KF)Xgo8+&8!EC_vf=zrsQTobpmEAWCia9^kyOK(f$MK|>&cjNdoXe4P85m|_2 zh@$iO>PFV#eN+nU-W{QVvgRJ?I zABicvsMBRYzCB)rA`3^rqhQ9I1l;8qUsjTP18aypEJN^cJ^Ddv5xo1+2oq{Q#~JoU zt7}^cG4{QKvueg)32XY%^qBM}_9REy?Z5YpXb<7Q+l+OeO~!_oLQi~D<-d9PC_G#G zBv(+0pE$@*t#~J?m2M*s?i(<#=GI;61_n|@kQAc)oNm`VNR~pbfa-nzn~R{!T5}K~ zY0u*5-@2zD`Z%yt$F6QKl}aj43YAK#gp8?8Oe7sm;@Ygd6Y@2D{Rm4S2hU#G^H@t^ zagP9;c?U=hV?*uo!t!d<*)OD^RMDaHKPw|}4cVhk*AWC9*x zs&d6J80!HISn$@QN`{<&Ei2D;om85$F*&eg{8>NG=#N>ufAZG+)eVVmG<=~e9vz`r zIn1+BJ978xyymNrmdTf`HRW7^HsZsFxbnk4z5CslH^T0m9$Yb>skhDZRc^x+cj5{+(Odhp81PQk*L-(d9IP;hIAt4~96W~PSm zN1W%agbuAVN@TY8?tuu3a6up!vI~y#aQlr7=z&7fns!S>mtxrLT$Fj{m>s9 z%papE7?i>%mndUJB~`Z0sU5SlXF_nOT^_+jA15N#8bihdsSlQs17e|DB()ZMMbCZLX?r)~_Azzh^!J zBc!`g_x%LX2LIVk`m%fP5Bh5>_T0$H&@|6{AEP3@18xEKdDX?fL+*$V$$M(hk|zTX+0vA7JDoJxoeJJuPWk0s z5u)aka}6L#2ASbZ6_M`9*Kf6Kw0?n{`L8d!$oR1WS8qycKVm1JGD5Q`C!3%?7ZUW_ z&eO!eOQ5BFC6a7mit;?84&;h_N=-J{eHc=-)u;y1m7y9*Ti=j2)LD0oYyWkv0^IyZ zDvK8GW8BtTVm;+bXkJ&CJ1VEmY9cX6;tjW-@e%Y z=vt_V%n?eac(9tPAs&(ZK_P}!@=sY4B~a(32a$dS#tRUM{4j4(XRn7Do131IhFtrK zw*_96Y2duWV$axMqLAU(NpbBCl=8@#nPWvnmj$>Ap+2$q=>)g!OJj+NQ4iwU^)y&6 z`H(7HCs&mNG#TSGhWj;NMi0!!gE;Lebl~F0w`P^T%i$~%5;~g9SORL@#}%lUilrTn z{E!))5WRAqXP;HWpM^b7&-Ll3A*SwOct79_q=%JU3B|UY>r(dScH6;h*rJCuDoKKg zo+kDlb@`f_yvORek{A)nx|H+QLeN--)m8gQsCxJgrY?Cv)B1WG6M0m)l;(Q}?Iv8& z)#4{!A5Or)hM3mf0s7!s>Law_iVvQasc7H0D_DPYo~~o5 zHtC~(-7p9Tf=-r=!or<4}y8Ehk52SRdi z+$r4ot6nHD^&#Q{fedK(&VH;Vi0(3dY3KFzX>`XegvF5uN}`|D;Y%P3rp!2ZDFG*b zdQeUBEGt9^O}|`7BBalH$#X`4^~R_JSHp8^REEq3;ahrhtt)?-UWZnn#88r6Lo0q6 zIWe_gspu6m6Zs1GO3JS+5a^*7+T77~oCJ2o|rJ4on6UT5iC!)tqXw{OvULjLv%o?o%kHXO^|w!YJ_J8WKlgh&9p zL-RD=%L|2_x|ehU>`GgG$F|Q!YAU&EJdFpAdo8WDoj!AD+>YTB zza8MuZ@?gmVn|<}iL|TZt@dGmSreTVsX1ytP_-<=R7pX7Q{{Op-VDHkGbM4EdwkZu zvey~(qt^NJ&Gs*?fmHL3E&D;lzM&B(rbuRSVaB%?i8YxVl)AMP{08651om8sMsIB_ zMC?ilE~x=dN1POyOl;}2QkFq`y1sMb`~6i>(_Ds9>vieaOAp@W*q*r;`;^VkZ0%P< zE_}|ij}3l&(fZU$y(z~|%}!q-cNZ;yzvnA@r7WstX}?!hxc9z7EZRJg7%zXY1b%w@ z;1fzFgV&ei%D}5CVnd}qrER#CX+Eak3a6+~@z(uuMw8PK^g@fLd!#*g*=p0oNxBwN zB!pXDrCww#>_=dao&`CZ5)R{=mq_;@E+Jmrg-rt%Q^UOP&Pm@QR<8^5-qDYS8?t}z z?J*w)<`eYfc~ul{Uy#%7WE8{9-DJ<1UPhC>;tXg3fk5QkmT0ZPV|7$htYwi=c@(o71ePeI&oFAYj^{irfx_tEeC-FvQ3ut--cG^`Qg6>|TXHm4cwx6Zw zIn}Zqmo)XHmxAayI0K&+@Jvmd##Y%@>N5}e&I!j|@18%ymdK65*UPzS{^&d~I|{{# zNzb!I&|l}eA%pjeKleRVuN?*V;^Bnqwr~lyns`RJ0QeG3AW0|8wNzI7BUg4a0{R%~ zk^067rcETufJG?5(4o`d`>?WcqV(jNdSyJ60Vbhg~l_Cere#=1FuL6VoTlt`B?>(ozu_bck=M-A#P8NggKi#1%iE z3&vOMhb18;2s6|rMh>;Mm-|}`@;cui&CIeV*65|>@L0+@D6s@8SV3sYGCu=T-Q=^x z>pZ|}!JTRI(R?mU-7#J;Dt0jQP+s%vxG#+zDdgPk+!6dFcrngGbN-DrHC{Q(aXt<2 zPL(My;yP1JBwX_oFb&|_>z?!N|C~w41KBYsUC;4*5V_QS+u_5d+FG@gBiR zh1J#5jloJYC-z zxNxaW@7;Ni_H%Uv`tA7a$owQ2*q|;#mcReaqgn#NVdAITJ3|e(OCg>v^Bkd?<0YkR zP^Yan4GG0gryMc%e7vK)(9au+`JDA*$LzON#@i>K>-rw7yJT>Vmz7fVbwA@T%PDyF zI(U^@&tL2Ns(TB)w4;7^n`e~zl+HZoq}or$qq&hqc1#_#h0blGRJ7xOu$G26yyR9> z_1Nd+?{-!sRuAvyRIVIAnXDN?%4gwI?GF0F{dPJ$0q$>NEUjf)u?BrA3?B=>R_6?| zpF7AqtsmLE0IIw+yRp2RA;B}vq5$Brks&s(>R2*!dZ^Rccy?hk+3_coFXhneMICfK zLLp)ePwdwBL*-9|Ngk053SN2M!Klv{x9#TGbt}JBrC?0&DYI;MZXKW2Ec^$R#_HZv|aFtNcV3aR$YQF>sCIr4waI2 zChs@dX@*=1b`_axF!LQW#NX+ZskRshUB^6w(L8P-Y3oW)GjEcbh~ zl(eNU%>03dN?SKd_L|6q_7|u%m+?xQ@z17$`X<8YEb}E(a)qS>w9=JI39vQ2eVrm5 zKMM`3f1o^n>u2&9U5rPe6z`$D;*_u*lykq`a9G&zQx|f~u)~hIXH}?o#9OQ| zdOi286i_jM5@l=w=FZ~3MUuGh{0?9G{o#$cz64kgf5&0keem{fglKS?d1W1C?L|Wc zb@rIhj8z>X4v)5mS5CQwOK!*$WQx|q03*_@{&qjK(A!_2h@3xuL`B4SSC2=p)V$8H zEsHmi6;XW%-wLbAuM*gSeMIs^nJ#G-*5p5i|DM+b+0I}Ya~Zm8gW#V1j)?hUMd65J z#@MHJMB*3_5R;#FIA6Vqo%na0Nsj*yk6M+IKg51I?0T)C+)&%(l`i`@xr3xiIhbZ% zlv7*fmG}3z{&EZ_SiDzUe%QZ2!)t(8ljhMcQ0MbM`wXLb-QH({|Fl-KuCWgn0HnW`~MuSJ~Oca;4c5%<}d85BAk|jybk|l`b97S?Y0)i+(au84?BZ5GYbCjGxa!yqQ$yLNcpuEekcki=5 zzwX_=dw1`1&b{{!pL&4BVpciVm~)Qtj^Ep>lc8@ZLsR~D4BN2>$@$YJj_v%l{!g1Y zH+wCAY``Y&aA73remnaBrBrVd_D}Z5?;WoeLhDNg01(pqY7{f5ai>ZkRMuZ89Mmz5qT zDEIHl-1$46a8q3y`a3)AurvbP%VFA8hVhLgC3d%e=?fQ*GS)0+2WF~QDi^f?1^gFYPaG8V;NBZfY8 zVzGfd6GZ>cIHEghjF?nxf-kMyscjJ0BRh8v5bFy~DDu)O^X$r83+n@@H2f$Se;8z4 zmK4l;bLs)m<_EjJ5NHDSk`7wc{rhgDGEPYk9MLTpbeAipOk~-pOk~=|Ep~D zkMs=u3$*_K1008+?{qT|1sMx$Sm;tN+N;k>vR6(|q{izm3Z2^>=BKuw9tyk!3@?6w z=*&refZA`$^KK-{D*;JJyz5nP-706>QQDtyuYZ?M!>tAavOqxe@H)81Xfzf;c*gG^ z;f_G0#Sf?@#<&Ol#eFjDC|8r?4`*@K%5N_OYQiF}S<^EQKRZK87NhGcTCX1E?0k6Y z2i19F!UIwm1h5>U5?jTA8Y=hT#@es60-*6 zT-5B1mz=_-sq_jB@kPMX2I<#L8A#6A7V>;V9&jF+IBmVPvaMK?7I{WVBowDI_iA*> zRtxxhOG-j3fq;Z1=>YDDT&Mr8I)zfb9vsQPf(TvhLUO=vw!>@p-WfSQ<6({SbCJ7& zlk~d{=O;B_Us@C99b z=lmkU=3C-ueyfEN8arW%c-6IKIOH*5YRp_tGEU@gHO?UE@Abqqt02G?%KPTUo!W{N z7eO%pNQ*pk6o1(Sd(>if{-agc9Yl!9Y};KkJbkT+K+^T-BjR1LfXKr^Oul0Upz9IYA|&hc=Vxt}3xbG_n#qrs{g2OUnERFc1}9jRScOJG7-e08p1sVW4->JN zJnjRIp~sS#jM-+waKk{n^;V`XAAKbE%r*I^;<0mz7E$JeK9NCkF2>l74hvb4&AF3g zli=59?Gf6D62*8;L^~W+t2JOw4@bPDIW-(22X%761(8~Z$TC=DDS(35%`~L$XJ#+5 zbqT-vlKt!ouu7G7dgXE?J+QiQxV-6tl-RyWKW+7VQa|oxDzDSZ;_T$S(fBSz1vAR9 zfz}Vbg*H&Mq`u3qMU6GzB@3GjP|76;zb2X^VgCW5H0dzmtR#a-%?tlhBGRxOhdtKD`h z#LZ21^ZqKFbH1ibdaCa{uKje3@&*`;!erY$2dfM9v~DS^X)jX@oh;4(Zbiq)kK&tG zS%Ip)a#&vm#+dC$ch0tgYYZBsF>1~C#}GFs`)xxt@n_kN5iSCKMhAaiHCTqK=HDte zf3DzoG`U` zzfZlxCQ)`5wc^lmmU9p(vdHXfMl$ubKKuOh=|SP)rAOx^-`R50%zb?K&?TBsiR&32 z1F_FOc4?Wt+CzKyetcP+Gja3V8a?qUYHP?Z(M3VSXRYGBgO+zCC>eX8l=MDE%+l}XR zjt(9rkB`}%%WhIeqqM=g$Ly`d0nI?2Kb?Ad#G$V3ZgnOjEQ5|dw992%?QNp*Fqax3 z6BHvpaI4z5M}PJOAM7R*Tw1rFu+wm}0Y6BB+StGfQ?vm3Ao1y52}Er~(Ntqhah%7h zra6n9hov!zS77jsTchqM4w>a_9G)w#q^dwvO6z8jw+bgXa5_7CO#V|ASsmR+Yr)oy z&9cwT3p2~QS%3|~H@zxgUD`fK2x>;&$*%H`-u9Pzar6GNZaW4lGU70{-iq!2F+GU< zQIa7=bMr#4;X5EnN0XkrUUHzpZFQwEb9lG8p*~zZ(j`BBh`+b{^HL@XZfnC<;e_j9 zdCTL{US=kZsyoKT7J-v@S{FseP!g=ATAq{~kbH>Ew+-ms5j=1m_P(ilo54+|lL_@o z_o?5WS$W!%32sfPGM<+uZEdo1dd#3;l97S(Wh2>K{MxpG02s`}N?mh-kE54G^J=GOu}3el_)jlkSpBrr7@r8N#5^7W?roe4 z`p*TYudmwoKF@c(`z|8kTGQQ1<#6+%t{iSI@WrcD^+KhIT+Dg6ZWiPYoTof6nZAai zqE7LL-j`uI9g~C8ML+;Q91O!rZ)qmi59gd8KMXBrHrFM`tU)E+93cb*fecBsieLSb zb}0dCNN%STuI1{hoe9>U;r!e3N%?4Gy&fofSHY&RE#O8>R{@GYZ{(YDzNiD-D0a~o z71+(tx^W&=%%zLG-Bws;bNm4^E8IamvcIU zz9 z8$-@y5eU=wZQi^;w<}vdih+7sGXqUBjphgy?}m0?>6d4XEaoXIGrK+OPia*JmY7IS zxuTSNbu~f2l)HFnW*2W<7wK`b{LJ^OJkf<+;yQMeSgI8Wlq3U~x#@F$4jy;{ySRDj zEqz08saMlZh0xb;kLpu}^SlWP;0bIFa6}X&!mvbitu#Pv-|%#QpC!UCZh1a4sf&1D z?)M2oK(=Q@8y->ImS&5dx<_bl`H<(tRwaSl|n=9jc zjPNE`=T;sbS{Pn$d*k|q-_t#>=-YlNLq0C|V#*<(nKu;1uObb09!f{lXN}<_BSWC))*{FNERvjV0G8 zh?lly_DH619LmzRF5hy4XyfR}EC^S4-{5deDHd&%U6SLR#N%~d!bL>gc8?U=RLvp) zS)b;1<*HStJSg)a-fa8U?`4bI)xbpKJ}Mm}MTD5CKaEPp4`ugyN^kW=S{~1i->fVP!E9cf_Bdz_@<3> ztn7S$i^l?i}`Gko}Eb)aU!HpI*f6lBkD0|dktc-+sL~$BFYuK53OnheVTa9DS*y;ANwT*M|;3bvngt4 z8~fB*GoCesuH@4QYnG9ksep3a!&(&$rS$!6uNV>-CWz?yS;;DHAbZfdIYLdkhNUj~ z%D9{Sc`2Pd-y%u#gAyBD;Xw~{rN$fr^m_7kqT1}xrcWhhjy~iI;7^6lf(zbX!}1+1 zpd;}(ul>bDQBy#GlU-8_=R<@h1dP$yP7qvGi${zk(!?GJ>KXgM^6|6RL30ZBCAOjn z&Gw$zo(!E4l6$)L!1{`H3rT?5+}uaox^H=OD5K>oH*ysRWPi7Vzi7zRL%{UNJHc37 zV*2sz>!j%caKVMTD)4&F7E(Ju3BU9fJGH_I_k*o z$W<-BQgO{&em;1#rypX)B$XZsv+jzUKKjtV7S!xck>)dvDuViHtIr5@9DG1)j*Jrr z(y2U??TF2Q8-OZXCP4rc&0T-?qv!+4R$^0KkY8~4exMqhsmm1_#MWz6mKh*8%cflM zW=8z>!xU(&UA(2eu+sn=vrU4yo_Y6?#I-8iZlT+18%Y|rn$F0a<0^VmljWZEzN|73 z2P(-yJw|}A?xr%0e|@-_E-N4llvYypd3JC((RRmbD3eE9fq4~ zE5uq`6jI*LzlBBbcZEA^4Ld@r)%T2Dy$;^t)O`iJ(yAM8qg%3{obQ83<8<6EjWg{Q zCcJc{?e@n>!o2-91;ApnR=Zxa!^xF_gu)mhg2x=QHnsU&eV{~WAWdCc5S0rKZ~T^eQ;m#d%9l|wXTPhZG9^H!!^2w1px$p?RFMByx=18+OoVeA7W84 zUQ1S4M@Lxo1j{dE<*DaT-SH~h@?!m~j9EbB+iAw!3Kxoqln5%Zzt9&8e8hEnz>g6( zgJoj5$ueLURQQaI0&UI1p%z#JC5&?s(FRjU`rRfmV@Cn-$Z~kOD--h*3(g_pUfCf~ zqaK=#H{}}Y=o#48Xto77*P9dBe=o>9tB-dAr_^#vSB7!1m>~TVD zYsc;NEYyA~fw~eKn=OojZ43Mq=$_yjlYDN(!2%4Y#VIRe^wr9)C1=V{c`=OIYH#v` z3lMRu#_dgQiGA;iM@JLy;nm=+F#=}j4lFRc*i+MhZl+G7(JiINmp~N{N!4qjIQ|C} zwCX-tcc-?e+nD{-6eh}T)eJ1tjM^Oi4Fmh-F5U8-C4d8H$J%8OfkWG^38yxSK%VNa z5_Tm!cMLnYdzwr}M8ZWURG1TGS7Su=oQl|TJ0uFD{O^;B3rRocuHk z_I~f&cb?h8Z>%8l6iso{@NI~jq86QOuSl=DTiOaCJQy(8*1lMuDgVfwmCx6dtTJPf zm=onA-Qu?q6Rx%DSBANqyc#QwiO@GTb@Pkv{SK~0R#o_uozL*q_@p|_@mBD04J-Ga zcYNu+4e|pjRZiEB0kseuF%e9Qh?7*)sBUeki)GV`y-s@z3EDL>IbcftLM=n1J4RrC z>{kuFh&Y=+3vw7VUd1$YEXM<{OyO-S;?+GkLACZ|^WpLkFuiy!1xzL3FO=5=-tJc4 zYtn0DfxFcP6i8ne z_65V*U#tRMlzT`vb6CtJ{e19ZLU7TQ-jVc3?`rGu{@!Xq5WAr{EZ+X7<9(;fxj7;; zDEdnJfX+I&Xm!hJF|$1&11g2c!Fm1&cxH4nzK3Pcx!Q)&1(*w}Z7A!IsYAmTJorUK zM%=OiTlfM8IzqTqV-V`n%KSI|H%?XkBP47C37657;tw;RFN7^n(oc$NZ+N%HtSWY>WpbVRsH7d~dHP*+4z3kg9?1c`PW;4!Iea~Y&>+a2YU)*Zo z7VnzPVn!^(jYjbTo{T$7Lv$twK8f|X-@RgAKzmJFa`EQN05oxRHtNAHj1|SwGo&Lq}Qqs({Qh#G))qX6yyeu>VuZxg>R8GZa`s?@OM_Vn^YGRYZj0 zd)BtbaC#02MzlCVljK?*cR*>8b%t@xn6?UQl3wRIac-{$EK&ecOucaC)=lBaa*Vah zia^G8{d3Sa>B@FUF>(<(G1U$o+Bdg20S^9BNT9=KsPFsg`f_%2FDEC&{5m={_?&7Y z_!R1@N3S(Q4xur67B$c!_1$=h5)@p+GB{j}N^>yR-$#MANzIJ=wEY+7tC0T|0qb9( zd*tY^$kbkbKWBnzi`g1=81H_KX+Df3EB-5FfqVZDf%&)0{R@WRJo(%`^i4`siIN9Y1n@T6*nP<@~mWTE*t5`!eBcxN2lrEUL=1s>umDulfa1YJUUx|C+P;V_ruK z7m@jwlSlfd>%4b%+PGmib+x&}lRL94-P%?BWOMtk z*F%_6Bo!RLV%&Cz9Z<`_bZgu4?oPLG)$KVJ-DL22KQ!2s`_N!W>ZW)oA_1v9iw|F6 z1H#Txw-ht1FkZSMxUOyNJUV#audIElo5}qB>J9V@eL&m24^Z{^^Rg1_LWFql_wsY+ z{W{_$`vs#hf)CV|A(m`kPhN$JY&8LuZ@)!FxO;Q7dcZr^(!uBw>NzT$NF3a6&rTSFj4-FoZ7~AHBA>keeDZsxFz&KtJLUcA zesv@hAyV5lAvp#Y*IQyc?i8he<(j)D2&ePAb85bz`P}z?vHe-%!c3O^!O^jn4a>}o z%q8eVP7}hms0X}9>=)c?6#gcEkDS0a$kN#!M?hmYcbUbOMWCJybh6UQUwtiK+>!H* zDy!TtskJWKk$b6x@b)~D9N-3syGCOZ1%h}fdufLL0O6OOj(F;%J9BV;W~fWscV)_c zpp&CpLoPBR38D$4;q##O{V>^C%cy-Y(5(}<-5zbpnX2=5tvaVp;1UH*w8wz|y6o!~J zy2jD?R}us_5uepd_l#Os+9B+@gpxNCTvj3o7RFe`xv7c)nymM1qy^Ab(m4bZATPW- zZPl1QI5u=bG_U(nd_#uC;&pD42LRpV~hgt!qr_g?MABR=40;z@*<6E0xz4Fu=! zxVk%2VxV1Sprd9z@s&4fn(dB7eS`?`gbF4>u9WLJs<>;cM{#Wo2H$!#8wlWrcTfnT z%uo3eDtMxHd6Ia_Gc3USb5kO6F~BMcpvU8afW}~wak3^r#HrMZ%Q}>F;Z0*S4gNfr zCRD#DFND$^*OyQQ_gj?$<6AG6n2#2(%q(7zIVdh(;UX4wq_B@)jNQaITdr<;9k)#a zen_in^a1oeW(7d!mS37&yaCLoWF5h5EK=^oQlX@?bXPwn=dOo9%xVA5Ok+0DwHR^{ zAw&?;UMmsLGdMAJuxhuQ&Cu)X9}ux}{M`jAsln-~1OF6T=r^PYtEop;h7Ek(R8BUD%zYy{g54# zmH6K5P|lSeJF+6YEcnXN4g|Utl7kNdVJ`yoZop#GKD{+v^{2(AzB^YAV6lk``a&cC zm~1+X<_0(y8fy9nyOY*_#I#AZH{f85Q(-NAN$ zh$|W*TN9oZ4)$KA9=*Cfq*_UtY<*vaihphl^p@E!<4ytrY2$B7_Md9@KmViowO>T{ zk3A*-e(|4w>36U0KR{$P?}f~7Ij~NUrz5+0avc&Mn&~GIxAeZ-5R9VZipfy+V@L?V z=CC6bX&8F?Xq_t2Eve}_y@)KAXBW*^B3YS_LVvU$i0+u&sOao8(xB zusj0_Ei4~$z3@v5k@mVo(XnLmLlG6yYv<^-EO-s(;NQ9Qk|yq3+Yas4B#^E`o{ish zn6yU(Lo6Y!!8&Vwchnyc$Bl1hY~Nh;U86Tem3-PcUvMs;-_JjWtV~!`S{c5pCQ@Nb zQdba*B23yny)-*IHh+`CQJg7SR;3bH?t97Gy?G5NYFNlSq|a}Dfbta7y)o+$xwifS zVQQ^BYRVmJ`u+58JT*h&V8vdfpYQU#>y`K+(^GgYP~2sj6&!I?nmlV3 zurFTd^F;T({i2VepDDp#B5Eg&gY32GejWtrqN&;HBeotBsq~;pck(rLNK7HM1*{phHm@kw{kN4Fn z8bN32BEn9oZv5$l^S(AGRya#6<=b`_1KMu*tWa4osa#R#%Mc8Qbi}(+nuK=sE0*td ztIG|QA`KeZ)rBvj&Bl#_4(evrg{;OE`2DRzDOs}~#@(B0ZcZT8!A(;6#`%Ub%R_&h zY6WAmy$;|AXz?G_P9~nQAAk5-L1%IgnwNBFs~xaNgBw%cGK&X`mrYiKtxvhG`PHhM zhD{A{kS1YPMp`n24C;#7Fu!CPR6=h~zmewX4AR7*at&Gq)MniA^ZbtprtZ7t^G5sb z{s0ZRCICiC-?A$HtsfiZZ;-s)Pp+k3sm<=!2H)xedKL&wdK@J`?h3l?xFtz&Vck)= zGx*MLKsxZWY!?tiyy$|)f2Rlp6oH`tV&alNz5)S7hX2Oe2oFX+`b|Idzw??B2Qm6+ zAx?IuGg|XbU!P>WDp~1>r-?MrNrm4@$NG3_Vcd28h!~tf3tcpUwcO9?RHBdA(tZ35 z)bi!?uj6hi21IIC1aZ>eECEd-KitYHmjO7*HB+r!_tfY^5N|tv&s2+NaIX_WnZhcs6d)q=qZtvD5LYp z=n-32w&yo@XSSicwm|)ll(r{`N~%fdY={dNL;Ofv#T2-_0Cnp=V=sK;I~HcI=S8gL zw-pjZ;kv1QH;}uIQCoW>xNL0HH2vgCp)6)-tD-YA1m(CGJ|?;`YqtjIvK(esn3~{h z(Pz(uBpnQHm)=?UVpUfiareEowi?ke3YUfk=-WNiehGV^(`nUg3J^Sq!v$CFP2x@V z*{y$DapsvTgVK0pvpG6zH4oKeu$CewHIw_yBoMrxHB0u4beaxRJehugo-SW1&W9Bb z8!^=!JUw|(iDc2Gv1+>af(ikd>}<*otvLvmtA~NVG$}CHdqv7&fo!cCw$E5sX9?x#^My1DSLI!~SM6cLP z;#24R07>yK>V@xmODi_XPH)~nd9B+4I!Paft-dM0R)gU{WTsi08`9KFjn(4<=DK}} z9wv~|d#_h$9i|Ba{u5?5jFCyA` zjUG?7@S5k!`>l~}l`N3@!@6B#7HGwSeTBX0i%UbN=x%C$o^o+5n09Wr=n@Phl!&f0 z=Ot#216S*uPafD&*TI`9`$*^fm{hZ6VP-Esnn`GS7Vey%1NQZA?c#)hL`jD3C4fvs$q27W?QS!N2$(?G-iV-IS`JV^NA60w%=xXLFOeK;O#7 z)}Lp(D~$b_W7QEF>Ou0kkL$j48e&WqYMngFJBSmaqc2vL;u#Ei`ALxY0V2g{=@o-5_M(0a!|gcGz^J+}mHA6%U=zCt z;mIw%NrEcEAL_X=V-K4!YYA#&pn>>}V~7DVl4Jh7k$sbHW@;vhyWwItU?v?xs)dV- za$u%%rTlEcCk>|GM=loB5FVuHX#63sv|EKO`<6?G2nMzoN`@Wy*@9mHBt8NRt^uQV zOVLc7zH9fT9j$i0-yF{1md{r=Pr*wm?)fsSMFFxJ))ZF=%kMTqqbl`XVVT(shwMFW znldPOjw^uU_YpYUzaS_rxd6b(L0y6_bvb>};>5X${ zw$0XXb9R%N+sy29mph*{aP?E$BagF+gL?6v^1f^lWlgj$ba-ysR(U)A{khNdSF-^M zpCuW8-v?s&t)xnpGIWmXdpD2IZuo2wSL-)=51Jw$WRr%4wtig^AWBK7;J%PWm4KVA znoJjl1k&1h<4tG3zLvf{j9;a|W#q&GZ^{VQCT9pmLDN^0p`!=;nXAIud)vbZckYY$ zuj(g3Aof&-hxGd;Cn`)t!$JIh-}p@HdtzBQP+C6_X$XLXxz zbU|(VfLl_*^Mr|0bGjWf>RBO7x~;{2wY>nl#MfLlI=1#qqqCg7!lJEnE)H7tHpN%{iTzxbNcH+u606SYL z-;I6Fcn>=#2t?`8$kneMDx z+$U`SH5^|AguRW#MQC4j(BpXp6F5{Yst4F@`^P&Ck7u5U$B=oiHP?~3r4Csn zwM}p?y~n$sRG@DSF$nLgWZs$d=dMlB4fo&9`34f>_@(*&mxc8o;D?F+08#r{5s>U) zIWv#Adb{#2IP=^(JbsEjh-DJ8tGlea;nsKC7*|5u&!x0{d-6==C#(QE6793e;aT_4 z2@hU}PmP+3#>vTXLk^_tV1!(K#Fa79NNS&e# zKXLj*`I@IDeI6MvB4)eN=%!@A z7C2GOenCf4O++O~fv)WU4n*g~Fvj9h9j`{#N%Qtv@KI16TQNca1p2q{VI+@D`WPN7 z+;55XBn20MM>hB8kHO%4esK?UOu%3;+5+Uf9CcWztbEwN*VD z{|;e)6>~`pWV7yM6eSjaEajD8F@mnr+%DOMCnhCO^&)ks{=>-uGT_C_1h=8C0W0_D z6=R+i*Rgt6)2MrSZADiWc~QUNmj6#+6#um`{NKth{`t|C0_N;HT8<0og}_q$*}2GQ z$e#0|jJFCQP`zRTw6^Fq_i}K}Z|_#`nUPN(xhCB^BMPUU%R^(oH_N=iRkVw* zEYi^0?%OXpH#^|=)&V$J>G+MnenEGpI%oI0bKycM zcz-keLOme+j8~w9lM4=&@<$_l@onp$b(;Bm?o-vG;t7q#?-bwhZpRz2NoF+d7gU*A z?C!JwfRuvQV(EFPhRGZx*V`ZMayCEX<$hY*63%{5s2FEZATz*tze5ir6qA|o8EX39 zIC&Sqz{BVI`Y-6GSyRk*no&#;cuq_K^dmAp5j0<`%eKiG=zt#=^yYK6h76tOaVu$^zChm@JfetS}h_h%rhKRsX;ZZJSnIUJM9p+SY%=F};zv*hHVSWrPXOA!Ph1=?aPsNf_ z{k)Zd!2|aJE50mzS&9c{C^Lr=ra^g2h`1h$+M3$tB*!53BqhU-nb@Cp=5<3}-Ho(N z-PE`rG}!oiZs&Tc?yKbHk8RMARF$-W6EVIkFoHra8}l?QAyds@_DsaRn}3<5K@WMp zXY*!^l7dS=>pduLNDdIi0_i3fX?ztq-6s8J>GpU=#E)fWz<;su^X^*Jx2vz6ld6N~ zYC+obJp21arKZM4RpvOR4has4#zqt>ahFd<3{^>k#?#i*AmN>@wo{)P zeOjpkFyhsd)*9Hx`S%yuPkXVvv8+zgRU&j_`O(Q6B@qXDHU5FJg?m~%3&WMWKFOV9 zwAT-M8RHrT`_Xl5XkI0Ljd|jyPg`=>I|~(my~$V3ZGar80bGWcEQq*xgPmQR2OiVD!eJ zIlpZExG~dIVn-f@*vVU~oU=tm4nJH4m0oQ8<3*(#=g)JGwdR)PgKS-W9gwyy@Y2dQ z%%xI(d;+FdD}X?BoeOq!gK-*vjT;a2@RnMudN|)}#br|b_~CK1&+&tz(o3OMR!J$& z9U*a&%9J`Kw^>XkpY4$O4hj1HDMG&wvJL4X7Bi=fPZL8KS(coJyVpP{8_DXAi_RVg zyG>(*ALN}LKW!z^r-ZZhkWrjS%JtMn=+cIZ+_;9Ca$*I>^$TUL;1#MsO2}&*?DFD z!DQMhd=zK3%2=U>jG9Rufh{aNymZ!AYmdvKQqpD45^&_Y*FQr+l|s66wW4}(tRu!Os0;y4PeLwO^B|uN`3!^_~3_ zPihIy!v12+dYslas>D|0y4^idQ|{9}p!)8c8A`!Ei0`WwM04=C2qWl{8yxg$2gWN6BwnA6gU+W%!Oh2NL|7R{xOm(QjMT;nF95cw z-&~)daRsVVqNy)F{!$z!*k}_-sz-VD#QUrsYG~^ zhFQwfp-{(=P0jb5MgQ(1@$V$oKN~asvtCU~vjMW}!F3rxb~OyVSp~?h(Bt#(b_Z35 zqn@>VF*E?#b=Mqlq>%jSNTCTFUgiKktcmc;*qHBy(L(bvIO685&CODQ4S8DmqB|MH zm(TU>f|$R~o2WTrEVvLoc(%SmJZvFi+V?WKS5x(PpeA}CtRZ{-HPI#RaM)So-1V@* zAzLctQxQ>`+1$|33^uZZ0B%UlYH3>H?&c{}%$sr3ao&~m(~*j*@HFq9q5*Rn9d%VW zi?r=bi=thAlx zvmRzS(j#X=LWhK(YM{l}%DZTptfSw`;UHv9z0w zo0GM!EM<4zqB;`yAqwhtE(#W@r6=ktq{ft@SHjEcqE8_MV}m|=pkt7xI7v9D>hd%e z+HRW$ zqRKR#tl_Mw3;l~7unVQPs9fed+Po(IZZz3fyD}W2g>c*j!tsxYK#g=p1Bpa%uas;E z`ud3v?M`~LV^epkwu3o-l0DZ3kxZY#8`b-LpZG;+=tM|sGYZ*Nz{bHOg5yOuWog1S z1){3z0i&AG!n{ofY1e`=mGb*n+!r@QK|l`n)cDyev-8mOpj1* zu?8g7!bn|USAs3Q&Ipgc!Cbx6kGSSkF0NEJqFP0o`2x1WKS1J_OEVlb*7uIPjDhOr z$`8;5@kx8;X!YYH2)ERh+P#MtD#U_(WZ2AZ_|pSB$uHB7xwT!1dJ2u~FIGr;4s(M@ zsz$vr-z_V@R@Mgv=3~7~4g-0Y_5J>>7Mt!$u{F0;H#NZUFZbuO(2?_zPFw{lUnnGq zX9X?qN{C;)r8kcgZd$Yl^H5NEcGizjsFlN+0>E|Y6IYq7rN?dAxEBdQ>DGk)mMio_ zPPS=oYik>JZJcjla*I1JZnwZ`hcVNHqor~Mf}l02wkF>#PS4n8J~hlrn7X4S46SKf zC#v43>Xv7Sp_Az^);94ASI2xd2D=85<&h8RlxOnk87NTQwsX#k3gjL~zjCj3IL~;X zKM6sW+9~1PlkL=CS5;}&pyu3xU!EN_YCfE-H$u2}k|UklGFek+>oLn~mXuA1$<{nW zOe#^!X-o7w7J{|{EU(;%1Q{(kv z{rh(Z!>1v)1KecLUZB^s&@6z%x*y=Iz4I~^8WK$I3nuxXF&V0ZTgi-)4Fm6~y1ZR< zG+y>poNYaVo3^Kobo6?(L)mJ9tWv7dUsUnBO&r*rd5*jvHViJ7%V^BG*!?gnR!bnh}*YWg% zj1~2!wC~kA>N~2--Rsa*d%YeNs)o7vhS--?SP{vwP^J$&Y*E48sbbyI02GumsZU#B z{ZSREnq#Qw5C#^?0{_E)gM%>l|K;oY+v51xbWzsdQ3$-jr|B#v=+VQ0u5u?FyF%ip zpSbq849)E8L%Yf(O?-!BHSXmo6d+D-ew^Y4Sj+D+4igRQR7QP48w@FAO-6%o{KT6Q zypk^I`C1&xwrN|pC(nC$dNtqk3x8IjrLdvlThI-y%A%b90jl#V+D<2^GVhBlcZxoA zROt+b^L-iR$8@rMTT-U`lHm{Cwtw!r{b#(A{<<#f>zbffLnQH6p=2+u-Ai{W%|5*k za+*2vie?<*nqj>+n4CF^!qIAg8uJw+_@A|Z_#e8@zsAMb*U5pfJh7QiIdDH{nB>wk z?sCk0{kl|#r<%I|y{SS&Y*)F*ylEi}rJWC<{tu$_|HB9LH~oh@#log4mh8p4+gxf3 zF5Q+!Y8aZeLkb3qP_ci2?la(Wek{U4TY8SY83?qG5}9-M01)tIRc2bD|Z_mnI(U z&zRX}nJ9*R?^an_k-{UE;ry_qVme&4E0zsMNX{qo21qJJ zY!WGK_!Bz-8_?t&&OfxM^Yt54MUA}s$T8n$+oP|a^4`$%| z$)iD~k4$*e(pcj726imSBI4(u!|`DG@EF7-I5PW#&-cg8LG3cASm zpaU%o)QJ#DW8@u?Q_WQb3wMo=`TNs3E9KI1ir_H?9o7fEN+0M5mU&oobiiO#T_Ea$ zg*bJ7MqT$P3n1#QLlSNQQ5U;vJ6u~_FU+Hj>IX;$o)#tedTSXuR?>|zSaFl1Lfu<3 z`JUV6ZAI@^W)(lq{eS&Q<3BtI|J~Efza|>|4|tmYI=^Yk0iQ64eDT=&n}&KR=fmVU zrFnT)M8kUEXBNzokUZAc>{jLV#iizC_D^=7dwJ}XlRO`J^`TWv<<0TTn&LOK5Y%_` zrsEX?wF4&i^~h>~XWLV;W(urr0Bun3We*{egGNNjU@bD<=NQVOR6dZQ`gP?Y9WhpnWLkM3`%tn*@dQuRq14+@AVARB;jB zLfaACdCfHqKIwap{98&z#nX*_7>W`bm#ImWtmp&nhWQ=7rH^Ko8MXGN_>vC0H%4g{ z65xj1vQ8uzp?Sr0@5}vfGC6p^3tGR_0{@|(ZM6hDi;m|^?OUcg9?!*cr@tExV}ba%T%W zg!rZ`%z^gyQegVmkB-jT?_{TQE85mqCcumaLAnI=?0P9>wn~&s$#+NZ7u%V_=?}N+ z8ev;$7i@7)W6NDIw3(794K2)Gy)YczTHGWB>Jfh(;`%R&!vCEJ{-69VO?gcFhBb`s zCj8?M(4_aKH()+9gjAHi6+Gvlc_VD5ult|Nq5s0I37dvp8Ew7EAj0^qL$*HIU(m1n z)`fHvEyW6&1u7Z{2k!)sF4I8~gzfLIxwQaW>@Lkqa$aeGD-gWX3u!9ed_fi5-{}7X zWC;d#uNuMt@ZfKm66teFUq8v>3IH zqdbBzUcJ4&oI?AbvuS<}c7N+@(qHG1$uBbv@ErezpYykF`M>+;9&P+TK#B2Rvd^gi znx6V>3IO7O@?KJ0V*zvZv-Ih*KhRMAUmc{rPj4>vC3Q7P#Ax4Ahi00{QN|gPey~Sw zkFVuT%9L^BlGp`z%0e)R`h@=V6iA;FHi=Xi8oR=CSpDwaP*(h_H}Bu~v3iI4v=qqg_r-W9ab=b%|)+pBk0eaFdvD z!?i^iLNOT&%Jh|h)1|AaDOSTodHv8w=^C)W-e8_Zohr@t zfc#X$%BjYhz=UFcKANg#!Uws_Vbfn*exxUAF$s7>E z?D9CVzkjRNk<%1*7+>y>p+5;OE2v*`-u9yNuBNfgO9&jVAN)=mPI3P_1sCc5s`$N|Goc-*FPwm%YM~9 z|Mu4ZD?|A!o*Tu7b5nQCnS87gF6XY^u^(^Ko@BLmT^jsjUL1eU(fr5P(sE*Z`b9CF z1bOLsUg&V0ey*_4$8}{D9UD7JhBCK7Lu!t0S|h*+r|Wt9dC%)4H3049{3*b=+3G5W zKeuBWlww)?HK8DJyh7Lt06N3ju9bxvuC=701G#FnkCh&ie|T5M?}vkxWc35&<&?6N zyvdREw_P4Z%@J~?UPmhkfJC`Y(?E0S!&g-E7FgqrUC*48NCb*hREr)nMoHIRE976N zuf*S!xQGgW=XhDG#5G~8jI>&#x&5ZlZb5@2{P&$XziQEe+PIHK?jzJ z9sQ81wjoFm@kJ_-6ow@&cqid(My>2WfA=w#jCIY@+Urp@(Nir@4iGrDPuof7mREZU z%@sU9Ivj5gRZQtxCz;&p8?@!7nbV?dDGUmUUDPD~s`U>af_`0;e%=231^>Q(;@RsT z9`=7ShJVR<4(%^yadd4AG(Niw@AIJXzc%afRcb(@@ooj<==`%5sh>+Ju#M11vS0lG zArx33GZc@S_^!I=6&V#{KU|HA7l$g{8>bzG$cYsX!dVkoz@mOM_R^z&khP z2Dyih8Mg%@qYt&neRRBae)4HCy`K9@5l^`Q*WItKe+XRXfl}`VT$f5n5q)T7>_Y)8 z|35FpVmXgK_G{KW=AMl|a3q{qxO20ke`DK@k{ONuhMe+U`i?V?MN4h??&!0^x=b?V zf;U~vkzIG+#sOzD%p9y||F-n}rTDv5b{({~C;l1iDq*;e;IZ%lG+$G>`ms}MCAa2* zEEIa)ADG!vzu(Z4PXB%6^uG_V?*3e<<~YlzLg9gHEcc;$3E%mi;Y*NVHISuGch# zvc1o#PI)o?%9Y_hnJimxJZzN z-Mtam{uguR%)R5x+?g}ydd{5t7k>hK@$I$O`+nbA-SR*mI+65$L`v;6@Wa2+TOkmpBVA18iS>d2D+8qO(N zEA|0wZlrbyB}jl~9EYNV3|}agCjx*|rwu%o9x1<1C&!{y3?jSSA)-z|6?lyfJO_Rc zCqFM29t#;@-o2G;^*N$2CR2Y?=UJ+HdV4#Yu9dh3DKO{03VD|eXssXD@Wb02^j;YQXOiSBZ!V=LY zX`lwD$I6Q!fSi6wr2Y%Ua#iO>@(tpw*4i{;ZQ79}Zg#!t`40HPXs$rUjHNW@XcRIT zhkl}kyE1H zSL>ZmYsecjtTb;2%7pfx3cOOnx`CDdfKz;+svvj8g$?LFBfsuG%L2O3wweA07ygs4 z^Ht@`w>*79CR3V*b4!D^`CHqTI7~E%1 z50O8W(6FTDzOAhQ993)GE+fEEwO9!S+P10D@E*ET`b!`J@f@`2fvinFa?+7^2_8Ei z0SeQe<>WAp82@*=tCm#f*xk%>7O#?>GsTgBiqb!BP3n!#J(~~RwBEze>wUAyS*yTM zp=7&jLQgXQb52@3RUkwgxc%M1!@!%&f(jlI!@SfYb1lk_dKRmw*_5=8J(Z+Jd>aRX5i$0KN~P9-$Ojxnpl z9@W5oZlSPvVTV!I!ropujb&FmfuqF4DfV@0sHaOi$lRJ7Yn=NEb@M|0fVb46sNJiA{E$LzLS$Qk%>upBCDo+TXTCULQO)w(N zw`6h|0C5&>9l#_}P>TX*!LPWfOTJ-~l2O5v^u^pg13v1CEq9#rk$ z*f^VeVjNs^`aQD!W#>zc0u!qOZ6h_(*n%NrOJ?GDMwRfMh5m=_?37Ap;Et* zamyTtIe=JQ{`me?Wxmsr0u4 zq(Ya-(2>B!FF?p>(aj9A48Pa@J|%ZK+wSFBrt4(qvpVrP+%kK@^>G~)itUr3as}%* z)R@%$N7}EMqS6H5+{g|lefj4|Hw@IOEx?S2jWn_Mf(r6AYfv-ZwivoszC>bPo?B9lwe10UI|c zbM^Y5>9gir$Z)HxH_Lt>G%S0?u;w0f*x7?r9xee=dQD>D^0Ov5fRPW zGWPH)jh`|ImvZ@`9_A)aG^e#yD(|dt93+UCGCy9ViuqaqOryR;Q~b zVl^V$!=Jx6h>jkGZYDEQEtX>o>=r3ZBP?Z@^-6Gm<1z4^H*(SIa$n30;aY$D+v_r?IDmg7z6%$Hj+SN5P=F9GV+r4)CaaPbJ z)xzn4?uMf!geEM&q;kvg_Yi5%ZMN6qQa#G=J8A4wMAeCTB1P>S%Q>Ui z7w}ME>D=&qL!(z;dl!*L7RC|tgIW(JABEpqDaO+_a*q zoYIH@RSJZfgP=&d@6>AJMYSkmAbmjWKCTz2yJM|r%dczsW~{%Dh)6*uQr?`lo7IC7 z=A#lE6mEU))7%YVpfd%BoSmMjyc*BCh$IWz6Xbx8o;=h1fUORQ~R`LDrvge^(<$);8 zj?3l+9$BZ6ML_u}8Ni`LU^#c`SnrC7XyMvG9i{ia%$j6htM^o%m~i(7gI+IjbP0Bf ze^a!$KrRrj`5Hh~9NUX6Y4r*0LXohw@sa?-Fif^~E1Epvr?i?|bWAb5CMlOoljXuv z3s0EZG#@)pe!z52U>%aSJLHS*cL;PpD(~4j2k^XO8D~S@TI!(ZO|CySjZC)LHL=Lw z8NxNEgl)SG#}6|9q!9q}AlRO;#D8-kVxi$i&8{cyf%bG%XYrwrls0j{pUC*m4eNAE z!OYnhdkX&{f~vAfdM%B8-|P>_DsuXd-1|jjefG^;5@(Si*69#W^@K_5DKViBo@Qp@ zdoQOSzDG*Om~qmYW!hH^*==CzT*5@RO0rFhd5bQ}Ul_BDqp(&QA0tjt%Q(?f^{|JZ zn$lMcAXJ?tgM>Vpx0TXu7<)~urc)l@@g}J1&XEoS_ADqva`TRXFTAz=*Fcr zL>VIvF6h;X2P-RGOlIl=w5O3fmV>!-m_G6;-V}hnl~i6C%qFb7MACa>0DDF!Gqm6t zbHeF+CIXH(M%pE7G%3!c4l3?>Es2wjZAGwQ&3Mho5aM|i>%@gPRy zilIIC84neLPv9t>gbfYZNILy-Syv_}y3Z5ga>($0kl;qCUgMmMoeP#;TQneUm>4RI1@Esu_&lEW z0VfI}y<|1m9LiAdQa-wLw_yUmAob>yQA2NQ6a-%7CT174QCD=uU|Z+5a=>gbo-U&R#2r_qgh z#3XFrrcIq`zS<1upbT&!QFx1}cZ~Ntm`6@P8U47h&=(M;EcDY*Vw~X<$JJfYZKLX# zOhaNBHN`t`a9L5xJ z+Czn>5ME^I`fO}g`$9}kg{p{VoM#|oW(oj89?j(HwJ2e2E+&qz*1TPDHQWK6FzWGr zOn`4;hnGc4x}mb6B#w%%GFmWJFg$W;sBY~P47x<#@1mx>%KHMkoB3|NE0q|swJ5@L z=e?N8$T8tbHOr!HOmg|lMIniE^$f!9C0a+okP^|)A=7BGrk1-fp_mMup1DeNKN5~^ z_Ufy5-Q^!B8fx%9;A^Y*X-PQPsws-R6mjw-3fl3;swfMYW$AA)V(z8pa8~i4x11WP za1mhxS6$@|ZhOg|@&^Si)L1}UNs|lkH#8LV;x6roE(hvr-}B?b?3UdG(WJoW3oHSE zEn_t^`!xq+0rO!BmcFIsHI;#z))0RNPF|B9CJsiB(kHz!?}DYUM#4+HecR;_+Oh_Q zQCsJ*R@>*u1wyhMNHn?cBYfAy_+Ml6?=7*lPTe=Lbb{nIfnz0@s^6*(AS$6^1m*c~ zfVXj^9pIgGyJA~!1f%EBQ=6faDO=4>)lG700AWO*vavjMe4C?vZ6+|dd~DybHc&P}R5|4%Qq7>2 zH`jqk;Q1HoR}i&H-ARu$f4LmBjj!({fX z8Ta^hDQvx(Q&0b?1hRzEEPxc#%7p_}SY(qbk)Gij+xr4qo~KA9g89X$oL|eee0Mv zwkT<}9IFcj_N3)u2(^Z`QMCMX0VGg#T{!lqVIE5_?fE_-g)tr`|aWZH@w$28z`fg^n!t#W6%Nb60Sw+{N0!I^k3dkLfXN|X! zLX>EFnuY`NmdA}BQ9&Vi{J<<629lJMzxlW*xPkEU&Uhg`!)x#cpJj&5xfP1vLxC-3XYu!izCgq{4@`DP<3G(6neS)v9VGCrT_TJx*c1;$!V@#wtfFdoVnUjb zw_&U4qn;;aE}@GaEsC`&VpeB!L(xWc2Jc{-Prr~lQ zOt6I*_9aQtx{mEKq5vB)@@_#v6o@aG=uv62RA)8LuT_l2Agp{~%cp2}1J{X*ghEd9sCH8ozdDyRQHk_nXREI<@B( z+S(ISgX$8-5DdoExFXBP^=l^^x=ByhRz-{c#(*^`;hTCeFC?)%6=pZ35_>VRji z(*WiR$lSe5>#A~R?fw@~h=~f|z-^Z+^6gQ~p1%i=+5vd9bm?JnsZGJP<$%XH3@Fl zGLeyJMv8U-n?Dma1p0IcKh@6#y68TU#BK6nj_#7{Ld!rjXhQ|aU!#4~y*3M= zE@QDk1!l2cW@V4NURcro=86QyiZ2@Pa%9uf*;=2#z`b^Tk%og~V%z?h*K?Na6H`)- zO7iYrt@M*bO3~~j7HiS>L67V4j#%FuG>9muRyCAE!rr-i-|$$8M5jGwDAI7&U@TzX zwWMIlYU z<0ce>yqU+;mLv;QO*piRT~|#gqE8g>ZQQ&3v92$4zDq+6o)LZuT%Y&wzLz zPMqABs(P2Gl|*2Yx~lDKf}alqD~>^UT!2~Wz61@}15$7EpM9WGuMr?&6v3>=+{0ch zL<)K53A3Ji9Gcro6eqF1540+{tI$WGM(AR>}y*B~NKfKUz9N2Jg#QN#lvqT0ex zhiN!pfOPLd7+aR*(CW6X5(nOst~ZEdu1fMTK{RV*)`R5{1Z}Q!M9207mXGhaRS@V% zu8$AaPfDJOPkR)w2^_KWPu9zA&DJRXT!-m9v-|+pw`Z#lL^KU3SzU+of7%M=*k23x zQss?Wu%*y>Vs)}-d(zuG5>lY{Hn(MCCDBw81NL+;PNNQCfAw4xX#&F6CRBFypvOa> zB%4N?SFVzUTlJlgNlxp-B}zYV+ShUop6-$Qe5?loJ`{>yKwZu-{pfCs@fpP%L+)I% zBeYXxn+KbVBt{;s+_Y#<`AN}Ew$ynB0xFZTS_W{}D|N!%$f?Jd2`V*TFyQDhQQx|~ zoNwH#M)rX7@i_SBXLd=;fkbr(y6nbTiv?ba4q3U~w?w(`YJ}Iu`9Z;K*slVg)I3(C z3$!GxoOLO6@Vo*2iE1mpj)|aR@b;b^b(pgJtlSbygW;LvYl@~D9Mk-SYv~Y!Hvq9J zInzOW1oY(%i^r*XP6fJFA%t8{jMWDaV=;k*-m;+R41kzRP{#)_ zlK{DZa|~b3I@Nu*rc}mpyYg3_k{9}!PL+MhJ8_-0R?iIL^zsZL?1|K)ikf zL;}zoW8U-@P?AAzoc(^h3xwyqJ~nA>Sn#5HhHlTL&4{KxnisgG{r=rX?Xkb7R}ax$ z>=WEmt`KECAhJ%beE}mUb@|3n&)@5PFm*RkGkt;6=_)&(vKkXdvkg>OGv{j+{^FTJ3Jn34lN4lP5v;<(Nz#Z3i4@XEI0iHF z#OXG5;daOvIAM1+!5tP74>#)z4A;>vVj_Kl7)T}P9=H}QT8FJ@)@V;04iI-3d_ZmX zXd)!s&@cVHX><&kFR-$QaYtP27xKg;bk`rF4HT-tB*6xSYJsEE;+rSkQDO%F40>Rvq+Dvt6RCpw^BI14#RgXkGd)R@1zYP^cLN`}VVJcr86 z@#Ue{KJq^0n&R1P{M|`J)C|8A$+Z&07bUai)o3tF23iR$t}5&~WaC$xawvKp=rSXp zOLTgv-^Y-ggN+(b z>g)^nd>;?{vXpI_Jv}tE7@aSm-xUuD=go$`2*k%wEJ&E+^@d=7xSd(t{W{Mtpi+|C z%Vu#lgYmR2rPzn$GW=wP?!&U9REn@**3yhQ_bvGZSwFT3md3JH>5>)d8xdL7u&#&S3CA@{B6T9M#^WqEZT6N z#+zZmEz}uJzMyHq@{P^FdLRK8v2XCq1rxrV(cQSI;pThJaQRzXXKd8rYY4L+i-~qp zH0ALI!WU;&+^t(t>cc8#91Rmhu91_r#4&$HC<#=dqw{vQxutR!kDm0B-RhVeP^>9a zFCC$&@gTQk`E-*Qxy6@KWMCF={NuN%dHf^i{3dmg2)_{m{#ISDza=OV6R<wfAoubf98vMRL}g|d z?!2xmPZ~QU1d0@i1&re1fFeZ%EplYaXo*5=C|xT47trg3`nPFkrnSXzhn9<|VpD04 zzJL*q9TxxdE#SX(Gr(x; z(+!DLIOk9X`T%$WFKvn>?EpN1=-BM8eohI!h36%_>cN6#N{E_)Cq6r2C^QL}C9_la zPAgs8&j?MWo&t^it=4a-6sXf5+O=Da^DJ6h9$(4KwLP}s91y9wVLfFL9p_**#9-cX z*+(NL^cp4vosn_(Ir}=xKT=XFPi8FR#;*<^x6at`@(E&ARWx9!Ac`XgOM{LS&mYDm5lwU9R1Cu1OFIIV{3& zDncejf8(gGDAVq$(%O;9eO^Gfo!ARS6(uhsu$z;%GOFS=gJL!;Lf`|?~;Z+7* z%DPA2+kd%Z^DL&68c#@zkNQ>_w?ehS-RcAUHym<>R7XoeeIFi)!I*=A$a{1kd z*xP*sV5%*(Q+0zIMz&|PGwCFK4mKUK9y~W?3`^=`*#Qhwm(T!WXF~yXy)jFBTbsQz zosNWnf(h$KJ*TV}Z){`Rnau>K?PXLrnI2SSy_oWnAKiVMfV}f?FrAN1X7a58M-M*# zJOWah)NJ*QKC4wGITr;stSy3?sqUK|3~+@hD;Y{^cH!t%{OBpEBGy_fyZMc-+plWt zFUlHmEKKd{fKG|+B;A>b@={A}Hol?G{5dP$G1ZAyp%|9whI@>-v3>rX>mc~$&+0Wt zUqD0_K2zRe9~X#IGtKaaJao6?wi(KD1NAWo&!6E;gBah{=Cu117-WtIpUB-D1Hac@ zcj#Jhjr1DBj@A|ESpylpgKGQQ$F)Ei|ij!V008U{qDBQy71n`XU_R7dae z_T=_NaCq>8Vtloc-XSVx+IAK}8dreMsg@!*dd(n^w|YK%D3;d-pKEz+HCi9}RqbnLu;3vj9F@*CEi-T{!O8K1qwT%*AV zqnX&^0h*6jv@7}geAzSh6_s^ITUt$`-P4;t3(C1}=r|{0q?knKp_cm0DMH2-7tLe1 z6%xWUf@B>k35IWRwjW`<>C*S!6D`YA_D?ZJ7pV6vt2@QN6~MzUlbSMHZ!$EwXObF{ z_B@EB4z+cV5unH8Da5SEX08u$*m(=gqiYJ~?EE*BpP zx417ox@X?7fjJ(!9*=63n@LieX|HKN_{ynOSv_5bz^jM1(L?)c%-gI#Ag4Wl1HUGs z&ao4{2L;;{#E$gtk=&J)=T@)v4Q*C?^T-?S9s2Sl7O-_|%ERRb$nJ`YqmAWzCN=LP z0Y;o9rc*bSt^hoa*y*c9r zD`?IGb))C?6TI;aI@WeuD$w-1>TlDTT2w4`J!dQnY6}$bD{|0lAW_X@Rym%@$@K#2 zhPwNmbjpJoODRD#NE9^ByrS^SMCpebi?c?`Z)BHMu>k1&m4`HRLk5XwjG*q0qlkW^4=LzvA+PLm#+~rC^P!h{@(kHKYYH+}^7m^vs#yYV)%p4PKFI$=9 zp7}C(S3BbllIzH{gn{TCQk<1luUc~32l{T^<;TagKrSK(+^{6QpV^ahKD4A&6WLEh zy)CZDYib_V1=M*>TWOqe=xIx4rQdfdoMyQq)*X$^WrWQ|$|=U0|`-0_e;D+M~Io$!Z?4 z?A>uvr11qH`mn=5GGuVJ#?0Y@KTrBI#eZ$&gB756(}EheZvfWM`UUFuwnLyzCSc6i z1BWTQ61&P}SC^>_3gTN8L&^OOEXV)#+UTFvOIL#_uFE={>4371Io7yHpseHF1xFf$ zwAfd%i$*Csy6|!Cz<60UPXWhvp}y8gos%r{9i&jL8IwrqF3Nak4H!Swa4Z=K(8ssM zUR=2YPsrL+vty+4Cj=r_X%M8|tz!54#sx2{PYc*)IpVXB7+d|!h!*e(ZZu14b=o+x zs_}j$(vK!{suV)kp~2_@pEFNt9+*FvZVzt4Mn4xt zKse>0rf!adJv<;%;q_nZdVhl|mU z5#jR;%!phaj4Wbpk3T54+p~oT!y(jAiVx}umH4u=-|+i9ZV9wnr3Lw{!({l(llolV zr`QjHxIul1WuY*gUqEeRUqE`T6Yr918*=QN^S$x=?y}6xsS^}A#Z?EjazRv8htk@U zoGX(8O;S%4x+=TrB;b8pR|rA3m*DWyeB4BfuHvuq7WYu{H8ej?bK_US;H$}W_u>mL z5fZ|QK=5Y2l2rEcmNyyT=^$QjWuE=cVq-c`sI@SjZDFctKCu+_$rIoff zFUkaZ3v`;?7pFAK0KtjdCa)6QS8EM85Emz+(8J>@1)%F&TI^if>*F2)bTiaDTJF+j5>K9}lWX?E}{#T#o>kQY=}H)0fi0p$$nG~kz~oR>A)6*P`42d0x1 z1-mds@U=G(hAEEFXlHtuX{K^wtD+q32(zgUe;gIMGxT=fS@6y*-AB_`CkQn)G3D@7 z!vjZ{!luD+?}-Z6ej%3F&*d&?sFkK|NLa72ab|Au2hu+*Lt>`dgcUUnfvKz`JeGA* zZwWKY11GU6yR;C@&AR%Lm^u6(YrNVE_F{Nqb9z?O5aeVBnZX_B5UjwEk>D5hweo@7 zxdbYf#L)rhM$i%fSYrX~7K*Kn$DkpxeGD-u-TU}^!byqsnYGRWS(+#U417X)tgp}v zAe9Cu3_i={IwLNaaGR>klM!oyg{$hH$UPp$_%ElJ05qOQ1(?5g^0bP#Gv`@nZ|Y>o zYO5bWvj>LDG2u2!xhGxL0t@I&Kk1`9j0jyOH6ecqcLGyupX0GSuoyjr6RM9SUGpO6AT0(XtkW#W{5OEbR}ao#^pR;nRXw!*qH8Yy4nLG?Qj~QbS0- z<>_e!lxh%9dirMXssZH(OG-01_~nCk+uyqjc@%LZdN{{trlgHh+0fRAE_xHKZ)ctn zIM3)l7!@Aa?!8bLU?YJJaCTP;bUb>%_4HhWpk*L&;WPv{?cf4l%)F64fv>n&A-3=G zp1HPy=!2z%?*0e6$r&4y1~0E(8d{pK8v9HvXq_4J_k;R5eFWE}Kaiu2*o&2!g$}oz z*^P66@6FR@j>HOmh^_AES~f!qDB&v$sdhr85Y3|JtFC;xGP=C#-G6#Cy|vZTXgY9C zB}xQfaTZ-MCe&+G7a>C2?m|4~!=Bt4+f^TOZcC7Idcp`B49;+Mg;9g4I`Z=sBYw)s z7Hl9=5rEKm`7CoRAKE30#w$mYX6=LdnN#m+*K}swt0_fwJ&lNL9Y||?EV!NZito+@ zi+?;v*^vTi@VG)uqHo6z=>v>TQIA&Jh#>2qqsjbUB`E-2@+$x{e>Rc+uXx`#NY>;1 zMg&FaS8;ZLj_FJ8|K5v4DvlMy-gwjtfIs56 z+1dqMgFouMP(uU2A1gaM+yI)`_{o|Zzm5LHsIvl4w=5=%t(GS)f8=4Po6=UiHG66a z&WxCXXlS}vbPx3RCkL)8`TkQFF^-M<&n732UT;p$hR!*&6l^5nMnOF?2w>%ml{;W> z#e)#29MLLLF-ml^?M{St3^eK@#_pe07OimQ^l(&2R?O$1N??a`242kZI0NA#joJ(! zi*o|rxT`4j@@ZSU7Q2aBl9+L7ulwb0bTW@J@LXh7B}ajxYp)CID$&l|$sGcXfHRw! zSA`LN4Rn)J25|fjC2ML}3!I=d!@IE-Cj}TRlXWCFwVomnBs3rHSI8mwCt7qfl@H7! zA0h$-ZMil2Z>)1aNqZQwCEL@9)7~w4Pphph2Y?3RnLb2~mH_!Pj{a1f{c8}G1&Mp! z3|pf11E;q5on12n@v8%FtG3WxfGmUM)7Hv6r?Q8CKHB?dqs0H@`~LHx*MDZS|5j&c z?q<@H!aPHkSC{&>buVZT0kHEx0IUj_cp_~%MsWc5JP&A(7h52c+|;t;er069r!#a} zWoI^t18;-qZ&0Okkr z>0aWJo0=FQ3CMb6w)9HnqsMt73W|ySC3OL;lyR2egPK59e;6Y8M=}<$qyOZ?n6waZ z9B~_pSW790W!|vc>NU=k8)8Y<&Wx~9hw@Q4qnDV%${FOotp;O48D42%Vs!T>yR0c> z_MY9*vfVNB^vZ2(BYP&&Ld)Vdj4BV+Op5%N7ccqishp=w55$Xt9K{i5fU}gF2Ql6somZi`p5H+ z+Mj2OP2WytwqNw%P0Nx#YB}mt7G{dDjwY3s19zTx}|~=vSEE-wtKjq z$E2H9-zAK-~l9-%t-7UX>(Y@IGbKNROPP3BhcoD9bEKg8p23* zBcYnVMX*-9+!h-l(so`f4Q!lq8e?yUGFw&>`y#>Nb-rTm#mgy|weL~d$${#1xdWdF zyLab>RP~j^OLi~(Sg2mAlJUoGx(*nm5j80ZC{9K}u>r%~Xsg8lvup}oy{^y7_AKf72bfrems+*w zktjz*jyT>A=6#Qw$O?Yi>wl~J>92JBn{;CRd%*I3Pp#^s+!tVt7F{7o-INEr9GZH( zA6kAsGO%THmPD)hO2EVEeF2@C12A^nV3lhayK2*XMD5~_l(qMwbJ?9}RBEx@1ZLtl zvtMIqLU9UM$5+M+PFN7eudJ;!!V~t_cK2$)V@txuH&eCPX3wpy?~EN6JRaRG6M4|7 zQX$JxR^f)i$>fWoq85{a<*>^nS?tn?0&&u>Q7CW{AL3zpE4dwGgrW^bsG(6%dKhm%6CuYlqU5luiFQ7(ZH(-Cb4%svm0aYP$A!2(SuYlshvfmu{4v0Y0jz@UO zi_|*M=-MpK){lF+ZrxgTs3y{KfhQ#fBFcrDP%IH@sE@Eb0MA064%EA>17QbDxi6r! zftx-HvOo~x;4=uQ3kDyMUsGXGUwCR?Q(?KqpH5`}R9F#HZrCX%=46~qOi;W|KOj(j zAE@F(od^64Yt7%I&$57H`V|zPXGhncE(UzM!1bqx{y0%6g`!WWTV`oSY&+4}Celk< zfwtS}=q~r>Y1|@y_-Ju}4P$&)%*AxR;O>pUixMl%iWuF;CM-Ft*t$fXL9|e&<@%c=C z2`J5*dk-pIcsYY>_wLM6 z$Rl=9HKL9O@-!!Z16@KU2V#w4slX(+L@5J_$zz|EpDmUjajSkY%!~PIymjYhd*j$f z^cRrnJ=qycTdk?C-p$NKqm21z8F68Z+-ltz`T!T*y!C=z^N(!oN4gVDM609x+T{l8a_d zG=CJJ&%B4}*yT_nkLMroP#cS)$~2Z^=pzD{O{I8r`xXGkVOx}+IRIdBF!qwnM?dP3 zfk0|7kI{C3Sl$JQiA%o1#6 zWgYBHU-rs7*~vUiiR(>JjGqG$8Na~5FXR3LjhFcaK2Vxe#$lJy#i6s_As$TIe(zp- zr^8-q>2mRb$x4nk=N~F;VA{Q`0r+0AXWnf7pV@e6hUu%+r!{Zh36vXvcXn2d3?dXD z)$E`s4f3BqsBC8<(LKP~n0!nov&q2jwH)!B@nH_yjS@s8BJlJY-kDDrcN9cUm??jK z)@K56+HKyI9#z;MUbZpR+Q~SiEK!S=1L4^c%x5$}O>Aq3i|3RN-I?Bt2N`>4w$Zj> zjuoJ8PJZg#t}J?AkjU6?xp9BdPg4!;gBls$GGtDNFsSPIzF^;WjCgW8Z6U_9@cyTUW&pEri+|fRxt<>Ym9tM3~)AX z4m(GPASCmbge2fwTbqSBS(BR;Hz(%W0cHt*aU;O&Fg1NBp`ig2|J*+V7MYS5G_Y@% zCtA8N<(W4U!j|q~%i2a63>`!(Kzk<~>s3l)rzyPC@yL;>3rctCT2d0IOM%#p*H%bO zH>yX$O5cwZ9pK~r3Ep4yfl?ILs?Lfx8zfojBlQ7N5KW!-+6b6L)!m`K#TJ`a&2Lpt z;?#XP3N^jUOSZzKN+t}S_>{`!%s~9 zZA(%NEqjx_&KKrpS=Z5NOidk`+ttLGg7rwei}IKlP!>t(U#pnm^6Egp zfGwoFa%7h;an#(iGbDqP+nOm`>&%79&|KX8T$K_%ojAT9+4Oz&@Yp6_=ps!hLBL2J z^&5*+?VNW5Szdg#mNE~kM!*HjT~~{;xJq2c0u*(D5>Gc$pW$qmAFGvTk(|md zdH5^0t1D~h4IOQMJ^>Ju(1kK!12Y? zs>DudyjwDIDV-x_nV~gp<+dv&i-s+~LfHi~U=H)r$Gu(Yk^DV>?`#PDeg*`0db6gl z2Mh_^(80IRd+|0n{#;_R_GMjFw^2HYINToB=>mIfN?gL+Sm%eeO9?6T2Wsn6FON@V zdS-Rm+Ig~E=6x_E7T8cWYG_N(-wp}Ov!b4t6tmF0pxK$;?yKY{YMMu#ej9qGQW1Qa ztK#V9831G|{Qm=X$8T{5{~t5Nf01AKp9oPBLP3XpPV+!p6Vc@qT#iLXDOcvR`Hl$z z5KZo8s6a~xF32GqCcHrG)M@|K^UaMM>j?Z6PN{}jp6769TAirxkz(Q>%D7V==?_Yq ztq(u0DyrI!G!6^B%VQEdHT&4W)5;K{+M+}7tUwH9~r&EI6I zf1|$n+i=yx{s(O2f0b+e1_s6daaa4-5MTZij{QojYKOrg2LuP&s^YT&_~bwD=J+Eb z{Z_2@S9=`(^MWdWLP>O$fBXf6xnlIj<;Coso=uiEJ2KCNo*gk?^z*0| ztG{{*?teqge}!{=i>YS(^-M?ZZ}?!h|Kl3V|zDL0SN2m8& zPx&{Q>hBTozo;$v4R-7A5%8ZeaLpHo80~YQ=F`%-FLs5Yf6W({L2;@6 zBPzxBVc!+Cu z#>UXu^p2^qy@@HRs3_`>XLX-cf53qXD}lCs6#86eTE8SUIRg(5o`h;14j19zdFkBgAVP}SDUM9VM#EBDOONHA$jC>X8aP>^;b&~9DQr4B5%TQ_~ z2f2lh>uG9J*c9wAmHZtD=nOZ~P0l-%F6s;4JFZtM-yLLAS|b+(2>1A+(hNJC8k;-P z2a)!ZZ`=Kfyw_4(%ge#d^^>r?KOWPiCd1NeR%0BA$W(cW0q)R~gw zdIX>idpl>~s0=&hwIWrnRl%mEXk=w-e0^A+gOZc;@5g~Z{axt-|8>3}wafLx2mh{i zxzyM}l3*!HLp#fCb4xq3?C6wUb>wG(4;iEooL;}rtVT#dyi4Mn89G#*B3X4jBmNm3 zS8}MT={aUF?2!6Hbt(ouVwuN*j{{Nl1Cyw<^$Mx<{PaNgu?h5ksj43?=~`6+oPWP? zu4}2U4*{~Nx)?coJThgIw>303MP<{pGyxU~2M-rJDw~|CrMU&5A_0Ejg`}mklai^U zl)ddEdplD*XG(rlHYs}>dq>qrhQ_9BcT8O^jZIZ#C4Z9rj+EMu>+0`IkLxEL{@EH!~76=v_1`ZqMOB;v+xK0F^AHD#{w;#S>VBvu4MM6eFy#Wj; z#{$8^z`?=7!yzER!vmwA0DlL;VsiZX66=_R!+_?u5Rug zkDopZ2n-4i35|_=9-ol-;$_m?^o-1RS=l+cC8cHM6_r)hH7%`e?H!$6-91CYBco&E zpC%?37MGS+R@c@yHV+Puj!#a{Am^X2<$?jh{Y@<3`>)D{4afxx4-W^AbS)PQtQ+tj z4jUeUiUaYcgffz$1I{f@KV)3Vm^a1EDAZgk`*=oeqebe(j4X+X1b8ayU*cl|b8{51)p<`>W#oVK*HFQ5lsK+Cye3%9TFi0=S+ z#9u%FATeW2?)QP;)$@H(e7_aGKPkRHAHVMk-#5+growjv>AP9@-D>_<`U*!fPb0Kl zSSZYKKn(g7-G^?4GUCyOYP>U%UY{HK#64~{2T3yV!Ri_?=(Wta7#NIKC?vaJZ zl7Lq$?*ngW)`*NuMg{3>8@gE*avLcdy?U`KPh|uXY2JAfA_AOv-elev560M5&I@->^1gsXKHDGKkxz;WqDjLmbb_k| zXkr1D4Skmkcg5DEvoJ3QmqH6-nM}w3$KH1UG_h@K5509oPwk28bIo^wi^=$TA z)RuM@%!sJXdsS;Ig8713Q3y3@Yh5Z*uEhfk?Bpm9D9pynZK{a0$=4gcG_Yo5DiFWK z4lu+NA6q_99xB?OfW+2>Z_yFjE4!9r`f zJh$wUhhaw>hqX@Vw|*RiviN@|D9%}DYG(`9V z0m3+2f})Hn3N5hF+5k%)1Z?DM_wH)AQR&DHb?VSF$0>qHIfG7Eq72zdz?fR935Mdo z1rl>VqRI^iX@35<7-GKFYPu9o9?wDGMDV9&i-R4G3jZ8IuVUNjVJ*3*lEO8KQ z(Ods!?=d(x!~RdL%Wri*FOPYXP*N_@j_!1Jei9!JEZc$vO<(aU+5VHv|H9++?=`c} zf`eLQHZg8A;^ojNX7PS$SF`p8{TptU){8zN?JKO2n{0dpWf@ifB#kHk)G?6uv9jPy zgC$kzY6;91Je_2^-2>>O^(Db4>(%)tgL*q(;x*vVUjMULnnQVnOjYR;wgsPko)n(ZghA z+cW3#Qr}8I6u>(+K6QUhtlyA-x@ZH&fI6|rkvQ!z5~ZN>={|dp-2;){2ZEQbZ|;bs z(39l;dr8t&mZ9luLKd(g2XO7^jcW1G|B5P^4?sAfBLhIv^XGlA&yFR9xmA|LAfZ{? z41D*1Di(P9>D1ygf=0N_L1vVp8cJm96Psak^|7cWOWSq96{qR&vu`>x|CY1p)QsVJ zi&jLAOlfUVl{{SxP~9qexW%9Ouw%?#a%C9g1CQKn$V~^?ifZ}u(2R)9t-PrMn$KI7 zrQS&llGGYp)AQP*otY^B$y@S@X&9Al00(Lrk-bZz@`dhf*YM3QUGABAXc>zqltB7* ztIyT9Bz9B&K8@?skm!v{cL!7cIzFR^1!Gew};}P9hcKO8II7L$rXun~vA2 z9RH-emN8{_m+Qtk`NkW(|U9{n6@uutSpx~M_dcrUAs$tG$RkveiBuZ8h-j7zC z`t;`1s9BrSQzaht>^{FnLN7&3!Tl7d)!n}7+KARu0ZG=oCs4UFid9IWvCt>JY>Q?N zk(BH7HNi}V09#Cjmw>1zjn7~a`VvxkJGk~Z(fobrr1xsU(rjI&CSs_KYuRqhRWz@c zX;IZ4Xs$!07TOAKbu*%|5PAo8z`<56}F!Qj0_x!snHHgj8 z`s4l7$?{Y^?g?+7btEPQlU6agwW_t+Lr7687%X5Bp@g&vb@Y?S@1__vJ-e+lrtEzC zG&C-oB~&kg)7gQgr9#`wa>nuQw7JicsjZX=ceQS})S;2lolkrMV~2f$L|wK;(h}a< z!4A2<@oar=Yrz;dVq9TrSY6*IC`8a?_lo-I9FcL#%8n;Ke*7E2JH5$?#^KZ%^w|nT zcZefe*&k##3w^?$Vbkg`RK z1;ZLw3nC{`lGeF~k?63{h2i3QJP%Z~FSz#FPm2lb>own4&=QB8;WiE;lfo-3iV>O)!VwS8Pcy5xAD(B zGMJH`f$5l|;WQhj-P9kGg+mJSYZbkpooj^^o0HLZjp-#mcu#=W)lYtI_W67u|EB;* zzo~v-=_(;gWwp6v;l*vCx!tRhv8qA@j7_gxI|(SKT)IsL5FAllNWJ8Ol+VzC_Aq?d{&aEp$dg5iE70&FQ%o|l}IlX-5e0j2!_;9kt&`#;)!jZN6^_F{_zK8BHgf}T^UD%;Mb!ftH+wM&nR6$SiAhRKFc zzan93vGN10Ox!Zk4Ku~@`CR|2g<*zUg>o)a4>cjv!clUj&y>9?-j1~7xA{9c%~3w! zJ>q<)tE(m&P4%>TH4L_9UM~b2<-!8$CV}zHWq)zZvy83$XsDRfNeHPoiK`~=1n|@#qHjU- zMoe1dGdL$DAQr-10CgeA)et zm{HhS1UfdR%-l4`VS%x@T}7*dahRn0^-d)LD>9wNV~?SAc%o^Ux3Rh_HFvyeTGm`w zuO#)_TEV0-)BAQCCv9SvIAvc6z}s*MB*Wt7ZGvoVVu38(K|`c4M!5sBd%zCz*`=cJ z;{Vodhdfl$7l!Kez0lJ1J?W7zK?toj-kd zsHl6!YseBj`h}C%)H5u3?P#$hD8-KTHOVT&KHt?lE8p{#!SSLpRNK^hw zXO}*Y!hvuA3?Cg3i^G_;&m+zC)S+AJHp7lw@N#3`p_31XBu#B6Z5}P?dvMU81^bE~ z*Y$Z65srcoDCV1g*BD(Wfym+RpVAyf^X<8j`S7L&h{g={rqqF-H*r>GottSxi6K z#?rW6=)d&ko6-f4RyY{=lwtuJRMIbzPod44@bZ_)$MNp-2{Bg6;@Rnph?aE9=`wg( zgeAh>)czoM(p|yj=Nd_|mxmQ=sEnM|yL<;dz`1$u^=WE@UgW*vbUL}R4eJ%!n-VM@ zQQDM5uBfxg>SrR`nwsIhvq(trz~+pR$)r8~v`Qq668%`* zqqx%xuM4}ti7kaQqfhk+94+pt1*e3CuVijy#BxNL`D&bV?n}xzt-fT5gJ6rk05FOL zRb*^0*}CdhH@rN)J;AM9xx+7C)Vb+y^0MtCDq&qDys7R&mxiWBGlr(NEcWtLWuM@z z3j3nbEzKUZ4Pr*E)YP26IgPrw+~TgO<;dAvA9G(CLP1#+%@l?8ShRRyjw7B}oQ-02 zOH~<`#rEf50j(zeo9y|I2IaM=6qD`uDXjL?TVDhhLoc}1+EFbo4&);WUv@XW`TyjhL}uC%2-xc$CYHo)>B z+`JA>WjPgZ#>Tv7!530FAOS7pKK2^(u5z))S#}#THS|x`^`^zfaE*N3^GcFAMOj*| z=z;*pm%RC{=3&`iok6AsS57>4&5M|1M;Wy9J&^}1K&_8|K^yXS+Y!YvDUIhW% z4RcuMG@bscW?sq22t{Ck-r-^;>BSLI*)7QA2jAk^>!AcS>^H7)*_>bA32@xIv4s}U zIejS4j3wJJ{D>n-`?Qi-+Nr`(s=aQMN|6uLJZ*f)j9~3iYB+V9!TY-t#o+U-dfG&u z-#im*fwN=k7m0E3-yw7L`m3}gXz}!M;sFJX6Aw;%a5ooj?ZGY0PB_bjGwe9O2j>>z zyk?wRhzlzI3^5n0WhDE?Cj}CligE@5(|CI@zU6p7m}+`WHJ}ZBs_mQcq|5{p>{Z*O z*6soIFA0$Ci_h@s9B#QUBF_rG+%Z$rh;P#$xj6x5ZIIVHf?4GX1`re@7C_sVejn6L zjw=U?D+lYLal_`a^O1W;xA?2&+Ym=!VknbG_&8+#ciA`J4`d$yJIlf1ruyfdY8)Zj z54uac4?CtfLbN{%^9GI(?awmPI6|}^GrMD7{4yLN+7FmYw;!AHI6|~PO<0X1MEgNg ztM(yf3P*_cW7S~%3)s02krs{+?eCetKhliv$4ui8@IQ^I#u1|Zhb(?aPqB13NK|_PFQp zGk%vad_Oija0oaK0VnGhe4iTfGE_TasPN-9$IB3O_mq7gIj%ax?}DT52QIoY^iB8c znfW!TyQ0+*E-Yadb1!PNCJ6$p5Xrci{+(j*{g`PS0{*+0-tR3supg!xhk*ZSU{M?b z{->F090LA3S>Ny73*QH8jmrW5v*4mXI|5$BUyqcEDbu>G!27nAiy>s7S9w>+@}g z(J4><_9kl&ESs+N7z^Bly`+Ni_?Xkprz5@Ki|Mf0IgY=-c@N+C%z4?c+-sNO1*DJvrV=u^Va*{7}? zk_(PBW40!qePH3QAJXgmtzPPWc&9%-khHD^)~1D)v_zzU~FO+6nIbx)8-n@FhsQSBx++%*s!Yi@bV|uV@}6I$%fSAMAzG7CJpAn zqU#ib^c$6ccP)r9z$%H?j=fdC)T9o7zG*oybo20%_Q)b?3%#Z3Xn{qh7xeX&YNz zSLkh)_Cw78OXSS_EGwl6!ZNIM_l?aoL({U7Op%=6A zCqY|PVQ&NM;6~k_efZ&cjSFGUNnlVtT z%-Q2ejxHe6Y+Oc;*thYVTI(3D;kf-)6^f{6Mx4HRG(x5Ntj;^V(=W+qvu`Cb*FkJI zKa|xm8}6>upD%R0x}Fu5lq)@5O}UIaycpiv`*H9LJiVY%aE3Pb8IPv=}l>PG3` zj`Sbmmype7R1vn7sQ%cQG3s6s(0VO?D*cWHb6+h;u0%Rpe=uIFU&1$4Q(=6NM}OVu z5?df%V-f3mnx>;23{eIzEr66krid}!>+#EwYrB>Y=NwtK9A|EbMIuwK<-r;`kSv(+ zB#bFYFQCtX2t%uYstYcFiJKL_lrrbPnCE$_)YRYG-m+qijHg@+0j@@FO$to4Js3_@ zw|QmaSb;=Qv41M{{puYNTIggTvHA=lazQoJPs}?DWO7QYaS^OBaj?9ydx}fMW9 zBuK^x!sjNowXwkRAPV+CqbZFLc_C`Dz_a1$wsQJue}$D1&7|vjZu6RzG17Z)AJy2{ z@@Dtcku(fn9TpCDmp`%a#5aP4Q83f?^$BAx{bTCD3|Hh$GfVUlEI|ADkxCv_-!(6Hx z%fJE$*N>y65g$3|Yi)8=Wi_(wwzcT)`BredjS9GqCaj!PinJkcnXXB{GqI-WXN(0d zMZouHHk(kMQ|XatnarlAw&~PQN{Yi)&ZeU&}Q}nJIx{c!~|wK!4}u<)4@$WMAk#h&->`A1bHUbYWg3>%q-2WKvK{L^@y*9$)mF%8caGV)WHP~{PqJw3Mk zLW4Oej_K$<0I9)J>Z&rtO;Z?|nRCJ=R;}R_UHc*yKwdz_v0Z`ekSz8pT0P@c4%a=W z=nO1&F4G=p;AV{0zasndmuTM)Q~1++)8DF%e1gBmR#@;_S#9X?xwAd&AD9BU+Psm=Ag08Qy%85cq5C{_u3T|I*cxvw4qu`C|T(zJD-rkyvuZR!S&GE z2SCD!_Lm9P)|_q}(B2MKPqI=CGJG{N*SJhzg+Rr80WOZ%*XIRqUEZD(86Wug+^4f4vG5jPR*fa0Om`6*wlMUn7XD+KRe zXeP+}=`jcLexf=sDiD0wkiFKPl!c-GcMpqhtoWHyy~>JT6@q>OHtKzSl>v0NsMlrk^tzwA{yn-{VE~&G@JepB3#y@2>7%r%xSuG2YUT+ zoS>1g!HWi%m~HFUoz_V*Y1K;d{1v>#XN8815F{7867d9GUas9H6la=ee2F)ya>n=U zj2$N{*GS?uPwqgEpLIdnm&Hi`?&VWiHDb>z54BVK(v7jtpbo(wpau1R#rM+2`-%YO zTYPK%KMJj|fpB-suvJf_j&kc+nJG^J_5No?94xt;B(Lrlb8qn+^gD>ynX9wkeK4e6Vj|Zr9|Y zpOT_yA2#>?1dkn4{T2(hsUI<2aKiuGKo3-YTJz$CYK;z+d$A!ePkd}9xXG<0U|n85 zA?8eUPrrab|CZUi0ttS-MCp~oF5#C5V=JeoWASgiiX?yWAyijZn>geLvGBjujoS~m z>8jC%9LLTjAwtn$ixyASN(`a!Jd8r$e1tief7Y>6mqV7rjYz?4rrr%i#`tN0uSh<= z5VHSo4tL;(b1~@JLB{xs>7t#vM<8YpY%n+nvV8kLf$j3oE03%1EapKhdmasnJJd-o z%tgxv4<8G@$t@(KZpF>^f(vLLn!3l{hxb_d3d&GFUAL?zOj|8pueUI*YxxS{5oZFf zXWWzOhg&$!-&AxCt6NL_Tu%8uXw;!^I^4hFY5iOt)jxQ4c)!JXvFC=*`GE*MjqGj= zIi$nEn$mUQSan$6+n4< z$GQ8(KV{aI+NqP8;TJ}pmZmwo)@teiU6%rkm7zMPnLZQe5m!Aiu{X#Oa2e&9p?yhq z#HFc)lW_SiBb{|OW#)|n@;MuDC&R5J=ElSt#o&DS@fbxXA1=NZVjNQmG>oTTHD#XW zXEKV;{b|w(aA4R0KR%PO(IF6!1d+)cAc&Osg&*59 zbFE9mH%f%|JTL6Md2dbHTWD-mMNW+0o>xos>SCQ(wIso#LWdTE-uLDvUbi$tjfJ4* zT=n6E0^0^xW7|)^wxV_u4b1;wm0|VfdZ2r$o7 zB|M}^s8akCz6V$H{|!HxeGO3QeQ($CDDqp^cnozMxtu?ZmK)lk0jJJ@!}`Yb zhjUF!WGQY^K4vI3f42g;NwJgO*#9%(QQz`bSqo#Z0PT2n^Ss{87_0cRRZ zKNct#;Epp==zEM{LZkTght zvO3~{;87YD+nDhOgGVAA`pZKv$+Y5eMfRcHrX0KlErnk4JFIqamc%k7zVHPrs2Wc;@u@M2hBXY z!B(_HY3wN5GOgpwqxS^Jv`0(o@rIi}hoN~fU^3R@k|r#G5(RUu!k=Ic9VCIh&7J}| z8|cBK%>xR>Ptd~e91TW{duti8M@7l#`6~slT*-`OBnDLgWspb`a^n`N^sT zm#)JaVUw$$S>zyC;1(YYl?$1IAUyXDq;X(@hIp18IDLt$znpgK}!0`c8)J8 z6^H(1JW8iy?#0zWpV(_Q=4I97Y}y#Aek@+=ramoY;uS*x?=??^6CsLy zae(EaB_+z-3*oI$UBw1Mf91dsLN*u{#Nz2k}D zm44#Sdx{j>k@pPBch6~MqZDP_jMI{oX$|ii{a{vVKP=L(Y_jS9%FfA8wE6aH4!MQh zO!tl;2L)_}9}8r5SR{RYAWS)$@Us5RuCx5;a-O{g*G;`xS1x?Vj?}=)F<>*rI*0 zH9BjC)Nx~iNrU|^`{TzS2i(Z6CF;zLpS#^^grC6q4B!`e)j@shmW4M`syVr{nxx6t zU6}Ir#>2cfLTiMfJ4aRShh8mye=}r?)KxN)O1dzpXH5hFY4PDMM-JOp^CWm!`(F=^ zB8}yRYmDll6N|>*U7XDD-T=9xu4-D0}nV{55s@gO2Y@ zz)kqgJR<#X!-0A~BkcE&J4|%55JrhH*(S@w^(^+oV{PwCcVWxIlID@So=V};+Uj$G zlb%Hu8Py2s`%`(o>TM59)H9cs`6^d!CQ5iT9vH1_p)As=U>~o5DYq=?7%8LAZOs$6 zeEQo*KZ$`^AdQcrtZUw7B?JYjX`38%iMt^G#+Qw*pYj6n!}*X?cXsqF(fOL{D}!TL zfDo~Y(hMMkbr*dxnx{b{f35s_y)gRt^Z3r%nBs8V zmGG(==LEWJ@|b~KEHHOPCvJHg)*zP#1GBfu9gkJV`CsTU7J9rZ-=vTv%ark9*7eFx zQhdWqyasRU!4O?LE6sSlGJk26BlHDNFI=I%8Te2xAKJ#)%HRL-+2<}2t+BpdOqwvp zK*8A4(i45SLmUf4f(hjtpQH=K_pVWx8ijI&9ysNGmZ=N>HsVCv5;zQQ%O?015({LB z4raZ(Xvw6ccT6RcJ0&x7G&Ac(+ya=IEOno+X6$)JIDeO5w5WxGmWcB^`|k_KldZM|G3xp-}D~0Z~41$ z0{;jqvoBMPt4W2cNrhu&_(N+|?L(;bv)82hFAcQ!VWx2iI1T~FA>e;x*`)n|MR5rD zpC+vSuPp!ZpQ6t{!uR*VSmO}z9{~sbx)1w-i{e-rzLxTj@OeLG8i#=YX-qW^0sj$T z(69TjFR&cz3Mf#(D-j}JyA>cR!9EX785b$htL{j<|b3c4z#>HI!pGvp_i_iW` zQR&|^*xrYr8i#=65O5py_bh!2f6n^jp{8mq-hTfPYKWfAq0^ znQ9yYjzhq42sjP_$06XqLvX(jK{XBm$06X~lLHQmSMDpAn5zsk=|d02P(EC}mLNs- z#NAb8Mqa-!np7O`haryt!KjeFhk5TCv`GQss;FYkv>>>6f-dp4aUU72T~7QS)2!@1)&vi{9zBoI>a8eUnoKqT||b%g1`G`kImY0=1w&WQ)9YtX+nHNyPm*1^eazc z{yKtOkzvVaf3(;9lVAVCrsfS*c#`T{u3eSFN*WFB3())qU?rgy?^2dp@W~tFVY4ct zd_<-o&lp-Yd68Xkga5er4hzOd%k|QOcvk8c(vPmMv2?d8s*vR7?-884b>>}x_=sVm z+H$X5;uXqxnYp>xKq<8)4Kb_4E4~9Ez(4ZU{Fe8>LaD6(E6VwcmJI zoKE2G4%|9{TMKbk0cRg^o&wHi!uf?ifQO6-Uu1x-F(R*3xY4GI50pRU=hN_LlvCqX zCW)1sb_T9FU+_5~tX0;Nf3)OzM0-UHH-5{5`*EF}&EQKzOyt-d?l^S``|y7YzWvU0 z6u#eQ=k`BI(E4xv?)TP&{r}}P;ePk;-7UXWZr_bZ|DfA%KSILJe)oaY{F>BV(dq~n zmN1LC7d2Xw1OZlv>Y&HPuSl4f&?K|R`@Scw1lLxX_Fu^W5Br|n+VQHJyQ}qO=$Cg+SM15fL^)4# zet9D$Epk%w??=V{dQ?tM_`J2Fjk_(Um^gSymt0uI?z+3RtFX%T%kI`^t*xA{T9Yd% zkpK0hUc>s)#pL*klxKH$!ta@kTDVs5)D%4993rI%2+ZJ2b4?7Wdk;N}e?-jtq!?Fj zNQxED*1|nQMJ`oQmq8l4@<&gOr}A#>-joz(^*4 zUqI`EZ_eCgO1fyqe! zt^499|EK#GJ+8QWJ6j8@LoeG{lM5T#T?N%eL_+K&xv-kGosBIhC>d$+gOZ)Qo3^#< z87HW-lcTkxJEt_c@END;POcZ7FI!m)pS8YWXJxIcs`PgWoIRuWR}K4{5{my_l7Ht^(lU0RSHO2f&U1X8>YCB4Q#!Vqzj<5)xuk@S{^ISC#K2?;qV`C)SM!+gy2 z%zWSdgMA55lakaDeI~#=3gA=Y5m4h{n*k26pG0`S`~ZLb!NVs2`%6MfMos}XC_4n; z;}HQO+#H9NVk~5xUWJDLVj4vqlFKfKkBZ(TrB%B7xVV9gOH3C*cg6J`IX$=d)G_3jq5U$l-!`zI ze`{oaAK3qlYZ#y;zyl|bfEs`RpP%C3qo2mr_%**Ccl_sx!Ekehn=70S;B)||12`SP z=>Sd#a5{j~0h|utbO5IV|5^t~EeuUxJ*E~>jT(LZUhM=vM)nRC2uzN$*ch&nuX|oe zGN&j@%N1Qv!Uea&(46XYI%@4fKP^R7*I|7l{UtHFZAt<#^sHf5d38y)_`|g;lI~p- zN%O0NPEHN&gTxE{)3;4;u2se+@kZxW5Ww9qhjmWV>91<$m5hu~1P16GE>@CW91)e> zf=qt!EuOs|N>Iao;~JOE`Q@De$Gsa{XaSwmhw{u=vJJzJIFhtaE19L8DjcQS>qe;* z`9RIn#)r%Z)*hvXQ@0tszdKQk1t|2u=Q_W6Ce{LH$5acxkV+2zJ7lh2i#5)&+mI;< zT0H%4j+N@0gKBJ{#Un3ksP^#6pr7L~cIo&EOH{`bqvAuk~($c7%X zdO#nr?Q$T>lyTz0iRZ7k1WtSYx{%;*F5KGl4~sl*X~tPDoMFfLJvg@z=QZQJW?WG5 zzXM5}*ZgmK%^{Xj`dA>Hp94(^!$_FWepiJgB4hf)xuzwu6gMd!GZdS@TY=o9*hz2f zH@wmMn0$w&gf^_JZL~ovNqRM96T{Ko^4*1ReoJwj|G13-xmQzD_w)lfNeN%YB9+sU z0;qfQBD}!n4wY9oU9qnRQB=ydXS^y=K6UAf4lW|2cPhqr9=cIL?a)#?} ztS@u_UlS+VK|mo)1NS}H(A`lhnXMN4w|L6>{bdy9 zT<7Zg%EHBmekJH9&4KbhH$swnJjrQZQnsm+7Z=8;5P=+(HF^WEyC*^vU z4G!!3l=VkyPx#I9Vft5%F620NE(sBe23xdvs#anMh38=udjBdP^N;vF-a13%<;33U zq-Hp+33q|VRO%U}zVK$^4}qql7gDxFO4y&Q4CedURzuG;()$pn9}z>Pt*}W-#l#(P ztF~cpJm$7zAayGrx(SJdGvy0kF@`#3^gK?KWTK3+oxFuQY=i}fTOSvo>c=}wg07>8 zd(1gC#jjFCB*i{@AgYCae#ajR`1oFWP6WU8IW;U8EsWIAsUa4W)kGR(bDf}!yt0LzGHK6=W6>sFAbAaSW{2}9qf|tDVTkbx_0ydf-cJ8;1F2AqvWT~)X zeM?-PhoqO0ZSXTez@!)!(Po)e@vA4 zo8xR~^UHEl$>&!PlVUM~1E-lRDM`6Fr|MS#NrBqK{*w?2KcW7tvg*2rjRmeA6uy^^ zd1#b6NhF-^*l}#S*(Emc2$echC)UaUrwR+ZQeV~k1nF^0!~a-j?AaH@9@Ga<9>2D` zGfc|j&3QNW;CXPBDBQ&`sG^T5=vQnxp}DZYaJ}QedhJB%j;UJ;bfilpWqVl_eav$L zQ4N`*UMql?z@|7b{p&X>Qs?)w+ecDn9LHTEKfvv0{XB9_y*Oq((j(=Rc*B~K*x8|i zMMo>*^n)(Da^q`;-w0yFTXb8{_Y*E`nHC(a9pcdkxaVcWuCeqZXFC{BADZ)d2?u4jwhFG9%{9A)}0|Ce5_ny@=BUZNz zljdR85=-!5_R5Kh_5;z98{J4lvJBJ}7?YnEs^hV7iuow2Lfcm5^cc4jWq6f59v{h! z8LB6MxCJPyMck-hxI-vAa+Z3LXSR|xcd9&15wF5(JWw8Uz(E_y5r&q{M~Rfqm((Yd zt-wkNf&^YET#DpHm$Ty4%)OE+%&2stG`CH|N2rEu?2h0~y;?2gdQsk6yC8(l%Xy!2 zX8ux~C;d(|t57~?MNy79#qNp}(maWs6f`xCcK5uX+^zySD86Bp#J8Jsys$kT_acju& z88(-c@b#F8TPC$Y^w5f)Jo^cS>7OlMa29GJCc?l9{e zr)yvh<)&ra?2=y`&z|vZaLEAe@iZ1NL$J-&CT^cJem`$?b^WwyY-Esu1)C9-NGQ2a zzb_VuNFziZ$XZFTIk0-Wuw7g$OEt5rYsqV9WQM#Jp>c>r9PchtRyC{%!-UewGMO;9 z95F%FXsQ(orCO+JjVE-R2y`X|W*)I=Ni0j9JmW#oRJ=6a6iw<5v`L(ww|$CHY}|9Cr2B(*Y0gLKKCPdOKtJcOsa8@ zSLY7sBTze(!>{z!DtZY8ylQ5s2;nlCj(Rm&-v7D{D3>*KJ55BZ^V7cQ#)_XQ)vGME z99b{kK6!DjOZJ02ENrbI-6$w6EU@HHXafMA0p%+M4eRcI%uo! zoj_Kn&|Na+3?k)xi-(k)_l1Ox3sjdR8wp+Nahwe|O37>eL=oGr${B=5Xc)EVI=oPl zFU6b`#rEFwmJ=+utMWC6kJP1uY+~tMHAQ#o6%ZaDnw;oO-lIyI)h|oz-4YM&?9R< zUZhKVTq2)gH0Dkk)L}Jl9j{6 zSq>TIuNcl$vYX$FW2I1k@mTOC7D#i7f6hv1z1DDKMtQx2l38Q8*W%f((4lE{z4Shp zcqlwnbL_Ju7C2Z_Jc6odVYUnrM-^_~$usU<0aMMeR^~&A zpL<%@&S$=TRe$jT*Tu@?AGv{LNWx{WbPIS~+pg4f_N@?j*nHa9g`B60*VL{gyk#={f@U-95fwU3M`!AzL#YTI1f2f`R6fU9Mdtg-Fx*pSirW~ z7Q@*6%tVp3x-b=H?Uud5#4lYHWyrR6=Hz5F*(0hN3{4FON(&Bp0EMtpcnJhFS$VTN z7ETEjGF{7~GmLEk{cjBuU~hV{z~}=kP|^P(;6MpdrC=A*HlvRAoR;1cJk_RRom*+NDF6jrPID;1u491~{k=PT4|8B`Nh1Lhv#<>Ry)?rI>6`GD3J%Yd z0cd)(I2a@VW~hz}alQrg6uuD!ubB+*9ueZOFPG_rM$S~6TaO|!>8rIwid`s6*X_H0 zy(~Sfc)V6JNg#-9{yu?#)uX#-E-8qf2>P@QXz^e=Sin^*}WI&S2}m^#kRM58xS5+ETTYQfo3eg=%q206p~ek@@D>+XKigq zl_OL|)7<_pLzVxTlGViq*=ifYLA}=9DM&*R?We(BQ;h<%QLWrp#l&7*Rh1rkXG!92 zK9CoCy?UAr3&i*tT201~O?ZXrhtE6kLxejutPNsU?`mHXA;_cJ6Ei3BTSq3n94=2l zxHTL4q(^)BtJradtX_tTJhbsh6eZ-NWxQhz0BSM~geikr9~lOHgq!`Xnm(uY^}b(J z^DsZ5K5KJ=n0^{CN}~&}WCP-X%U;0B$HK!?@|)u|4uz=j=|%7Bo0{h?W;geUsj}r; zRx1S}2=3WTQm(8H)KvebictJPsEBEEH?=``O)15A&9LRfK|`9?zV_=_AlH^oDI`(O zrb~u9EvROA4GV-r*5th`F%8u*n5Y*8h_@H>7(1?c8;|omWxz8iW3W>;hoD@O(8m;{ zvB0C4FJ%U`z~ZyVbU;^2D;n~VYYL7&+>HfZ>sy3x!%M(9=|I8PAv{>XbUkKg5A-MYI<$a`tX`=Esh(kReyPO8J-WQQ_rpvME)}HJi52b#A&k zing@TBr5pzjXyl*Bu`&&Q})g{jb)&~@5~d$9HTo1$0?q0=MuCXmF2!Y#BFVEtWJ0< zGDwB2w}>c@BVXm*xlekH;h}5u{IcpRg)CQ3w)V*S@Gi zH*C)zO)T%x5PEu7?WMq+(h?^>F`oSGXL$mB2@5>Dg$1fWf9h(HTaTZW+cbpD!Y+U{d;oe-z0)M|@e#r5 z8H`uctsp)BX)mTW$J1b6{7X#E&nG^7#E56VgwtW8JSv`cxg4q znVPAToZWfBayO83a&*>N)nuc3C$BLX+W+B}oW!yEvS&t|JRbF1wzuXfG+F~WHpZiqy7X# z58G46fVQ(fAGX6&yLv{deEeA7#%{rdPuijU8g?@d!FPyJ8QC?FHp?LxOK_)!y_=#P{T>y z+i1lp<1$Tot2o-SdfkClzFj?gi=$M_!8Bs6il5(-l)SSrf|C_38K{@S?kJqnKm?y1 z{2Vma$WmYx z0o}BC6YtC*p$ftt||(x7h-n2 zi#(`{6nf$*UzQY6RKQ6i*0uN`$|~sC?B?|o!UcfOGF>z3;El?wlDJBujG& z1X145%_o0oW-8O<*D6Q2GL~st=~edRuLx70x0`iZcf=3!k=Oscsy*XunH=W z9G#BjXwl41m|2c%6O5N)gKhz|lm0yiExaEcypT~lql!v*tY>;#xcTXLMqg3cw^crt#{9GUZ)NE+cu%bFsD&ADtiu~1^E!*0`^Ynxz zRJ4Grm-MI8Z2EL-2-Mx_18!TUgA&K*2B8w4xX7S39lN4tJ4r)WK=m$ZS3e62U?n|Y z7omf)+Zv-#>jl%tkah4a&s0p3Q|?k_^*W=}YPwB#1)~Kq$(PBnkU`8e#J^*s<@rSYSvUvJpL8kw>%Jux%33J7$SKnY=iE-YN0&)0%Q(V)b<1K$0nKSR*m) zV>}j^6%+$qtieac_hzz1cd@`E$B~O+E@dY1S>j7cjcKoi=gp(TD*{+PhFwhSXi4(g z{$eUOYdh`>9}7L>CmCCO zRG5TE!FVjSz&L&ZOww-oqIr-bAps}min$)%l55W&l$dq-db3$m#ZM2 zqpsbuxUZdtMaD@Fb!OcgK@IBU2hZCiZ~E}JE1=hkm{AwoI1r`NNY9&dhzayfl>}~+ zCJ;uFAJ%=T0vToME@C@iT!Ki7tX0lgFtj|h31wM2Px}kDMf(5Pd&{V}zBNy{kl=)1!7V^Y(BKdV5`u>y!8HjXSa2(}2n2Ts zkl^kbq;Pk4cejEnv;qap`A<);clEtJefv)Le3-RfYVo0{I)`=k+2`5M^OI-i{!eU6 zxoPo#VOzhON{9c#w$9v4DHoyPyP~j_N-riL2h$pF0gbMC7Kc$x=S-fIVpcZ(6ds!R zA<9mJF+}yp+haC=T#EkVT2#<=ng6B=hE7vmrKgQ#`{f-&{3kc=S>nDDJ!v)ZCJa9X ziRt$pl0@S2nJ5kf&$luj=U+#ks~W1ucch>6hkVaT_H&dt_dkBbGMl{uWI5C=q9~W z9(}YVD?oq4=-l^5dc=BsFN25#apK#;3Lb6Oj|!?Wc?5RJx*dnc;=6ye{$2Jx+0X4e z2unnI@WB>AC=_w?{&(XfOkkAADxq|9kH<9XT}_~wb#Ri|-1gY#qCb56Byu8H&7p-) zz2+0sP|M$0S92gCeU6osc&iM$1x_3>m6^w53DrP}CSi>*`^rh@$V+(*CNa(RRj{0BVNpIcM#c^A$=22y#*g3w9WQWJ}cJ59M z-Ei}dvo`>EePwQ4@>RM$4mPICjn0gto5CkE)+_61@{BES6&*9H;aM3gWW$qALKqd~UEdXw)lJURvsRc*>%U z&8IN5dqVp;g#fLMd{=^W7WFX?43UA`B>JCZ%$)Dp9OX`xGZW_Fn05#hKdCckC5z6$ zh~+;y($q{!7er~ocm7KD@duCeg>oHkvu^_~dDy2{VaCRz{DWISlG z*kkTy5~~@Yvb$WWf$)_6sq~1_u_dxtSe8G{KVVB1Rm_XV}_?RPy}Awh}OWA6ktcN z(%=Me?itxJxaIWgf?gOJ)N+JZZ-sthbLNg6Wj~R>!EtCLH2tS{;L~j85CnmRD@-E9JZrh9q3mSYaCoa_E7){Li_So#zpecB3`#7^dLXRK;@UQ+z%lD| z`sso<6eBXPOxe^@@OuK^LffT=+$T@haLRd{c8z8n#%OsZ(4UW9%r2Wz#7F~~>gnAH zV15xC4MpK#52H&5FW&lX;{&y6)CXp+qjDu_pb>ad8wB+FYm^sOrC(tGPP;s zLBxR=;{l$_c_F!a%Q7zJdAjXR4~phxIkor7=^9dkHNVABGCsACa4G7wy5$1${6*}~) zB-%)gMK@H5R(s)=7xj?p^8yzha!7}AA!`MPi=8D)evGfuOP=_rQesLs)K^Br?Goe@ z#|gV{;Uhujj@jr2o;cYK$Rd9uG>{)op8(p~%CAhM&~En~xr*3^a5zfO2U_TEXG32@ zcckY2p)%G1y7ktKNKWiBGVeD`Fhsxv2f4HH>_{sI86_@h+XB_gnuLm*!en*7{W$U@ z;n+(Rlbty4KYlvtyvQM1M&mqchu$;6pv_2-hON&u?p$DVVzWN^HQVn}C+$G+8~T#M z+|n^`py{_*$9K;#b_YEVsqQ3EB9V)|j^Kt3!6||X_h*A=>PE~e_xS^3G2p%bb? z%gi;hx+a*mQrH9b3VpknvrO*m43Cg;Jwk42+)Wb@D?O^K<; zpeOr~LjO_?izv8>vjuO`hxYJsLe+`^QqqgU8vPjZ#Dc(afXsV?#yVQG$HB;GwA&87 zJ)fo<@h;9@=vbHQSX*!DQY+-$X>T5H60G>iYUfMB+LG(smXO@;!q%0>$O*w;4KDV= z^!}|MrRcHC_h$7ezKM%&5Pq3Il9=Ay^HMBiDZK~LJ18@wwNB!hV!A$UfRG@Q8A?V1H5T{iQ4(bK7ehXD1MA42XCnjv|Tb@ zkf&O%4?i3}qMnqS{9sK8;GVqtS;;n=MB8g#$-baXDmn{{9m;YT>ut!%`P^}aw_JO? zElgX=49Zn#_9kT7kxx!)gkzr}xB&rg{U!*r1o6%5^>a!$Q1PB(+=I}c0D`AbfMA;J zS|#$5%|cquDo;yBbc5C{2;MMr-ua7x@KUkUw#MF55`fsSgV|m4|fMw^pbaCWsXPmqS#8E(kYH? zP)_jd?gs4;7xIfCrSWRl*y7(yQiE)=7)pQ6PzwI5w8c8Dr#Hn?mn_X#Jls+~DKJ zVr1lIPukPkYJ^Z`{`}l>H|8UQ#f9HR$+|3Te6NQTEh#U`Kb1dj0pS0Wp4Lke09R1p zvWB9)6J$RfHewL${@E}m-aMVjMrCB>5A%5jEatNSfYN3_bSIbnH}4CdmD?RSOU5%K z+=EJf<)eUeGAy8g+)}0t;P8EG8Bh_%VQY54;MnaeCAMhlFBd&Ic)W*K)F;0hPNEpn z_bDQ-jd4AG+Uxq4jgw3Eq0mB4QWQ(f-SP2)5S|@lr_Tx3>9MxW-aAo(#+c7ehILJt ztoRe!)`(Zf^czF6oErI2g~Pa(ZkHzV`>Q8=p0eaRic?%J-t40qliOz{+Ky`W5-)=$Mx2N#tf( zJ?1s+w`QGgisnSz?u9)^>#ZAs;GM1#SW~z9igSEizjbT#$hq|JQeUXK42@ate(6gw z!i&yAbgLT=BNF$oi8H1-UoVxLa>wVFn99@357p%Z6lF)M88M4(ZiZee`+1kV0I zBeb+}`-AT1@bhv=>+hPWuRGPP(7>G;v*#@QjLt61n2*5BWN7IdCM1>;RPgibof>fM zwI&+1a)4Yk=!jea2UnD{8QWvFJQ1bN-+RN0k{S0K;1ZzoP+=d>b==N~yd+6Uv&XQZ zO>aaJDInfeZ5) z=wh*MQ=(M~4k7cBMnHm^@DXh98m2Sr5Ig~9lU@4NLY9 z%EP$P;YKHW4jFaiKt$B(JboJ}Dy+{nQIb&4hFs$RlkV>J!TuRWEkd=22OX?q)G%HH zb300kuU!>ls2qK|JV57T_L^v*4emJu^}AyP>-1zvuSa}YxmM`e4aSO;rRq@Cj zc2|Lh--FhPk%tKJ^oQU$0}7LQ$SGFkjcQ!&?47<>r>&dAVNElKb%%b=Dyak$64LWh zC=Qadr>|AB+yte_5{Uh?)!}YH{u3tm+EHOQ6m+T2v71hd`WTGt)Sg&Fz!JY_PH?vj zJ=*(i#b?a-t*HvFhScHtw=*-`(I-&nlW@|5Rs2uW&fh*dZQw^3c}afN`y9Dooo`QK zR3iNSS%*rq{M#)x?CNo2){Vw{P_Qw6Bm9R)RA{N7wT)?4t*etFeKK8RH(3C*4SR?R zhl;Q>qWK;8a^WA6mK+>L2>PIPAgR9b)SGl3m2`{0T+9g?T8vn9BTo5jPRGZg-PF1f zg^Dt!xCd3(X5WLdzZUBY+?Gu7Sf_|4@E?fiZMeJFYr#BCb_*vV9ldbL+lR(9z=l{k z3@9xIcqNJmh!u%{v6q(E_aJgZR`LVL=X($Yz{>o**idhHu7S_`??E^3feQ}vE^l^tgM^UgVd5)ojBPC)i@K%oMq(9vQ(U1a1ba~<$cAhK&qVSuc)mFa2*6vitKD4(+s?^!1x z%@E8gh~eE}uF~DfiOqLY=Cyv5wlg|)wNW1^7$3Uw+Tvh3QwgB0{1^8pMl)KZ{?4&w zJEXxN#^Cfo!f><2X%-3LAjdE1Ien_5Zp&BQC41ix70eG?e>KL{bpr$T|R8Unw-zHHcA6-`diBqmooC`y} zb?_C7tVy-6_5Z>j8rfXaJnh`I9G!@lu2`sqX!U-4W#bWU<9{LHB z=Uag*3@^Hy95Hy+9Q=LV$}h}~_~E%Wf=mOC-7#PMXKtHYG( zN{ttncahag^3s{+9EhovhV9u`p9v_vHf6x=27F$PCh}LW)u{m>yxsF5Lbk#i7GKAn zu8=;>-I`N$U_tb>eioa@kJX8x>~UCnSQ7+4J-}C;H|YFwrrA6R%Wbg8dHkX&QCP|D z^Kg>^8hAIDD2biLxAd|#!7 z{$cu>vX|9;ylY9+eZsQ*+5J1L2Nfyoc0F4HzIhG53J{mf*b{;*jPL6RpEg=Fd`vPZ z)qWk`Ex!7KufVi95UEPJbGmLOY-G>*m`-wBxX{pu_GxkAi7m{JJ zP)90CbQ}~R*k(3z%FA%}LeJ~@#;~Vq1@x|`GEretWe60w?5J#u;b33b1Af=EQHtW2 zDKGP(L^gKTYU@WP7g>xO=KZoMdOfzp)f5c-YV=MO`*}**Bd1}I^K2`LppBftHy|#x zC$2n&)~1$jH+lAz*v$Wk?huXxctI{SAVc5e_VNh7~Xa?2uIutS{t!W02rR*b@k z=Fhfhl4RO&Y|O~|eHEnO7NjE&4w-lT1DV&JUj*bBoObu1-YO#!LSC1CC4AnJR}Fu@ zKR6p=iUfpKkK4K{)A&@ILGDkp5hlGJu8lbsv#t2z&C1$mE!{*YmKO}d18S#U?Jw#y zh=$}mzcdg!ZO}Kamj_(;hN4v0?mgTH(?a)B~e%0gF?RRRIK1cr?(0?r1oWp$23w&#B*l>#8+U@ zc))4`XX`p`{SCcGa#Xeaj_KVz?AChBg{KN)Zsgr+K8MObgWfLx6st;`8wNSFlKC*T zubB|-Ei~u~726aXJeo(d^C(6D{dc+w9=0ln^NP-$%)AjKOy`c62oJp3 z5s7YbmGm^zJu47aD~g4le7q)|`3L~rh16Eu#jgJN ze8OIA#1I+b|CX*a0JlSh`-lN6;S}WBwkmsF5*0g&1+a0C2k${|Sm}ZKDkiEIa!DO^ z4^o+NMw|X;L%I&G9Xz|kbxi(2b4w{1@3S`%f`i+dYA-n^pXUa;aKY zF{;ys8yk;T-?AdY>Z_gIgT<3mgx$bN|5PkMhZE4TLI%UC|1bpkHy z7NAaj((!-0foqfg&7FKJpv9h3Vz_0jDYqenZ0x2&~)zR+I$DgXA)w?3`#+PE?Nt-d+4;?#qqE)EXQ* z5M^(A*w)ySo9MIt{%=mCfOKDCoo>Tw`ujqL(}xPox6reKA4Ow!H4AvmpWBJR@>tJJsKWU$U9 z+~tGQ0&j}$waHig%9X8PidXTVH$ltcTXj*i*ascvhcyUg6H=pFX{OZ(;l7GH8AQsW)tG zzs6aj%)yblKod@%joqC72rS&y@&qoIAn2_$Vn@EekmIoo?XR6@jTmHMc!rsw{k+ak z^?h3WUu5*?U%nd31COB2d2y9Y-91+|WJ`x&sMxq0YgckQ2|D>}MzlIn(>muKqA{2F za-^p+0{hbLvblUgvZ~y4iVFhn-yWrRl^l?Bh->eA^*;@B|G-&hY=V%#lP|{yo=st3 z@ffewIw0S^2a!RxJm9Uj{w@r+G-gI3lAFC#2$8ruSr_28n5oN>Utq7JsV~Wp50OYa zP%9G@sc*Uv{6$3)VG=Lo6rD=D7n#UrS)2yDi1p<-r}}bOQ^Ryp{5x&hr(Fk8OMOC>fxYMCR{$W#-e&LRqTdB7lFS&%k|aHCLcO7QT#-n zEuHhLk!cJWbhHlC4drJ)H-Y~E$cFPL;J73fEP=wieY(NvPPVPw*2{H>Xd!;@9fG+iY%*c(ezwUXxEq)Jr{$Z!7wQe%g@;6KX^T_)dzz9)WEi1Flw6q7vt z^c2!_JuorH`q6>TOK7mmFPj|8uba~~6!oEF(elSra2!nEckjezqJo+T^N^5kkCw(f zBP;dVf??L~kou>SBcF12h)qKtj^Ufgf5sD*12hFkw=qXVNu4!^j276Qt|~Ezw_;Y%8_fJ?P08ws5`|?^IAk6YoZZ^)DIO#(oV+k*ogL@v5BsV`fgw1&5Xdgt8 zxBc3dC$9Y1b6Pjb{EFX5_;3HoI3QVRDF+JgRX}&ylX?#Vo4FZp@OT_ zCf3v~(Gwun-=WW_MVUXl=x+A(h<#g5*dM#x5Vt9})MtpiaIHbu1*7l_R*g8_w14ld z+629y%X~94_pnG$DWc84ZD6O_?QaJONWDyS06_7iEB$Ko9iTkY2c514w&HW!Hc{l$ z6yF@m2K6w&1#-&CoirN%LSVPYg0oZr4fEUS+;JD!6GfKF zDdul%r>L&EgFX~rMUOn)1C_tOI7kOi@jYnlYD4t~kq7iKN#}@b|9;OCtCd<%3dthXuYL zyVETHtfKdv(vhIiMs%l#*p$5`Wlc#CR{P#pA71gfzpq`6K)QW>&LIgHq`#dPe>Zea zZYBg5-h9^ONGWj}$a=BzuZ8s^;}MQU@O-`9a}%r=20Lvc{UY?Kl73Be*6aS>jYQCaXkfr8XETJ6O6~vZb1PTivkL#!)Us9E$)-vv%@+q9E$K z0<#)KdQ=Uaoy-^P8wn1|uU!R1O9=p6_`XMKF@-^Y4}b~(!8PHa?AGc~%Gd$xe*4Zy z=U2wFns0Q4Lmq?L-eAd%$++xN?pc|H_z+YBmwjAqU7xfdkJ;`VR-fUW>xLE+t@TTx zZ=jNH{~wMWp$YCj13U_;BR3B4$bfrZPsV)r>->(p1*z*&iaAx!yI0m6?X9Q0$dkKH zVBOwt82Q`rFC`+dz>qeQO;&H2Ds#avuNid!KY2LvB$5#nLM(+(0wF2|+5EF5jJhGT zi->d?{Ap6zn(!sfP4dlZWsZ{(jkC$p;PX%IwCBO$HYYRnQAk}0HXu-#$N~xaRx2vZ z;C<&*LHPq`_qnFk2YyNU!3Eh*%gqm8(9$)L9dl2c=voh0mV|E}O1;JdQ31Ozidf(k)n?Hsb5}E9{ zCRB>+=pu30bI5FYYm)OzSSct5xkmLPtX%ess#7;EQ~>v(hSmRFU!I=+N$|NO(I1Az zg^}bu_nW5j+GQ4rFH5$Ib>W-LBKt8?)HS=*vt5Lr7P}foe&y~_dr!Umx{sE&sR^c< z&j>X<(xnAqW_TY@Hv+@M@K;qJ3I?hItY`>AYg;EG7n$AF!d>@!N@DiIb&e|CNUGOf znRd_oJC=|@DN#&wD*CL33TIROe9kEB;4{2>SKQg0qvM3x)j=6x>G-#!TMg-GM@Zh{ zUGv-#vHlg+*KA5il@Mv^4@yTe#zym(#bcICRP1wOmF@FM#6VK6TOPCTjjJ6GxUWn? z{@mK~4y_MtvP|kAH4bLo7VAsWAEDlql{&232y{F&G&p>C8h=u$zf4y963Yzp;)7_p z?1T%xk%>~(RP`UPLykU;4P2r4Rhj}b5k}%@dCV_Ov87-gLlfKs9rVlBX>^TDbGus= zDG5B!>9XVOSLD$7PMC?9%Z2!P)Pi1dC#S7GM0mf|W<1A?T>8_BIaH3b7^X1B>ny97vK1N^eS%fz74h-ZNDN zdmZyXx;<&1vky}IRIc)6Zf6AHKkgTy6x?FSOiPvpuQYEq6LmE(_?Oo(5DmX-LwMKz z{JPV~tC+X?++m0JZP5Je$8~>#jbbErm+`au+YE6?zTw_ve@;nlr6R|HQh)xN3Wbl( zQOKq{%A(DWcF8Pi*tO~=Tu9|k6TFL5NbeQHqumMS>BR1r-yQIW^-3A~AL4Z2QZPIC zI2`bId+-E0M+F+=Of)HPv&tf#%Pfs(-VG*zt|>H?KGif#CcC2HHx6>R-{r3GcxX|74Z^yvXeC?@5Xn8uOkrsRkp6T$4=ol8$|~} z-E5mtozGF~E;e{ZwRz)~+HZ|%Y!Y&?EOD?DiGDiTgJ{wESumELm6XceX!;vXaDA{UFV9gsh)X#i$a!IgLytOPnJ$oh}Bnf(J%C7vfOOIWkroFEILGJ! z=NPT(Ur8aPg9|W+VgD61ut@=P7#Co0n7+*nzO!qG!~m#b5tSqg2&VnH!0+E5Ub6%y z?X=0T{QU|MX!8XYp6JvY%Ud7r@LYtIS$jdmFm*(ezs9**TgySqnnPuo4oMJ(mZ&n- zF}WS5-Ml!tGY3?O-wyw~eBS@8u<{>Nz5fmURxowkUg#|hySNLe8AwI2{2o}>5KxaD zQU!r+*UFt21nK-;Zy=)iAa?=h2Z%I9zC4u%)KfhFc? zifP`u;^Bthr^{lb*~HoVK=f@Oj^QRz`1>vhA}=U)^%d9WD<($(3Dpxh4K1Pdihn%S zUtrSXVm=yBs$d%|&OoZ0IdER5)kU#To2_fshR7eEpcmbPa#PMmuWJ|y`@V(5yfqMS zn)tz4q%a&xDKyD z4ralp!loS^Jn03{Vfaq5)#_s##^iQ3`3c(^0|;5W6IXpe$3O&`Rl5+epI)*h>kn>R zmT$WHY$4|WAWqMOh)msxDdcRZt>G@rS4eOi;hxZ)B+h;kQ?JAa6KTV!;coTxE=1`d zpL-VX=PS3Qap^054R5jZP1a&k{_uH|YSX_PoWI}Go!CvNQiDHCqz2c{w%+L5Fi5s} z&b{`-@b%CD%h@*^Uz6G^qtr{BNr z*8?5eMmX61)hJy?)7=2Xpubu&L|tpgG_4L}g^xe+-p7+^%GmN=%Z1RN1NSiE?!~OQ zG~Bd{qX5jNJt1(IQ=2eyY?<}rcgO~0r6=E+4Rm`H`9gt>T(X;xxxHZ&Mr3D)c&JQy z9uNQBPu$cj6tasC#dt{P=$g1Gkx#1$p_O>P?{U?^@MsR{!S((|<}>fAykL0rZ2+}y zC+^!&3O0K+vbeL|3>HO%j1Js3;znk1$x9}(M}@VCv$BhaGh)HL2iDht`IRp7PjA7f z09x^yrwxWI@>lkLD;`f)BmBJ9ji+RB(Td2;&Q@-fshxA(D5b8Anb`qmoV*rAN<^}s zs4;4NN%wl-z<^^>1}Hk8YJRn!Gb}VJd59&0cdEj#2`_8iI3ZAkf9hrg*F0Kio{mgq zO)a0B5n83K*VHkscMT^Y5-danZmPk*l)4w}G5T*TI%I!WU7J!Jv=h#MX2`pHtM8$P zEw}**zI!%($Apq-fzJl9HB9crY2J|kwi+bxD3BUIwi!T&$&wU)XbX9fFDiH_!Gp-l z%sVL0M(T9BNwCah1#q){<2J1c#aW6D*p3UY?d|YY9dL@|Z}*b&>jc>Q(7Q(~OC@7S zr`M5}1J*wRwuuvK6*l_RbQ3=AxtBmfe8}PP`Q6@jhRDpE)fKR(dNtJZm7HX?fRN*x zMwXB+Y{z37%Ey$4{xiOU5+cYfNfIwKL{h(*Q{I6qss19+Qyj*FksM6vm{a^5uzamhqk2T6vWf^LVK3)Yse2l+7AXEiyEO$=@tQv)1tBj>Hw5%2}^h zMT0hiu(aHp-r6q~BR3YbA1bi3A1*tYsLqC&K>DsWO>S@ji9ycenpHqk#<1lTMFZ(8 z1p*KyH2w6ICBr3Q^IsryO|x#pNFv0kSoeV1=%Tb_2Cjl;5T>1K^w}a=k~3Hui)rbi z;BF8o0r7#FkR7NAW35+I^3G9>3_p|v4&LYAbR}t9o`NuSRYQ=ieSC=n7#>a=eXQZThW9-!o8x8Abe{^PE-dRsp z^;RqSc1h622lwmoJqRNfS(Cp6z-k?hG=qO?XoAH}@|c%~uW}@tE?Cx_X~Kj+OGERf z+3*v9tnD7X`3~9V&?y=>5%5`vkB^Fn{5jLf1*@w0%iU&qx#u4kJ5#(wd3ZHgyr?$_ z@SFdh^WuTrWbcr?INl}RGpf_o?@h|^a=BR)1c#rz#0;n{^_#UrL8s-uCT9SIapW}BdYQ^VEVxyO=E-BS%9$#qp9b!zV?#_YKXQ9F0;3C$ zt z|2Ef`cnY@GMA!wGk5@-{-jKo!vKo?)tetkH`t}eupM-#r_^ofTSAQCw76T;hKsD}c zLj#aumo7hqd|Zdq%oDx|+w}K1qx&rU;4ZZ7V(<=RQSttqSUB*zjSVg zRnbF~WPE7AjHx>*M*)<$&gIyEqxudcyd#NQ@ZZw2;6D-LFh(VK&*6~Uz+?a^v^`8M zPA5G%sbL_O3~K3KZ)_G?7+3&0+f?S(9Gk@)lQOy&P~cN!O7ia1GaNBoC$`W))&W>* znfz$YOh1*!kwWE4dGK6PXroBfmWS?cJoM7>yM}eF#tFx=WfkLufE}@=zDh#6UCBNd zVGb@j|Gwj6_E0j?Fkk*}`}(dgtP7nZAcdB31_URObP@_Fh#tzJHm9g{$(cexWpxjl zYK8*OUMt4POA_UNX)9 zpnw^-bb7E|BCPEs`>J~Hw=Cy7Di%2^f*gBk%tx|LY5iPe^jNA&Uu|Q1e!m$&)De{A zGy0GDOFhgA-MvtyM(QZECiH3{xI;`mABzuqCL^?Wa=zu`d;r?^c@CDpBW*>u@}aqLo0C}FhUB;# z+YZO(q`Nupit$dll$zk{9wfO2SX-A{-Gdfi{_t!9#BW@!$qB3w*CuYVfXy=gl^QFY zV_0re(u7#B@xcT61af<0!LKmRW+^cP%!>u@XXqXrk9i)09Sw?abZc&zj-AhAmHQGd z`7ZmZI;;I%)t;}#g}VU+Hd6NvP(@}Ac0!N>{y-j=@vx|lP`=G0FB@U1fN(hp@fsFZ z1SCK?-rwZociNuBUA6z7|G=<=O^5Yz*5yCA1@EV8hS}sSu*!>c=ctzCaT@9rntEQ5 zyAj77J;RU-9hq?%W_wc=d7?kfDR8JrD;H<9#PV!Nnn{Ki6pJQuDd_kgw4?$h{8!Z= z^|$Zajmf7^wp*sX1hY(x2c!4^QuX;~FA$dAk2qh@HJZ@_GBg0{@2CUxq_{0K07h)c zfKp+Amx&YIgI?PKno+n8Fmj|uKsGY055{hvaZ_8?L#lSd4ciV`G`jOT%4rJw%$OW; z7$3i;|1qoSpk9(ri|TP5o6SKAvDK+xf>Pm?_`c3(9=gW4#P+Aa@9wWjPs zl@O}P&vw_Tt*1asg2e)i1_V}d4mfe+aplv9BK-p)bGhH&b}AYlk~b|5l_3||=RSZL z!~G3sg9R)Eij|ccIhysp7`^V7@YdLoWxwKOMlWU3z^kNWZq|Xzca|fyjoD`f>|m-e zNr{1xvymIgIH=Q7-g0BI)>~}kDEzL4YGYTwKoQI zQt~YCNVF+W`xuMAVl$e@f=g8&9vZXOB3;&0csi(!QlL&?kA)58TeU&D_&VKBY9)O+ zRh3@@`qmXop1YJk)<=GbtHU&b8I(M{ zb;C8n<#BKt%UH=(eLOTMk+8hWX z$@$pzT9ev7(Ewn$HAk3%7P^I^SvOMOLbh7ktv_!P#%j&!rjNH6&+6_X zmG$dW;z(c$-DP%FEwwO|-5@kw8*y2`hI{@_*A>T%R@AZ!zJ2t6BCHAcE; zdATBiG!6eLosfyxjqs6)&7{f-ua)?4o!sIy|D?D}x87K_rd{YA+KiVleDyti#NT4p z!O&3kOU&C7u10qE;P9cM98)Jae%h~HU9jIxI|J1n)2bm-vU{N>q4YNO(mI0et>vbK zRxJak0-XV^d9+iw8fGhgne(t|PYc_$1bIzFeqihGO(-$Gb}06g3_q@TO7;UBHDUZl zae9vCF>$8{yPfV$sztJwiku&Q9tdr+w2O<9^t`eka5&&K?D1Pj4{v$8m-f6CzEiy3 z%>S;c-f#UvK?6T*lY6Z4XFDf3o?U;hNcSg{+ypo223Ko9iH{9O&+Mb+)i*C?E;UN+ zd+BmzLtgO_mEmCV1eJ?>7Tk?_aKV-&==?5sJ7y-0-VE{uA0@57Ey`xQ+IYS0sAPj7 zzSFQu;ib_M)iryq6C}v+z;Zu#q{2ut_(I0^tafauBI^{igmt-T|1pw%r5P(b+h^?M zyQU=WY)H=PURaj<3@`43>b%3DRUYQgAKkgGsdtW>_|4ae9)r_toYt$r(09`p7zOjI z`nuAd0;=5NeV_GS^kuexkkcVtOah%V;3LZpdsi^(n&I8k0fA+4H7T*Y6w&SMA`dkS z)fqX?nELw6SWH8=dTT;g>T95K8cg!4wxaY%5(|8Q;`_RK8^a=Ld%zB|)a!k7HWe z3z3Y6u^WWOvsz=YbKUcTCP@-yx^u@MQugoY#2}L&pjA(|ub-Mu+VzFLZQg@OkSDqb z`Y7|lQOlD4tTvtuy*Dowd;D=|m>f@-KYvI$gY8pCR$X$r0bPQsTsWd&3}Uz3D}y+hsR7+u65$3Ms2eEH`deLSV2V%ElwfA@xaxw zlig?wjGvP>)weQhY+bJ&ACHJNLhy~O9}7K&z3E|KWwH2$|Jg5$QEgdHq+AOLkR2>l z?X8y&0F&N0uHnbAvU3yPG?7H@T4fa-?doY4gT6{tqz@0Rg!x1P6Q*_X%963{%Sb7mnE6cG zY>#8gPx_)bM$L&qHP+s4vQ<;C$8SP6Ui3VdB6+<`Eec|@&PFe(U;hy~y=O+XLm}*+ zK-XA0IbOCryVPH(qYnz~pOnN5E& ztyA{pUvvU*>ypI4ENSkKMLua9AK8;j^|M@m@@0^9_d_Wnu*xcok;3bD`6YXfv|)cv zm@4^zKo}}h-%iCW=G0s2>hlO8m>w!H&uzn;wg6_e_AC~QpBJnyo~CsgW%L7h4tagh zQdZ~&6EeusWgS9eEu_s~0dMYxl~lfM7yrYR{curXIe=x2gnyN;00WxUG^>ah4R?Wn z=7HWyNXV}7%G*Ff$r~&3RSN{RLDY)^43>a7x#J6^-VL@0K-Kbm+}YcFySJ&v#sDyh?ME z@fxe5A38)^k6HXP@Bl_%llfoPB>)nt;0n5nYAT6vdaGihDio_K*n0BhmsybQIe1Ht zETS!{DZ4=MP=ED1O0?XFb*4VUk~)5XF~Y3rcci%{W^~5yxbRqqV{6Gf^4@QNQb`5A zdWQ$_o*UK^nLj<*m69XwAaJ74VG-#~#6(X|D~GG=c5_MWo!MgL*6N%`&@JK1+1u+X zpwkLDM7gr=_nFUR(aHf00t(~6JL>UpcgQ!q8kG)rGLHW{-L?SaZ)zKhsy8)TD7|ip z2Pv~0T|Dt3<=y-V@TWkkjr*{ezRI!Snkzh3-j4L_GOS<=&y4wZSKmQqRU&H}y`k+& zzPi4Fw7E55X$r5j4LYZTEXf0faXIt?S`Y|?rZJnUUtO#+B0s-f@4^Wsq!pw~G(IVY z%qFzUl4;9>|5f3m!@N!e+E1gJGM~~o)1G!wRO{ezvF>R|5P{mBjwc_NIZVcVi?uHM z|N1hCl;e1bRl>_dYnts;r!VRyYx2@|JaLaT7dU@6G%8dEP}==?_DONES8!qcUs_Z< znLH9_#*V1Q$T7A`YDxZj(x5%bjmg7DX2vVZE+Z#HTS&X6T^>|jAV7!lc7k(7r*;zd zsX8Q=v601s>@g!+3h??T_ig#ttuU}D91y~tkBpWUe_e>O)Fvsr6TE!2RlpK4Ao8%1 zT*Qo-F{YdU?r~HAAk_rgv4!3Pv{(4-&(6pBOjE7D%Q;IcORdt(7i_4#SNA7PX+FPo zyBLvw>j-;%^8RjlN?=*rlOyWM!pepyS7{Q%MFd~8jKZJZAEJE+)-(Buat>iOhx-e= zyK^JFW1c>CnTc+|5c7QCP;OF|J!U& z3N6bf*ZB228d6nqCBePM9;H2?7aPCoZ1u8?=G6J3LGIvG<&2lCT~36QUP+NUYWj;-y!`={G^V{Pd`|G`Zkf8V zxzT$1ze*Lt&A9%bW_3H2n|u-ZQGHuuuC9ML=nS z^sbe`A-bw-8n?|S7e3dzps{Qbr~FZUBH8}EOgTXJQK;}(cBhWJ4_#%jy?z6LqL7j z)A-#bhqPzQ@svvynKY<$2PajxvPOEP>PBBOS!BK;EO~2e77k36xVzK$ZLavgI2=MK zF5WYy4zK4Vd;?){{WZO{Ww-&{rq@O&vLX0ZreTQ3zGpy*>HS1WrEfpwN{IN`sU1kx zLCOd@<{9eEiv|`cv`=wc^#-&5T+bpCp&ydLZee+;r$bP&IZ^N?tnfPFOfZVP2%?{I;lt^D3Nvtey+cJn}d$8izP=X!uyXFM zDeEa1BxB`{n3F=uvCPPOT|`LW9|vS^`RVom$@1x_ zqz-@RVs?u)OxnIXTLdM!cg3aKRMX@qBWYfrP)3t8^yOaoR^SkGX>m}G9AWWWWBw?N zfvZdctOP^v;^2%?d%_z!GyK{j_8vvrHrGdGiXWYspA=k1tkFhqQF`G}7i(AmoxMo< zDMRwtIbFj@L*9Z_%hxR%;OS&`hrpnxU~%T(c)&X030m<7ItLi}v4EX>{O@B|u|3y> z3x_g2)Kyo%vlTAi1T>3t9-fbQSNs!0-&7CYYGex7jIeOEDfjCY!9h~N*>y$7TeXLY z%1$~Fg55g>${lR(6^}KO4Y(9NK3Slqe^Nb+s6h74HDsusNoz);oB@0*Hrxc5-r_jO zUNXe}<6$$Pu-RX-iep=>{I)JwrsTm5|IAj1Sl6HwX)o$e?WA+hP!L%8#Uj_cO);3e z8Iqv)aqFk&ee?dA7>9={cTNmhvJJZFcqW~)Sv1nP7s_lmfw7moD+}PV`8zbS0vC5n zn1#A=S6G`iUq8xlY#7NHvK2Xe7j<01ff**mYX#X&=(wAx3@QCgzt(OE8Xcu*RxXZz zz;(eHxd|-EEjm^o&XT3(gJUOsZmww_=|v4YfnV(5oyxkUgATbW19VZbp#^pg%}(F_ zHdhyR$FE!DAOmWxom}r-PhkK zrox$;&~eLpF{d|Ke#R?fCNX$S)fl8!=-InRuTF$-N%4!m?0;0Q^xw^u{|C+~!gP#O zKppr+-<#ewgce`L*4c5}4EM5^*HOa=5U!MT{hUe0+4IyceXaKht%x|g(Nmq`K#n&i zJ2>5W>I|W15{j?%f7?R8l(5>;PV&kv?Tnn<7?dI1

    10Der0(?F$HPHWdMHmBSBvN-(<`-ueR=A0(+!TMM>E8A)$L~#YM?>EXpMR@a6Q!r; z1bN&ztcy9LmCxGIx-aFhQ`6W5UMoz!10q2{ay+A9yePYeU@Vi*fC zPmw58T=1F>WV+m$x1cG;xhUsao<%zXp1|>eM5P@c^c;__@(4>^6b>wdPp}lE7wr~f z8RzX%H^m4>%$V#j&}5N;bylD6qfRF5GSR$#Qwt0y=CtNchZw&$*Z;J)sfcg>6!szd zgR$tyD1ltRbcgspEADPKACT9RvPXEzq@UPMw0_lsQVb4#D4?}`Hxje6H7Y(ZMmK^w z5M31Qa*mXtndEHGUV2^M&{&^%_42W*S5pdgJZ6~MB0gq;9ZcV&_Wt|c)mU$PWM5Ys zM-vj8e|yqnG-cP7vCN2h5G6}G{%(_E><8hDuMkYtkx``$($d^Cb0|z`%U@ab#kz^- z`$st(ofm=M?MTYuNv)I36q0wz-u;d0dJkj$;$4~iU7?$p{s0EG$seQW;g0DC_0zA! zN{iQ4`Epgaqs>auGF@-7S54Hl8=I#O?;-A~Jx%7YWwP?T_meCaC)%wC^l4QB*_smw zRbG#~Yoo^yem+{b!L7#swlVwMz<+_NQ|@{u!`W001oFPK3BA3JAXY+>_X5GD>SJez z?b%Zsj*|k%lnBb2zMyWVyE5jYK6A}z;AbU^1e_b4;@GTnjRQZsB_Qvkv+cF2ezbA} zYmjWa5bJwstPv?iE*lC3ipt*9&Tc0u%$y<=E>DXaUMsqDyn^PLL7x)`wi5WD>IRs8wNP z6&4#uLFtag;b(U_>nGupA2K`84NXRtBqCWY=P882!Si$W>j(;8QJ6IAD`hCEcv0T| zZ#;tPO=0~eoWq)4+B+T8h4KuqI7JZm8IFZlMEgIOIh{LsL`i9t!o_?a7CY1H(gC^{ zakaL_2sR)Qz%4}b?3xxmmpiX-=VG7UGjd+e|E#5o!(g6KTcaBBg5zd=TWx3ivhDCG zcVIxsTzN4taSIvJVj<#fxh68|iO;yWl(p#vxQS?w0MF=+z^77RzP`Y=JEW?zz=S^} z_|>(-f%WilhKEa^@oAM?6&3oTYFBjwU|cX51qy%Oa1?z*(<#!?u*TtY@o(!q?#18# z^__DK)S&F|>4TYA8yw3_++7cw?-NNv`Tv4HCCMOy=?LQa4x1j!OX9M7=^h>tlX&!DfdugoX_ zUx~=hACSDTkAZK1%VPtZ)%^k1G?cq;t%`PL!Pk7&Fx^#6lWzf?jIg*X+Gl1MoG8FG zl#ji;MiMaIln3OuNz5>6&TmbNYr`#lY3E#6Pmaxec);vhQJoB5Js$d2k*z6{LhruF zeV9Dd^hSs9RdN#u=&^RE+rs6K92ljk%tVl5P-2#!U;5 zokU)kxi8K5o2Fkt1~I%S&%Z&YDJkW1Rv^eU0uWYCe1RYn7<)c5>S3L`Z|aV0=)Cgm zmd0ge-S_mawfDTx6EaxlJxySid6<^K9zzw^=34}d<*55`5rtCCYG2MUN zIL)6Q_j>Aqi+dSYHr(7v@g+knbA%Y2ka~;N+mGA$sB4XS5#S$)$lRaG&0d{E4sG?x zhz2G;^IcCE9X^w`2NqPj=jVW+D%_6G8Sc(Y+?k#lwz#48;Oer7=QUPKA~^y2bMSzO zG_w-Ck&Av_HrW;Qx5OG)g$&(8L4ul-=LY5)nk>X&z4s4wS+ zBWTYXQ5duOy>zwd+D$V|GmiN6NTOiJPezrSTke0gJfI1bL4_av9GGg$*0sYZS**|d zGBz*G930?7J06Ja`7sE{)ASx{=H|SKAbjJ9)EI1U&>XP?i8zEeN5bC3Tw7{v;Czjj zTc7hZear}sQ%A?ZVvIJR-rPzVoRP713Mmn=rZBloZ_vz%h69({I)8~+@WzNV$ zGir2zmS6gZRQ%@Ev_4Feh`|Ohje5Pg+=v$nzOlipnUm79EdaN4$*`o~-fWqR8B2Iz zVQEf;>0KH1>c<0J?5^ciB_H}T*&2pN%TUU1jL0HrvG>EZnrG%lz?eQRCmnxXy7}Xu z>zi==c<%4$v_}6Q8gcS4z9udT1AaSpQ| z&GGmO=bG6|)D>|vibdD_q!(ijJXG$$o1VK3$W%glQ{H4fom6&D z>yB%PbDw}Be4sCc*Zf)`rM5?;+H+QHQk+J+?m>N+mjpKf$s^CM5`dFd{M^cWe&J@Y|XiATULN|s#ARvZvH{in`kp1FK{Q`nqG=2cv+8jz1ki4OSf$3Ey>Q@14jri%56ors=c^>ax{ zCHq7d=R(}oUdka?X8OK7+s~;U`@UlQur7+ra#?EX>r(Ya7(Iy?(+qF}ZQ}zj_M?t; z>j;^ybMpGj_TO0Nj9SYo*44$P(cx2O`)sr~pCYhmj7%GwmzgN`GLH6Yw`8$ybJ_X> z%A7GfbN_L2*04;-+}u#CO3p zhd*Y?!w1eX1IR!qpFg0z0^lT_`2qKGkna>S3bBR^1PUYUZ;G!~N1Lzp78|@)ofoav z+C3#3ti^Z4M{I#5X8#%RXqp!p`U6r}(OIFbtO6?5DgfO^wYa>cp?wNt;NwLQ=M&)Q zV&!w~xPvJ#KjPi~$gw9TQt!IUGE=NRym=~=dAc9Dq9!zu&GJ#t!#_rL zpoZCTk%+g_ab@aF&>=yM%^Z&tNn}Fts#(g5RU^{DJ4zijK}yT0q2VT#i;l%zrmaPeZaZk1lYzCAa*SC$d&G&eR#)Z4J@M`HyJ0j%TW<+i(tvy`1bQa4_ z?m^@@x9FI%xpP|4M(fu{0MyF#NX4s;TO2##Y&Vyb!;9>?e{t9c2sTWomF&Bt!95Jv zELhB)6Wv*-y!zVu=>8*i3$fq%(}1gIGZ9pEcie#w^LX7kq>Uw59g@@|N@=WSEmCmI z+Zt7)%oDvALin*JSx5RL=&71FZ8(<1S9+X3@k9+dQqrVA66eB^%1Fl)l&A2H%qMae zV**nn?`1GM_l$6xcShhjYbUYgThQxj(yQOzq>y8IyWTsqaZ^}@I2$qVdkZKp^53?mdkY0p4(BBg4vX?vy9lK&v_B?Y%Q zPat!_EzlvW%Awo9d*oanKP9u_dKYU%1<&TxW?D6)baS)vouuBQG&5)4BtwaSUq>L@ z!Mvd^5f`PT!p_(9nE6dTvO-JVrjK&#cLjO{dfc0_p@rZmbsth!jr#Ul^V@*_wVyB^ zeJZmND6SFX-C7aX1x)TgP}&(n3Yy(x@?3elC%?oFe_ADwB7q4IcbDx~N zDJnHcN))c-2uH~zIhPQ7tPsoSB*iO{6fiN7M6bisL6>726~nY2vE!c>2NQc*u}C*Vp?2EY$--2H;|J5@mYh_MdTEcGuG);wD;_LOc$cSy+r8fj&zWls z)zYXG>2L^)I1=jKC1pnM2y6ZFzlEF&a@-E@yn0PTEFv|hIeHYNL~@{dSMcL)_%ob7 zUrE3EytY3mP7*$g(0ND0T4Is_pKgR@axj zR^4^pw|Aag`fU}^rGsY0el`Ut935cUzo4uce?kEIqz4ouu#jV=-x~T4nvxmm>z){( z@Eh(^sd8v`F$lpEOD)WEGuLUv&ZOBjb~yj1CV_GYxd@P;Wa$}<9^`Y`z{wcCuu)K2sPJ)e}2%R64|s9>9e zJ(~sJ)~00{>FUhkZM*t(yTx6XrN#26m%0;QP*ie!RF1~J`FNjW3B;=IqBuh^2Jp1? znmJ$cT$`(}noBL{%F#0xIf}08&mvyIVr+zBz>apD00u>^eer*QK6y3aer>|3I<-3B zSZP%g3tQ)RVxGCTwbJyBa#V?~gDLX^`nr$hF>QF<0=2A7)=@42}j6uq#AF*PoF|ad@2ObTdAXpQCt`E^b={^ z7a2mpx8YBV9v-r=;ExjC16#t!#GcSZ0;ckW zYC`)Tpy1fNpO^sHi20HBq?96zzJh)3bOhxkns#?(X`o>^BJGp*mQHe@7<)G9do?eV zXb&-8GG{nKiq&D5(ox6#Rm<1DQ8@0Cm~y%GHi1SKph1T`(sm1@j`F6p=0^6SJjDkb zUSo2+Tafv^sVrRKoh&rS?Cj^W9ViB8lV+F3(&gVt@Iq#2)u(Lr^&PfElmqx&-Uy;pk z^|CTpdD7Qlql(&=VJ`Y~pZVVF$(I`Tg)iODf0*umEl%SJywzED7k4LxBl|1PFZ2$a zM6s8lK9w-e0s4?E!TtPQxO~#vMATQ(t#70y84<6^Gq}{fsLc#fo#5+ES+X-WB+$1T z=TKgpg6|fqtG1~W3I9kE9TVKY65L<8X)E&-Df$Ka(gns#t}%D&`03*Mq*tR zZwGRtFS^suDjR(Hpzhzn4<;Ai@gSTkV9n`Rw#e5pX@Zf%DuGlYw9gRS8!Qzi7%`-C zoU*>#_P4G|!vg!-A-?gtJ#~W@O5fX%jKtPrbYbM==)SIO0o3b7+0Z&a+q1H{)`mHL ze$7V^&B!w1;uMo)A~E8nHZ^BoR)7f*f%IPMH07!N^6bUoBJ5QZhwH23{-w{XojTX+ zSD)n=Ryk*ZQ8Y{vnf*C`*~`oZXcs!5HWI1k8_x`mnN((FC)|>{LLY;}wUJew0ny&0 z!2n!YWvSQGUwhSXpuz3@_Zl}Y`C#`a$+`E}G7F}rsula`U$+sC3%;_&CISQ5lQZAE znhs4CB4od|I)!;;T*Nf zG9v}-ya958{9m*fRL!cy#2=+K6eNAUw#_cqziye`S>yk|n$FyCYBv@#y4#-CA|2jk ziHH&8m&b#_p45@c*Ghvu;cn4C=)89!#H8wuu8K|7GoeLk_B+HwICgR8BmMXRP^rG^ znl=8_QPOu{Ld9ubDS5bwk$$bn)Sh9k(FbcqK|^^;4z=T?K#gxNGAWYcg-i(Y{Ysx# z?$Xp7DlVQb@a-PzTsTAqvkfgs2MUrqlnh| z+c#c#424i!OX5gunuT#r*tR_SKFV3SA@qLjM3wLovCUMdekU{#XP*3Nw2z)n$s)S1 zQ-*WaN$MeNU*oQ=_e!TpvvLV zT8{tbZt@J!4D{a*u-`JExmRjbD@pvB(d8oyufz zo_eG$6|O4fkkr-Oi*35M+omgvWcPQ)_6lGOF5QKXDAkw`nstL6&s&w{3@TY!KU9Cf z3C}tq_^{|nG{vzcN;fmJPmS=^0Q(ND(Q4STUB0jtx%-lWr9i#5{!rt7M+YmHIOnD- z##Dan-~_)=c*1mlrlOS*GaC7}%)ZMU(H>kSOt7?p&|K53Gu52zT~y_=l*XWT#FJh6 zQj}iMH*jNGd{kf+XGavaq}%%wM)ovnT`~!l<3osyLlD|Mtlv}nCy#EP< z+U!w9s`ht4AF1CmTGD8h_TxVmDk;ioQ5={*~g| z@&020>$hk?a^C(>L8bd&h@=7GW^ZOeqnplT=RtXkGG8QneLK5!>N{k z?&sx7WfK&~qqia< z*xK|RN&xz#9jOO@v)=U;*dV92Gi96qSE&#jyp06li;c1jbr|*^I zlHW%sz+}m6L`^B1$4^Pw)tdYa@@*Xs@xGPFX73L1W z=je z-q+ns^W80_o?$l4-Sv@&Jn6C%;&W0UnFc7Qv##wQ-~FHdvqSynfYJ7!9 z{L1kK?6#FH=sF=0hvGgD#YZuY%vwni{y-@qH@+Mr6myc%YJT$1x|CP0_ADLch@Ul= z<*G5iGANSqY;Ey8XEx#0{)@)dXuS>p;7+LQO`77;oLOrQR;9)J0?4j;{Y2aP*!sB; z#K1}Q)R800lOwJLid2qC>`v~HpG3P0Qbwn8GJ?1z)zOlV($?dMZ4Ip>9@fo4#UBo* zNOXJH%%3a_mA%;dtjUBc>RQKYcUH(duOc+8~P}kg<%cxc1q^?X!o@7-65}>Uw+d!~u>+JnT}C`GApk%BExA z^gBQb<-O>Pan;XIY(mk-osp{VE4GJS4GV_|$T5hLh~~X(p}ytm#OxY7^Bry$o_<&b z{|(iH#nA94-EF@o^*$f$+O@G~Um^4s3~7n08Djdl z$Vc*1s3vgLg6G{W4kqhDpHM2CZp;g$6qa-P8L5`??AG{M1&y-d?4hJvCVuh@r6SQw zb?tZeCNnmUa5aAHuOZ{X&fT=x%yT0R3mlDM9F39SUxN|%T_BN(9~HWI#@4em8F%Xk_M{YxLeEYc5m^m3aH2ibGxz-js06?K8V2grW$ zPtGG8*Y^>Ilam+StB>2mZ1DpuXX0=e;2I{fwAcuxJ^7d7h(dQe&X+{oNtB$em z*1b}izLh>$$U35HWuQm){p(#tLTA!oO$YBS>MdFZ{vHjo1!Fs1tWY)Tbv%FCO`2zZ zpY;ycRx(FSO{IcMyn;*meg)JsHwVvlm1o*HZ_2H#pFPcVw=vQRf_ObO{U=^OACe{tddbUdGg=7f=;wQ8G(?ZMPFIw4e|* zyN+k+1uoqvd4~#)HiPA5i=o$>0=?orAn#D>9soI$8U$6YtdGk>hmG#PW%ZzF@*TZ> zNgqhw!MVj*$RB)Gc8Rft^AhW375XrKadN0-sO0_d#Sv6O-yOj`MzeiB}Ki~FP57Uyt=%`dUWx6C`uf84NmN^`-Q2cfj9UgTBL>@RFvIX({guY(ybQOz%4Kz;gF)W%zM`t$QOS#Nc z*WxxO;UzHp4r4{(ywl+0L#os9KjrOX8PWwu2G>(+-S zf*mZNJ}2(de>1A@U;rlwaqpG3p>xE6|B4k=Cyf21Ad2#q6(Lv0=?A#jcJm!B+{&cl z#a#xS?2O``%>{$b6r^HfegDLZk^zoPkT|EX-T#bcj7w_}161(lEi1t0nj9*p(4e(% zm`9~GJe=AMA3SJr>0j`hx8yGe;L@JRhL--jzMdzQ6(xqir#dVwQT_X=F76G~0iQ}$ zpabxLgYkszO7|B1Dys@jcbh{@-IF)@ouzytk7B0FNPwz8&UjZjCdJ_LLxiuSoK|H$vQfEcS;GVH?p*PM005V;!Tm*TADOlzCixhHHIA6 z*Eu#^mZ0_O4&oq>lT1o(2-1CPWiH$yN$-<;i%ovRbi!9|MYoc+cWm!Sk{T(FYieq? z43xKJYma1MxdCiJsf?(70XwtRki30U9ky2qriNXCzyECf{irtb;S+67GYQqas<>}Y*}l%( zFRzR0z_;PZ;vlFE2M8Ph@$jg4()SS2TWSkv+Ge z72|qY?*8@*vVHa4ZOF`^wh46whngT zGkC`M-tsA}3aPVar9^ulZXK~z`%GB7+Hyo2z9JcXo4>3|vL2l#{bOGjs7YJwBZ=68;lg~I|D%z+!=bms*Fa>xAOX(*eWZ~%Uab9El zW&85Im(aFE^6gFp4wc`y^5*0&Zv{HzJYvGVRnknt513cwN)QOE~bPT-61FW4g=h;Ef~9~cf3zBNlqevz{YN) z%eu1pk&kK#;z&2&qD`l|nllwp59}!`D~~TIae_puG$jBXtoT|JZ2Gv337lcp-rm}h zNM3UjHnGe@^J;`r@<9n>)@SFm8aZ=;DlKIUD&0&`~g{HX8^^KT)47dXyegF8*K?2N0bq9 zp|FYVTII=^9V71iC)Ek{xI?W3%Wziy)|cx?G%w3BUegaIbDN@6+L6n8pLasng`j#o zL2qL5-bLKm3{)R`&9Lw5$RAyY(45jjW>vJ+n#W7&Nj#e>td&f>qmq~`#*RJy=|=0tt${oDHJW{l0O#9@;c{OL>b2Xndw+lbQ_GLf zG4`~*013J(7;lL~Jyce`7n%GdgABDkMeEgY;m3C zepNlJrxN>S=)cll|4h_+)g|6?z`W`k07N!W=G`jxo@?;&aXze?>3P~@*%Lcxd4`$m zDerIp$1`=zDui=qX=l<59><5)&`7$GFN-Qe-}0Z=dQe~B1^&8tkbihqiYi?}J6B{0 z`taXgfghCNm^^X)91=}_`T=`V`YFV+hW(H}y5({n!%);(C|s;{(5M<=9TlL}t8#M9 z-|mK)Q{Pulzmm7IUA;ef#_Xv#QCX zJ6n1hDzJ_)?|T)jP#@%Ty~``VTx1Yo8DYV}#dtSSd2Co<-*_z~Ji^V431bZPvFFw3 zel+$vg$U+CB2@G12{-eB2wttrt;S7Uu<#bEzfY(pWX6H-OcEincznC6{MCm&{Ew#O zPbo9{90HZbob0>u&=EguWS))lR{Gz!@#l%to6egmo;+}{h$+9=dKK|NsXOrc`ARl9 zwKZ%swK8szf8*6ow^vO=`p6-|zl-(C_>)opJGIL}FSe5Yt@F*ZTypoyfZjHNaVSNh z{10&U^?``yFz=+sBW2h7y6>JwYREge#B%pbxx#ZOe^2a5RP4n1O6RT_TpmA%8St7n zCAw*LK1`JV`qTOj`A{^?ud*Bl=&Ob{e6-1ic1?2{CyFe!Budro;i<5dcXUX%*x_f#O!Wbv1Fk2zDA~0BT zclnj951AbOa)^?k@}=2N5XkLnwCsJJZPK1Z>n4rvQ;=1*Cs9-)Xh5bQP+A$ca&Dx( zPPe#xA4`c2;yIJ8MZ3OC=^RykkMG2{Eyz763jc%|ycz2V-rE*8mFP-U-jSdcCvI=I|2$c3{ywTWj4mxe8h#`K1q7Gpw zLKM+hggD9Q`Z{X$ugBYu`cwJp$Gt@r#)WR9LK~ubFhWv#KMDqlhe#4)u9=nQ0qB2_ zKuqQt^^~sy@@6%49nj#(!J=JnR&1{ljfr>Dciif+_P)oZ;=^MnEg%z@I-GeBS!$o` zT`2$hTXSEXQoj&0=WE%+hpGM}Eo~e10n5xi{c%gR9Qc!kK5jO_8EduHAi#SnXRTe1droHWX3p?%uksOXF0uh+*j1A5dC6rlv!~Q zMSAc_)wT3nNXSYvd}O|lgbHydWc|sLQ|f;7#35m<3?T@+L|P!PmMjE7qfp?P&~?V}^6zrvnzo z_)`S zR8}TU+oP!m(`-Q+B5VhPZ(Aedy$*$fSKEA^mfeB)jb{1eR3l@}zI3cResNy)e2dk& z5^XW782kT>W$xZy?Ei0>`#)is+sCr+jiVViq{Acm0LRUFl&6*WUXecG;(dJYgdLnq zZ{5`#q)!LKr;-}vNTw#o?#Cp801vU5r*mkWRsHKS5^M9va-M zN&3)E&I}GCK>vNpWWeiPHdPUw*aQLJeZoQXhe<#O&h za<#eyLkwwYL6=!u!w9EvmlyvgJDj;1Oum5|!d6F%xf4zjg{J3hbLY8kzMm=`6=5eD z>ySLJOoqr)CYAU+(mYrj^=aVs8{Ewquz;Wss0aI#U}p`9(x%i=A~`-IkK;fDZ#K{Z zd{ME6R{TreZ~vEKHubiio1F7Ff%a+V6A{PJwboIW9N#yDIT%qEz22j!kBY|~RfS6I zOk5x8%}3(2J}0eF??IA_!9IW0P&0!X$X_*d;NRf4nhBT!*vEpnuOt^EcH{pOP5b|i z*WB`Su)AB8&?2DZd*C-rb?F*=Ic5gKlxy?VGWMsL$T!AxRef48DMsS73!v5h!`UBG zZ!4^+pfy!z+zAM=J|z`yfgj8b=W?C2X$~$aOgwlO{Rs9Tuh6`8F5+M;)dxm@xGDS8 z?-BNP9^QD`BEib9_4{<}+nj7342KYl?*v;j=uv|Al&WiX$t0A^+}Qdn;{aP(U&A(nmp8W- z5;i+Zms|gtMl3G^x4Ag=zp-u6bUN#dd z`|SxK973*jBC|^~dKJm`p38%DCf%-0*i~w$+|bYrZZXYOq6?Y@ z1}+_Xj-)_Bj_r!K|GESF)J)iQL;?=zF-(nk79(^3EbzAdO5q5~_OxxW1gx%kJ`c`1 ziN{8B=|6*P{*z-U9+qCK1Bhu-qd2knfDxwGe(iTId77{DwJ=!nInuPfY+=uo%_mD% zqp>BzDw{GI)jN!bb62dN{ulM)T&}NLef9~gA&S=lmg19kn$frs$-#3h`C|Ra=K%l@ zN4>SS*nUO?yf+blJ9@->!Aj|6PF7*Vo_|1}K92(Tyq{@iv6KCRsB^dv6_ol9h|MSN z`nDbGm4UFc9-CX1&~P$*65~Ri!9F}hT2w{p?7`Fhqy!I;&pm(eo?g>&##&BcJWNH% z@Rsb!3WBqBKI8k`eO{#?_C@~T2rCplcq#L!LRnkeZf^<8xPKziJcSeqTf`RHSFH3h zzHDHz`ud3^s;Q=sPYy_K+6Mp34F7Nf!eRFq96#M3quP68sAyffVEbhWa{JJcv)Ywq z>dS^80FHCat%*yC_j9~x*L0U!r^S*ecmy@tv2Q2pr+tw zn0$gk@MDvh{&fu0GJSKb>xjXgePivs1o9J#;%yDAO~3s)BHE??cS}9!fnblqp*~I~ zxpyAa_0+ZFEQbsYH_^DwBSSC&l`Z;hI8%s8Nofvo=Gni87=HI8uSC}(XaNJR9C`)R zN`A*Up!j~!q>-+LV-%UoRK59<83roWE$>*c^EZ9je1~(XSNK+rRmKp^-6!E00)4)I z%}V_H(~v*k3`WhxxAa0)QpNzTKXmz7pI;0QkD1%3Cz}?fg?Ws&axDD)=)?$#z-G9+ z7H2e?_t%FehkrA2=B=}|KC&hxXswR~p%6~M#!4^xlvlNDjs;XZ&Nf|tE9pu6bM;4C73j2Xg(Q)b!c%S>=Z!SDTALhF{_n->BjCC2HSof8-jCO0=J{$t5SyAbz=C#p+9p zJcDN2Exar%8|WuzHwyCrB?TIMgV(#5M!;KH-^@a_*1y1Ng?^tKMy;)>nBaC#bYj)D zvxa?p@!v5~5A;zx_nDDH1>o&~xuR3A$glmt2s?&N;4u4cX|B7_ zUDP?a^2=GOG7nuPHhU(&(@&MGwiCMiQ4mZ&;)3OyJX^6=M9#Jp%`Yv1^Hq}h!*X0* zz8$0Jb{YgrdOi9(#OMZt$LHJuBS2rMgVfs%R{BMBMy~{KeK1RwHE%_14)flMa+|K1 zprSjDOZ_ttxmNl=QNriA*UiFL>5!aD?J%k-nP2BCIW_?MIK|{z)baXYzW01Lw(h@Q zMGRmgaA1q|Y1ZHEB?>dwD53X@1|A}MV0G;cZ>3~>D@0~TCarI*32pj+_2`_`9bIw% zooclc1GaBSAuw}fUyBJicu0cYs$g#2} zTzS#nIh-S@4upRp>#Wzvc1(s!HQMPy1n`{quo9T;3gM>eo`*?#J&KNfqvVuh;}jA) zdV5hD7FI!B)~RbZT6W#Oo_TkJsV4I)JTPXqofeSHe0ZsMa){lXYXW*6y9K}}S%p;Z zyEYFBFi?HKo;tw1@VF*2TW%AbN+9jDlEuYT-TZ5VdnS9wV;@98Ei2oHW*jh`k=!xp z7Pt+T6-;?;Ch*(^AmmZE*428My0&}{{HT22QwB78_jHeKSMmurzdDL6>IdW7VtWSn zg%-uc<>#Lgz4~Jy3s3#u6$dH4{8h^G48>!}ABItOdGBJ)S5;`6WEQ3PvD+~wjX3vy zePSab`=bqBeje1bi(#x++WOTbq@W&&pF%r3VN!r|xbdyv3mu>O7HN>QJRlcC^`#67 zje)lkNh_US7S8_uUSN6lgbP2gD_oQ4emFh@XpgIWM7EnjBtJkpC8x~x!t>|55$9Wj z${YblT;i-TpH>;s2}S#|lu$L8tgJ?)32$!XbQHvvpE=+2+Y|3zJ$sx)BJn;soYl7m zss3yeYFM&n9@ z*cg4U{rIyRmA<#v8s~lp$l5}Yy)7m}SL2CJ@9!nTt@jDZQqki;w{zeO)awt3dM(gx zguqYq&4jP~&G+qllL3in*hV1!nEU6({Xrtwb5hFZ-d0E=yNQ&6W+J0D5 z(<}1&_fxv02}S>=T(a5!r!?dLL$AN{zYzuhcbN5mCl+$$Rr@*@YE*&w%>Z&1$G^>f zHD7QKFaqFSPI`7RfZE zUca->{;jq4Z(sZT!F6e)&FA?%&;7pd*NtzMsD-cC11QloD@2g!8nIF#`z6%_OpO>$ zT62Nd-{ly3KpSl@rT7Bg*yoScin^B(z4`5^11N>{F-*j@pUwVa&a`Uw>~MfA$D5f&JSJUpZ&s@Hm!V~S!;6$K|}oJ4+Hwk z`0w1-!Q?Cbfe-og?Hq`f`TMGj_!tikTEZ}AIM8PI{FbqLv|_|RS|A3wYv+gc?}V>X z;IPfc{PhZo?llQoksE^L{6f=3?)=2)oKGw#ac}q`+8|Or1gL^{%00tWA2kV}f{zK7 zZAE_2YbZZVc-mtrRM@GkaR_c&bXE{|}`1T&}KbM8lOfm_^c-~d)^1%EVjc&dr@P({8uY3^GL{H3h|u_&QO$i}+eN+%1Q zf0Z-6)k=@rd!H-QZiWX_?!$QV`es?05l2+2f)3i~ujwIi*g8h&mQPXBl;J`?Qc(Cx zr?H`G@^EEKq;@Lh;~=g1q78=7`Ic@)1hgAWU|?(~Ly8tDRfB(ZDp0b*_Xe;y#rl~d z;W>_DP`X^g$3`Q$dWHot6Bszx4JCTAm3ymB1UeyrFm;xCTn~oioQ>} ziOjze(rN9 z*XOC5+AY-q>mzSSo#&+L3Mh`G3!ubt;qemN;WJT$N7_fbtzCZp7)v}LoSP@oLpI6H znySNZtcQ~-#I=qE6Cvo>1_7-d>nl+Vq{%(0$-(aGB=pR0YQU^_5T=x^1_v}kVLTV> zZBY3f!2tfJQ@l)Zq)3SG+qIRDNeBmAtR_;1)~-&4xgMg!6xMI1t1XH*H1<9~B8wEw zgdnUXh-x~DsOrz3?YF=b+Sqwg7x`4{5Ga{AarIMs5A3OULq8T(v>2})^4^HgXvYpr zuJJ2v=!g7_kBaL5n1|C3Vt*On`KS>&a!?VNtfU;pZ+|&G&+e>nSpAdYwM~0+Tk}4| zqCQB}Og@Hviizn=8xw>FI%Q;lB5G%)DWEhVJV;ZSGcdi~j{#gM8BzF$R4ovjc>62k!?7y*yhAKPH*Wm=mgX;Ns!g z0)a$9gulmi^XUi~-l``fZF*+lEz61e@3fDtXSxZqn8DqdLeo#C9l6jN*ACM&C-@L2 zhqBDsm(Q>Y<0Q-R8fh`Gh&L$-+BtW%;WNa&Zdh?f3b1aDFOVfU&LXPEpE|KT%A40l z!z{sU-3Fzw;lc%jxA;HbC?%?9`yzTj@#-j{(H;*pFLx_EPo#%}MU(V{ z5e<$0mmklJhH7Cd`$&_hqrI}Wdz>`b(Pfgy_|l5WhidVcxbb01U48h;W~FXpE;IQqcMqq|{h0e#C~Gs` z$u{^YJAP~o$+CQXD8WSv)SB{Zr@SHL$9daHzQyR|6D_C|q7WsXLUwPE=rP@D{PCwN z-GufS;Nn|(w3Dqaa8-Cf&RA`o+4*r90YIv0+AT(%-_!n zz@p+!H3-z+YAK*3DuulW>QL;>L2DSQqXF^$cBL_ zq+1Q8O_5-~E(R_e4j%rVG;MvaszmA6<|EF{(82A@uUoB6j3mYjXoUvERsk8ly}LE< z$HsNdhk=B1>%K7jXY3sT(z<=3ECKGMKjRf9RQBQvhXm+AQpyFoBP%r(VYL~$op)jr zH9r9^)&c$FU8JchzfAhX0K01?u?M=bZbVVR-!0JO6Wo;6o`C?78P&!n&A{+%s=&wH zs>$9_oL{8v0;c|z{YB#{Yy)FP5M!4d$j=`L`cMPR)CEIYQ3Qiekq-L|8zxr|2J8je z{?{D?8sN0Fs5!2UlB}TK+`MfGQ7$X@6)W`1q2ODsaf&`=b`+zFldXTP``|`(X%SM< zCC#2RVPi^!vh60Z1ScKcZb#GmO_N#U)LjvMT*X{*Um@A?XFQRqugws7eR}C->e!^@ zI}B{3U8wsMTqc={KV%9a%HyHjF(q(g(!L8WucS*6q&$=5J^s>;s34-Th*fDWyE^gq zKIrEQ@v_9)^Dpi5%T}$wRJp*BmdqR-pMo&11C14XxY;_rJrau{X+8c__5j7MNeS3dTPE+GoZ7LQ3{@=Y ziaPJC5Nn(`gJPk8BqLrhC73GC`GT4!{m&ST-psNsM3G>ez1KM@}hF<)aIy*4nGb<-jsF}Miz)rDy zzfAe<%HNfyJ@r!{LXiN#6S(>n4KVy821-;XAi?&tEXfC_2E!LV3;M9Fd51?;@?snJ z9_UajfP#J=HBy(aE1;skuh?FQc+&Vclg$t-a`uCBO_p9o4L*1v==>$|rKC8lRE&;h z{cKp;lmrqY#ef4jG}msJ+K7>(EhX5(dS(98<|8xbV9rlZW~zY;T2))FL-X3|5O(ft z;C7z#qKJmQLmM*y5b!uVe{Da|QjsVdoo=8c2+#eTs8X?*(4xC_%aG-M>s5hL7mU3+ z1;ouqsj+{7sLEw7itB=)flcUyZZeo50QacQW)%@3DY9bwHvg-U{%g!u>xU{y!?i=1 zUVr+waiOnKb(CSG^6x%%KE#y@drWe~`Ad3ry^VdBMkjE!Sxru%u6B~QhBnY8x7Qx8 zuS}wD(y1`}p<2r~%$tR#C_un^NXd9l(ye?D@Z9N5g13L`MG3wuW4k`M8RJn_O%e5nEq?$0KC%}RU<^Xns+ zEnDhz)p=NLTfW1dRC8>4u_R$#WIt$o1l-BF5a8QDhxRjB-LD@gg^3wsXpRaBO?uZF zH|nZ*&6!FwEj{+>N~j&mw>q5Rx2{jI?3F8$?RY(WS4c*c4LmFd?ID(R74a&k3$J-)Y$#U7|F^*Qr`18n!bT8n^u2E znHbveSJ7Fi!c<8vtK3qjO@po<4VX(ERl@ybFV!1k_6D~uwY*a2;=2PPx~-)(#57%2 zBxjT)3BLEQUm*R;rt|F!RjFe3mhoXpGd>zQf|cvr90i-(F$5rpLVbbZemenLyVRE9 z;rMaQ_gIZ|NU~u=ctts`9sUr-&w;f-TzuXo>dO^W0&8xmFAGY9#o&|Shnc3fs3G5R zt`M=zrOIb=-R3a|3`8o2N7DGH4{+7l{Fa%Kc#lKoo&92!B$b2Slq4Z$YL;{xhTqjL zh*Q-D#PR1dyYh4FI>hg#vd#3gNuSyVCZV{4P9r)x5QHuQRImodhaA6|adfIZ4r^Z! zckpRhU_21zMlTlO-}H(Z@e%YJS+rXEVp+XKKCTAgMAb4WOW_>t|1SAFB~7{G*~Zp5 z9Xws>c+pR4GHbBXqLUm0qRo%;J0iQskFouDD?m~XaC@N_wr_vQ+(>y>95e#e1Z>?h z7w14%t>$!n$Q`+@KO z6h9yMO69A%2_+9yJrm+& zT&`5B%)?XWWEH=x$@Gc~QT-hJrMjf~5ALX>BDZ&~)!qn9W;;XS9ellzAymQ5)AE}n zgp}&14rh9tw@v(fD?_Dw`va7lNt0@s+lvVy&Mz-%hPUXuYO5-MteB(blL#Iwtj}~SkjHWDw6(HGDrEh zCjUb@nO}K@nnvz-6yv(G zbJO&HD0(W{D`v;sAfoUeYDdJPboHm9YrKD}GMvd&zpx$^7?Qp5^y;VX6ZjOXa$bCN z#|0hWLMGn^f}v+}GYQZxD**RLb!Lht1fq)(6{Z}pSg9586na0laH`}SYC~~2TGf6M z8q~f)1e`w1x#a+66l>YuDpMQcw(_gK?<-Y3t4w|Lm*z?<1(-s705`RRlf_hO=8FD! zPNoN!dXOz0T8F`1y$HOo>hIC@TUT=-!9N4YyRo0`h4y_VRZAzV$ZVAAj>6FoH zi11Hf3zJl~_X`DvkMSFnxV<#?wGy(-gevU(;d}wgB%7h>iILwHd*Mqe1QOi_pDEdg z(-sy)l!L!WC@(E}R$>s-o%CKb^}$<9fON~pXpT{}N%)CF>6$8pdC}0)_M4U^>nusw|6VP?lEg37<)!ZQ4x%U`!q+1aCV2w z3~o(3$E`pEsqg$+ha7{Rmg_h|17)ExwUJp-^&U-D7xBe=I!(@#6bh0#JvX^AZzzAw zD>>!`dq`#$?5J?|%Dj;_o2sGpDXG9-M5(S>*XPQAx1aVj2)^CSiAY6Iutkh@U51La=$mU4{jc&5eO#w#$ zea5ftIt+=sLIaTc4g+w+xxIa>^`$OsguMrx-|szO^u#%8&JJuz@E${_{MF~n> zZ>HV4%+axo#*`Qp@v=-6M`B2->oxN>Oy{W<=53s4SymX0c7OEQF*c-&2zSw>F4;vu zeeL!=)jH5UpqO+OJ)pK)EFQufAkM%!`{lj{83+eNIHiH}#aE`fW=hhK@LR60U^N05 z!H@+WofB}-+RuDNXUj6PeQ;c9j9q>RS{Lf_)kU#i^L8zn`wCT{)^$@1L}HcfM{DFH z1b2+SYVtLljAp%UIIGA5(#Yh~XJC}#9hWclq)bgMxBAaJK!;bIDmX1?SptDG5CT!F z0Pj@S5Xm&XuZ?62tjVisPJ830v0|c3_WHeieRy7!Qiu7=P7B6CO)8G_90?JyngV=KExmGdWY}xh{t!`pGST;q z8~st+*sY{M9qcjTu-|%nn7Del6I!HrCsilZ#)ZD(qJ@*7XXa6&E|VmzxCmewXP{l) zq5*s5|A;v1^_2pC>ea|w>+1#G>2v!fz>1>QSLRy%(>J^GdFRWW*=PWQc=p z{sOs8Wdf74c*^dq3xc}8K;x>0zt04JoabWYY7UJv#;l)4Rh6^m?VB~`dwL$SR7_gN zc;5KjeP;|=LFqs*rEG0ui}z>L?Z2%+9%(kd;uqBMXYtC=AjT)I>se=ccoQ*EJo7`D zcW$dT?clPm`sr}ZESqJ7+fOXgQvN86`|(Sz0@@s+U(&RBZb^da`dKoR`o7~Qaqr#c zEhYQ7OTFu&!7`y6TdmT4v_#C(+&ouXUciAl*v&@W(X|n*QT)6ZG+(NY0|}BLwe3R4 zUD$u!@bM{mzZS*+t&@5+k(n?rm@6hau$jExRDyHq)X9HHzH>4%-^q~E(Eek6+*^5P zPg7FC1=Gmz)smDmlt2OZ=={@WZ41|v;-^34&{j&$0x&8w3s^MaNkoxN(X6S#fV-8C zy^A9)zhtFKdBmV|N1QY6;|-4rF;w_ElvoZ?rplv#u zv!UB}>XW4e7vKEs?Jcp_T_j8B%q+wfmFU^;ZIX{bl6$Y6VprAFdnqn;JnMv$V%;9) z4~7+(wKH*jV&+=VvXK1IA;pehj5zSsUpT4FX3h-TFK(f(X`z<j1Y+ z*6rU(8%h8{8pQ`A#C7V90zf!Y{t>H^+yH!1{l;VFjsXHJ7-zMw)5RgyEJVX{-czc zIn$d@xf$STeG~r1gF~4qn&J_l$()j5s2#z1D^T-*Ts8`|ee4B-X*4WqyCq>Vi|)qj zu>bP}^u z@R)dChk;?jYO2=Ggi|zyB;|>hp<7c9C=8!e|BD&sbYs?ksGU*lGrb~Vyz4Rm-z9gN zfL$EnteJA);@xKt-oIs3i=We#yw~OYpdVn6l^?K@=teqSGjjIO$w_`Meb%eEtUG;+ zPLN{$j}`t2>o3sCbUQ*G`o}6Y+QJ9rDOoFH(`eArFF$;e@f!n=dW;W~CEfCR zI>V#1oWNK)OXyuJpVrnI2VaxNQfBg6#iLzm7S&IAqns`GUsb9*a<>%HO6c`-lsJl- z(NaCt!%P&NuONl_4D(!bgB8QnispKmSRTGGEL^ac#LA>}iGB_orUvsiJvn^{V~ghY zX&fl89pFCtfgG#kkAvhmpLObB5o-l#1>s_mn0WBwAx`QQ{9;~aPL8mP%}>VcpV$xF zdCCuRCIIUO7#Agbx7^?3eOQ*bu_-INkP#q79rURdA|qhtGb6J;N;8lH+#S8hylY$Q zL(Y|IsgLu(T>V@whNbcKUlm?pZF4BNEjOw|&IUO%5T4O3BI}|~PZ51(e;A1i9Q}!w z8v!amurNP;ilTGTFGH$#;=|q6E|5cc_poMDBSk1qxFyM49OXi_#^ht!uKU zv^1sw$38xUn)(kHw(3~&W&YfLoj2Fz2O+~AJM%hiYY%xK`zdPb7C1A}g7{#xEN!j;!O!_0vPmibFjpKg9{8f`A5~(HchL{pBI!3m)aZaT4a=zsQ> zdX}tSVkXMc1~4DJly{lG>{-Ftp){zit1Roblm0T6zv!yS`Ziw{8Qg{5C}ew(Zjt^@ zNG8G`cTzAzMzaO^G)VsgR-_$CO4F^2o4DNpbBnl`bQgI*`T_lar5{>bQIWUr*)8L-mF~v}m=O}O zxV@m~CWp7i?!xX@@L<*=+ zpGC8-z~ft|csIB=Dnbt}PRhn&g{>XxKv)=^L;NuqEFhm?@Nu!6) z%(mA^k8ajqN8g#;&MsUw^tl~IoPKOU{soH6o${4i1E5$F0nqY<&{^RHfJV#>)*%XjZpb6HZ$CMm zdNYp9u3ScB!J%K@$}74E>WnE%^@Nl0J@bs8<;V$yDNBNIysJ}Km*Qr5odivZcbj5T zVQTJNCHCB3*tVybpHxzf(#9olRCZ{T<155669IP6&$)m!`|VLr)+Nx}4nQ@EhX4-n zOu`@%yB^Htnb$t+Xsy<683xOdEyxmnwULAoZ#vYK^}OBBR3I7%E*JsN4MP9*ZmNe0 zm>4vA$>kS!TG!C5l+j)9i(DTldF$xs^HyoLk^Qt3hk3ycws`vPdeMG7FJ@6{p>17p z)`v|5t*PSB+?8%p3zb( z(H^jPNW%EqY0u4Y8F+e|Mz`ZQ9_H$0hYQ)RM`M#~8DxWqUT_vbf#GfwWBA!ZQH2w9 zjoA}+y=NoG$MsFu4!;Hq+(>tG{Bfv`^C>E~zY(*9D)o zPfb|Nw>%wWVVhjfI|rjTPGrx^0X`gli^STM`?}S|uK5gi(t<|F-e!Se z6!`vJ7I#B96=NFJ!Lzz7{EvFR#LE;D1O7832eJ@Goo-88bYdPMmDW_wd0cNrDzY-pQwI8 zWcwQJNqf~DaN{QZSs{s@N4NV%H#;whA6=9mE1{Hg?B?&{%j=5Zw1;3dSBtjxDFe7N zd3=1XSx5N<)$Lfp@;4+-7bm@GZ)!!DW6L}EAO!u_7~_ATE^#w z-Q>gNynNzxp9yx4Hc+qO&rawLE{EriL~(RtZC& zmt0OkesN#eUA4;9lLSt#&&shGL8@@x$Sl(GioBUKtuMPF-P)7ieRQ3Fjv zJ@4$q{07v!WKq}n(6g=e_Z%{+PnvyFoGh3Gb7>Lpf+ee%elfkftJv(vWZXBb6xwYk z{+OnYGY?ceBW|N8be8Gkdw-Xu%L>{*`0BOF6y;k$iV**HUe=FtU+YHz=hNWKY28Wi z?7+v9*Q0_Hq(omQg!QvH8rVeVabDcFvG;rgVeCqxAxMgaL#dSA=*GB4bT-4qWOn(h zd45ZT;ZSw0$M9oNhUA%&`Wnofe*yD%zp9N@sxfo@t`AD}U?`hQ&E|98JlZmIXC$m!Ps4;KGtlU`57 zhC3|YEhxUW@dC|f&JCwunv4>RZug~)*4x}>P*Bc)Y19X_vi)11k1>h{BvbTb>8E!; z0iR^@h1KYs|4{SDsqgo>YOqAT1=Y4_ukXvhK$Puw#p-wJx+`ZIIGy6UXl|LqCCWc% zLN%Z>16orCRKVn4Q~*Yn3bp1-bi0oe&>g$KOS9hw@UMS{{RO%porIpX;ceJ24z@k; z&PqZB-XRsyyr<870k$j?Z>Y=xEg)g!B-NM1B6^QX@*(!hlSh)gC4$pQaUBN<2IO2} zWbrATeTw;xdVBTBBFbd**add9HUer-E9KWEKPRSTrejXG;<*|X*lj)#cpeMvHosIo9l8(88J zw4LyKzpH1^xB5ksFNLL_lN6R8+weBY>fOEx?N}*V>U*Rr@qvC+_2@Hf!*E>C&kYbh zF$hQ-;AL|JNTNqtwEU8ePo&!ePl`_~&My|t%G-fH1q^?iEHuxJ*z_G+T@$zT(rq() zmOrq)ABY@S%37Pta;p8cvVd|u?O(xULTs3TLnT|^9!yxpp(F2A(W#yyUNB34s^gqQ zYa{jnI_%WpIT7)WPV&qT5UDN}DYi2$mJ?kjEmO@AS}4zWpN6MM3YNA+Ze@t0Xi5Bl zm^mOj_;Dd-oXZ0FT2?WGF1(^%4!P2U|1F^Y1cj&n{$ir1#86&|y zO>9TAVRL ndE1_ndnes06q;iFBU}jf6NJ?722FiZM&6`B4IE{6+HLwr?z1R{wqb zR(&_VB?4^UtcM#HE*nP#jw8DMlTcVTp-LaM8ER;C$j|ynV&@4WFVe;Z)0kvL`cAC z$`kNt&xg$=FZ2}~@aC>*E{O0PidpADUN*jr~NuSbvh8}PIPqnzYLUt>mqdQebD{JSwm@*@)#JGk*P=C~Lwp~^*! z!;F%vcm5dqhEAxM6`Qaul&TQElNRIBy*##?Mi0^5Q<}4AiSBumjPkwf;lzsMq7TdJ z3)ZJpS8bYKVmI0FgIRpDZQ5+dB&GfoEvnuy%^dtGTCDm&Y1;CqXpweh3lIob%?P^! zq~BN9`59h#prmY`E-f`1p~`8YH48&kwl6bx%sJtCG|Y$+wao95n$WY42G70%7_;g|s487E(Xse^`Q!KW>Vk z`r$`w-%jTXTsr5m5gvCOGmbpIyxBX`j`@3aj`v3@T_9uz$4`xLg^%2RY!JT~HL}Qr z&2%-rHX*1-v`iNPzJw1+*3@2v5uojrsO_0l&?z)Fyht6+8|tFBuX9bG*A%tqPF)6D zGjDiKLiBUv*-{Fb&9}!f@-)E7(N6-t73=~x4I3!?fSgR zjkIW204qg8Dqxc{?aj+-sqdL0rMnvedG2T;Th;FwA#~P`p}Nz z+N(h7QA|kVM@?_fe6iYW4<*^Tg%6Rx7^_pa|)g zhHM)Ma|?$mn}}-WETzVDHrkQL^MP7xYv;peCDIj^Y>Qb@^0Q$t^}|Q`fa{6jUP8At zDPm`8<}Ds;x=F7SHiIJs=UsB*hp{@f1gIdfU zUQjgWF<>nj8_xmEmNBMxDka|P-9rErh*Q5suFSx6Zb2pa8Q89%wa6~l44LdE__??$ zWd0sZ+tuSp=gi=lD!GBm$eZ4YpZt3Q+Rw%#V4mu~*mEMbrGUxv|8YI_Kh<0Rp|1K* zL)C!a^B-g<{@35PEzP87Hy`@Ron^Yh$w6@6U3Is-EP!8@d&y=ILl*~|uWvFwKH|i0 z8Mp&~8|_~~sb}52sCbL21S}xceWn-p0p!#;k%>i7cd4>?2G3t0_4-gJJR^O{UxsWM zLmUq+=q!+DXU_%m->N3587wf;_v&4XK6`snV=nFFXkB4%=tw)gE&?&V3k4`qYtqoG zd(nUQtqRd1K2SHF3ddq)d#-7b_ZUxGxJjG7zE6XtC~v6L;AaY+8=b6el4w)djA*5pg|#qGulQS_8VP!D#-Wf;oH-iMm&)p zuhYZ&R@5rU8b6JkWQtkUHwO09T@uH!1iiq0m=`2Yiu*VOtK?qZr(J0*Bt9fmj?|b# zv3UM@?bQ2drD&de%)-ACzL@Rp4@l@?cVlA?_pMpUpNf3E&T{O!I;0y+`$om#8aiia z*mQNg*PKBPOkXDZ8E6sOrMe94zq2PNjIKU(W-32_0r(NEfzbe+O=>-$8}DSYP10(N z2}u~<2uhgfnLZ)J=q4<7e%aY?uqItPo6|6i1CI>?jA>`a%sn0=25}NPPr0x{se2q= z=&mw0sqwTUWdPPh%Wp@a3=e$O4DKAm!n_!@K`YrEviny98tMb-p1mLsEgh-Y@EX-) zLMV8Cug=xBnMTfQE0DAp(UUNVMeH`wGTOUBQM06k(tzOi2m|zVcqF&*EprrwEek)k zky0a`N0j(A5CNNGuMqovUhxQ(+tXARyjs#s&ordEK(JNmf-`Sq#D8>>6Bd_OTm}23 zG=ND*lkgHu3$Ztt1Ta*jrv2~?;oDT+Lt00fgGmD=vLF08q?!7l^0g@XMnGg6D=eqg zMAfc3J~yHTjDrgcX+FCj=g8ONo@erZn{ir46t*pI+)|^ziczww)Q5h-GLHF%9pI{Ewdqx!#3-ie+xcXi>`3|#;52E z>tT_rXThcm!Z^zYW`ytscvcW)&vy4ATot1P=j4}R%+gKW!6{$02V|jH`gbjS6tGy4 z05fn&<{X8&L9)suA`+P-O3*u26iKN9@;$rArAX zpdsLxm^d0d*3nPas8Y|;YK&KD{iW1VqNEj6s(^cJ(#DAM=A*VU&#mw1s6Y&JpSjz! z)m=4~bj{0mOKc@gdp82(&^0rlC_VVk0RaaF1PQnq5kGu^7=vsZ;Jo=X0KKB9DlBh5 zm}jsV`3qD&R{*)~FM@y#YLSG|*!l0D>gDl7!3v4!!VX}}X7P#cRxG51{12$u-n6*M zPi-Pb8r-R-?F5H{ISUP6?L%YoXG?GdaH%$xC(;hTS zmh^z47jd*Y8kDJIKnfttT@)7nuGnp)i){T;aVJw=EP)}#a zEU#3ih9t>Ne=FyqIG0$1IppT=l`u)KJP1wyyT(Z~*r1y3yQJ}pH^8$;Fx;>h+e6G8 z(5H&Bi4=2M>eg2;5^`R;75YlsXHfPuo?0~e+=MeV#L*o#=vWg*DdR+;dt&VT1&vI) zaY7Udgq8|E&##TNyA?=AvV34>tF6OwFeY+CK8rxkOZBE>faS${-v+e74r02CvQq|IO8Q6 za-jdLsamE+TV)e8$W8T(nHnQTO5I==NUlFerFpGWpd8`7En_V*i@1M z(InjxR^4tA+lZ*$QOWy~v+ksKTlg1<<5Z+@+^Vq_IsN%#>22|mLd4NiQGG{p`jos5 zQQU)kL!50F294K!-)8BW08-TPy=%CIaZyUoFqVzb-E%yA&JgD3mE^Q;`d zSNy2D5Y2-sF?Y+^)iL3i%ngxJ*;msvYf+TvS@?-n)!Ra>%-Lr@i?&;Z5}@X%IiX~B z&p$k%@U46Q6S)!O(G)k7{&4j)=EHHQq*yM>UWLXwxWI6BHb^~z6w!0e)+oKz2D$x= zI3|JU8Z{we5?xAs&1(Hfy$%gJI@d~|sqdJ+`c^!vxA9nMUJzRsnnugi1*rqxcM2W` z7Q1z|XQZnpqoR}pStgIefbbNk#M5JR?hAuil(?5Sl?&d>!=)?4-D!Y7-hr% z#JL=E8#4=Fp6x$_v5rC4>}Y*ZTm6aTS!vXdgPb4v|B6Y^D)W}%~E;xTLtwfdVk;9WKuiY0^e}Nua*N#bo z*V_qJj6P45pLN6gFxkKnEn24L{+7zQI)F#n#-)-fW*LB)0s+K|2x$@L@ zmfM>z_q<`CVUgbDhlt}SZWz%cJBrkxK57d)T_P%{W+U@tQF`1R4qj}?qi$)u5G6EU z?t-4zCnBaJFFMO~fl4j~by-`?P!ztE!zuc8%4&3eTJL-mKc9x`V|Ljra7x2vx#?Pd zDI=oa`^$G0ezm+0U}h57L5RDie;^r=T23oaRd$gKj35sG@8sX|z@NUOtY4Y0!O*Yi zHkg3{5_m=5ArgP)*mq==m4D{g_8zsTdB7ao;_LN6IzsYNSvfqYCyr3TO+d*6gpas^3HRnw1gL7L^^Eqt(-!ylL=9PUAmFTGlkj=E2ZkcEU&R0U|9>KBmsrO5+)NHY}n)b#6#&%5agf%lfq}Q~j4-zcA+;GE2eYX0lnu>19EkK8Rm?XyOEBNR~ zBH@%K3EkdazqNn0Im=?WF4TX)v2!?Wh}v#-fK+!iF-F#m{(vOBuAky|RVmH=BHE`N zf|t&a{pkgR#>%hqq2$+iz=?O3&>NIpm$U>;;1} zLU89_RW_~1L8w>VW<=W&k$ZqzAcab}L))#OBaMOb54gc_@JI%dO)KJ5r|q|;BWrKZ zOgLUstT@8)9{adUr)1QL*KSl64WRNftN?Bw=v|VWu}BoK%PiWHxwsE7PsT43!{OZG z#=5U>gj%}{e^qyiD$S>lS@Eau z@^MRw!>@S2znO-Ye(A&sPFCXLYLKf6@IB@zj!Js3gzds13|Nn8ms|ZpTy|M?4PH3L8ubYQTqvg_7^wd#kuA?cx$gHo0q-NFhV_ znfTjJMieAs(`uzSS{`HAPY)qN zwUJI)@ZhBT(iBY*>j#ybO8GteO#FTK9{qF`rS-F~u0bBfuC7EfK8g)%`|Vf|el}yj z5x5m0Y%h;jPNJ;ok8hVfb^vHs@?6W{G&zsJFL zc7Lna_H3pQGB8UQrHrnB8+Mcxg|*N0l-`Jx2Y?X6r@o&y9Psm{BAqk;r?T zSp=wU1?2GeWcMP2ejC<=qJA676iH`^(r}LK&ELjvUTk2qRaU3~5MON=s-Jy*npJC(AC5fg&c`C7OHY~g;q(^N9MAvk}-LST4N|NWo(Xq&IcK!j) z^13spD;ee!S&?U;IBY_JVNYPDG@8jj)55vFRTI1RJ^j+3k8+I5poT^(4siQ^j@O^J zGk&)@OXoN+a$u2qO&D=%Y}my};^b~P2l%ZcWX!9QY#`jwHO9K+pHY<@X+u_ZQ4cfU z2)rPd`5*&GkAU=AZ+goS5gXfn`bOr!Z4!Es|B(R?SpPpp>*%A^*DFv;4ymN!_P6l_ z-v>mV5w$*G13m9oPm?OC{gMzv5&61#FLh@3{G&X<`}Z>w`dV zSZN)s<`s@sJRU4BNFc~1&K_74g3W>M*U)g8<21%Qh4NT9#W(jn2u@E^QWkH5kIi?Z z#h0vO3oJ@0UjT>%E*(k4DYzDudlza9jKeV;WUgqM5H%%Q?Uf!^vsdU#`lL0ftcxhJ z3Lg^=LxY>cvEBAHJ?PXLT>WP2Pi+OT%l!NAXLIP!4#;IQ20(SIA<>;e?tgf@yuEl` zCgpZ}6i8rsPZrd!gw@1Fe@?qMJK%&6CLWlSGM>hnW&P? zM@W-k_@U?u1gupz#T|l4m9{0T@WM*eO7!4`AV&S)3INGRht}!wII~D!KD~H~IC$n# z%$vY=E$K)dufB9u74e3WEy^7pAdbg5^!_$mnp&BO36&wohlj7XShzj)6(Rk-l~H-B zv^H8C|CVEqw)xr2M>pBE#QsV$45x@eq;4{{3%VXb+9SUH)`4<*ONP#-($zc zU!)j4#orVkgeys`_+ihV0TQ9NEskC5dZOO&7s%S^wW?6h6AZrUOasd&qmnh|hCD-@ zgde^mrh|NSOZx>geZ5&TEr*9&MzLT^e#7x9pGCE>H4cLP-#>D>r^VoJfh%q_vE<+f z#eUDHh1^TL4^f35Btx~U&g8AzLsZLY#Yf_>ITv6EwF-$21FQL=^1DmhDFOlEN7<)L|iL$76m$55rLmnKKS2$>{ z-zc>mt_n10%RkYIp_M`!GH@VA3Rbg1o|Ql%fMa;$lufgtg#G^8Cw1A- z(PSGj%0~+U1Xx+y=P%lA?#7WqN8jvkdZGLegdLz?(rs`)1xZAJHqTbVn`Xj&AFK*| zD+{g&_kG9p1Kc1x{^AGt=2daPW@YuJCN#|Qx6eOUr;?gUfO3cXQLe3Y?-!OjKI(0w z$UML6c6Yv~O5Qz4FWJNOEu!kVpU6Waxe%bq0)zG@r z$Me)Hn*^;t?&V}OE3<8_VygfDva#eV1ZXTFb*~6Qpn%2_N9jlA_MYxfYf|4UfqQs) zJb!R7QyFJ7ZEp@p#tEU{0W>KZJAd37)R(5R&j$GN_O3u_@{_sjlyy*A(A??stJV9| z{i4Hm5XR2=qm&y#Bb4TK|KQBZ>nX?SnUUyfmNLF5@I&2<{Sjw@5q4z%r`jd;3)?zG zespYF#rKo~!`g}r8n{yGBj6CrOG}%+x*j!#o%zqVCUENS)g?1B8k)eS4R>qsZS1&T zYQ^DmekQ2dD3TDUXQSTpo^dI&y<ncjJ1^xWCKM!SMCp4Pw{)(1 z2mi|(B{?fBx4kcPlw~T1>R*i1Mna@PAwSY&#e$wSg$h3RoC!J?0<;OLU zhoFy3Bw5dAo+UoF9|hzOeu3^uxxkNf;iqksfO6E$pzZ7F6tnu|1R4^~TO=4UJ&j|7 zfp^!GRj(gQUFp6n07oglYGbWzq*(CtKUD8OOylxm5NMOOxQ8*}CpJ?{L?Rnrs}^yw ztNv}+{;Oi`WBu1X&*rh%-J_T`v-qd-J$0hiO7VH`fR$AyxJR}6X2e7x-hr3t2yxjM zhyQEa)t}wg{rK@|7&i$;tesgfpPjI%iB?e`$#`=yXI?l3^eQ?DTPTK}7btsoTK zYjtL=M759@rCMv{yRy0o^n!oS&(1}Y@!o-q_x}b)TB6#ST)ZBDrj>#y#6$SH%>Gjq9 z_ubldvWO5$xdr=tyDQAodF@rLL!A&0+7~T))SB`2A0qQD2N#}33&588p1jzt-LNRq zNmkR=t-R78c+Dro=ZAdc_DI@jWk1)1dvWweKJsCi;4bj}UxHoEWW;O_!yPc$fh;_E z5Ay2T5sl(?|p0GbJlMN+AAy*?aU@?q1#aFuYs>LV=pfRtthZ`Ghuxr zVE)EvT{z;Y4SJzntFGJql%hbZC1~2jm@SXqKIG($TS$z*{z=EFOtNPn{@-(e>xFc! z!`V^H?eIhha3MXZu=aUCne3VtXege0r;8jcUwDu5AEkkgewK?)w2Api&D7dU3qC_& zG_*C{@pqB3@yF~S z*ajn3WDW2IqhP(CQ2TElO!+eRJVaxT_pJLKa`j+R%>;O|@xxe`HeMLIyO{0JF z=QEpkK#%?A&-Za^TL<-b4H=zig_2tPiq5LGFeAo6UOlsIZe1ZBUtE18_l7xY^tYiyyljlqQU5(2LJwm>Eja}hBw(p*}fgZoc?xsWPTa-{^cG{UDtGDC?SS! zqATk5WBGkRFn-p4t(@wospw=rVCCe0zW?y6$Ps%ItA0F3C6xzGF0WX>@FoL%C=2Ho zD5pF^7S2qB7Bo$U*F)>V;ImI#D#s1CooYvR)LcAYe0}>6KRua}L!6O5Bz>lZHeC`uDSv4!AW(&XWP5T1wmq!SfX2nVO#ui&(Dhn9rIl8dg84BFK z7pu$|)KHdf7}sZL9U@dy5vGoKo{R4=4Q=WD)_O_A&qqUi)PE<>qCsjlO?7SYMzY(C zL`vNQ&}!<^G(nngf452V+vT9p-*giSA=mSgd~C{}Rf91;FWWN#rIYL>MFuOsiBgZ; z_NO-@rr01UmK5&FD6XT5DXyUC!=*0Cj$C;dG_}qEB>SX>`gRhvHSuaObkdkFZEYTRPtr@AY8_tu2*jptDEQ%(9=P6BO>c z2^4+eHruj6Yhv&>ut;T=d}7U*r7Jf=UauYJ(LE5Z<}Z=$33#Yx9jmpWs}sL zEkcRm;cZo_5u1Q#tFC{ol*u^m{>ocQC;i39=R? zU1SI(d9Nq)5Z?_0k}2obKRg+{&TAaQt{bG?dCkh_lJN>37Qo^6B(*c{GuZT^HziHC zKqh-<#lG6M)VZgO_+DKcqfkt1=MLj*wN8uxR_v7I3-Q~a7vsHW1;xWF1QkYxV+Ud$k)7@M;k zdnD*&S#sSy;33?shk-Rg@UwykLEf`!wCwo#rFV-G)sq7>`)RQ1t=6DE|PB@(|`# z+Rqlu3l~2!_-5MM2fkbIvuZigs&C%dRWMEcKmliQM!+*AtXm+P64|XeYi+XdJWeVt z;6vD9%W!f-V}F^~lY$Dp&hE74b=%swySwxvh+7Ngi$KqFVP9hkJzD9X@a0`poRDSP zIp|o%G^oUnXW{{Tr@NiVR?j({m-}Gj`q}GXb5EN5LF!vaZ&-s|y$6fO)eG$;zBYf| z^O2pe37-^$IR#9YpO63a8(WUGmHl$tT2?{b<~{gOCCsSNKw4|aU)W$d3l zD!_2wGL|mwHu4g1iBl^Dv#<4ibLJPm2(K|pr5>f;cq4VOIbHq*r2uTyDkw>HN_BMq zHSf6%f~1`aXezY$XFDE=4sDbzuPo`t_0xb4U^3K3k41WkqJ;*=eIAlxpbD{O3jYcP z$(e}!_RVb9lpt$Iwb_@mues`-^%G@F1II5h19wXr7Y@X4H!ZBo08!;%(L$Q+j#WRJ z=RxINV|Qf-HUehXe)LBnv5Z=bgM(F?~afbjP7|qM&(iDzWuPQ4VZBXMa~e#4fERf!G@KNWK^Q6 z=%)H<`WxJ8e}n^GfX*&z2tngnZVztZJN*qe}U=l)Nd%RpTNvPH{dk*g;uK^@Nyy?w@`ZuFN8m@qLGUtegQ>@wD zni$;+^=T=E&6WA@=O_K=oGJ6n{JPX6@y>Faw7Q~QW^^ld^pew3Y~Jg|M3ns$8N#@= z_LAE53s0Kq*>QVSY2t$<>FqxtAqWcI+omX`pq*}djvtKloYRh`Cu&nY=LjBc;zNB< zx1-7dE`X_8l49B*B0~1{9-;(`7Wat1VqIE>{#JWu6hJfTo_Q;GD|oG!)31WB2t{^O z#+_f$_S9O6r#S z-M}?8e@L;ct%AD!#T+n?jg}v5iIgq+j@5oQkCzb)W6Bj58+>*rDOhTl$J=ZO#!)4MFU2FZ6%P)2d#JLd}_YjWbm|E54b$g3+$f> z?p-GrXRCJ6Q$H#OgiQaCZ8N|>fi2LX(!lAkfDC-ScpN~~0`BqIKznTuXs;#O00nWN zxuOLD+IhtcXw|Z@vUS;=sq0TC*?Xe^D^L#TsC0ZrTk}HgCC%u~YA90qmTV|u?$xIf zSENX$Ea@A5qt?3HxgDIH@WV4()YaF-Er1x|Q67=k@{X&#=6X?%X4nDDvbxo_7JJ8W zPmX_pznSIr04T`k=`ljMK-ViPuHNGC_*pYyDpW^`b31A_-S_hRItWOy-DdxixD*%L zo;S56`+nUc@t)C(Z*4&wa<++T0rfQ&#w@uJ!!LmxyAu9o3Hb)QO@5~8$r+EFZuv&N zjk(7Wod_^H65VtQ0o(U&z{vbId;;-4i^G9_9`zV1*Wy=ASX@Jl*C7cW0IfGY{R_zf zv#hjWVed-kFuhQ5$G}t`SX&y(kjqai1{kA*ym5q+*2-~gOmQdkr~LRW&S@UK5hY^C zWNnNu`X=tl{-vC{pM3mZLxk_oiO zMJ^n2@U|cQZs!*$Pz@DxKX%=wG$+wFg7l%e))7mEjv^LoA{cb{Ozm0^{h1zk06VcS z06ueRHo;FVWU-d+^jlsg_yPWkpI+Uhk?_*IN#(~)%@JIhs7+AMm#x$h!_hK?aa%TJgAEa~37-{96}}+^lW(hbKaQ>}w6e?V>Lcj>*^~9}0^tzV1;#QJ)hHy;)v| zBpl~(Vh$Alc$UccvmI&-W+k8iz}HrpQ>ws{dqvW)$8PBUaaK-BR(K z>>{N4qEE?3yN$mVk&=o?T70>DGEfZ5fX713zKtwb6%`rW(qw<8|1^tBD7jnvv;&7K7{{ml3&j1|npt8Z!SI zGENoVm%bh@ENSlxHa$deFFrf&cEob!f7lZ$>FQ?Ms0ac$XO!I<6sv$9Y7~I&B6#SL zX|L~~i^ud)h&089jiVscEKS7hBax}H@FaLg1)&#FvkFLaIT09)74C`(8k->BtfNI^ zwFU;>Kht~d0S$Ylf7WL|`!I)y2_E`xeFG8Wr&Dg@ zd$O4#uJDb8^O%nj5ob7s#G<TIg3rPYi7$l92}3jMN>Q_{IZ_84tu}3;9D|g zO0YcsVHI^JcUal4!l5YpQAmilcs|v<&i-ZgXE=|wfp!Y^S7;Mtcy$w4ZghQ?$%k&j z`Wa67{$jcy2yi8=?0#z6$6x*eEiS~S`)0Q3&6Ustspew6`hx>Rv;~#4TYq>!mg^O7 z&ke63g0L^{>#pI!1;s+%l}XPxoBK3gQ6-6D<`g30_!{3;WVuE4k;S>T3oUidyID-r zrLg5M&C^0K0ktS2U9*;Q<}=1ky3ZAIIJa~)Iv7R$l*OoJ1gDiCN6X$CL8qno{qoZe zE!GINUQ@c+n*HVvZBx)0#WX=`>Yn(n;gq{2G+U!;42Ga9oSrPH2_b39=hI%sdurd} zkg1Ei@xtF{!(RI-70+%_4|dQEl)yySLu0)2_VwKK_YM755?(DN&tw)F2)B;E=cF4d zk+1V4iJu$O;*3aFfCr?*k2-99%l6x-66fbhG z1t>i?!N+>ch*rRf-F<+-MktTtOXI2#7CcVY-~s<=?YfEP*g&_LK*?c9^a^bXCqY5g z?B_|x&#}5c7@k8a7gO=&Rr!WOX)1cG)S|&L>N_=qEERWkIlPvYSF0&ht8yyPo8!rT&nRqn zuCkY|gsIAmZ25n+WIuYu;Z5ro+B6oGB>=w&KMbIi%dtE6`4HUO^!AY3iZncx=k`sz zdj|Y2UgxP0Mn0Tnoj!m4#;DiRr!KqfTa->y;==mjhl5ei6(88KRR$=B=ctNyOl0+z>ej~KjL%tZ$|Xw?>0&U%`jh#XfM% zq#l*bTV1pGBgsnkj}$1^)D?#6)+XPGuhTQieNQAZzd!2e$4$hhlO*yfUrPiAc1%?p-fYmsZnOJYs1msnkoA{=x2(pZiO zPN=;RdD2GQU9!L*dx(2{Ta+(W2Zxn4vN?=9xRHQDsmv7;-juf@J&WT00@gBlx}lOX zVwvfb$a-85=c}@qx-}n<8Pwaj8wt0fq_l3l>gA{?#nwu1F>DG@&U<0ZnoaVmatih0 zLH(<9pU@DVzG~GcrS9eE&tJr7S|&Z;#Zr;OjM;llC|3KjiP6NnZG4jnuiK(t`Zi-X zx{`#nI8uH$c@O>I%0D%k@cD3BFA7`_O-Wi~z_&AHLAuWR-2IlqwBqx?nu5*n1$6h<};(-q{*y2gU?3urr270Q#DiRFqT7=4YCCXz)59By&n2P1x zy^1_$An}u%uGI*om!VkTo=IJAB^}9asSvFUt36>}VpsjJ79u~2+i5|Kbt^KxgI=_K z5`E{V4}j~n|LFKkH${ZF-!qkT&uSyW`~z)ZsFFppMRF(WI8Mn6Q-A0f!`@3|(QG%G z2VUAA##?(UO4(H@|kw za}Z2_vF*@Zb{LV>u<_LfYxgN#} z$eFsthr5;r^N0(V2n66baZoe7J$fby`!9B)B*uzPwAiVhJpv@#V%VxLq8(|+adKV& zh5%u`)`|@XvgO?q<*)lPV(s<55fL#k%LEo1g+9I9>{Qlh{ujYn()K+8fXK{ji+G-# z9Z%U=RBD`4di7wa*zh2)LcEM(KW)QypachJUyCqwm?-+b$4)rP$j!IVCe`bu;@4f5 zWmUo&O+}_?agt=7g8?J1=K`Qz6R-CuO4;DE&8Y5KS0cQ@x4P;Qd)umM3sx)^kIh(_ zOgcZ*iD^lW<2Wh$ZNQ!SlfZgVuWsr4vrp1>8fGbVNVr~x&zn-`SsC+nF6rM%{H)9_ ze0n?B&EGqB!FX>0a_)et3{4vwGiXUUY@QA6FU%pB{ue9Y|I>v3zxw@u1Nx`)H|(hY zuVE~3vivM|0o#IWLQ!=5@~ot3`Z|QrHh{ebz{fMCslc|cpFE)X8#*eUU{G zKbJMp8MK)(0|{;6&qF%!+)lbxc# z^2+bKvp|1RB!Cc9oUElOfQwEO}B?`#mw=075rnwx1X;dAA3G{hj zR@j!I;GXccGi;4px(NF(=R zPJ5b%)mw{;Pb!sw-V{gRZB_O?b;V+vCew=Ww6=7sfP@N5qe4>6JXhSMyM$(60;y^F zm2pGGCYZ5o6gBS=J@e7kHl={0_faPz+tTL>;BGbPYd`%djz1QiaG-AdR}F~&4V?zSgAgd?k6)mlz6kak(|^(r4sWwToa=lq@5x1$ z&U$Pi4%%oV8lU+PZ)%r1VUs5Hh3@(BBRck1_zQi_Iy;Q;#b*)k(GRR}2pW^07QHv# z`J$-VF-B%e!EnZg#!z^ib{2g%D%t_!W!Lj)rr$#X$JM6ZuLMpdt4?>(w;=sH*dtbdJ@%0)ksxY z6YaMAT7AlDl|`p-7CDBYDNv@6;HJ3kGf2eRib$J-ThB#++Z04mW)XqMD+!m?eBWB~ zgrD?+>*WzXvH+~T5bOp0**bG{23~w_Yf+UZ#!afoaXic#o`bFR9EWwyYeWZ`?1B^O zF-Gvy=kQy}&lSx2NmO$7Q_^B}KoK#1VB(R7Nk$;<;FVqj;G``8W*#L-MMAA|^!F+i z3xC2hB?zCWokt~KOZQmyr=P@6THm^Q*Z*`iuGfnUJr+Qd)OBI_{!u|~XmaV&!eT>1 zRjiO=BY!c|(s0MXtvgw+oU1_DKeX{*a?<-V6cZ6v6a4vDA(qM zkuIowBT60G#EC9C#@ljBnyHZ?*`q`YAYwn4?Y}r^9Os&PY{lRPdslDL6eq9CC^#1o z5Vb+btM{X(0fv$-AIw?COJ3QQ)ITKX526-n#}dbJ-Xxapl%j?f%i{gyw@o4B%8?uq zI-wnuD)W+x^{oWTf=nGbM1t_yNI-Dny{^{^HDL6!otZnotS*xNt=E=yrfPA7Q>D;Q zSdz|QD4AtE7PqXuOGda6kxTn@fe!7{_1dKPHX$|3r7fIHW!Lu>Ag3%@{5?=xTxB8%$>6Udq!%DS?{j+R|NhqAV61 z53$O>G@2ow3PY|)euJ??PLI$}bJ3(RX6W}Cq>$AcNuZiv2q38bdC)&^!9SmZe@=vd zt_A;Hm&2l_ujv-Wb7~ev^G0R{YHpFc7G!FzI7ug~n5A4!Jp)`ILd9XAzi`B?!#Acl9c2g2;=^z#46CtbzG zu3i7elM<6!p4YrNcL{Q+!`l3JS6EQiVb7K-!zCZmW9n98`9x&1bTdiT7({Z<>V zh8xA@TR%597sti$d8Ra~!L^fPl?@!0j5E+|Ei$YtfT&{JPjtbO z>%!*}J{u(^>14~fM?&LO(*Ty=g6Fk3iZ~8sSm$dZXwNBS$mi+9aZes#7pL83(t>$7_z=IGT5T`(&N0T@IPC@FwFdtS4#kI5gs{L4!oMW$}vs2BGdx z?>$5u(`b^L=8h~8`0Dw}7*f^an=qutKS}n@DwmeGuNMk=T-nSyTR?jTsKCad*xKQ- zkOKdtYX-wQASe6bo#mC(d;tMFj2^X)@{iBz0ARm#6nkysZ$@s?kZ*(B?GYZ{XBMo^ z2lj6gHaz4`=oSt6$EZ)qtG(AEgv)W}Y)#o`%F54t#ifvE>EOf}@HTecz&qD_fu0)~ z??zqis{ys9x_xLtcGt-^@eM~MJAm#f8`cyS zUh70)7jPdzigdl`-gizptiy8`847=o{RW?}NSX2GaIRbd7_yHjv}va3e0SrB)(jlk zhc~&V-)lh_O*rJm4(*%Sax|}06MGBwRQN!8X(0e|c8SNsikz*5 zOPw>2mA4pt-FGuuv~%feN=b8=nA!aj-A{%`^gUf}l=9nOEUW)_Z`If=&Kf=4S_$t_ zcGqLr^+^%O{6Q0*UVgR9m#*&sPF(XKABpaL**TuYT;5F%*`F@#9h|4jCm%vdw|}bH zJvC9XeK1|)MKQuPYN!^D`<*QDxp9?W2@*6++u>aML-zxx0?Bh>+vdKf`x6mgGXc{t z@Th?*n2;4OqY8FUO3L?2Wz0HH z>Nl?BqQuVPVmnkh1Q2+ZEyD@0Eu+|l8PNR7fBYwOA)!(pz8=MfyYzhUAG4tz_O+h1 zs*V{wBU2ee34InCx0>4~ukM+~}VrVbH+cncTS*GUgRvyl%CEuvjCGcpi z_^u1!dIdWdj`6jA;n6SF&S8uo_`*)|FCk=vn%8h^cL={2tfLfwz{k z3P*SHD`!QIdl*B&nSb{#hCkj5wp9es(t#3Q6QMdGwdi>OK|clI9G?{aj6Q^O^fV#9SNasGBBpv>*|05x}C9 z!JPmXT^(!Z^GahwQ$y9w75(K0gRs0l&!+2GIqF-kgdm-NeMz#ve-4|9cHA|{7wpjd z2;MM0n_e`3%UB}Sg7IXN-uZT({~ zb%_bh`rUHEv;6v46oUu!oiAT~UDNejy%ZSJb>ZR1hu>XqE8+D^jo+UAGdFMu+`Ybo zV#$VAK-N{yvyo5!BBZc&WWCV#qy8qi+VSYT8fIGjoAB2k*PDMmFUWh1{4^1<&-))M$-P{1i_Xw9SsSBMEZ>{`&9HC92FNQStWz~w zIC|Hm@XS5fET5tBV#9(Tll`43W1{2Q#*FYf7mY=+K-()WSApc^?JtnZiRUH#6M2p1 zn#1J`52}kCtUoht3jgHvDo#cktsfzuqwv0hlMZBGT|1?trJAuDLIh zq9@PA4*@ervnQWMT6=WgF)+qHbLMo(fOjV>x#+c!x!lAR4MJ_jYl&MAKlT@feebDw zCl7*DhzpNXzyt7VS`~b#^`2(+$h5{SwJ}Y7zhcT(VPg<76~h zL!;d^3m_6i=9d7eL1Wg3qjF4g=C=VS>&g-{q! zclwthq*e%AfFX96CZaGENE<*{3PuRicb}Kpz8070FPfTIFquD=AK?f$nIUs1S}l z@3*+bL64W@6Z=t&66F07)1ngl44-E88xs#Hl3Idr9#Aco^M47sBOmfIa<4E4x0E}l zx;AuXlq#q09fjDJ2+Ta2Tnz2IAmHnp&6hxNv-be~K~0M07wDA{?+tOX@&?7Hvb_~z zeJPO#DLTzTM$P&>ge~=+L-UFEQdunc`o(|Z9_h6K%j+N)-09}s+xAm=-PFiaTaRVN z1tYtr&?IM8Rg31zB+Kxjc~EftkPrDQx!ZwKNl;>VO8Umbnq`1^Z5Dhccc=TB;YH$0 zdmjv~(dPMX@;&_IwX84SP*g~RFZS(te*E4gV?~306{>7`<153CZ|etO*ZE2W*&j1| zNZob=rE$8@m;g^g&Z-QkE1ZDSbt_`^OEOEo)W*)K25!BO4F@oByQWrf$}WlXQg~SR z%Ux5E=~D**sAI_T2MUDB_kanG;Cp2R^3Jzi;x(j5)j_5;KX_{6=>3SA+XZXq?k|z5 zN`!bDKJ;tnd)Gfw?L}X^;KxWt=(R3;{!XC~(xuGfGCOc=ehlzOKJRDmxw!}vzGS30UNT}< zC+{j>I(h9d=c7@FAZxyMW+V}U_LXniMK%E#b8pJ9ZU$wxF4<1DuUj+-cm^RB zI~|%CYn%%(BH{XpJ{!~}PDpLY8SvSE8bgyFAy0u1719pG!$6E%1Nfv+Zy_kYt!oe? z6COnMR+{9mK#M}L`WAo&AXvhG(sV;{a>J``DJxPcx(kdoN@PT zFXUHYbpX=2mNTazf~fMjzVXMNHt|=z`!&xj;=Q#*`-79@f?+y*08V$a&mz z+Z7h(X_06U``jhFE0Ej1DP}YVkq#JiB~m+ZNm23eypC}lb543XK~&c3GTTtjHR&!( zZ7)Gr5Dr{=*g^*SVQaPO8sZ_sjkqTnA;#lYOSL-gGKC+BKdidU9+wsD$=gx?CC6Ol{? z)5eg%5qG;ZpC@ebDCWjQ<}J$W{7GmsvxqMPis!P($E&dXfQtoL$d)yF{&0Vhe3~KbK03v`7H%C^ zOGl=l%YH3mMCEi#MPn&a_4ekAQHA{w%q4%)Kk$v-wOlT0a0 zN{p`@#DG<84Igxz9RWFhGXputo`jrA`qaXAIu}UM6A)5iCJS`>S9NO_TlP%$!@Q>Y zgaWbU;D%~vIV>sjvb|N{PHS|EnvypmwsS?IBkmcxlg=wfSt-e5C38%@)-D_bS<+hK zAHe*Gq{Djlk0@-LmS)ZuGF-~?zoxr;G}+|x_jDlH#NW0Mu2j^%4=<#fC8KV|Q=IF;L}Z(kaE=6gskj)Z5_ z!N&{5j4K9d3$OHAY|lNfJVI)dqaR`C*Qnj$XYBg&5JTG+*erfxr6E&am+l2%%^b9F zyPccZ`MRb|9xliY3=k&NB*fE5krLS<0Oq;^RGqT#%BrS~4gvUb&6kCZxp;8RqtgRFUq^%rtElNsn3E^#P#FX@T}-)2@+$OOh5>+VTOwb zWwk+2PO`9@Du3KHsfNov^GKUGcI&pK)vYx+Ab5$DfP}y|ln@%I2VV3{_WOlKy*?kt zjru*fTbvs{@P3r4qe6?Q-vp#cU#Q-AVU>lvQEBYr;aWYmyQ^L$W0U%W;4UEZ&*_r$l|X znr|s(*Mdh`z6;faaD#9o50Bnh%JCDPvDPZSQRB>IoW~6vAo7-n4;bkDe9zZIVza;A zgiXsucmWD4&(O)s_QB84V-{rug63th0WC*LrB>M-BV8Z`Z5q zRd0(lD}7oSa+PDpk`~J$qD6N80*L|$6xR#%plJl4o|N4OAv*);Rpj$4woO^d_aO5= zies2G21-wMa0ppQf@j}=_BfUM7;u5I0uaiC1pfk&wO2#B3~m6#3r{+F_}ru&7IR$( zBzzC2&CovMC%%Qn9#FtJF*|eD)Av-d3WN(+F&Ijv44Sp+D*T- z+Aompk9Nuu7-9?xt+b+fdnV`u+U%@i47~OZffx?eAm(vwQ* z5$bYs$+O`uF77BG@Eo*pUl}@*+}6G(xy-Y1;3-2Exku$7)w*q!#>Bwe@G9Ce zgLbDD1TKgwbzgp|fS_-+&I3H-d|-jCDYEzaUC(uA%0k8tb-r#fgLnrDJiB9keAxOv zyIFq$35Y%z1kgUUJ5cdbC(G>C__WuwkM#)mcyPIPzd+ z65Hl|?Dv5O9Qt4NQ4O?S!ue%;_lW{!=xpi95!xqqZycm{;}x;rmpQ$6!JZ?>3Sm>( z^H=%lj3JX9M~t$W=9Rfg4K1M%Fv(}R{>S6nOget^SCMtm?T?@1}~t7m?YyUYSrYv6L=>DeiPSy6cd133-4?W zn(cY9hxbiUcyp>`5$4j*^bAM3YmFy+?L}B z3i%%5>%3S`{nHJmD@C8S^NWQFEOzuHL}%$2=KN znQeT(#(uw@ayVM|A*f6bT7Zg5%|5^Xqy2Ql1*mDX6UuHH0|8dWOzAIB0Zk+zWclwj z!8i%}(!MwGgs|3e-b>5x<}Q7&g{gDM5VC}E=Y_Y|;y?^tvo6g0EC$G-4Ns8akp5`R z*4U4&Udr2Vi~^Oh-|+XmJR(fU4U+16v9Z%wB2dCw+#Y392Kxm{IU1i#mhska{$g8c zdtayaJrP$iJ~aPhXri@LO(OB_oi}WsnyblHD$j^69~lze1PL?T&{=6Mn;=Ua-x!%t zDkLt6leDC@6n<}9tBNwew^4X&WBRP9$v>stYki+v&!B4Z00!f7bVzpK&1wS4!E z>2oyb7JK6!CqiGz&b3rmO^3H8y;&pws9BnFp3cMyoYDobY1Nktq+IOksM*SjgRGDUHI#kD%nzS2`Ob>S|J$!c_QD4Ab014DY;6lmc~fCCu$dKsxIRuKLG zODLZJKdTG2u0W;9DpD_iSR-w?JIyiESq3(fj$75BVUna!r#LVmsc%VoSB|;ecgT&y zJBvar&ELx;Tj$1Iswv(%`O}XV#^op`3TO0*`izvSm8Z-mz*rZhG?IpRIUhfnr)ChK zwPv}6NrY7PxQ49EgJ-p}paBOy$;o+jJC!RHy?4T*H{M2#3MM4WB;e>My0$6Da<}{5 zujyvSt-#Pk-|k7??0v z=?A<8FwDs#`c$Yd$ZH?}wO;OjkKgrVivS76DW|Q9lxx`i5BdUga|&!*-=98a%A(TA z)9Ez(SjZr7a&jlo{oY^h)!k9< z5$nEVmw4djgJzkXbDmH4XsTzwU&}R&$;CADCuPo4fp#4aUsczp?H$_yiT!<5tK=>q zv1j|31p<+(mf54pVE7v`6!w`OaYHe=i>h7(stbY=Sa%$zBIx8pu_M2YsM)SL5p{YH zPS0rViHaOn84VXLs|TEq-c@*F?ZrZbwo{!Vt6-u)KbwjFzg25ml;BIvn|C zoE{ZkIqP2|+x#~E@uEuyQygPH13cO;6}7+eX!ee)R|LE8v65{+3-HEcB-KyUU`-lv_&4~TuI+e0 z(3AoeMR(MhT`_Tfo-QwSZg*qHJ}DU)3G>-kf>wjnEn z%`Hc}Wj6FWf>+lmlAyA8cMXR-{fig&Quz%H%98Joo=AlQP0-g3#4WE9RcUnv_aVfm z`lQnKU51YBHjS!fLcZgP_duwXCmom?)S5fx)MW~N?Q_ZOtW%M6t?9yRq9&=K6NNY@urN3OgLjEvVC*CF$LW#|jtV zY6Sf-zH-LHu5O_ftX6ODTyiVP+FnH8FZqS!`*ljqfg=O=py%O$EWp~r02B}dmG{*CU zy40&X^iB!wvaP8Jb!`3Lmo``;kA3}R4S`G&05Tg2f~!p?YoeIGeu&a&nEN^Q_BqR& zJ1kmW6bl#@!C9P{^jrw6pFY?zeU-j!qn{8DWQm5sRUbE7>*f1NVI8j&Kym|;azYqK z_npE|m(_XdZ&KId^i;-n4H5zRL-?)|haH=m zIMraz{`=NZhT8;2{z~01YPId72%r8aJ!B@#%=@+{#=@A;>mj_wfTwsA?Qto?wufhB zOOF0TpJ`=f>*^@-q>7q4-w$KkQPL&43|CmKLnLjdM_LNJ1RmC}Fp4UUI~XR=jIyQ0 z<5c=$^GWqXHZN-;(f7x_ARqR?7Cnm%2;Lkr>}ljS4dh|^g6H1Q8j+<@bc!4Lu>;$Hn*k>!1h}iX+ zg+0s3Q$itv@Z97eH4o=`%{?(TmN*vi{`4%#KreS)${69|Wh{lnYxRk;+K3-@mlQkL zN_Z>F`h^_>ik>?m!UMLtfC>V0gTu?dM_$&n(TTf0_hRfSiIoQhcSgA+qx;0KzXVDP zL>?3U59;1JD(Zjj`yB*9q@<*~q`Raw3Sh*PD9Tir2mJnzL1KH-j|P!^=4} zm0gsv?tcEll9G4n>Y9qwBvLD+^R{H~;x?!mQI+dH%4^jPG@^+W;^v;d=ikz5G1vom z&tj}V=Qlgzq8rK--M)0Q)jrg#i;EWdOic+q#(2y?_>jJM!s+NS4`#2^7lAwJTz%_# ziQZK2D^^>{ak{$nwU4N%{=2V0j)8&wjBZdK1h_zVPm0W!?U!ZZ&v{+_^61!CkAq&* znAw4dP=5M#PXGK3+L=`cer_rfAAA9L>};n4bsz3$}2h@J%3@q&iwSB|(|q<*(1 z$xzq#sGLaNo1Yj;>nma8kPt!WVcw+6k}*;MkgX>;$afAaT3wrS-#rrpQ>M9=Cjp&l z`nnKm)L$0= zq-gw~BEmlwyv-%+EWldpXTK|eU^k%&)%-7;G9LY>zSQFXye=;MU#@=#;YOK0CGPfW zljmh}w_KO|PlLtADa54f-!%p~i}|xyF7h~d^x|<_uh|pN>%X$KhPvBfxvR=is_haK zfB)oP{BRkB>i^KKTKg$KSLa5Y&No(l>+1xvK{5VdKu~t;l{)8h_xlY(d+T>>qxHn}8a! zY|HO#o$jb$@hsiGBZFi5yd)L#4xHUx#r0IjSyW5j;A5q%^4$D>J73)gRWI$Vd5#0? z$IA*tb#z2ZjB4M;Gbt0)hcyV(9`sQclqt!0l8U3#ppsw<5WSs!$;86h3Bdd+U;bZ) z8ti-f*Aq1d8a1;5LsW`$l=2lu`nmn(C@B8#WF6$k8UX!8dzmx>Dcxv(De3zgbjjJ> zXrH>b?UNqwE82Uw!9qGP1~{xfw!wn$Q;{#08Q{#RP50(EZVSz0V8oqntx0A>o#>8; z0nINYd-eTt=6aL$x0qpw&P1X|peK4=+(WF%fX|zm-vWT*5YR=&;5~K6ZB@;}MYg{| zNzl46lWky_@^*8iib`rm$T5Szng$kk;OGl9p9dcm+t^#mrXxCspMN#4mu278C5nwK z&H(D(Kfh1f-oUA$Wh-OAntL4gA;o~Ju*(XiGQ~T11KHOLv`F&A$-ZUW=EmTev7QW? zjBPia^>9wbryf**Cjuu8y=NhjUGa8O-*_XHE|Z{pPe%h;8@rWD@s=dAz4V1%r>Gn=mK*8szdMV z71mYmq`fUuokVYMijJwciCB)2Q)DEs)SBpI{AS~%x5C3tI3whjaNMEYL90lLP{+nc ze%5_VQUMg84Vf;8X{PdU!70m(5SNk|KCb8?()-GBDn|KGm4Xq-3D#Ene^;jyx4Y-8 znojb4So@QsgL4z3`Bz;iX<10wdy^If4Uqvxt4Da{BJpd(3-U zke!OYQ~yZoa=v$v!y}1=uT(8x9+jgTCGN#dtO2mj%;AR$j83P{zPWKuEH7;7$R+SSMa>D83Ib3bB4=Idm}7>y*|nkey# z_l1@8N4+S7rLu-ysM~)04*lZk2Xi6o2AtYM0vp#~nxai+;W>r{|V zQAd8JW-*|}7ykGj{`YXpzkgg(Y^C*F=5yVA*-=f@SDiC1E;F5+H($_oLs1z&UC{e; z{%KB`FNM?G?fTT=B#z9fkMGlynwW-5zewT@YBGMnV4z`tcXnr9=vP=ZE+7&!8fU1) zbhqHe(3zp0;}oZ531WM(h`Wk1t)M2B*Ka=-KS(4U>><6*wNHMb zffm<}635Pt#K+`r^H|4wV(oT%zon#KH<=w2v;3%bixm0>(?~rFTS)2Y^v`C|Y=qw! zvSYk@iGOTi`#yY{?o4RM?kLNgOl0g$3JCa6e6HNTio)o6zO-N0&&8EZ?#;@Q6A=(^ zONQ3?dIRlfvAvAO4DMUhbA(LI($7qYSAOf|;Mnh+VX8CB0xEfNhZ_7ac=SIEaStbs zTWviz)MwM%X3Fzi$Lxh9k8d>>>>PV}pKQJIIZ0{6;a0Ag;GnOVC@m|s`979pZ%fca zZW`xM3?gI9EE2T(*Flk6zJI5_YK~F3Tde?hsiZdzDf({9@f}ViD|N}FeDbZUpDy+^ zkbn2x+L|w@-*Y9wKbrZ7173JXJpmc1>Wv?%NAJ!T>AAOd+6>HVG~gj!6o@<2)g_Gf zxIOqHkYHFhWo)aQZt}I8k+Ci@H*WNr)}&}tyG-o`^;^j>IHX%ho7_Cq1^Re*hU76r zq7N=s7?&bVYw^UFLizrs0NIbC4{Dn2S49Om+1qdILy$w(=4(e*y?438L&zA=J57fI z?f~92)KU3zs2UtMsld8XHpo!@>(VspTYtuDCK`8I zlsNYeahD!P2&}kf?D}TXZ!^oUQyWe&#Q^&le70p!8Lv;liY?J@NLOZVQ$qYK1}Xm@?-H78LHw*0EZ& z@aVoBg&U@7R-#jEiJ~$r&OkqlTds|fE{G$^rjJ}6MzY457*q3j+Pw-kSiL~aE)Vb* z$;3ja+;&L{%^W+=0h6~i(&-A<8Jlj2nr(+8QmlYzQBek*pIW#HtC?i(HVWD95QFif zW(JNb`CxsaTibB?t-)7;TeVn1Shymqv&Fz)R4iwAp4(sYp*})vU9uv#V_V;__twre zEKu>}Hfv2c`E}S&2gf7*Ocdubm9h5NeP0H=`<60A7pyMYE}V7_D>)n4qCS(1i^Y9% zmGmMdTdth@TNbH|?3iM-zdID~{yj1tOQ4fbr z#?4-m;@ND>Hv$xe%xQ7rHA5q${*iW<9@E8PJ#g2tp-+@+2bm=+hyYQz#6n>}TW%o? zcFEo)mreb+Yhr10m=iRIiB`mxwLwei^?1H?fy(Vo+e{57^XRE)4UV9(b^Tk$u5I*Z zn0s+VY38&hBK`g7364g@u)NDyID4NFT|?qrY0b)y`(%a>d@Qk;a?6iErWrh7Dn~8g z?R|$ZK?eApkps64FQmlQ-_-K!jVnL+Nz;j;CddIoDo$49KC1#ds*Uv_{@mzWXInVn zu(Kn-fr}Yw`_8})4V`o&UZd=eVZFtxo7`|VriK&OM@+Vfq*klZtTfa-S)*8qH8y&p z>06Kye_+P+qI-U9G$ER5QpsZJ0baN_xud&Zxl5n{o#H?znSq9`) zmv|$Dzignub*-R58?f;085&42z%kw^NajBU_x;~a@Bet-L%SP%&9(CAH|UrfAc2+` zl^6k@ccfBXr_^o4Z_pmwZ1K;AO~6d$f0+PFoGw&CRT%za;3Q551u-qlrB6(%=qclI-y21wrY`?Ca=J7vcE&@e8DGvx`#bP` zSb<{Q+dje}@z`2*&RlHsh9TEIy_aA2nb)DVx_Qs}3sVDH5?11#le5zvFuF${C=0Dh z+nUyWG$1)1Zs%I`q!S%mTh4>cm8uU*4Dde2oy0v!_gJ35eEsGm-vjWcv}f{*0-JVrQV~+m{!H=P7JON%euKUw zefFJhJN7f)cLdi_d5=|NdApD7Vj#)4~>6|RJo_<@UQh!Cfz|BDn87pv->)a31`YiPF>2qR7CF@@aPIB z;9XjAFMG8v8x{08%6f4K-%?Y}D+5UiH{8N2Kl_dIgzkIX{u1@TZG4k`4nS}%vOv%Kwp|`x{Aj9FUSp8eP3H5C#LceH89zcwfS$3@BA;~8@I zSzOLG|MiMUj9kTA0o(g7!H!r{wKzVy$O_P14jUNp!kInVrO?i0MvA|@!ii~-lf?rK z_YH5Ik%`P>(xAr$L?8gq3hQn=#hC&+R$icOt+3siYKDUO5BG2RM}o?_@|M)e{21b_(!g{>DE1NYz3QMKGZW} zBBn9*Om}k$(ef*lg)e%SN`)!(Ac5Xu`H-GMeM_OSV!8CjsD5FR5L&1(!PA7+w{?jk z2G3Se3?CBxS2Xg2B z?Hb*E#6jdr)qj-I^!H0O)!ur*u`!Ay4Foq#5&!(gJ;d>`FEjlW_mXi#2W{j95lW9Y z_ms=R!KM@}1K_ZY-_@OM1}{osLI{%B?;X>th|IBCl%{~iS}@_1rfFS%JK49N~G#zSMIC> zCxHW?{mH(@i~`{0gVg56s9K^MQ@%`$b<_0W7h&4Sjg%T#FR9>f5C!6( zJ0+peM`cVqUZ%z)p7UYzqt9q#FNP1VsXnqbz@FyiBZk%t5_$4Qf$D?xsAj_G0ZKW1Sc353_jiFPAme%vp%;KPwD~0-oTY-QrqGxerkJ!)H{9l zitkSJ%&UI7E%G5+EEVq=4?WK&y4+G6s<~T@{!bJ5SeQ!_&a3XrSa*m*B{Sr($$HI6 za4Ie6%v6;~R`PN@EzLZiEpIet9g21^zn#6>Lf>ULQ4>t&u`8o zuw>xiFmbnB_kvz>m&6eCSX%*CJ(rfbhOYxWSPV+^{+}PJKJfPt9edEi=P%y19^AHW zO%v*i^7D?{$KIxK>@r{xD^%;Xq`|YnK1A!ADo|-tC@4)G!J-dL;NV=h9Y!3}Wfu*& ziH$vF=UUcQn%KR}Q3*QHxI8{610_g)Alt6+P6y#qI;VG?Vi$j(b2-=CL#>_qAlHvo z)aD1n76uhu?v7!;;EsC*p&$wPhzKAES-&de_%`>=Z%_>oUAg17v?J2jYJr*q&kQ?k zX8JB!RM_Lf@pwUKp{IE>z}KYnJA9}?ld8Ym`_=l`(}n9m%_`V&0-YG{w*wCsdh@^? zp3_P3O}17sLAQ|Dz=`@L@ES60a>Qe8C>4rhrOq3>GtvJ#Hf+F>j<$DmBTifL97U-| z3Re9aRA<+=Mg$x;47Vg(w^El$^R44ovPZX)kd`LWqv#9k4hXEmuLjt4`@Dg~r#W0< zy!HcxCCjtsrJ=~{G~0!?6_KmrR#t@ADwx>1PH(g&+Xt%Nu&_OHIk2%*^OAe89CWRL zi(yr>3Jq$-g~^t1<*h~0912%<+Af1@l(|eeN@c0~_e)0kdqB>uw39p20$vg9%8CbX zQf#vf=Y#lqg&(*cqdj=RAhID!Is5gFV!ZTD3huRDo}8bPS1mR7+9OUNdU)n|cz759 zL4Gg>t1Ej6I#6Q+f0hDo9YjRw^8B@@UDB(#DpB=_oo3%HZX2FzURIAVGeE+a22+nM~U zy+oIVEIOZzv|zq$6Bd0xW>p36>he4Z6F7Oy5=3gcEZHk{2&&QXj-l4?G zR^WJ~aV(HY;=a>O{se9p_&$4&{OR|UZ#?@nR&l59)1S?FN-9u3svP?on56y05W7`( zH)3t2bWeq}wY4eVM@`W+_Lod8=8y97{myI<)UHlGh z6_r~xujUdr2D=`tG}%$GJwBThJu-mywc%N1upz+ZyYo2E`3v?;hQW|woh#c6Vf*Az z)Gc)niIOb%af90$^pTb4Xl6c@*7_jtvns;SH{qmqT~9o)8Y%)*ctq*_g?IlA!Q+qc z@!uhOJpOM&H~h2xnt%2j>w$Je!S3vAv_maSJU8Z2BXiH7psNjQVcvqA_~KM-|H(pd zD6g3gYJfaun%#A@PGlTdCD5d=cgkH#!|>(R;nPZ)Ed|FdfhJTQNMm!_4o#F3`B=0# zX34HLx$<(U?~s5of9bvhoI z^0j4$w9Ygs36YU>u{c)wb6QX^M5`XCnBScx1!{hXU2TwNhJ~XB^^OOU(Z+br0-tGn z#c4^leUjOyJ=;}vHEA@wy)26h`hjyMYSC%V51YMWc_<17P{_ZowdH~Q-T)FfBwH(iivkZ{4yA?N~C@7#3)M99qQ4e zcn7r@oUi#laap-wdMRO5UD0|Lp1!Cz!C$kW$L%6eT8nr)x9>*HpEyc`W28{DFYIZS zHP^a7o@^GgV*qgS2inD*nVY+A!5u5-s&_zV^NG+8UVP-YW`Phx2GU%!3j=I@xjRl8 z48Na1!^89e;DoU!;V9wD;&MeI^?V)tl6wMkBDy*{HP|FP*?k?7c1~HnUpD`=y(oOE z1J`QES)_1bfB3nQjqlh-^l>DAT67C2XYFV^(6Q`Iid1;bi1Dg^g$4IkY^?Ujt#(tj zv{jc~WkcX}&sjaW!S>rMUAY5#nQCt;AX_TtE&&jwLUZPfB>)~if7{yCM+h9xB;uy6 z;*yx#Zr*1x3D_TKHHUcE;%t@H&Z>pcCMZ4^mVG-#W3}lmYHigjH7nU?P06!&={loO z$y3}^CqGz!Q||W|jL?(B1%h4skcuO~QoNQ{#0C^&ugtNlyt?^dw&f`rJdXH+G z^A3e4d^XQS2{SxMv}ZE0M485A>sMzm2E}6+`aQ7b_pQV$Bg6RTQlWe@1@1})tx>Lq zBi5Ji=}}w4m{c9dmcMKq7}SL}3uKVMY7z^~IER;MD{lpx)vu4y+Md%zGP?#b)QXwL zc@(%qds}hfxQU4b*4w1m@F6Zv7N&6>Ue%WY4edKM=KAibofB5BSI>7M?OZHuHqUHn z6FXu}-tmyt_6q;lLE9*EeQ#mR`c^x#+O}qhQ!^oP!QQJS`jDD}SoW(uW{J@JR#J0m zTr}|2CY=Lcrg>fIwI~yHA;*Ej0qaZot+_^U+Tr7tn>KsB{Zh`j(cbxaCe3Kl89_}_ zz~YX23YCD1%`;8+8 z8TjM*nzGu80&B-Ead{odnK}CF*ke^!;SyUmmHo4O`wfh4ykIv4nh1d=8e)W}2F`n^ zZlF!l_m@UOe6$vyevx{-mtK9M<2`Z+ObDYdNChcc_&brc+emsAtG{K()5kv=tE<3 z$}`R^**IM@ha&Q410PuYL1=N-n}%4I%CpR8K%LaAls{);pK$q%`oIrJyYcV>bc(di z^FE36mCmLUK*Pp4nM4xW+N;$i>NsEixHhR20-$8LM_zJ) zvx%9DIz|p_*yolOt;!rrS9;+PtIGRIi?sLO7mEoP+P6h!M9w~?Co?e4CeOWEyS@7A ze!7=4o9Ol-eEV2lov!}yv_W&Bjxxsc{I)t#Um>UNYZpHQn>bL+-ALj zjOSU29&3Zb(rJ^jeQnIradTq-#ANexmPtWQ7R}6CrI#r(UdmUv6KHGU{MeM}lvLdt zffEfh2x)I%(1OK&%YG|%k9x~+WTc(Rax*4ocX&Le!keb82D`yf5KN8mQm}EhjtH`* z&^0+7^v@CtLK1%1Kk+ZdFWNGu`*V9Rpc|4u{ zILfPR_oytfjK$6_>Z+ldVX~QNE!lU7B(~sJ_vxdnr+rMJ1B_{Le$O}WI4zylj?9(o zTg_M2AAMUow)xz&ErXgNsScFiC^XS+QDxCBZtvH+<8;>RnfH(7L$PCJWfuw42(}#i zU@0Thcc`otmSryYzQ>opA|fnKxY1&h-zM}g9H@mjXv~+1Jx^-6n9Q-Cg~ai94#wrq z(C6i8T{YSrXr%hBH)nn~g%EO9H?;1;IW25o|lv> z^1%Ca{N`xqyO_nabJ2L#7MGp&@$z{5+l(lW`DITAV7TcUw2sx?IDP0l?hqTA(7Z?Z z7*nM42bDs(@S1kuIeQz{?#)O~^u2){H*(_oo{UuQoM&DvAu zDuhWrXmR!*3cee8T25WyJ+KOS1wRT*)U2LvpDd5~Qe2+Byfj2}In+&L;$*Xm#L>k&|Ji7BEE*=7^`Y)mwD+kxq1=Qk(!5|PO z<1EmYV=l+Iog&Ixmbmc%GdC7T%0w_!VT<}XK^VsJ3$w%-}9Lw>=SJ! zpUGLj0w}IK&I1@@Sow<@X7B@e6tUeDY>3P9^ybtD7YRlFTy{ckw=Vew~EY zE~70a)}E6-6Ls48q()NL+c5pmwOFtyZln$YX+k>{aOV7rqy@cyvt9U~Ua7zS`xgmU zTe=X?*4^gXs<~~;Bq#Y6q<01@t}AO@dmE(qDJh?At^>T1zc;ofB*49Nk-fl|*^x|* zfE#APTiMJEnU0aVX}@y&tAWDIz`Jae&pitl*>F$Z)zymjvwDfV=cu|&c=T2PXQN#Z%Oy{h1y>D5qJT7q*e``DreAeS zkBLA9EP1AoJK0n%VAQ~g49b-(B8kd|-Jg^2riLDwt9Rsoiso6hWn0{E1j1@+!vF_& z$Xj}UUFl8KGy(~jAKMPsozLolSW%h1xGq4v0rL8E@}{!2qo!6%9?SuB8uaG2wXr)U z2n_IV3i}!ReYl?SnFwQbz`g*sRgSdFZw zzm$*Kj+?dLOai^{w*6EuMRp;w?JpTV4!qKc=iZUCgrEFWxf(XGaee6YJd5@zolctR zr%XqE%}sKWa3r-~Cp$3NdUD74V_d4b+K^8-&s`wL`VMzD(v{APlZ2LL?Wjj>8cC1X zc!QAk*eEH%!;?X^ycB+_io)Ndz}{Oa5a@vN=%Xq+qhp+>Dn`ZryiYka&uFjlvyn@} zCbzw~SNKWPR0(##qkfhzPDX%0g?$a{LI!2tWdiw%8wo`v?seTpq_EMP68HEwKT@{6 z>W|KSguw~TbL8qzMYi2KLl!;Qct9c}AC}zP`XrxbOkDMm3k5i1rPh~a*s|@*CiV@} z5$6&-!)@wED&B=_g<2(b3s-t5@ zeu;~)?WSRzt*lly(pnGmd2KYtPb2xp)9>Mbq*Jb)6$4S z`S3vmgqxdk=EDNmjq&YV^hE^mf>z#FCYmZ7x2@6ECiO@Dz`lL3RU4UxtHNuP@PZgU z&)6AyB<*G8yuxxsV|}JQa9VqOCcH!8IO-JXq&ik^DRikN9KWB4uLt2{$~|!iPr}}K z#$fEw6GDr<>0Iu%E)i9m3iIokN#uQGn==lrmBpl!4u171BQOKwg$Si~JmLx*qJ?0G zy;W)C;ye+QAXt7Gsae}n#o9p`7&zlE8QjzmFgjyhGVncM9?g;|QTuW1_sB$JcMwQ{ zxmc-ePfhQap;FPWyxJ^ypE17vqS1WCty zOZlhVS0zI6)^sh=gMw*I?M(~ASWPUB17o6+)hN3)Z`G$c1ZqCjh#lw))+gJp2@`S{ zE9i@LJxhLv5jV*9m#D+bFR}qHbb8ue#8d;DC`E&ga4xb{rMj8(1{Zor^EMcd9Xdz%WCkSdO1;b1DKl#tcY8kb`C56B_)Ca<9*ERDwT?FPr- zubl`jdv(=(aJdC)OSzv092(>tac$!QA=ph6t}q#{YiJ8+C8Whq_<&~m#P_qdG(>RU z^Qvov@IQjbc=jr<0hc}yJeFbyj{t(lI)#>tGLkpS0rH_h8#zK-yDhv=5zZCni-lo|Xd0`4Saxw_+%`@ybeEK^J=VwYI-F3eKlX6tJ#Mxuc&PKVpElr;VBekK ztS^CkV0Q+@ztkCgRHCD|s$iQ#VaX6fM;gM*C5a%#VB|eYIm5$rPg*mIf+CPB>y+fL zQ>xqxRO1*ta%&c@nc7JmDc;%P_4=rYX5r;mk0|zORx6cpoz7oQU+b1_>EgFW=7x7S z!LI^KkMnDq;pQQ$4WH&kjg!=ajD+6?lwz4akwzn5l_cmZ0Ak-~YM>AHw!1nA_kMJp z&efD^YX`{M^D)x7lYUg(XTnzgicnDRU*0pM zN!}#&QL|Lk&Xfy^MFdqT?S8J%Tae@LBbTGV=6ZPyq$mEwDA}96^i0Tg^K_YRSt<== z6(GRG4=H@-V9oF^F9fW03GO!t`wbviuazP|f~!k^YEriCN3HUYHxoJEa`B^yr@vzR z^Wh10{+rj!t}=z*M9q+sWJJ-geIC?6ro7HK4L3#f)$E;jge)O0E8&gIP#9D>dh$hG zRbz~HW9{Vp#PWvgIJVW}@*Qn;1<AGU?L(lKa@N%6F{oh{pN4)zuf$ z64m78vh6Qu@!vE-{Xc9Q|7$h(-}RV%xb^vOP+v?CfaC=vRBg?@nw{Su%6C!C_*83< z^9WAGfmQF!*xYnlr|1yg5&E;tme_u@j~iJ#!9~O~+@~UEq|d)8{?M-<@WnoR{_J}j zs}%lY_R)9K+%->M*G@6j*}ADGaOP?+ZRvFuaFU8YSa|;F&SSeqpt}*a6JF|^Tw^`* zvQM=x;rp#qNCH!2k%%{TK&iU~ui<2SJ#$*ifv~No{Z?M);+SH-{xjyZB*E0$ChmwK z*_3N3_Dc=zhbHEQ?!^;69~ZjGgOV*j=#$!}dk1M4hZ{QH4kxh0uY|k9aYpO1+>I%e zDy)4<(-Z_=Je4MY`ZY^*hrMpW1@FO$8Bkm$^D0!Ah{* z*x>y%aqnK3%i0*R&K|$jY)VjcwmrMdX>={p@JjM>dDK;%N5-8iZ2#Fs>rhx$M>UVgQACP9ovnGtqxu< z`uaeIz|F^lY;x>9#fXIOc9JnA*OphA0v#M*lqrA8!-ps^P_a?j-|2sb|f9<2B;C0HaQ+{hGK$>4e)2(oX4VU>T zy`^|z((s==zhXYVzE3H;l7#SZA}01j3T|xe}goUD@#|pud(k7eJ&-t^9i+3b9=@Ny%9#vxYWi6gF4voIR5CGKE#mPOzX4V zjZPi}yU|3Mon01r`gU;BB-vubMa6 zpuU(k4%Ur-uy_gx@O^sm+=^eP5B$N&;UwdVdE<Y<&6$qU=3O8tpTLko-!Uyf*dRasZ#Bi*3(0F(~8K;2S{O%%tT1|#13U8o@$fvqKAV*I0gw!?@F zsI;^d6Z>xM^=G^XB3CSCxRB#~TN*YvpMtKPV$^*sP-74WYfCC;UF6YaYY}es)4}OZ z1!LBvOyx)UFY{hd8Ipz=;mJbQ3u$4sP^m6(h}*mdJ6_nGn3=VJR-J!e^ZhqD!KO_! zOm$hWC;UcG0MZl)%3wVi)xMk7& z{i40>K=Ty@=nk4R>tH0F)a~O7J7HACqB+(5vdBLD zD$Sgf@}oR)Cd^*8K44*;sGi6iP~lqsI;*kA`ajFfZWZZd)-pWAQ6pLOBJFw|c*aQA&kqQ`WL5v%^d!8YT~ zJ3g95%mGNA`LD$TDJ+6pFg3-r3oxh<9In$M*d6DXeY&oTRn3k0P9D2E*Z}5%N_;@1 zit%l(uk=nDE)-O}ugA09EIkO*c2Kd`KuSs_C{ zTV9+q=rQxSPr9_+3`-Qf)aUVul%=p--4Kzmo+$j2Qn;L4=uWXtbfX-UK83`YxmsHI zmXNC~bRrtZ@Bi}k_2P5T9BP@Xo_T22l(<^iu9BtC!_lvar{Y!JPgy6-jLYyxbsj|L zuD<^o?O<({6+ZgN?wBhl5-Y4TjE04SL`?3dDe{9q3$WyZI@tQZALjA@0W|ZUiTeNB zo*RSXCZM-uS$WEA3?|M8mDa{pzsAsreH@n?z#riF#rh?X1(~CmvTpqdxk4`EM`ppb z0dAs%S171brUkjY{~|B48atv2&&Xy@T}$0Nx^6H%eFxE{JW{)kH422keeJ{0gP|WR zgdCZE$u#xt`hAmXMep!O$L;)XDk1?kQA=45u?Pz;&4*Vd2-=v|D8MUP%ukWp$-j%w_yd_-8>--2x!89EcD(>@rC~rx|jXJ^J zQK0h0+W4DnHH{w|EZB}mn%)ehd(_0Rsd=peKb)4)B#zymBeVq1Pt4UzrYlPeJ}oy{ z|HC^$v=AlKyS>kz8X;zB2k2kg?A@h%=ZvNr&6=!oa|)6&)>hCQ`?{-SJPKL0O9Jp* ziN2-}Wk#dEk)@|)OU>CmyK)>J9)iE?BRU@Yed8W3U*YFLzy|%P67MYKyf}2>g;!om!(A(#+18hf;?<(&x z4KO@7Gg6y?!m>qPb5!@MnYbpMk)&o6Xm)xiNR63RiN^>=HOv>*@?A z7T@ex$p$tN8W;jN_b0mp5&&`?Nxh!}30Y?|LQ?Ew~)1`;CvTwKNnTGk~^+NPw6_AFXuTfKm-`&4e}Bqb}8uqK_fOSqdG z&B)zaIngZeR+@XQC4gX&^=m%1l?wVgZ=8e$Y5{Om{sl+=7qvd-38L+mrqAdJY_;;N zFH~|C8NXwDDjxbd)6H_JNo1e*&;AXt+WRjs@#Q%_#nR<_Piyt$=Fb;0#g6J$EOn4Q zHPSIx=E=gf+FQ5v1I0!-aKnP|(Wc91ET1jOOK;xf+jyV8CUN4zy#Baop7H!K2>Oo< z>%X$yfr(U5D?8$(M~}F?D(jBp)+p7fX)qN@K+3RG6D~a1|LFQ_w4BvBH>!K96cKX{ z_Poz%Qi)~NBW@cmUEQ@0s)KHtRuP6!s z`juQXR5AWAfBu5S|LcF>`E-Pm?#%?_`)~A#@A$e`QI3@*0pRRkFY|xoTNgKGWSi&d zD0>wxy|OfaoD^r7Mo&vMAb>LVFD`%8JAU|5LCm3`*OAbS1t*6Ks{mr&CiojYgFV{| z*0@buarh`^`%W`E+(`K&Bm_Q|CGjl$z;({(H?28q|=ilF)9{98cpf9GxaL+kz5 zZ{Gj^zw0kTXBTojWw|9M<^dkw9QY#(9H;IZealm%SJAL5P!_W;y3r(fSH$+0Z>&iH z_e=EOpkGe`Kg4UMkC5tD6ow=nH`PUSKPJ4vCWS`*p^$-3!p9%c6;K(nPF_&S)J2r9 z!f|7A5g~HOL0k290~zl5L=F7})rt8E5Y8Yb-6y($3ep~rw%#!Usqi-QOj0W~om5+% z#aG0UBU~G91WVoGtMwF`p<*7Qq~afHTbUR2y%k~=@fbOTtyco;~>B0`yI6)P$3j(cRPOsLvA!<~SYmk^!&@pMqm44p?*gz}4Mxs!S& z9Pe{0e#?H|Omd;M-p*x4<0bpHflh~`(B2z&t;O9`5|ItG%PicjGJ_b8WIB?)hq^YYrrhYQ6E)~2FY_=wL=?kh?C z+JZcz-~n7)n;|sZqz@XDpZei|;U#OMIco~QFss0>CEQkD!@3|^m$BB7(qht|zsn)Z zoS|Igpq0cb-V(UK5sORN5(V}^7M>?onOkzGJk?2~4Z1p72)4mCmvCSGiC&%g^yH|_ z)&(%ep+n}~nRf zPOryT8{1$Ujlm)79AxT8-1!OSUrayoiB&enskkE89a4^Oib&hBG4NI{44UWq8JW2eZSE$qsv8qbvZKY3N8OXVf)Z44j(!58KY`l7a zsWqar${N#)cqa~y${p7uyNqq6r~1nDa2{P=umvXec192qtVc9|`R$UBDHp%}#I+8` z<54hQ9a>Bygk{T4R`kF~d!18F+!8L<^Kn;e_M@B|Z2uTbN(eoyTp`VWFd7x4lR$NE(l2dn zIn-Jk!0#JUUj z2R!3!zDRwYbU5pAb{C?3feI(3ECj-vjmmah!j7ORqv{_LfPS5+P{&_%#`yD~A{co(L@)WO?LP79?M3 z`uAe)THE*4MXdRS>uE=Lzq$;DHIs{98tatlIhuW($VsN8mYG8NbU~cm%bCgiOjQK?Wj0n5saPYRic;9jW?&&kvMn#48_rY}>bavY zce3kHJ?Y>3h_3r9NT!oOCo^B+L8FiT`f%)>Fg)+RH&rNX-SB}x@Or1mQ+sC1fKVMi zhkm6&!!EKhl9anutVVI` zUB;a7C2_aIBe%FpDb?#uclmIWu$fdjJRhmdCPP15s#yCfz8&oeZ}{R&brkoydH2Z^ z3u-!H;pf63ww%PJ4E1rOTlMuT%vZmpjSIu-(r`vr@`WSCEpzVP!pI zKJY`IPvMDjG4`Zl`faM1tyD%!yKayt-kb%gEg$@FN8|TqX0@fn~XVG zMbOMY871V7xj5zNjuqa^ywSJvs=oFdan1X`40s_n)ln(38$RShJhiGHq0o-|Ire7&DSkldBQze`02H(* zIPL)5PHY8h-_op5%s_8czOt1KBhw$8xC(mu4uugL1627bME?G!=-|0wVog4{VBdkW zCwCR&rTnfm_M;9hv|7hXP7{){9LpGo@aC$>-`TA4c}?}XkV^xhFrkR~8VM|zjuq&G=u2^|cC9whL*_cQP8+3Wwj zd;iyZ_sm`&_Utd2%#a~>?qsg(I?wYse#fzQc4OqqTxRB_YvZQkRntJzI2}2m@8r)2 z>M({o6a@HPxXhUrPv17G@2vphGTiN8vyWE4I=b{KSY@j8u@t%S4R2KZb~0(+=dOj6%)+KTIUY{wRDt?2!{`drED(i``(hU-4CW9%XWb74xH#=M`E%pXjHn>^~# z5})2Y!I_kIinjkuNih6IhqNc-R*$X3%=Uv7Tkne|9z*^th?&S4X?)~u)q|Kp!x3jz z)b@4P${j$;{!M;vE0_;D!gri?6AFQzDK7$vrvu4X5AuY8zBd2SZH)hZ8E!l#-M;Vu z`m2k5{>Y|oUQnQGw%AyiT13U;&Q<7q(+53VSs~^q#c_`=&itWUhN+{&^HW3(ov-)m zjQ$*__>sLUI&uu5;k)d#k|8|*kbH;fBX7%-y}GtqvQWf#EURM6n}n(eJdii@=zx%j3{h={D3T;${cG>m$8 zgvQ^vsVyDGtxiQpZ4-H?Y@Mv-2P-c2=Zul^v|aZ#;Goc zH{P8+8W{5*yI{D?pA`FqUPIi*Z)n_dD#VbTO%EV%p1qIaZ8cXnrjfTJ{6T-+(d5=( z+37zS)4_F9ztK&INk^?}Ul70*rmw*DgevTnVO0FUBh;_CU7uL6So?w+F5~L=b z@2wIG?dIVftMzMmJrb+Z)N63oLn0TbX;e5OuG~0y_mLK?X^dx9yJOMJKGxG(V6=s2+pN!#XYCrX4ee2zttmQkwZ!&bG)OFM{ zl-t6v!)k~7(^;Nh-jFO9pp<`8wEXQT1no=t&Q-Y|i{nxwh(m0!gz z4m&z_2z_m+Q?GISFxLF*np>o1W3$=+nJ6*WhEXXSD=@{lE3oX3A^iB+VDMJ~z9ZpJ zl<+@Vs3iuQrE}eP)xe9ZI3_-Ni9YJf5sX&9^v{pOPscN|(z|$TV`xE~`ikLBY}0d6 z_cZ~jeIn3qFgvvWbVy$4SlNRx*HiN$7kgXtJmF z8u6_}6rzt)Pt~ZNd}ndl-(X;%xO9L-cd-G#9Q_`D)r1M|JQ#@Q19VIyXFK)gWGh*| zacYX-qp#9fuk?1s-HF2Tm4mJa4c4D57IC7GOFi7eFj|$EFiC4gUzWVa9aFxATUHfh zm5rP-APns%lRp=bTI^&km}ZyU^hu zXh<7mEKGf+3((}KU=3x!jN$GY#^U-K0?;IxebWcPvdIllXKlWGo5@ejV{1&7-prDd zE37hq#A}M|+eTs4RhAI{%=)UIyt3x~?q&ClahLHW<OAXFcs(=)h55n%1x#=YUjslgzuF`nah@ig~|Qa!%ZXCDF8l8?*rhh$^OR&253pd zDss(k^s_dv;835-q~Ffh%eHcNZL!ZVfq~{oZBmDW%H_Q@JE()*?a%xU+YB0Bf(iTu zMfE2=QSTC{6+ZKpQgUX z+5z0H2OWek>%`8ARp0&I?X52fkF)iJ>AdAeaI%U3{W0*_L+xfj~MgB;*MF{r!kxBBX6N&}yyv5*$37G`8qna)(TZ$XrYAXNsfWuPL3k9|gvcI>EtlO9ue-mf4{{nH> z_jF&}{r(qdn#ee7Xp-5%l$?!>=^ayX*d;jBCAiP&`_}vSTA{H-rxnTzZzbCG|yXNH=0IA_dnW1-yN($Hbt$yo)vsBb54lD)ok0BlI^T3V~%xy7daU0 z2G0haJ>iW-=l2Im^h+bAH)qaK%x|px-bWkE!hS6eM&SF740E`!umCo{uDe*3Ia&R0 zn5IR;x}H8;xc3redqRX&6IhFjvCcgg1y2a;(SIBh6y-*rx;nrC9q+OjK$HM4NP$ zRCc&xrp*`#-1s|{A_=&G<_RorGmv@%B{I;QwIF`sU!C*Ku)L$msH6z|lFLG=MQ8pM z1+a-v16Lz!&6K0%#s0 zHm>=mEuM}RZ%vU`cG$`;Y9dLlb4Aoz+UsUryfi;v#Gv?>Xty4OthW+_CNy|LC`>=# z6siDPT4&4tw&?!Jm`qdN)|fGiHlK6=w>4!H%bBM1?X%TMVGgdF=?{=pGUJMGc&~VN z&_Y%9z7TvhP&G*r<;EE~yDW6#e@aOlY}Sra1Qux(H+dFJdaO2Q=K; z6x*c(^S*}28Cjj0r#(H)`A~fZ6!mULulKy^{+NEUEnVVBT9}~;qharjD|{EMsGo-s z99*2jO3pzVKMFS9m??GVzv$3));ee-r50{t3KV z%m{oA@Ip}NGeFJXCQdB*ncW5~dn7ZU@{|s2e(~Pm6?vQ7nf!8PSsbF3;wb zU+=3KRd-=|D>?V4u4;k^im0*5J&_d?-_m{}ZvV*h0;;Ny%=g!1jWhb8Lqp|`eaCUr%CQ)nLm-4 z_y9hQ1Hhvh-MuvgeZnITKgq$8YS+w`S9+JBG;fE8f9(sC6XLn!RFBTUNMsD0oe*&( zc2t&ek5Znf#yzD~T>snNni;nn!FAWJg7s-BvBQ*xAjg-uC-Hwz;-$>u*@4tOfI#sc zymmu*KCjPvYzag#Cv^WN2>>FP*?Qgt6~8JY*zPxT@p{JsE!o&glik#-tlFWi ze8Kmy{>RXczSPj9#*tlV)Mua#)ZylbP>9Jcjp280kcp1tSB^h;ocWkAeY{tm9ACJD zcGv-Q88>cacAmsu8S1H^(B;;<-qj zjTOghmqm>@b0Xdc2{{&eoa&=T?r^`9rr&j3tWVaW12sLWupNOI-1H{ zgw379{W;PQ7%);|c}<{!?2eTd4=o%2`pmJyF}t6*N<9C|Qsdk-{;nCryN%C61hj?g zYfO8=yr;;9q(5VCnsoyb72Y&C27FZZaF^^ZJV^SW<--}MpMg?YlxtLyn&ZfBdLH0?@Bf5a?T2&a$}j^YkW4l#@rkgN4U zX+0Gvp}njZye1k7h{xBqzdC+R`9ZBGKW&>&h=eD$*RRnoVxo1!pG}F$nI1m~aF$;H zx9V|_d{>Q(d9BX)Lvn=n1QOo38z_R93^nQ;=p3H`S9dp&*!@f!{r$5nuIL-zr~*s& zxt8$7X}=kctTnPzO)p^YJeTv2byE_c0;HjAi+K~I&tT!lqY#7Nm3v%0@rOAe21~JV zR+~%ryhHl2oi|m=b?U^s1eOs0#X^j&*{rPg7qA12cGD+2sCQdRhijiuHjDy~O~vY8 zu|ze3t;c*_pf(H~Y7W8k7N@84(F-?!1QFm+ybh7YZVUT+Ny&$>og3dKBHLNb2J*Pj z=MDP20fj+1C_&D$L@Z|PYcCNG$RkB+FB{DarNLyWbtJ!=sgxJmH;UJyYHOT7i*S5g zw2T+Z^~yp|3;#;wIDmc3D-h*Ut6lu5)e4*n9UDE_TU_Drkbf|4mi|of&C-4-age zKFwh8L2KNs&yf)gM^vCEp6B1kCS=@ka(LU#K%CLE5qdsN-6lsorSiq z;(Jl`Y>L`XsUe0+pz6D&I~I)VV!-(5&&hN6Cc$3|X*_6c{$wJRIxKP(XJi?MPXsK? zduceojgsmw_Q`f3%=n82_f#Q{q%IQJT zB!_y!JC+SueKmA)&`xYH=e~5reJ?@O6<;`YCf&3OJ8&JvLQej51!VaISfv@PR=@?W zH6X^VOBihnuCrOFm&OenC08cT_xx;SORIKER~-#>$J(;632W#$XoWWB&+T6zAUjL< z=(2mMy8YdB1M0=4R!4X9J>$JuMwHd^sD*n85kQz`NMH#cVj;fr(CZF=F>@qF(u;)6 zZs1NnR^jWR(gfo1Bnd(l*^Ts5_SjjS#Ns6(o|AaKfA)JVyiJ}J6IpQFBO?7y>H3U- zao6FfXWadAh~9rO4pQS%kmzFCOGa7u%z{hyYGl=B;*~Eb6dnUWQzozO%QFJ4{F<95 znISUyguXTE$KJUQwqxC`)l2Mn;?!YM?^FGYV}8(@@2a@yr|dJu`I>D&;>8FHRA!?i z(%;Ro(r0EfS`ogIPG>Nm%KxDM$p|wvK;PzR%3U9g{JF}R_e-1R>7F`Fq@HXvo?!$C ztznlLJp~{`(@=BjI}C#|7u8`MVC^{p zNS6ZKLBU$w#{+}oB0uR%YD4c>3+Fy;;UQ@0sZls)Pkb=da37f~GI;xBvGH0j8s!G> zA1`bbF3X_#sC&nKv?h1y$G#ozem0U)sA6FQlrZt!7gG7%Nb+#b8vnyK-o z;JcPZ0JLYK8vaj*9YW}m##Sfi%IXdcWIjqYN2ke9UxS`HFpE6Fm67W8IU?q=#%eZ@ z8et-^=i)g@y6f%pCz@O5WGeq9KxvMUJ z<1bKO464J2C%&4?L~)$w6RrxR?N=nxX4z{n0Y_S}Zgnz3ugcNV{@7(8lcdu~kaB-< zL&L^Q+}HanMdqs)LcKesa*hkA4w)}T%J#I?$zS_ui}Re@)Ewe!y9k#n>qc5$0G?#~&moBpeN;n# zbJQw)M|tz(2gW;t1?T3Y$t3|pgHnj8L)rTqjEs6@>^cUAB@^PX<;SrT0U?9pL)Y@X z?a$GJVPR(vV@t|9@k}gcgf2o<3Dc8vxWYfrS1D`EHnIvetSI%)9K3p8zEIy=Lq+`T z_3MQ9l!O}zK(4A8ImRDCbvyY7P~v(C-XrO>7WSi7-_~^3HZC*pLp4|ye3K_TaKl^= zN;fB18u#F5S(o(oZ&pSIUPrQhv{jKW35xVT2bg=_wXmf=8oDXYA(}TF?-FU=KMDN- ztjn}I&!zMaKokUK~gNaO9T~v#vAn^1H8P27#p- zf%dscesH;h$U|^ooijigI@8EpCg4RTJh;ETd>Cc8uI4*go+hk7oVlS@*VJkZnlYzIz)osqJfC4DGa!UUKwTb{3o{HAv{3yN-@E7^4vN10jQurl! ztakH`y!mL`>8PZ&Tu+tYZ#X;345d&9hT8in*j$@^=<>;E_sQyJgtzCm4*FHR!|93b zFhuY|`Rw(AIcJJ^-p^7w9TvSr%Vx@hH$G=rOskv3zDILnuNL*ca8VB}U}UE)-yaM-uKE^0v(0N0$?F z2S6@7&`ykoo|tqAK$n4OpN3KS?Tr4@CHr8!wpO(?LRqjmUo4A_sz=p| zJN4GI>YBPcx|+T>MO~cQ!d$pw^)xO>A70?{H@J#Sc^18%b@F1bhXU;=lbdYo*6_(# ztCJFAAooIEk5STmcpT)XmfWFLf@$w(1I=RTs|+0c6Qs|WB9NojIin5go7D8YxvgZ) z1dM!lHP$VgB?e+z$g#wAwN+mlNF69qAIJJA6>sARK`#}n?W<*zn z!Lgnz(i1b9QEMVScLuE?dK{$r9xvw`H#|D!*$^F!-{SC@xKtuYy5vbSeo zW&{qIdio286H{jJtES5r??RIFcV4ovjt01s`E>(|lS;U+*$|M_7BrFh2Q?YxQi&)1 z(p?S0qREn^v8pMPso;Btd#AJfOM6q;$$}$z*dh<+X}1;kjx>#nl=i(ZN7&z6v13o0 zLO-j=k6^a%@|-gk=&g%nhZ&QbPw=;|kMH~T@Qm%M-=oxi8RjP!SsE_jEH9{xbo6f2 zVqymnaVhu^F=J!DkPU{V21}Xxyp@B-h9&?^zzmkO0Kf!5rVaPsV1m1^C4t5FP6=ST z=t4)wW97>Cv%1L}gYG+>GbYYuzpBSe#M7y}*%;!s5{|kaa8>=ChqcG3^|rwd!uw4n z=ACQKGs1_Po9Ysa8tV0Wf+^aFl4H zi6Yv>W=oRxK4brViK+V&nyK&ia*A0~#^$9ojYYG2$-xbyk z{KOc`#e!=(@Gf0rHvL_?jwXr9T=DB<@B43fAMKKCa8taT!siB5IMuQ^5X55uMO0td z7`MX6P*Ipb_MO*@lu;S?z<6rrmd|L6HS%jW0$e4gZ+nrk^s=WKMBJJz8*ugT0i@}F@$}f zW(CHtyUq)4qS$9mQ&(B{9PCjqj~Mslo&pMn^@Q3B%n@PUAl7||syi-rA)60zZ|LEqGl#cBf znkr>cYBW@1R+Jz2fD*zaxy0f(%vdmx9`UJ3WTFuX-pAB?wYGjj2`CkSf5gb)mJRZW z#WEIR(p2(aH`pCbS;$;#Fp+#5`Z5~vlx=CKQRW?5Khi7U3espsW|y`2edA|KYi*n% zI|wJ!ntL52AEFl(UA32ekwI5lWCmXSrA}msa2<79%~iz`!z(5Z+49p1dfN9427v z%|*7$SzM|MVLaX?znsf_p87CzS&KPj$!>pY_)~6OrOx&gOL`?or&3A zN=f2&4gO3Q{Tj9m!5Hj)B(pZPDJK4hbZ27j*4c~Zw%`(64M#6dQtnSmOyWbM>Jy4A zZj%Mmifn!bzWM{wdClgPfy(oqOU1Ke8jfG>sfIJZJsv(a`FxlfmWKnnqix#wlRZ*; z36HtzX*f_NU|w`DC}91e9*5AXi|cNbe&ZWO7MC1^W)&CGw4}z~{YTrYI;U=!_ynrM zcqo*mF2Q-row-!eZ@c3faT#$dh~e#pL8Cnnl0jYhcE)`5H+5Tm!xKXx1u4es@4f#l zwoWUum_-EA@<$kLEygzDR30#`jRZ)>k0zRf%AYY=p;Sm$fJ6{Kv9Tpz_MtyEi4>8d zYqa*?5HTB1fx+)mvDRBck;p_w@Ypi-*1h*sA#QsIA6^sWIzTB7y(nTX{rj`*L<5qE zyQATk6D|>nLKas;TBS!W69GQeg2ov0V+t@}@g@R9vtszE_IR(28tBrATr&B;3W?D5 zx>uwXw%O)o9Ek#6K&;EcSpWpj#L&Sg@`LFE^)Fqsl|>&#kGzvVzH~$XCXH|Aa2L^Q zfa05}pj8k0gk>$kbDm=#S~K-zv{rhhye)7f*Y5911AJxF&Wz_l;tN*Q01^!^LYiWI zLE?tGZ&LS-6GHP`$xHw>=07ywo<@KseC!$LRO#$rONOnc)eoJqy$KWHcZwN+Z} zvw?tORI&08PP)G*$9N*X`IT;6OMDi|`?XRpg~|r)0qSbp-c^yjLw3>w@yk=AvK!daU=_>ZU-}^-r-k@{mNUO+R&nmA`c`PX#NpW3 z9`-QZ*{?{0#_fCmq&0U*DExG5jzwIJVQ+wqaz_4?A zuXSj6-=#3-bjW*p)n5FhvB9W`A4x`>8d ztTC(3c?kdSDlSI9YHq48HyX&Q#mw%AMrQ(p+lAKJ@FDV~ z6E(L04$bTL@5pK^f;XDcehO%=G)3ulZB~qT5bL>PqN<$COZtZkGF2~`C3k|_>lw3L zwzwp@suzY{*#0vmPmeyXF&Xnhmb>2G6bC3h%k2dFMeL%oJ%?x|_GGP1D=$04ip;GXQ;){+C*Q54n@YG1Z&pZR+Ksl)4M zn_>g)5Itd4BI42M{5IETth_0h0IJ60$-XL^+szGKG`CTp^W$xe>B5hR{U-w^Nz&Nj z(+L6Joageqn3@fh*+ZJEU{Y!B5_)KsTz^^%L0+L!ZdZczYdq=$D3w~c8In(WBGa?` zJJg;hnyvq?cz&SGh75L%H!sHn*7-`C*6w&;`ffyXfS}l~%`ENsG0Po3J8tO5I~9=} zC#nH99qao|$`^WkE?f-2jKb}1RPgKtlxKA45(~uL86TS_uLlWh(f63L&y>oP)Xkz$ zpEnq-65k!eOF+)k|6Z8<_Za>E7bpKe6g|6l(SMP*n!EqpKPa!p9e|xD$I0XQ zMuTUH*Q|pO*R4e(Z^u5eKZ0xEeAqIuS`U-aH>*65_Ks(**w1nUf+gqlUK7ZUi6+oe z0h|o&bsQehJ&@>sM7sPJG`2CAWF)`lt1k3KC}PR^{=)ger~6R>AB6d+R2b6?#qUT^ zfc33l?-szfc{Du0`43V--xq^VWt<~R>i>LOCj5}l1 z(T62aC}NEgnV2GA0>ZuA{gqpY<@lF}Q3BmOfU92z(D)NnkSX zo-X}fJ7v+5nIEJRh&zR~6=tBrG4yxgD1MScc*`T0k{#^4H8<~U*P zZWp(mkGRmX}auGmZ?TMpi8s@L|ZrUo1XUh#!cuN#sz(>9%WFLFcr zTvAo#*Pxf(z~Z^MCP)c9Slf?(=aZnMoB- z3O zd96j=pU09te>Rl4Zal!3Szh?SBE*Rznqjj{YNrI`zDkN2VKEh$K3l^2*&=tv0;cUO zw8+6kd`lRms>JG?i*E$mSD_O)HHCuJZ%0Rw`_>snVd5DPZyJ)65^~E6GG$#s+!xi< zsvVff%7X>Xial|8=9$V(K~MDRgN=Q5fjb<(WE+;4EWxwbpprc%-O}(zR(hR01<^GVOoBRh zN+xLz9_SISfKU?1%>UOhLAa=i`>yFSSgfhMoJx$DNBr^y&M=$B`;1BS=bA>#11QNE zI}PxE@{{fk#q7)mPLr+lSK&pd|H$pV7x#)5-wi9ZuLWF)0=fm-=mbQ`09IVHzd$xu zBNsK_Z|Wybn#ryu+}d4$u;+xuVl7T(>M>rtM4Eb`UC_Sc_*s5vH#tz)PrjYS%5APL z{RC?93wY)d=TmD*VMjHcG)+;!h;a;pz9zWF>DXPAWV%gA$}$fk|oxM6k|%YhzB$kCr& zQ>>fyJWGELW@$)y8Yg$_=XCYaX#+>qZ9>FkE#gpL7qho9>p}d<6D%h^IuB2aeWD?n z18q-x$Kv%%fwY^&SH@%xxSR11VuN2-ZGY^uz1oegrh&mmp)lE2*l}I!1i9q6Gp2f| z|CaqYXfn2gwFrDLR%WE)7*smq-}K!j_TvDj%$=70BnEbsA%D;*JJSIK5yZPOAC*oF z?}PA1jBK&ym|i^E$cQ2!NL)J9b~x;kha39(tOJbVO1=VR#6b>o4&FQB`&KQns>>8v zTikBH=25&qnql1$SabS#QaV_3TrLd3&oZ1@#%WOa8t8)Q(xfNc({}ioH;JT(-vGW^ zz?!y~?UDuYaF#Fp)>lRpiH?lOF^{*iTHbA4^<^Bi!<~`uD~I;#0wx(06q9xnKYTlO zE&La#X03hlH28Am%G>j3q0tfNe5~R4w=}MO^zVCn$${B1pQ*OF%Myu_8Cj%jEnNLT zEG&?J8(&Gl`>!!yZTzZ(i#oM=W3QXzwr4zr#z@NJ1{=_hhW$+?3)e8BS!8s z8k})onIFLnX!BMG>F&h>g}Vpu*KHfd2?-%?0qti)japv;6T_@5(=AP1-AMyM6wu#2 zEp{4uY{{`|&@2Q0ylI=DFSAh-Beds+F~N#?l{jdWVUiYA+pW_lsJL_b){Drh#V;oS z>{y@P;#m-zI@kQUvwW|{FFs8#_f$rrT^b=qg%_ZrZx|V+IV@8iO;_EiCteh@7w|U5 zYE(K5s|*s%^q)63KF^s7p#`G?t!k60?G~C*6n|P-XuTLGaKDiyB&oVOiXeUZNfdB- zqRILUn=iV)k)<;pK38I3xINj(D-U_f7xs`*;CtyfY04*K)5WWIDz7R}UB7e8?uki`kfa%FT4F9evA( z>E19SvA^Y~0uJbnvt=0DzNXAuLiBv~7mHML zP1-iiM~Im4EWB?lEP(}9n|Vd}(Y^VEnh1XKvweKJ1u^hmqs%FOU}L7LFz+I4ZIwKky-eLv9BAfC z>t7&xOuZJmgEFXLMDAwE>iaAzD`)_oU~TydGdv=RE5%Jbfl)?|<+B9J&0tjmAY=`T zRZ=JB+~rTSIUkK|=9UWwd`3&ZCa_eY&8azP(~tJN=vwq50h6e#kGXLuY8OoE{CafJHo6dh#Vp0Dqy##deBfNvguBS#<8DfZ00K@z^$=Pu9K!10C`Yj%Pl2vpilpUaTn+gH1`89%c1MLAnU> z5-fq{kQf9P1JOrUl}4S*JtV1On&FOGU47f%UzS7fxZ`@?cjfc-d<_u>{2ZN>DSAY< zS8IQf(@Ya{&!iTA+cIqk)RW8wWEUALbVilo`Y1wn`4u zc^f+rthyU;o{8$hsY^WSv+6bNxk@kg2H@PW3I|5THck1%8Gnz8T@q`9^%xm-fKf3( z+W&W<^#AX$yY72^Tzx*e&)@ID8jv}PQV1ctO73;2;OzC%^tLs3z5jvpg11d>2z?(a zf-x$%^G{oy8u$KCFvFzpIA!{n@!xocIpAxZoSnk^Uzb-(WP#F%sAG&gYR^3!iR^AK(VSqq4@ACe|Je zHM1P9if78S&vG2t7MS;7reMyf=M$=bpf)muEQOqbwJ1FGM-2$wop5^> zjFq28d9}0BIh)D}6CVDUX81W9^FiTAwi@gm?7W=kVVZ~ltR!qC7(et)hZ5QZtUh90 z{!+t_A3GcGP0kQT^C7WCYMGD=P(aVw)eU}QD(4I-FMkSR4Jl4~p5ddqe~>0z%Ehss z{3DDv@%SjFF^APsd8*?vTmHlPZ}G7n!AVmCG;gR|n*m} z)z(}Te-T{l=LmBxg@A8-ksCc>5yGW-PeEMQo~SE zRjEFs?b^pkHCRw@$;HC}+DwruAj{@WZ0tNvY7DUFllH2KZpg@B)9vO&p4jyT4&M`B zqEkDDxQooxokQrcDoyi{_p`V3L2aXtb2$LRTtpa5Q2uE@?{D6W<)^NGjKCG@3fo(T z@nqWHlT5MBow$?yR?CC!?gCc3@}~XvUN=xE_DXCyT`OQ;B0Ek`+kKXCU6ut++dC^r zHaZ*h^X|;Us_v}yL2yZKsZ z*B>fYIrik9B$s>Ilg|9VZSuc?tYAlJ=b?+_PZxqu_SjLTW`8CH33lM&xvfL~Cr1%= zzl;HSxR@5Tatk^s|KTUvx~aq8dZUi9Z&fWlwr~;)jX5|@G=}$#59<-v$vD34>l5*X zMkVL-9~UwS^DN57xy`>%JiD)YqR}Hs00I=Fv^h7O#ZV`x6<`nf1$98JT_`m0wtP~w?OcZ>cWg4dSM1zeHHP^y*52SpjTuOHHtl9;72N1|D zt(;%Z@&grL5a~f;1s;jdMLj)?G|%;FXc7+i5C%X2QAHl5dnsdO`yw!y3X_HAw-rlW z(-r}@P|WPv|8aOd1P-syK4h;x<9vEfN`&7LDtHE@@2x7JZE~s&N>)G1K9yB>7O>Qd z-TqXRNFu2Eq>2ZR>NMEM^j^bk$K&HkFkpeR$utTsDeS4pvBMv>%y{T)P)<%$`#872 z79xtXKc}Z3+1;#ATLne%-j3hGuDYgga=L+A?~7XM-o9@4$h$%r{oneQ{uzl34AeH$ zG!eNZ8jpDm9F5MCJ_S#=-v|3~k8MSBuoh}_9qFt5Yo*kP)hyUseK1`uT4l3rY^a?$ z*76OHB}$Ja3hxf#rbUQs7IG+3mIndP$I&X@ZSwc}-Sv3ZBIZ|rZFGM`I)^cSZxkp+ zo&V6GWFi-WG^6$HJQKvXyXCg4fx%Aok6HV{9G|!p--qxyAw?O^HuJPy8iUDpwY*A9 zOdYy7=LF8imH3qw0uD}HkNunsluCNwRFcCCJPune75+oLi4;1EkVfh<#|t+HQqw=Z zV{g+)z@;3IeN=k5LvVT+3n=b=43#Rmi|DIgv-*R9CDxN1J#QKsiQq)mFN zUkDTVtp63O(lE1qJQxamScc|f@)F0A;fp^ib14I*hH%L9(@%^Hhocd%3)XEz7uxVK z+6Z8l{EQ#V!vgyt?UlP>W-!M3!|->Bz{JpUBbsumAEul*wB6(t zeFev>pY!rR`_h&vn8nMTKh#pjo#&Ab6v6Qr64urHV*;d2s)yxQ`&6)2>Cd*{Ob=(= z5nPvF-Zk7$Y(s>}c{4C%2ng%G8Ih+<6#-K4LOh2ff3)tlKWt;P{{;VLgLR0HB*2!n zVo8=7eX4@Csrk0g#GM_I^)^YY4V;tt`Ngh5EQvhWZ}r(#9m+T<3;l3Nz4q_$iN$^r z=128gaJa((jeVL%Z{>T^H0`-;&^JUbsiQpgadgN7Uh?iD6E#;@rS(T+lhM2VKE`KI zk)wyNgpwkNv&|^;V>~dp>UIgjBW@2%E8(^`nug*Fv7KtL7nXHIdy9=tGiQ@gx3qHt zqRu?Jb1~2cV>QQ|3V5>xO`X7xcAQDmkd2wzU|L_7J=r(N8pi!>r#6$pc0NaEODQwq zRXo#boco4reDA2?t)e)?*ax)@Qyp^0j(SsP0T1RY#l}rZL`VsfxDu(-?!FhMc4c_; zMs!n)+AC{UBX?LuvGPYo$aZk<-8auFkGP2o_Py6B|^ zJoc$A!z4OEuo2|l#g>FPlY40LR#P)q!^LfNMq5_Ge47>*MeYoiM8U1R;G~cF#qEk`-UGKL5h@rD(Hh6EmK`>(hT6-7=Hyy>`ohl8`G!)$tR0sLxqYX+HgJzRqG}MGIqMg(Fk=3W-)9-t$tB zTWT7~`_w!;+^n0zO8#oT4g$OBh^T`>aT39d6T0OGr0!Ca-9|N4yVBYbx6*-PW~6(W zMlT#*?|Way+urHtc^=A&dzS?fB6Tp}uMm%YY>BM-t&JjbvAi9bTc3Y_!l=4uvC;_U z*b25xc^WjpG#Sa~1*P-#=$8;)t~!jo$gk&i`XX_JBYlTGK0Nt&>;k9MXIXK&G&dqiS+UI^e`ZB z*p_}EJC*wthycZ&K}To6jrS;8O=d=9ALAsuBIquoTiT;{igYgp8 z{Kd#;A=JQb>p=}~uwsCTo4%{YCj{(vgPEtsST~H5bN-zfxNhFoGmeix-*8MwV}ExB z@lJW(h!4HG)&}6?jioRf$4}UpOa*bhK>$6zZ5f&=`&nOXJsGsuMcvlgnRKl`-w2Lb zAQB@pLRH%eRnQSKtl!1U1F>CIbWzMe8a{O>LXK)&kR9_6+8gOTuBz}}#$Gon^oI6j zyqThu-b^8n`wu$LJO3BYDV|_rfrwo=g8c^2ZJcE|&O81uSZL6H9CZCxo>!w1m1s{S zY#vkOCbx#=3%IRG9bgqQ;vyF;{iu1%nyFg64#B()w;+ja1)?(0KRml8y6)X%3Y*4& zc(rz+>Mu|!a~x>D43KR9SKj)+C+f%L<;~ z&9fYx4vcLIH`sxsFNk!%^z-*=DSJ(IbszvJp7!cH1U@BP>9?7&dH2-Ku!)-Z%0b(7 zO#j9|@0En?kM*2Hqr8*dMkpZVPPnNLl)EWG*4zP%MX;;3g>O6d2iN0WE^LC2Z`Z9Jg9c`140B5PwZs%+g~g1hP->&s1yOoJ*Xydxo8+6RU3x#CHU5p6 z=txm@!R$2!h7jvx)E=!cv4QBQLDWCq6;BD)7X8QmpmOc^T1|xJ-Tc61=M;K{GNbR%#EGc-ph$EDQN z*#37pKdng6V)0|(xN+8T*^*L z_!=omapa%I{eme`KS5oa%+c|~`FZ_Lc1z2t`&{hX-hn{ASMENOhWX5CHq_inYD+az zM)bi=ksWRNrumBW=bf9e&UY}bZu+W*D|s*rF+%e(;PagNNVR4X-FRkVI^@U<`}vxH zH}BJ;OTW)dBh2mj#I@wN1$$%J#)g^(JT~hjbxGddW5XnMt|awJZV(#GL*%Eq@1E%t z-0YZH=@fl1z<*VdbmmItRS93U_l-Jzferni~4bCO+$#Q%59vy9MvGnDztY<6zR4BNSP#_-ux5X zSOmJN@|*cQ3kfW2>x$1tOI~XVYA%q{vPt%GU_`&)r76pOjViv}m+SWTl#^2jQVttr zf0mx(R6D;XXDaCU-ji+r;;k*n6J$^bL@D7xz5oOHe%e9@Dj)N>;jA+En7;i=E4cxB z+3^`Ndg$jF|8fYMUg%)4uuP7TOSAVVjlF|HZcRXzo{uIgSuKB!c(AR;N-n_{2kroz z3gxQFx|o$-c@o$cJMXRVx;B#K1H#?v%GMdzS5!(~mS6VGLThHX9EJ6-p+zgE*S1(Q zKZuQ+XiDx`@jo_RG9<(oo@nmhqj6caE!{Cs0TQt0<;bhpH*2TsY5ElH(H^S<6YEWO z>VYk0jQxhOh4onnd+`oi=0IC$4Y`B0YbTkGtGvm*i4oO|yvceukbD*|4Yd&1I>xlf z_{_BEC@@=~%pM2a-CJhD@P~d~ayV=D|9r{0RFzAgnbL5{m%jNC_ zU-dFeO!-1ex6?92Tn(QW?wp-(m%CaT*qbdWN(&v{8+SXlK7*@%3l#KaKs}MsLoWE4 zPmf;?N#+=iApR&xqF@_#OJ<4^j}kDs=*qI-{!t<#y@t9NRl+2tU@eX$E!jbiTT$76 zx#058eT#npDE>2$_x-i=6n{mlc>YeRI8;m+d^u7S<5>BHH=&>kT9f?iKp%8A0)oK) zg8Ej_kpTecC*-W-Al6|)?Jvk=<3i5!XxVR~g~9)bZcN8a4<_EL1%g4lNmnC9j06s3 zR;cX<_Q#;Sn8jDtlCB(li28IA72zDWzUQbVaW#y1j0YAjB2Nxm(5`DCqA+@Orr&Ht zRyC{lb10UTqxv1euq(bZsTn3W>Okg+h*rI#%z*wB_D4q08R(eA)veP7cf4j0D3$ecC)!aZ9gyXaHV>AA?`SLd~+~6@bN>S z>{?gO<2sCalqiwJW>AtrePewV4rl8R&sIoGOvtv&cmufmS~f5HO_%@UUwofUd=-A5 zDpo8G^}WniQQ%V7hO1?L5KJIh>YVO~@Bmb-`f_*1D^fm5EsgKeplePj#XiX-c6_C{ zzsA0I3SwFb@inkV)mGg^5g_SR%H@)uX0pg6-d!9H_BU;y+lmWr=Dt{2tqS@Elh?!+ zq96jVoEo;9i%Kn>>Wvt0QmNvUKRx|Ok;0h!<#L9vVB244+Fe?UZ09eC6d`%7rithlOq8H^uc6=sv7|N@$&= zDxtinbS^3TwEaG&BI7LID}T>ebTkCTBawsx6Ktr5yyy+$aOEOt!n2K`U#Oj2uS2&L z<)d_{GoAUYl1`bGc^-tSoGwa8e2kMit8(kQv~F&QcDi;dF&ebxoBC!y?@Pfyr~BKi zV1<>Pd-NbXJfW;Lf7L#yqvgmxmeP7tUiXdNaP(VRFj-%D_0YRVh^iXKFKe0p<1G1!WRFw59Ye=044Gjc=V zzIq-kVRCG+mfrJkgLC_*(UAqA>?_RHI4H$N@_flrT8sj7{u&FJAyxyh`m~hQ5=qm> z#8EJO*&-}Yy0`frv{g#=6AK3ocF3l#AEQU*MuJVhn#Wm0RLz8~>lrPm9%Y)rz z8O$AQ*78y;jn-$w%F>CAY;~k|Hu4&wJMyD*D_Ma)>DnNPFUK)(r=y>}8{E7eJLGK| zpIm&!f<5()g{=(S2vOFcW!sWvGncUAee-tlqcsu*$;UdQ07nA$?39gSJHm&S=KuLShYNdwBuev7duyftu%_q08 zfzd~iaXa-{rTvn+_gqXaXW}Y&Te*NeLXWo5@|!A4GqLem9KPX~;!kiQh(d=2<=vl- zz3jTl?i;)2CgydRy@KZYowfDa{=70>IEjp<2V3+`#Hspy)BVSe zZsDHt=61N#t#oUd2L@(-xP8nF&FIaRGb=Aja#PNqZ+aP@KIL{cByTdkBTj-?oXLRA zngX3#H1-2Tc;hBl?@AwN-0E#8$6PthO_>U_BdKH(>~;8;L~& zfb7|$bwMKlh!e{LcKT6)Qe^;j=YN|6=l{pGp6*E%rbZWOl{mOnwiSSATnTm+2tk7% zI07l}0B0}tJFU`v8E0SD_|Ut*l}W-oe2tB?fqJyr(Zt2*e*bD9p1%C?uQCZ4O_V(L z6#|BkVAk4~$bVDRd+o#_wwKfvU4B=4&PKt1C-IjyJZki$>7^EFxTgkmWzfstKl9eB z*Hl^Zwc#s{N~(M?Jn8uQMjUu{FP@|RTi zcv>{kOz+6Q=T?q}#ykEoVynT34lUYs-d~&K7|RB4J2ED3a!H&#BMc-uy1Q;+3D4TA z!Rnyo_lFx>1^tgj5kF%@Qg^HG#=DtVm4GgO-9?%iC8M^73-+EtgZ2Iu>vJO8=c9Ain4toVo`o%^-e7yj)mfvB+1L z68kNL<2d295KIq5ZioiK1tvYREsU@e=u*TH@v@!xcP4H12g#hh)}kd{>e>fR&5O<| zQm#BD*jmO*r|4E9)O6VKn)+{k{^?5|HRBJ;Id`I;DzAsKXWelgz-&6Ty4eDhub360 zvG9?}=Enywm0MEhzQ&;x?NlC0ka&izui3A3aWI0Mc5|sbo>U&5#Ilo zR-wD_ZhZzPypx5(ftYRE+doaTZE8|S=G#+_nm7^Nhtz}4sn+A27HB3rZYiVF z!uVy>%Z;Bip6gVu>nAGIP~WFpm#HQk05OA3D=OXkJb|?(uC?eNkfPc~#Kb8BLyP)Q zI^R|t7fH<91Rs{KR6nnkrXg0??0{nIxju#@Gmi)yun+d*IUr0Me`Y0i76hfi1JQU2 zj(K-uU8@z*&)1mh^cy@COm}Nl?Y$YbCi|BQx3gk?e4&Xuldj~1#q{$Q*O%( z4fnP*gTawsXR`U(vU-UUg3TFRm^uGtp_Gm-n*8JcvtcFdA;jJa%-xU?!k1wS4W3?2dS z%m5M#Y#Q=)bs;Rsi4OulJS-U3-)@+P@Wo_SMpJW!e8ma#0zCn|ITmrPMjptkN_MSr zkwm~HocW5i_DU^;hmwz73{`;B$Y#CzL2(S8eLKc1IJt%uxon7mY(>AEKxH6BBah@J zIFBzT=m=h$y?jWMm3m-sOSVgMD};&rTD7OM-}H-jLcxZ`?I5VZtT|pupH+C)-`66@ zeWz9HN)RlEJ8?Tf6D3lz5w|;%Z)QHZbzR zqI{$ZjTuiKO%}F^Pmh@{As9VYQ@>`er9d+JR zj8bRP;ifpVmEb~5P@RB30yS+;k5w*fi_825!`ExZh#ZkG+8dCsEHH>#owU{n&X?s0 zu4eawq-OGcwXsck*nnOL(j?o%-Rmct#_(yddd`xeZz=t^XD4cpYI+FoOMDDcpzk1f z)H2}#r`t|gG&RM#y=sqQ64HpwFi^5I$lyB|eER`pon;+2wX}O#pPO&YP^o{mJi7R> zWi#OkM;1tU5;*O-s#j?sRij#|s&<6!+d*wKVi|^t+!w-+-aL9wm!W)jE~<%dkc;CO z{1sRn5`jNN6lA1SgUiJPz#bHJZVT1@uUwx#XzKhi3cS7Ip5W5Y^cmMT_}IxvBKLCl z>r-TIp6e}rXxBgb(nmomnn~Bcp*WGHFIS1Nx{}sws|HTm_^7)pb44)!-{5?&gkeO) za%{)uo0yPAG85{0bHU$E5Vmj~1coGO{M*Z!Rg>lCbWZ6#{VklGw@eYQrKaU7k)#m~ zQkDCc0evjr=QM;{sXNf|3mWSxhAEmGH47^Dw7BTfiUBzhJzK%YgqD&EB>(y zV#Axv(WRCfi=R*{C%Me5d?GEsE_GN8nm;pzKQWYLOfJ3nKqfaUJ8hbWX6;!ZTB};@yd@rRpn=kfA~mMO5+o z%I7a@@#j0;ZA$;#`XEsgq4=IGaunz4;y{6Mt8?=g02i;p4*omC__NWh-3< z-+tf-m55nQ9(7qR1M7RTJh*;?3?Mh_a=D4L&&wqR3Vq6Czx0O%iPB~3`xhK{zrQwe zJMif=pl%n!W|m>sUInk)3+`y|P#e7IM&Fcp#frp5b&}_bSaEpZGp{mjg~Ab?^h>@wu4ozJ1u)YA#IB8O#6&rReFaKFl(pUp>9rs|m3^)|F51S^2c@@&+}~Hpb}QwJ5sLoNz$3Q(1)^(6O}k1hV_<-i zC);Ufq_>>8^DN1gqVimqYoskA{*=W13K36FW8ggij|BDF?`M~dE90jl5TZQ++XsG4LOBFFV}A3HJjQWL!`s zxOubG%Y(DG+^uvsjVzrb0Udq(qLn)sMA(SSRp=IgU#Hvx^h>U7h}-(w6aBan^Ltz< zUUaiPALGt{S2idx@&j*ef@;T{Va6T!M6p9Vc)z&yC};wuaR2B;rhGoGxX&8$qf!cl zynebWDIS-6PuZkO#y{AHrTab3c6}*ykn^l%(%SXj zs_?I~N+7TfkC3|q7;{SPYGDC=E_T%3y8fG!JL;b8PONIr8!b|tX*Pzk61>))cbPL` z;u6b-c^_;3u`9E52RID#Glcyf_>r zZZR`9RlC#1)bU$L3siic8g9wP{v6c=SncW0cU;1SM~|WYM@Pg>OXp4f zQuH#)NS1?KCah|2uH$jQce%OS_zlOCYwV{0ALoSzx^1@uBMUUbUH;;9vSS^XM1Fd6 zV;nFrbAAgTbKwDPw4so2#2u^=%Cv(^X*T@3bLPm^OM@0VYVsn7b~U1duYI|xEjXZW(^BRO#_nb z^HeQMxOvyRK2c(&f!AJ@9}S|p)n|fJ%09y-- z4yG|1_m?O2)d)s`exNOa;eWC}Xgc-Yax`I>8zHxYjK?Ob5K1z~#TPDIplYJV_2fGJ z;*bfGIH!li-k7R2se;PlT7~bQ5l=#`y5l^n6Q47tf|#+CG87nm4UE~JOIM@ad6nu1 zY{)-L=D!w9y_D-C?_>oxt+4{^Kf0>^|7H0q^UsAh{J)Ge?f$>!Oz+Sb#jd}q`nITo zoN4-4HGu`Ke7SyH_Ad(b|KkhswRYY;`uOlxrpJiFpTGTrH_cMbVx4vcZH$$`IV0OWZ<_#>zAxBQ6A>HwpI!^n2ZMU%M^pL!%1BW`KoV_abG8Td#hS zNq=!N7#itFm^1XifbP#2lQPKD)0?Ij;?v{L)EwAn#Cz;hO)}GA>`ks`W=A9rfj62xtAvM9j038sYC5 zmrayx+$A_~-{H&}^yl7lvd~4x#-}%`7WQAdtBo|?nUFbR`wN0Xv*{D0E(q0S?w+`P zeAA8O(v{wCvp97d9211!4Jy^=AD{DXlP4Zir~;!@ioNn-0y-TNAC4VS$7gcCW`9N% z2jAb;bVu}GzXJeE9_7Gt5X%i?%Ej^>ZdjR4QnRZ?hWwM^M|5jX`d{u0iCc&W4`iH` z=I<>t$G^H~XnXZ4O>8KzZjhemVKLoO>}zS&P(^8c~#aq#+hray=JdoUz5 zt;69;34-tcqepC~=5!l~C; z)p!I$56kb#P@g=MQK!0G7{iNVc>ThzfV?#B1)=|YIHEp5aUrBjRJ@mn^gx~-CN*(j z;F)8)An#|-mBx({mFEGpqg`i@OXb2cxT5Q@r+YUVZ^x^QlrvY%>Y}NB3=;1B+QMp; z^h?h)g?_g0{+v>koYM5haXfV#F;R0=7+=^mL|OXlvvG5DN)yHBN=eA$s_ZmBzGAC+ zUp=4`jm^} zANL2wC$HOR#h>`0!cEG+PXJRjKeFUlPvu z-_cd=<6750kK&j)CX0W|Xd&SwU5-ch!d-mImP~CSZ7r&-_XAz6{VMQnaJgg%Pn*#J z5pbGtQ2@=IJD*&qjtU@lnppmDL9fPFq#8>4p@#=@l%(v_=gy?4Y>DmZGdB3-Ue_^Q zw{cyYxmwj4J-t8)T3c?ccu=u3D5!k(@LIm<~LGzDNwhqz)~9?K%AH0SYG2UJNm0 zp={?^fw`e9g7`!h_}sL|`40}Os@_fTPP_R(c%f45N+e=U^X`6kO>)jNoc%fjrZtub z`hYMe|IUmL4V4b>In($5fT^34BxV7mWp@dOn2@4`s79|GA7ZAR1Y2x|J{bAQN1g6V zHB?8jFv9ryJ}WYDDtsqVf$0?ZYc+svHGb&;(!FClaU~mQQ{1$4FlIGO6W%$hxG0H7 z>g-$I6+l{bBt!ZY8|M@$C)v=}H1V#@O{{{WA$FN{;K{b4g8FJs_Fg%frk76Ontj!$ zgTh!75`f~cOSMsccripvxq|95<`^Ddl9=A-v^9&)5l*3PdzJ5l_R-(TOkpPIvlLomv@>F#uH^w~b zbz={A>SxG#^_%1Ji`1RKt_SBzYD)w%#9gjxxFTaL+n1p?r&EUwYm(P|NNI_0g6hRf zm344Ir}U!4Ux7`tWqBvbIgNRw&%ZSW0N~`xa_mc^({%F#@-x^+fh8r;({J@`StTIi zo?q_*NxcsW64_!4Ql`jMjJ~Ym0Gqc`QEN!dL0ECgf-&~}_=!cFda5M+<7dAlJiahD z>4)(R$p|85!Kl8ec1d5UaZ!^cacvAKU{(@d7-N~OGO>>6GqhGw?7~lQQD9C{c5T0l z4MD~;0l9H9O|65!p!aiU@qfJD&vkgNw3lb?JErfv^?zouN6k!wkYYSBCOBmP`|NEi z2-w;kktHG<(#IOxQlGiR#piAZ` zx88K3;|x^ayRzK)XF_1Fdf1x%yw?~L#@tUX7gdA>)B)sQF*!9E zzb88CX*79bi+LqZJ~6*u4uBpXEwywYKt3Z>8_OJ1TbQagz!t(skJF{IW_?KN8X_??HSe4y>5ul(AQMVE0=6SPv1Irm<1u@=CTO=UZxj5q|H z0E!MV;S;wE&{3VA*ot~Jb$w84hmiW0>OtGYc~z@Jqc69jt~ITE6~LHB@vHG}z+7`` z`qm+Elbg&tc4VLL^LHQYcS#&$4f*$j+`zSB~h(zuJsQ`bwk3Y2PtMNsA5jcrmu_lu2>jSrg>t z)GL2)X`6U863|b<%T0h^+NAiU<m>5w)1`75fIF~Uq)F(iU<7HiorrQtLV(;4vC zR!xWxSPGGvf~53%CHPUdXq}gff248$L%*Q0_jxct`Tlm^eJ;m7buHVVJi`aS9kHUU zF8JQxTU!n39gexHgHYKVlp*u*rCal(F~{6Tr`CQo*`D86&-hepVWKIzR9972!QK;U zbKxok+ld05kW!kPUq8nhprOW79Ii*9o0#Rtsdi$4HEJ zrR=AD#VZ0FX0=!Y*j$reZgYYhO(VUCvaEu=XB1Idgs^rkvh8*r^vzjtJ6rgx+mZIL zOUaDiJyI>LiYBfJooh0Qfm)O}>1dYTH1D|THR3zqr4P3QDoIlnhoU7vp<$BWI4T0m zl7l~mStilaqvUX5#RC~m-DV^+3RsVu+F}*1V<*4?wc2pvCiCg`APgDC>4(WV-B}^N zra_UCV8{B5w<1I}h&4~w>!Cid3<}Cbe6t_|%kMn=B>R|&rgh)DNUa#T`{?ZW@VZie zmJu7ps&}xBVu?*#BzuakJf+Qu_x=vVI)guXzvTgXTX1%M#d3lyofEv?W}13L$OV(A0dw zmTpP*!;#75 zKOjpa+f}DZwpj%f!5JcQloP&sMa5z4F`a3^LVlvC8tW*vh>eqgv({(zKoy*UJbWLA z8ix$d`WA4Ji4iPrP4fn_VsGOhDhOcM?x94^{_EkthbFOSjBMp@K0#2}s^ zC%1AYQAE*tKLx!U{B34w9x%w%Lm4q`s3rW~4*TlKR+@@F;?u%fV?<8b_^`ceF`jVf zyBC4+?Ly9KqAbC_JZ1BH=Q&)M(529=h{H;&nmgUpYJBhX`K@A__~$%1l4FoC+B{-7 zJ=mc6UO4AjX1*Diq8xI}H%b^o(bin86O<5jFh&SY7zaK}knfb8=Zj->4@V{GILH4y zrJs7w8lPl4mSqT~i*Y+w_O@|r=R$u7N2yc$DgDmxP>eV_;IQMjVvgp1*y&@-Jc-i< zJ3G;d?n@hlVBjy%z0Zdi3Kr*#201$pXUf%(aYr3LwtE=g*U8Z=S!lr*`L_D9+526h z_1U#*>kyG^(i7(ey&K;pP08Z3d<-ngU_9N;ZPyL+@US|Ky*ZanQ`1>x-uOV!uuzgS zh(&=LLecHd_{%Y8@A)s0Sh0;?{o`|Uszf#91iE^P{R$EQb7jmIEcNOZr)k{d$7Y7? zdzkxzou!QtM`M!W286HwIcN#1>+Eg7Lx>F?K;efX0-`!*qlS5#9ity7jDOUK{&ewa z`5j&@uMd!sR$ogr4_R9wDvtVN2T64S``LPse6@15BEQei2Kmvar87yEn4rbh6fI8x zz>?{wQ`|5l=RL=U+vgpQwe{ogKGPNH@h~RU#ke%MF_lTJWKr%kSqtGS&(Je+mKv$j(AgR7qioqPcqO#ty24T zqUk?879_jEBQDKn^Qz4g^A_p7(ys>?hQF`GI1aGtPgk!B<&dLqvystt-zR-#eybNP zjPV<#tFmKg@=F2f0i)$gq@vX-;54qCYAUj2)5|=4A7nYfSJ|losOo ziG=E(2^Hfq{@-x=f0r=%_rKNzXSSm@-i&3p*z;}=XDSm3`wMzKne($djzgmZS|+mdH@=^4r20M4K1%g)%HaVfZexEuV`7$hT0* zKWWg)XLz{r;F}R;&9Q6mYFq^E>460k{ZPSY`!_miDp^&{D@O7^u=fr0tiP&!w&dBK z$eu#9*MQOdOZKTyNPOhTesWuLJeZ&_t1np5t`&li1f-Vo0I z74M<4TkT#BTWiwck>bRkow^nyj(CcpJ<-9}48xuC9nV-D_o7;$DBVly6llRhjjM zld`W{U};#Y5;M8@#&^NW@&)}&D1dM?0LhAh-Vn%N=!akP*!Zd!3xrny(LUcyaDhs! zq0QVEYgXJ;$9&xJau`=H1vYHqO%7^iKlej>(iEFh`&T{xzaV)Zjx9#dbN(#O*I9*9 z>ILV%%cm0o|=@tg_mab#n!Q9v(O z&jF>Y;h+^qT1yBnVzgJgGsbpn%wO6d7@LediVy*xhw%_aU%B=w%T}l;>}o6^=n$}?vuMb?xHlAWIMLpm z6MiLOcj?p%XSA^Qm#UTesefsdK~U6~&KYk#BzCB3)_F0KJtxC|9~Xan1#3GN#`1?3 z(5~Ff`~@+>pWGzK(3EVqi(#m4t2%5N8z#O5L5|^Vwh8q&L%k0e-V3fkKf0aT_}Osb zGCgvX88QJ+5ZJw$yiB4No(f5)xtkn~5Y>4w#Y(wZb! zgE<&kfHu7*zz*^i?l+*-{VLR%CVT0)o;ws;e*_8jBzLZ7ToUO5_7PnluTxo}{$sFP zGaWzQxJtxj={vRSrz^AbwrK$@XCA4;n0kzFXy1>8TJi_Je?bDnqp-C?knh^+%3WW= z7PMHXOXaei;O>O|FLkdDbL+$pf=_*mvqK?s7cO0ERLd~k32kf$I{9sVQ=_Ng%BVZ> z%NK-4j6#leS%H+!3QUqjV{goU%9Hxi;0^N1Jw)%j_ID*ty!s@R_=7ccbqY>B0Ho@^ zBzHOG_xN&Zugtsr_W0BcN>Z z@uMmO*|Yn<16EbhNE!NMa6rx7+mO7)f{fGbR@CMYIWsJLe%*~hMedy$RVSYHR@AwC zxIaaFH~oXuSo!&*!$X_)j+VLFvBrdr1*UKX{5+}#%R>8kzrM*cYUCdHqH}zAJ78SQ_Kse|p1F;qe|jqI7wN?wNkBl854{cQurT#P-=;_+ne!CJE2l zp#AN%H8WMd@~G0vx`TuP7slptWhTUUQovNL7;PzIFb*Bv;&u5Ww^0bWEQj3FDe ziv@8nQ)Fh8ALw+EE92QSsy!5{RFx_uhN> zT3p?UovG}ekj_E}Q#qGD;Hc=iDfhWa1n!-GL096V0W~+}2RYD`#{HFs*aep?mn>8p zcNA#Dmk5|M4_rd8xpL95zyxVdz8ZLioi$wKI9=n?o{u?f$qBw@1roqF4IF}4z9TL( z%OZ{!>Or4~1ke}CCkczTHr@~+*!#v%WMeF6WIr9#a~@J9Qe)6D6Zz2#7OFZTtuS)C z0cEi?S8(-?G;wnbYfya3F#%EVJujY?@ycsu?G+|}vU{MI-L2fqGvhz8EUFVC8d^2m z^By_5kgN-_1YY%AvocUAzsLeZ=9TnbCXYS*n{Hvsh}Qy0;rm!$srl>@4DE0E@2`_L;}L$fLs#-? z8-7Qp*_ar&EI0F&n@p>l@|)r_9;X8VuKgA8aT-AXb38s@lZLuANQ{YET;Z$T&fZSn z4t>9!TBtq9wbhb#D!O(YsJFu%O6_@#bpzS}_jZk!cIWK}dRWl0nJwv5@72HAtt*TK zQr1+oX5lt8_-PR=+TU_x7b>v1&s*cpUr*GjxjWT$B9TcS(u`q`D4gA_p8ckI1W z;^3B4XDL1qKO27EmS}r@!xg-cFkQtiJU_*9y+okPJkujZDP}W;%-nlXO`W9hD)i11{YNufyUsmMzL@g06>{)& z^AfrlGab4btzjQni)d~L+XZ8Tp9+at5Ag_cgS2N&f8m!qWK@QI=6hY}b+@FsQA15; zhG_HW77_hr^;XcfANPUp$l3_h2pfvtLefO=d2oz73iv8J;eIo&C`xNN|w1t?Yk4Dy*E?y97_W%dm!m-6W37vp2WzTmR^cLy%@vkSeZ#~pK2E-ah@i|7Dgd=HfS zq%!WS^_2(5rfi3%IvAIG&BWUFQ4FbfDCYu-^A6;?)T!)@Cs%vAxTBqZ79cs16brBP1lP zH;*O_baA)@VdE>nIh-`!uX8JQ)x8`)=0|9_u%wPbTN$g~l5NC)wiW#;KHwFDZ^0}( z-g~Q+A;WhQD*5X4xP2z(;>!i}=I2R_HvZ&PEHa*A`}S!=TdqQz$&ZhRIYZF5Hbg=o zBb8P02hY~68PVWGOh6<{Z!>l1H>|lATL(t3W|4O<1*~zW%qCa9d%=|Ze7Wb>8vB`3 zb;E?n3bUbMKU*CF1G#?KFU7{)TP6>>3-Ugt3SX0_n1gA&@Oz^ZwQERK4{3<@8pOLE z2vuH7b1jojG{uJZl^7^6Y>*)YGdIE5d<kr3yDZSv0SFu5JJxQ=SoT*qE&^tO z8t$ZZX*lL03$oC$B5|Ha(tdWh+&${kGKXqF1U*O^;ko-lTgWiE69lbt>SlR(&9%nR zl4(H<_H3BZU%yDGTKBz!^N#k;aFU#5;+Szhrfhx)Xp>b{7dwd88gXLdrk}E^K<#ss z$?~)nAtQBU3C~``MqG`}maEHrU!mIz*DOdIKDGBy^%Z5(FRMB?11yvw!5HM73Y%at zS1tUFb=(%_M94jX3G_5y+BMHae5@3GyxO}F5g(}frIYIQeR)A9@V9A?HsKfJoKqg) zJs(Xe&IdE(jrVa_Nqp85?g7g#d#i8tbDm(8Z@!iq^{`{ZP?x9+YwcTmpU5{4)Gh** z_2~BRI{SlWSj>O50{fGv_*TQRdoN3*c ztu!b`uZXWnF9unuRd50&Bskp6_`JfSt>a%|&(XJF7&{GpUuvP1+Y{OkaUyZnc;`J& zJI_Uz?5L#*)6UY)+Tl0FTg!v8@JpedGeZUj)(ijJMV72%w-J!T{|id?KT~@DUpDza zv&r@O8&GYy&zm(g4GgR6+Y|8;jp{lVUlFi+ImhQHsj=S0OYX$O82tsI)BTEX6_yz> zj|&@aY%fV?eLJ+U*LKjY+@==VEjrUckGBRqTi7w}zaSmkZ+0#$*&NNO8W;6vs-ZH4 zDq9>CjlDzX4j$>1%`;-=i{66rc%<`dKFjkc#(kfB^rdUXV|GU!jxShjb9I+)SJK;8m|Pkx`-K~~K)~M( zwP?IKIEwXn!q0G|S~O>@>?lnfE%HW9q93ZZxJ65=Gxd*6>TA|{x9fA`v`DT-N9(jJ z?_<7$rFvEF{wA3NWj!Vk?wvA+9D1xDl83+p$jgwzoL)6dR69G}%O(`zEr4(i z&(Crk@}NR^AX9L@)rYM^{=jEO1Fw4QftwGvfbDTUJF2I9B*LsT4cg?;9SxAL#~{gsuz6^^d-eMlQq#hP|u-^YVjeGDBJ zMW&AzIex0kKTAJJ0;i%=3P;+Cd+cqK&tE$B+!;vbaQqFl81v!>qV`U;?%L0X{y8Uh zD_IzD)i7N!SrTzxBnNR$`ru5^9U0FT#oCnGgNqkc^q0hFuZy1iPPr;nTPKS;f(^aY zO$uOu>>wsnGxjiY3j%3HCxqN@lAO+C8;W~igZ5P&3v;V5nO;j9Rmr0)-S`$`eiEoA(=7~u ztYWq>6~&RUS`N!`!16=v&oV1^gM}euskptPk3Z2Cmmbp%6*>y&|>WL>^`Y|6L`=`WMQ(ro$*hIBW z$!zw;+5y?Y$M??#vM7^6+yz}9HU-#>dk~pfysVw9yYSuP`Jsy<5KCEMD6x@XB}-7n z`%sQ`QbC~4LahpBoy5+n@AnMG9yjPG{s7T@;7qR`7L?s%md6&!sBN$ChgbX@k7W2% z+x9++opzOXy*60k=IpvwWIO-QwdmdOe0q)jg7`USm`CVWLbCa%tk~+mAdcF8i?h3J ze?h-V|3Rhs&mM`&(PVk^>ADrtouplhEU>U~j_RqJ=8PCSCz1YzuTgQWj~0uD z6EnQU@Wr<0xAy-|>a(((ln&G*z3MfP#>k_Vb5{c*!omCB_Rous9!3G#-=0`UZsE;a$I`e~*Q&1Xlo2zw5j zQ6Vy%kGAcCGvj#}@u+u=SQ9ulzPmYw<_;78?&kO*dCij~iN%nR1T~v=vIRT?9zSTg zg|8uoFD=As+ zg*d2%j&^4P;c1SG4Y5MWKfvnWUKn>@nMXap+oE!1taj4&d=2+7Ra=cWpIt(9%l|%B z1;}VSkuDCejWI2#dKD}TP5*Y7ZHN%z_T>JEyyr|Kdyhx!1;E4tx*zV#Px;1$fTNt}wn2lojsF;r`vqWka>x0fW3tN!R(L^@!8Tp5c?O zGa2m`?b?p1?Z4qyD)XnDMq;BeEE-b>Yc}71)unvty?Ni(yqZrEv=)0`_Akh5p#vXT z54@toI5MvU?yVXv;g4M(Us>lk-9BGYU>?Z3)+lvU!4uqNVoVbr%Z%ku5o5j|+T{SGYrEykeRkH~1Is(q%^ z^y2p8vhhI6$78nZG7t-(Q9dxcfx-9F{K~Y@Io;FeYFCdlA)9_tR|h;?3s5b=lcM>!}ptFC^e_qV_J?A;+%&avtFJ@lA zT97P4_Rikl?|t9bb$vXQG)n`WKkNq$EK<7267NY1jvaUm`O_RiJc>s+++A zdnMH!=#iiLR~&D9C6vUZTY7%D>hlR%gALD8{{e+Gi@O>!5bS&D5$?S%%`|xXS+EcP zsH7!ahY|gCR0x#$U>dAifZ79E1N7|E7D$W`wJ7blH<1Gnm6W8(!FaOB?ac?y*r2WY zL*_nuj`1UDa`|;BYaBgoHB&V^1Lg=~`B2s8$`3^#G#`!xSA?5>yuS|Us))#BK#T@E zG-ojD*EKgzl{H@^_VaUpFrITYO7OH4+n}FnBPq*n0-F4>T!jhxb#@i7UWLs%h1YQw z7-$a6D#U19Gv8W_#Pwy@bx+F~Ij7;ewN4HuIO#18wrxi*zF38LmJuov47@`k^BMQ* zyxYVZWw6)<NPnevQz$_z3qK#o`U8KmSb+Wr-LJ$!dP{b73dE0#YWi zBup^Rr8{+KZNN0?CyJjYX8L@Db!d<@9r3(S?93b$=y^wu#7|i(dpK(_=GZ!$zj$<# ze=;w%pr7MciopQ%+<^#Gt^e527P6KSdep4-9muM-f;H~V>*hEW|Gv$xjK~kIBC9*f`jyM2>OVGvgwoDRf6#vx=ro@YqDK?T8Yom9d!@a!*VQ@{8mm^|gXh$(*iY~A_E z3*zqeTJg2~I9ue4=ZMX$kOQHmCkgWyHco3=P?4^OaIN|2FNut=ToM{+(>*SzIWWVK zUY!*D7Yup($422`H&qi^_spaQ?jG3h|b=ykJq&xK_c$k)oB1e2~NkQ}q1S;zuGU3;58p7M*ypGMnMM}MjS*lNn z71&vGfm5Ftt89ETz0k%0mH4%1Z!}5{{qX23T~6{-4-TDm4>8X6TDkj#a^+$3Ycz$# zz6Lp|*i_2QZwI@kh&rH2dBavopSl|8bi8|^HK!ko6k#)RS#;~=AMq(8#c2P}pj*(* zwo&6EZo&GL)Z5hIVLUo_v#LGr3$0g=*O?}E0&77x0Z#dMII#192B=M)HKnCRMd7LY z%Rc9RIsA@G$VgRsjt*IQ!k?xc>%X{aw&xx#2-#<4!bO2(#Q)WgATdN zH)x#!(o8) z({hvj*Y@LPw&CjjqOTKrWmY2OyUmFv-pYa;4X}p>v~k!OgYru4t{tPS9nUkKk7~f~ zV+v0V5#RH{8-A+LO@9fAS`o5o3TI1|rqK-1@{G?NK+>r@MD*3^#Z{XHOv!JgVWH_gRj<0>Bpd8K zX3`Bo)Hws{cKU3IdRe0dKh43Bm#I%JMexI6l`OkQ8z_l3@GPJcJ4fSJ>3s6fwr@F8 zFx>6BjHc=Z@)HSk$4m1!fp2)RfGi+PnU}z*A8Y#1T}q%&t=3CGNY``sq2Ol>3sMXq zN8*6%`M<_>V0NKB0DiT+u$FK%yPs8}zpPOj%_m7lBXbPv8Z4 zuvt1&_qj)^W(U|87gDh(P;#~-B!y6W|Cx-73_W>1n0X|2>d_QKQ#!fr zEKB)n(-efKjgJW(<`oavxuOMHF3pN>wGe*tU2f^id{`C!Yi+{UWye29$2I4cjFuNz zd%hrzjaog2NWyhHIyz|S<;aBneAOfcS5sX)eM3pLl;q^eOt(&GyuSxE5AYU@CPMB* zgeDx4~wys zS z*jjQ4*M2R2xS)iuljq#6%Ct%ddKj%tZ~o@9kCp!~<%DLw<9)P0g%gT>msYZC^!{CS z1nQc11rfkl+?bzbU;JCuCV(Q%i47Mcva0e)Qc} zpYH{PvyOf% zSmr2Sn{b3T>9WdC7X=&lc4H0-UVU;_f5FJX>Sh`xOErHNo`;Hhm8d?;&2xN^%UC0r zZPmY{_?h^wV5W#)ueIVwRcJjquBnpkE);(vLg_m8^J zt@?PT2rPr8;Nm!K4V5jl+**_V9fSQcGh*o|tEem{bYxgSo+Fh4x^4~n0*)@nxzCUX zve7`KwR=%d|0;8V`-|8wBpB+(KVs%*Nj@3)jmxqTl&E`d7EVtxjTX;;FOeBXH4GyAdGsAyr2o@wmRsRGjeCh77i zr(h@~*O4}phN;x{?g3&ZBiEiX9=tap|>M3VMUg1zK}TSWtxxPOrvUp_{61~chq&G&aObYUQiul+{Ja(*}Z4R;2X-#|G_RMC;z{=s^ z6|k~L6Znw?rA{GcL%>q-s50Gkxe0hx${QEftsGFbJR18FZ`NH%v8U`Dpc#HN*$e!xgiyh{Fdsui!|t_ zZUWx=(-yhGBiiV5Iu6XQ1&f8(3}<|88|kCsj2`sU<-;g|BypsptAv33w^e&^qGnfX-PSf_@rYUqt1jLSCh)&m2IGORaiQHnM|C8w?gw_K7GfB(oZF zWcw3O+4khby84t&Z7`+dj9z_h+*=Apd{2Hyocr!n!qWjxwhRjlb29U_4D(H1w{eHK z+mQ3QjNg|%AZsXJdnOg)6>$&TpJ};W_3r0Xb^kg`;IHnVnC!vOGCakudDEQoT_ED6 z;#-lewAb(aQ%Y}ByN`*R*my}GzxMT7F5O5FFysB`s|tK8xg*x70u+w>2WE4BK<`g! zZWRC?r1j$A&EhuIWzXBJ&}CdF(k!AZeEp2KZE4%)wO46%PA8|;AH0{Mw_DsL9Gmj& zQbW&U(+UljOny61XuV)`!*w{si~V@$C{>~o$lq)L_owKY*P8TGERYMZHi9asv^$zs8LCMA~xj3m)6vUD=Q`F`B73S0(;JIO)1%gf5k zLb}#OrHSAm3`ZrC8&_|!twzq%CrASAX*9*E1|if#=O*+u$bb7 z(4S0PDkse^JI59y4->cbGyHaEoc z!my$?-SEeMVx4=?oMtqvQXjf&knc)lh1Kxbsn&Csori`W6nSvwfU9_Qi4sp`v}$a` z#Io_O{}vM{ZuYmzUnUN%25hqm!eh=n^Dj<-T+|vELG`apJ)B6R%7Fneg1|83Z#Fwk zR2%$e$*t=e@+;BlqD)bKng_s-KyJWWp;ekBy(-C=U>i~pV7@UGiRzBXLoFywz7%y) zmMXi5woah*OuC)g?0fv;ohU}7EZIf?PP5{=8s;inV)2fTNQ_JN?z3fMliRAjm-P+u zsWt&DoJpDzRuKc(v0*K~oy%NE?1*MLiX?d2Es0#P_=CmN;d@*W*8Mn@#SJtHl*x?2 z{BeeBt@JyoWfccm9=Sl-JhnHIyXO-1lxN9?_gK2;q<<-~{;g$toFQl#nbN~?aNv$} zhI^*!d+6A8qp-Yg+I+)P`s{Nqg^c&t6iT^ZS;`|9M)OhU%>28Lo$v$gtUf z+xL}6B?jLI9uH~^=P7NfJ5#B9mvfpu7`3%WTy{5xhlEG)9QpyArahlGV+xn#H(Dm| zl&so$%UYEBoznJAWIi@aLDsl5h|&V?=|dF(Ebq)@_Nfr+&;!@6N80?e!Uv_hEz;8@ zmWymmRb*T2G30E8IPUT82~-;0tvxfZY&A9Ul=4A(p~=SwZ+oyA-)-NkWI}qRgnZya zo3%A#*+-Zu1pgW*IOZ*|x5lL=(DuEc60U$t1@uzegrCV^%|WHF@toAdmIqB#PM*!b z4FfZ)RB?s5YAHVii8D*18nZf4%~nXhwVL_b45{X7xq|YTVr7!zK8`w?Otw{#*_U`V z*RcZcF-uY5dC&?ol#MyrArM`?Vqe!VT{DDbMIll=mpn(6mds3>fYCvBYMh)eO}t-g z_B$ef0V-%#A15LzYA9(tOMZG!L}CDjDbP9@h(0U-s=6-qx-*j;`Jg55>;v<-Rf3eN zVzO>+oEF9_*S93FD%k{)w~Am(VZ~l)t{E@{4iFlt&AQr%%4XI%7nd@L7!$3n; z(ARM;VTFU8Q4?FM0Gg&7zSdJ0d~7(ewFDMwOs}(lq{xzT&xM3g^mCzt`m&Ntw|xR~ zyT`;0xSJqqT6^sC+t5raa{(5omTp`AB~IVA%zIrDW13u6gxRVK?C!8o;n~n{?q!zU zwNK&QW4!no#6!+irNwKy+C!fgH)64Hg*%|@3P_Q?N)0Yz;L- zy&iDlc6Whtp!^rgBZ!YJs+p;^iA4ZzRG(s+N zfJ>VIfLn~L%rzUCyUE0pC~v-OwFdAOn_BV7;K$?ZEh5+3>oT4D`}SM_ngu`|OHaDc zz8ddnoqO)|_E}RLrj&*X=)?>cngTbCsX*PWtkF`xOs$3%XeKaw=fW1QSlcOGXx=&! z^!lrdmruf5HYZTNeT~OmPzcKyOTF?LkA%`-4(0m2RZgtel<{2;uql~c?$c5Opl`@! zkgyr;?>%}fBrpP5@Kf^-c+i`XD^%I6K1dqI-SDdu+TTGtYmT^^d_CRDWOw(>pZv6I zUTrxIFV(>GhoaO}@xh(nr`!WZk#^isb|H+PYkYKFPKck)Mn9Mq2RtA&wn(Mi2=*_b z5tR1`R;;k&SK>{*5p8!Q1gQ4}pUfZ<7_b$q+d>Nx?70h@8`00W%wh{$j$*$&r^a?E z9wEaPI{0Jm=&PHZ$OEMhjH*g77|s!+9HX@oE^Y9BVV+0pv(eQ13R8KLXl1%D$&JxO zShe8?7YF66elfXq51+Zk%ThLm_uMKRzpl@codQ3A_>crHBp6meOA%Hu`DU9f?8jH&x=|;&B>$8zLK*!^+RUyD4_#HK$1+U<1x;1dwc>$ z@kJtP3{UZOTlK`S%e<1qnX0X-DtFhH71!T7zeHBqunsoXVBi;U2y-_LgBFZwkH40y z$(#Dor!cuI*5`Bzvi;cDv|Ck&VDSnCVLFM^?n_Z0p6T4rJx^mSrg(B4F?2Y3ufoMD4O}2to++;UXk4gh2ygpl>mt~wPB-e zzsKuhw9X))JKRP#`zmD3G^KFgM`)R+Dnum#BYR%I?CjzMTr5BOMM0!0hi!}bDEi%2 z4#F#h5vkZw=GJx0v@mC9m|pj6cbmWV8;N=~Yc&UzuJOAHi*6grGKhtmYI_zTT7J#) zyM5-9iSr@fmzXLsj%?M?7eyKzm69}2hjQ z)ehQcpStR`bxeLKgEH@J1&YN;Yz z0|VC~wCZ{TSP!^LUQLzZB?0*ck>3%SC3wxD@fvA2s}&vdGlJqbToLAuQT%?+GUoZNAJ3CoFs*!cO^0GV% zL|G{e3{bA+A#`K1fk2e*R>>K#V$R&2+q}KN`^rZ<xzO zh6s9g#G*Dz)o8q?j6hQ&Y3jNgm;7oyCYdGT1>L%PXkDzdx_AN2?F)EY1X`X}nq&r+ z!DZY=om4Xq#^p-^#}}(46q#2#MvL5q2Y^Fl3H^8)W>Vm@5kh9zY0O2?0F&kk2let3 z@I8+0$CzZS{Y0(fN7#1f*Qb1VLx2_{jJobq^NTzanxUws7<;Yi$#Lsskxb+GDXf#R z@~wW*6M)wQ`KJg1Z@q4fE8Z0#;rfX04=A_9w8T^gNph!J*C}%o^#`=eIa~ZMIo=rZ zq?&*Kdf???M_3Wx#INLc;6F@yHlUTUmw#|;W}qhfWT!W_c>^ZUhLFpypSwq`1ZCqVA8kDXBr+9jk&oUJw|HoGic3?N|k z`*oTt(AX^#H?4>n{g}ZZCkUfB77liQsQO`*$d#-`z5?0A7&aHMDE`VTI$aAOG+yMq z9r9-wnSf(gCnVGiFIM)YRYv=zh3)MKwTS#LfLvAW&#EYCla?CQ|H8)=g5D*3$ek|! zmqg%y7IB7|fYb;Sk|A6i@EIQ}u&aof4-LWD@&jRi#d*q03Zb{C9aCQ_NQIvhgtf9) zCsONv&)useetui$pB`U$)AWs8KK|3*^Ii;EeCO67d6zX;v~5V4e*PYq^6{{gx6lh{yhdoJftZPRBV`GD53%nhEc%(Y8hd2#c3S3Mcm zNtyU9tE6XB-PIj?{BG7G4__ETg?-W6T#^faCdQ+f^v9zDpZ64$=~X@} zQ8Cgjhr=r#?JQG;>7N=oHJVRAK+*=k#dBJa07B#Z>DCz`E znX{Jq5ewBlG!@8ub7Ek>q}RQz6o|esv?vm{S^8q$|8OO&oZ6phIwzJViuSwB$(wt) zEagYxl=~)sKvB(n`KXFls!}?v^lyN!>B67|K*k(j_t-Q_5RfG1B2Y!y=KO{R7){b2 z>$GGUz~F0Uu55IOtFpHE+xf->xa!WX+J0pFG4oZ@H)F z)0ai=yw&YtW>1h9rWhtBt!`bk&lT>vHJ+dZYy5f+ZX zK-EqC_^f!Ysq@kBYu#$Zgbe1Au^C$;U0F+ZvZ$PNzY3{1rylzd)s?@GHfjid7r&Oa z1EaE@su{7SRBQH0PI8}_<)RYjFo0T(ioKN`=zA?oFXLsuR&gKAfl9A3M!8sPk;oOG zJiVXq=F66d4zBax`=|su(nrRde$50S6heIAB?~yEHC#hRp{mM z-yPnp@XGBQT~h?tsL^!I`f!Vsx!L-dR8S*_CrqlN1yv4*h{d)m$>QJ@q1U`2rE-Nl zTd+b{{)aBCybqUzzc)SaHffHK@reln-q{2o-!k>5X#K{#VnE=~q(Q$#=lCYgA;Br0 zOeY+DqDz!~w9tNFzuatz9e#dHWGhY79C_D?Hk8iEv(r_tykZj|N~XYm(pkysnZ&g} z0Taps?aX^7u0e!uf9#ERi_VH(#xDxgjf!DRJLfzUiMC^#C z-*(oN)Tp7X?1_Gkx9&lrq0tG{(y??+2+SZ3vZNPc|MVn zxTu{s{f1cSYz*y<$=z#=C%B0Ebm->c>v`}zne{itTk-udS|444&I-IG_DHY}P|~WU zsMgO~#Xw4JxVDViqo&(gxFk0tgMGc&0>v3V){>`Egtzk8&C|!dYlMY0lyq2|2N89< z{1A{W#d_|D`B02Lsds1j0n)kxF){hth#~ai;!>B((_<*FLG3xyYnM#bXu7J9WS(>^ zY?%K8VA^|cHx)Y)7UQPYFl8xGJ zZuunXOza#NEAzm}h3)Y=)g4jjMK=C=SJ(l{S5@N6#Vked3}20!L(h){wGg)_;3Rey zhF5wRYh`?9iTVv`-OgtF%ha}VVWaX{2fd_20Tofsw!I?T#LpbZ@$b@$$(|Qt$hKo< z=VcS{PFFNzm--1!pB>7)%+j0S@e6GpbC1%CMB>J)V1hXIr1jBC#$OgL#%e@tZ++c}3D%O!DzN+v@(P@tKeuGExlJZJL2(fx*{D)eo2 zqjt4XFCiqRgC$HWP>yATUd`J<0uz855Jd5@O~cyo@%Xe8<>hi!c&r3F` z;MZ!O_jxy4JxjC}5H@exP|{j}RZ#IhB~c(PfBYO9M&YXfN>ap*ejF_V+KvQ8!Flt@ zf5L~Fo(iEDO)%foPgwAG5sC{+(i z!ljq2C$k*tBP;IdCknXjXJiMN^y3a-sa&~y+h;gDPq6KdxRu$thx?K(lvy&B#f#|) z0fK61K!OCbz6!^7Ox<_YP0c3xhrWp7h- ztNe!*jE25Fq1^549+bSZZV~*KEKChGlx4PopJX+{+1OOfLBaXj*oB3zj7j7HyM3J46jjgUm6a9`K$i_d4MDmfNpHHFH5Xaq< z`cOVSUb?X9}r$Mo@LSEZly9j z&0p_z^7w`N#XhY|uF8_8;Ljm^=XyFdkZ%&%W*q}|O$PC!j2G}ztc$MmC>yAXSJUkFzz;j_+*0L?IPQzQ*=x$mvP!%SD{UXmMRCKqXQ^jCdtY zJ1))jzR|3+WTR=!s;bf7;t@pd=&%e~)47`bw%p9QojKUPZWirPqF82PyG)3P+5R;0 zu>=pj>rGbb%&)mg+8+Rg8z^I9Zefc50*QdhR$D|nwH9INvzN28R%*Y#7cSC7eY=R} zu#pNE!vwuUj<`?vXdoGsLfR8hnM!;gT~r{{?edKqCb-~gM?!kDfT4~)zxC8@$GfNd zK58=&kTn@hlU?n->z>v$hj(qUZ}?S|PE{4~>6`_rdfmF5oiX;#G?hRJvX}{KuA<8l z)xHtjXi+QgHJWo)0|!!XqR$ITzG2-ea)1V|vTrFHzcCW-(MGeENjV`@Bz%m!Zy1~B zz4gr;vH7z#cEKL+`{IE(=g>j|4ei6xi4WWX@AqmwWe|aA*_xYI0|fKDHBP*gbd?i43BI8Bm=lFRn)%*HKb4{VK&7!3Rq|f@5GE3(Qe}ZyaDXuiD#;2c`E?}*f>!aB z8R>WBz4?0L9t~VnP(iDGz0D9Gmu-Dr`O}*l&hL`Gt!8~POb$ovcTtd_B;TZT&iF_b zFYe_>%-hb8jDyq%l`yLqSj zE4!ly<({4@^~i06jyCyW+B>a|MjEySyDvml=M3e_mFUlF2)?TQ8V`@x`kFwxCK#+C z-sb~4SK;F7oCSni-wl`S=M^tR^4N|=`VP5VHqAeoE%V_eVbt%YdzKl3g71Dkx>4qF zVkTbbf3~CCMerhaTI#>|2L7tiv6K8>0&C+TBJK_V09~uV_F$W4L^8lO6X>GVx7{U_ z`IPTqj}u`Ga|BarcOOOY2}cErf-3i0N%1y}k=$ya-U7sE-|*uBg;R&WqCy zJ=ID{eGU>(+TKcd5tL$~f6g+7rBe`A(9jrm**%}zST@XCCGSK`W6zPH{(>9hYeT;R zmR_QL`NrHtqanvXRfhei5-I?rYle=9GcUBAr*CaEY0ZLQB+2Kx9VI;9&RC55!snCvXvwLoF*yyghNrOdx~eVhV8ETH zr#XIDjuYp85%ztTUoLv*idMF~_NMd8Gi~;et@jAt!Aav0vlOHG*+U3y@!);=hc4~< zMr3c9MRkacr7hM@ped{CVS-Eg;iFy`&9*o{-uQ(kdyx(si=W$GVl2eaRVxj4(3HP! ziD$IYxD<(RNk#kUo<~{@)8-E!wo5&;poS9Li^kR@-~2ry?-{+%EzQUyu2xa)QUM%h z#!R^pH(<0Aw99aMwWfjQIA^$%G4$zKW6Q~zS1gKBKCYTBu`bW)F77)p00leRV%&G2iW7WI?%A0pC-pWjkjvMa+ zyV7svOyd$Dyf&uVG~&Qn#vR1Wa3n;s{>G zm9EG;FdP*P3Hp=X(=b!%f$tS@h)K32Wen33H>T#VUS$scI^Fi_tc8UFET8|g~S zWCXa^Ug#-%n6z8)9x&k)}9RdL)_mEa+xn5;ZEJ`QzxsE-C0qB zjGr%DV@HA#1MxLLsj&eh??q0PA73x0kdFUURBVbd(5EGo0~6Y{#HcPLt&CNaOt

    `beBF=o7;k~gnb20?mbN+Y|hG#6dn&oXqgCS!^KN4_E@tT zB^@EbO9RU$eftC1*|#6r6>gT2a13?W}&wMYeiwuXzqEGC_Yi|DQ; zUd9}8<__G9_7SGuPvibd#iS)HrO_3+2{*(P@P`j9)gxrX7FH*~xqv|yqhog4UnUi*zr&&a{p^j|{hdH8O zZVbA@xSy>uWKUHP!=asL2tQMFpmlYvsfaQX#CrA)M*D+F2W{lHWl?;KNQ+!?%+OWk z*N*RD0~7FU7*qNqXPk(Dn3iv)kVuV1j?kKk1QQJ2w?OS$^b7Tj7L~Ozo~|WGA?3bO zjvs`LXT|%nWbxq%kIRd7+0hi)W-n#LM77J%0A)rUj3=bH!E=w2Gc2~{_5+9gKaVXn zT|C8)Ne(0=;CP!;ZB<>R@s7L&kZ@Pa-bVn;V*Z#gDcwFyJVc9?lAdgr#QcjY=7t9>k*XGu8tDKEEiH@Y@O4pF%d~D`tL~c)&jN)aBWGVLKWVJ& zD~V4>Q7VzioCrCI=`~!Tv;J~ou8L;pdkT9}N0E`VJB&GUX-p#$RNFFDyF^W~=!5<$ zPouAAPhT!RpR?98H8wpzIfJfsy`E}bH1rN#FkNNT+zodVFw<|kO&n{k1WkfA_E$|0 zl-!y4@@(+0&aA?o8KN%?m!`CuH{&JrzE9}$+^Bw%t0Fck%b-4>a5y-3$eHs>>7ZSB z%gT3?Gm3FP<@(f%b;RuI)?7lFt^cLg2<9C{?)JSu`_Z{%vxF~H`pYuWzuNsD_xZzs z;(`Q(e#O#R|DOcb{>C$ezw@jB64l}+0kZyI!e~E6{GY;TtiK<`{ucJ(%wH-7JkwmBfYqAQ=@ARuM5Zwl^_FVwN$rGlyIi$oiLP+5Oc065z3vn4}m03JL&# zg8TvQX92diHQjD2?$6in5ap}7|00- zXt-z@Sb*&8?8MYO{M@X3Ol<6|4;O(#L_|bEM!`iz#bqTWAZ7ixU-usY7zohcpiE() z$NDW0q zxwv_r3yX+~iAzXIDXXZescUFz8Jn1znOj&|IlH*JxqEne1-=dn4tWzA78@6zkeHO5 zlA4{9o0nfuSX5k9T~k|E-_Y3fsk7^IcTaC$|H$ar_{8MY^vv?g>e~9o=GOMk(ecUm z)3fu7%c}>upa3vG#e#hP6zo69g#nQZ8Wt7?7XCplC}?-c8wLaR5h)N3Q&17!(D4Zw zt3LvkP)t^32O>F}(jm5y(^sUY6zt2CM-QU?knHaX7Vuw^?6+Wl$Tb5%fq{Z_9t;Km z1lYZCUClq#w%lp5Q8(H~TuT#Fv{{1 zry#lqFsN<_9j_=H+rjY#sZ5{u?JXW{G#Sg%IVMpajMp{I%uruFTi#YKUMo=iTpmWd zZC$rNk&)!1tv_-PSoY|<2MB%FKfm?8n5Vf|B)tcucrjn!wcpsaH0>~x&51`m?sUhS zbMPE4cz@T}Q>3?*${cPJk%2W5e(cA(t-WuoxD*Wyqz4FdjX%PGbmG+I zE?je|3UasW&$&hi3g`7dQTdNhhT0}q%+t+=V^bG)zg(qK<>RQZ2lEs!IyoMN3Eu-) zxZ4kQ?g2+l4j1i^woN9`KR<=EwDHB$e&n|(7l~$f;IIvozc> z+&w~$5s{3-o%oc)Z(6iHS*7#0uXLh|XHOn8lFn$8Qjj9T79u#|`E2EHeNnRPvDarl zLGy~#TlYV5dMH$){b1#xP>+l1he8?j&yOI5nrnH;cUD8WqMq)Z1`Sm|O|dz`fT3%G zW15ZLC+Bqx8X9@I#2oT{K$;oTqiMD`Y?*x*xzo-rThgt@4!RQ7n9s}W>o*H)i#sDo zdyWue=Yme)!td^WHfLBY@ns;SPrWPd0T?RlhqrBI_SuVlw%9c%8uIQ%qEEtIHH^3= z16~_72c!4ravAV&V@+Y)!qjCYDm)*Vkz>3-^nm&T11gQbW_M`KI(i|K$VV>T7O z^u<}2?-^EZ_FJ)ld?HuE)O-k0^*9koD3d}Axc z_wPI`IxZ#U82||Pfc)11f;|d6lqFF_uPaP1V|T`M^sS4?hc?vFKZgvLBdp~kw1-OcwsS!0Kp&(PW9hB- z)*j3c$@%fZ{~@Q1e(FQUqt$8jn@*#f$$P+?a!M=JoSm9xAH%(Zxo|?*W8A z#OT2!z6^o1TomLi>>fs`nzj<@`VFEP@1Yit2}oH?7{06RH?rIw{SOB~A8ClVlT@{2 zBUfcii^(r7&!1 z?ld4H%?HvHp#`W~5HT2xAj94I4m-YiK%TPDTHU^#YrF@P>t8>gaHScI(3U=7!ZxR+n6`JFyg8}8St*oV3plko zva-XcN+vme+nc8n>xHI)=5@AZO?`(ci@djJbT>2FHo4&`AU+cZUQDx{P1_&F-Ay&} z?cG^CL-4&N#&&eBSiiXkfOpotA$sDN>s;4&m{)&@uKX%6?}n2?`&mkSlUOz<1vC^j zOq7P}U2w}{r!UGYV&7$3vg2Ke6DB5xtVH!|nNDX`XgQcArerI<8kTbkP8y;`;7d>BEj9 z&vML5rtSfsc=#squf?WD5f|+l2-o7DDiWb_Hju;}A3s;E%|OmXvUKVxT+r9yEJL4J zIH6~7K6tijaq^}v0~!s`&6bvcCtB0!3p#lw%lJ?W(x?@~*$;T0G{NXJ(7YY@>0{0y#gb=hlV$ zDu5hD5@vu$M@M*)-{*YvxZ?f3??lC|v-~Zr3P&zbDME!IRTpwW7DdZO(OREH>dV|= zXy1?w)&!xpWDRuf}#_p=HbK3i=kW@@-z8k1;-{&L^pPGWI4%OkBbl!-}Ev zD~6ba0{{TN5TG^`Vt)i980A~FeZ83~Nol#!#^BzxR4LCjV7$ms>O9GfJ*R7p4wrkz zVwsc_+k+&t0rOZDO@n#Sb#u)w{;1q?pn&ppa7@jiCKBUXC7fs)E5U>!4HN0ZQnZ5v zg|k+FnLGAAweO_uleTP??2K>6Z(iZ8BG?wxMUAKgc(=JoyZD>TI5h`%%%mZ?c^y}HATq4IvpXj+3D1~GXOH2L?1 zwzbyUWpXESLIciLA6c80xalGjKwWUB@C_8^h2T4b9rsSB%uH06Aer`8ScR=`I8W;C;5bFz{HBp{pVOLy-rvrFo@X?4ESYsdL z8UlL~m9Q0Uo$4~-<=T~`sC^Hx5PNG&a7@R$5AcKY1hL@a?W)OTnJ`U!ng7V78CFUq z*b<%f203kw9_BmAdG79HxO>RbmHj#TR8QlEaAzCq-Iu#B^sZ-%mzUQ@m+73hd(+!J zBc+k#J;c`6nyJ@&0{RJ?-YxdiX?yeS+{4@zf_LMi?bYoy0*lsX#Fv*RNdvp}2j+wx zSL1K;qCAu=Of<=2gvqn|Kkx-0?9fVMt6Hrz+uSXkVeEU>Xi-NjS6yS-x+MllB|rTR z+c6|IKh@DUJW+xUZ{2yP13G5)JyPANQMvUhFd*i^37~BL}@3{w%72E@`mCzBb zGf&p<0Wr!q)}w_5r#tCMrzbe$?>*li=GTDMA+8Ns!Nq7#P;CrEiThq+gSuPE!u0iu zrhWBUu4?3YiEt<2Zy@?@P30bd?{PR$4q6|DoI`yv`FV!ex^2EK~&}=u(ih zKfqfeN_hL2V3j^KimB#c;MuIgRSR#L-{D5-uk%KGLTUveWwl>o_;xq-xxS=1MX(VW zpajPuXmL+HeUrQ(*kVqHl+{y#)md)2Xv4X(Lp4n>%n(i%AJR`lz%$%0o?HOG$X%j( zgtl-X{p}3rLx^)KK3qO%eHKzN=8Mtppd$23w|hX(ZLy!3maKaxoo7q^dUK+rHc6a7Ks7qk@Djqw z4NysE;{Ynzh3^3R!wI?gs$2JfM9{@CzRSYQin4no@)rSYAuOMKN=grH(mO1(jlY+qAe$l?=*c^J8!HtPmyU3aw=aoJUtejOWY&D zWZ8^v>?0uVop5ara|wTA-gs?;F)hj!UGFrE|Cu3eU1fhrjMqOVfECSZRUL!U6}{th z_UhO>a0kM@rlIrp()1qi7X7H`QNl+^alRLaWq3?w%O|g9-^BI39ZYieujILW_vKYt z-*r^Pmp6LlieVuDrg0iJ$6BqKpqr%XA|PA_3PJ6s7E zsfjzT!lJchK!K;&S)!(=eP_Z~HAq5;@P~KVu`7(i--Zbzj%NEWlqq67lXU_=&hhjT zoGk7ztIA5fA zoTwfP8tX_V>OgN#SmN^&sPVi@YfcP}bV*@PotX z(`5~l$bPXUagbIHXG+oMr*V!bTne(buwQhjGQLz-H;YLJ@{r`Tu-Qz)ivyt6?*fR(1TO z%q4T0OYB6sFv}4FTm@czGtWV1c%FmCOvETREwPx=UCD9;G>K!X{#Mvw&0pu9e=Fyz^~kQ$MZ1 zbkTIL`y&AxjLT>B=7rBpFXh|d`M!TwIH9YTrAR-xC@62A7wnBvR~T`9+SJ%o|0GLS z)h_PaAWrJhZHyr=-*6mnXs?X}8c(;I3$J+9NKTj{O-ydA+DW?R@iANaXMoPlTo5iH z6p6{!R}IzALwOu>lA79+qK1XJ`;eA)#)5nR9^Tmf^IKjKCwf)`j?Whuds7#BaqFr+ z9~XNDxzj|$^RXarvXI=&HWkxb;f5;OcF&wo$}LW`nbbNbgvd6_YRg7`pCB7mY;NS5 z&6y%kmT{{XZ0CuAdghq058z0fA3}j%O~_*wdTnKEh>ohXrP3gEq7juv2KHi0;v4^sP-GBkn*#m|R?bOLQS2bAp%U2= z?W^V!TKY#$ZPcT7`|9c%E)(s#!gA6UpV$pV$?zo176!Ualo0wJ$;+{gE6St4NkBaL ztbYe1pVySd;cA@F`1N3Ia^J}we`wgV){Z~~f?q&?j9w3_DfybQbB^|bp z>q2vq_P7ebuRAviW1Y4WqggO81`M9|%D@EB7iZUB-R$8ul+e2@^v~S13p$a9c$2Hv z9)ypiSe~zxChYZi->`R z^kccv$6P-y;cwFvCmJfr)?=3)v}=1_lB@}en(WNfjz^xRr>BSM$~slCpn?(svW=UT zcjwy*5dY;O=jE z*+Dh0AhR5y8{!KV;u}$2o+f8yUBZr?QdVp0JvB?ap^Umg!uYdF?ZtT4gxo2oWjsH@ zb^o!r#s=JHRle1(1uw6Q$_|*2IWxZGbtT?8BiP~1%MBJHz>~+NML8$vX6_L`hA5AA z_&XGcj=~T>d;7+BOCO@L;9wqRn@t|u9h#!ra#xxK`Ss&vl8!Rj?0dQ`lBVP$1E%&b z*};W|8ZRu?k$T^I21OmUR1&jcLc!CIs}cY6b#ku7yFlNWJMIC2P!CgV?A`uq+1%2y zf)Zb!9I5Vg?2y}>72+!#h|=rugUl4^K1WV8I{U&t+4>@g6@|TiZMWx_AqVZ`-L9yi zVGeU7eN!jDf1QaWt*Pn-0Hty%j&WOw50@Cn(+a!^~S zGA06O-M&3?@p2ujZ+eBJtj%97)7-^s?nS#U3=Q`TxA&V9t7Dv|3%#NxVNtA^zrEJ? zBJxdVdKoD0p|7MUz`$caNj$hx7HfPNjO{DEOk@6_rZ;;tn+hItBm}g`$PPK{@D$Nd z6tNZiI?ByEsO!3GGKpN8GiD z3@;a~_lI>UC~g=vdS05--l1xyYM4}C-Z%6x;CK-FB?`Vx{_;reh;i-eedTCPO~VQP zx>2fhCL@A>wm9Q)qGIbjH|#o8_rzS4WgAtT;xPrjP9OGOoZd8bYOtoFn+fY09q{Cd z{g5E+K8+aNUR02^A!S5N9~*yqP2zG|fawVy-uw`c)7JobC$PMh|10JKQX zm>8WO^I6{*z2D@U<+G*iOVFW5zP%1HY1O$eOW#1 z=%J8l%AXH^Qf#s>Xmq_BO|e*5>9)S%(S1 z6UFKSi56$yoTLt5Jsl5Ap{7{Tv`Hcc+A$Yottk|=T62$vAC-Yi-62s#hYu@!<+yuP zFEr2-vW;?ipfc^p+D32R-sW%~?Acd(*AKiMo<0NBY(9I{I@_k-7};8VqULv)9?-p0 zHCGx-cNrV3J4^>Qmz2jvsjB_}c*NUF_W}UA!)Wjsz6TIbX4+oM&$P{7w{s01-;~X| zdHU|$$=G!BTrY9}%p^-7PS2E^^JhK~RVl+hl?ynQY$4nM+7FA3Nt)}06SmlrnmvZc z&}8TNusv-xk?e=2WF6f%CN7lSq&mdFdw|;_Egh?RhQ}B_cHv0&=uKZ3Wxp=_>&OE- zFcpJG4|pjS022$x-SILzZ~dq_>g@RITBKo`_VbEN{6xCk1cru%n=G}nlNfjNH_P{231xEQ=33PGox>#Bo(CVz?L)u1D5?MMxkMf zb$7iI(#!I|Gv0Y!?rc4dfXLhwBaavjdohK`4K@^*1cZ+f38j5}!o>>~u{)uzXXe#k zY)_3*fJITB6q*`2`zW&@7r>0l^1F=WE#gjxP`+8|-2vJ}$#ja40iyslbUMIRr}guY zHB59s(4}SEh1@3%%o#j8TU(#rL^i=Ew3>Tg9#>X6fB|T$bgFH}!!QW&tqa+0to7CI zK`xgz1u^7;NoHbxc4qvb2^J)NR>@vuso`$7dLL3~-z>|ga3kbPMt6NkOt zB#KisJ7mpLrY$EIGJeF>0a$(&#X_O8mcO_OylY}UDYW|i?|XFWfAXIHd_XtRRXxG< zM|g>2_-Y)|iI(?(_hG^B4MnjOSn_4r)ea^3jwuwn^A^Mnii_bSDZT@gx89=+$YWZl zpG#Vsmsw77p{K_PyF~5z$N8bj12R9=?8av3Q%!a&g>D3>v??QiWTSp}rtQl>w`Om2 z{X)oLZI1^YyKH$oFJowZ-^8s2$EmE#rsrJd8B!Q8jckw{j#u;=^oBovfJLgipG2^U zs#^rhT(Kk|W(u?HZPxdKNg!uszNG-?7^tT9883)SuIBh|^m$J^rq1TGH$$wT=itV1 z*Js+>ddP2iWj@4R%a-%)UGGuS_L1CdVOJm(;t`vN2X-HSbXQSNpDQo1H!qo+-@*B+ zH?`%sBOo-F$;6v)oq{fWLKjm*ODW}t%L#?=9y^dHU8ebNVEetawB+={QZPD2Rc@3? z$8ioRR^7-%qXE`!6pn52q?KFXuJUk03tKGb#6~^!l4u>nv3yc85uw zV+E8iiKS|FL~p(_WfgDlks;5fJAGO~HtGZ?QeIPSV|!J-Ws-^cIJFS$PnbI@Y7ZMX zOeTtArMu>aRQ%o~R{q5!)w`)tP&KIL9#CU*52(HeZ0-*0(;wJgU-sxdKV4}EX->3u zXIs$ev$p3iov;Ox>WwpZc!rxCAcYd??0^ncZ@hWAA%W*P{0p5a{QMOcFXyb`J(=e05s__8{6}oUkG<>-j9dDV`r~a27 zOb51Q8;!Qn;ta)+^d=}Y)EhkH1%>4T(h}5%pVL?KkDCrtr~4?S`ih{dXtvx*aqagT zJ30w6xZUgTrlOEbI2y*jXI7PRJxUa(-!wGTF*0{%ntvYf4*h8RA=~raMWUpxQb8sV1Qgk8Rg{4=)MoYalvf?SySh!!#?%Lyr~KM(j9Gf-lU# z)%~n4RR>uhYo%3fzz?9QdaHkn~1K)Hue&;-1L-T&IqD+A=AgpFAf*z zdPYf71jSC#;BDnlEIB3`kB4&C?XPyt*rlM3r}bHv=*~-GKE0L-#aynJ#Df_SwS*47 z3_K8f&yHO+T(F@ zJ&H`sa$kSW-!#Y(-yc5rAKUcRS( zT!vWt58Y{l+pqsF5{OOig| ze}b~5z>;0f*dm;#>zT(?N1>P!5hwOl>?o>=`~%V!E21d{px?O{Y~D2^q&eu$KQPk? zBUW`={(o3|3!t{vc7HTTi$ihuP$=%MrC8Ad#oaBziWR4Y;t~oJFILg6(3A-h0bO`sXExp~!?U|YG#qcZE6JL^+_&Q8sA>v2j4*h4 z#p}s-$LEC|oS!a+2(ne&-n(kf*&Berc!Anh3%S1$*~DG&hcTN`?|Wd3uHF^nx;{Bn zEgdPQuKr%KYfxy;RZGoZDmxKZ-sXk_5uqs=LD%}Tn|_4ss2`EMHomTA3=QgYNE4_B z;Q-gQ*?NHW7Kx%m*h4_K$I1Yxg7z$w03m_mW_6Km1EG8(yh$hGuz`Wb(V~Hm!c2)) zWcpt{IS2MmKYve&T8v$sEyxG&<0WNeqAE{XlM|?q=d@silVE*w6AW0(uLZZOThr!` zIBhuQXmSD#Y++Mu;V(W=Cj5LKhPf&%P4bZe+23K2E^gG_htVT68}DOAZdEQsuBC$l zCNdkZgOBZfD266vuzGCK+&NKqYj}ctbw2-r8+M)H?;z3b8RQx@-u(v1 zk^KgAOC&sqyjpXv`Z=?F$Sd#jX5HOdZ~|BM#VZpGO!z0kXx_*CG(_0jrRv*v%lDm= zLlX@#8Zo*p0_uCiiOMt~(WLJ>nFGRf?Oi8E7|@g+h_W#r!jNQPh!6c8IN{gJH}p4{ zO%Eum!RM`E|G}5Ubtlhx^PX+dp;2GN(@>80Q#x*~UuM(ORrZH{wI}`e>yI_2v5*Rs zXVbx7nKpi5xFgjcNZ9^;u^h67#{_TE4k2|Kl}^tb9&7&dycx{}$QP_RdDqCjy?A+1 z@|w&uHtXionRIb?@D6I-x~@}kAM4MRc+CyU2gfgBA<(Z3JYei&`iZ>onJo2;pQ zBg>Pjl@pwJO6EJy_bL%PrSC~`Tmj!vCvittwwdGXkK&r>(IchSj0KhFw}pJq-Pv4u z@7|YCbh~~^TAoqp9?tAf<<$i8JT5U3W`F6ZuTl6eo>W=%{jQ669 z9mgGb+bwFkO)IURx6UIg&_!W*aKoFAbszkgofBkIcf#+FzK?94f17@#(7gwirxjq}Prur_|!0I@)-BC-{B4;8Q&vO%ry8`gbk2{_ylx-NKEj-JMvwo&jX(?!&Uf?!fq&R zl9Eh=hPg)TA4zEVh@jMmh)&8Cn+5mESaAu7g4O5iS50|q>ziBSUeph7`BVfXQqzP4 zwvf)glBMyk{M;3JvZ2+eoDjnaZv%Zfxz75?u4ce=PCk-FaJ|Z#s1BLjrEjGNFUNF{tR)Ayq=9r}Y;v;5m zzwZ0sOj>?S^xb!H#m}PwMTN#vIZ2KVSJQAm!pKw#JI5qaUoF}cl}-GD%WwJ)`5}KW z2-y244HDO0IEAtB!03~Q^#_#y@Rwp>usr1#-f1xqPR${)X$Y%ItyFV3$jaRPT7ctMpWBXfL0{O9bVsW}3U^ zo^qb|r<=2IwT4uf1Ne>aoAmvZ4B;qiL-L8&C`}L+R!5Gw&)@^*+WeT%5C5o)DFhMF zSH(Rxg98ewsW-3Ni(>P2gP*BYQ$Iz)Aq!})v4X1t_`SURV?IF<+#DSK6SiUsjD*4Y{(%jPA=QX8mAX=ju!< zEuWZak8~V|SuWdafYJZqCw2{v>S{w4<&9D#dAUb@r+&YN@o=w+Bu3d#;}$;zbLYKD z%5<$Y-GFb52q^a|!+2PCv6^rR5~V?X)KeI)<3?Y7&Yj3g85?g&i|z;RJUL@+l8P=n zpJ%##_B+^L^{jCd3218im3iAraDS7FR0_T7>fczK4nCd#4Y>0N5h|(3et7zDg4_mz zki65*$G@+&$U>;>zh~K{(hj_NGxUbcM40#qBqoH#^{8QqpVyYFrWH_AjgCe0L}Mqk=0JPLwDrTS zs*780-O*yIN}``i&{T6y(9hFM+GZL$PrKiMJ}TiN)E-Z(2o#K!yONax#aJ}x%Thr2 z2uIQdaZ1mAVe8pLre8plr-DcZFy5+mbvUUl?~&n*0Y?q2y@nOL;4=wkk#mK4SESM8 zS9x@GU{WEG@yqw&BYG;=@p`se>jr=lyOD7ls!sBAu9Fr?Jg&C;jH5Zk%idlGvei94 z%A^OwD15iEhM?mt%Lw888Npv(XaR}MnV#aM>EjNF?8(~XT7$j1Zd4y(&2dju2i|31 zoudTTN%V2liCP+AZ#sIuqKS((;99HXTK`=GHXzriD@|iA;43Nal%()i6QZ)&(b@uH}L~!C4KlA@k?v?NiAy|0}89KXlSBC-^8qmQy>Jf zzJJ3ZS?$Gg$uSX-5FlmJMe$hW7DWsK03S>jdA@(!C5*IaFzAnPL=>fp;cM(UGHXE?5VfY-&DS>Qc;OmR|cJIL46^;jyN9-mPZb%Pj;|bM)c4VKO{9btK7A>6_DDM7!hG6Z;rL7WF4eQ|Yj5hDTf0Q+?FiC6X7@>e+S@QNKc}9L%BXfibtv$6e=_teJa( zAEw~@Czmpdp4lryV?TYXK0FX2I7TkQt1!~`AV7ZQpn(UtRNQPSAAB~>+ zEy0RpY2$T{Pv%v+~7YI%|Q`)|m%Wws46ODjPc0a0zqC?BsRf^Xh26Q<|k&&>E zS%G>`T=8om$LA3ggC}UbL#zy>Q2J}?2AP{ZuRBN!9PjzVl;-RYiYhb5(>G#59EEKy z)-;gf#35?93qur;(uDm4J&XkVsHy^76`)x-^{Bm00bPX7x(q@r0T}rq`JgnLlY?Iy z$0Ap3BIv=Bw$~1+1Nxy4Myp^^!anDR6ap|AP}Fb*TQmE`p|Rvd6L_)b>!V4=nm{4~ zb_46@$FbBt6O3%$2u{*854$@0|Lin4-aP}kLtLu1t(nI<*Bvb_%&EI=ES}e*M91R< z^b=2zOB2_(Uc5W3%U7UyuY~1W;5($eMiTypl7RyiU`MgLiBocYPf73jzs!#5=M-TI6i_MN! zN~RzGO#BYwBmCAcKmZ*U(&jHCaiQ2RP!$C@6AEeXaLaIAGwZ~<$9$P2swBNL@LcX@#t-k5KgXN@aa2c8zG_M zyCCG`WJ-QLbETSl{WI<1mk?KFW$#+=1o~$TZ58<;LCg!De?UV21c)mC4M3zdkIR-H z{rFGW1m40bnN~#;K`oW?HU|3LWU~q)sZJTaehJRZ(#B80kK2>y45YLklzl$MAiJ4Ol@B5%> zCG_W&U3i|-)o|7Kje3^Zj{HnRqNmTN_Qjug5I?JZ7vZ%^?hHcrPQlw-B_k6dPt)9k z!v|+af3Z51jEK_YU^wk@a*osS`4NrmCKaa?H6!m{l>Q`w0Xhsy9?CAO_0rPHqKNZ! za}cTf<*XaAKR40#FpwcpVm)idEVWKjE*U$7;Qye<{uOh?I;q9OfZ%n0Ui}jsYIkSy zWH7TiyA0bjlF!m}RHU%j|p5>sYES0d2oB1+)-KZ&( zs7d4Xv6WdpHUs*^Nr%kk-0$;BmSc=lGcFD4I>J-Q_&!ig zxD)|h*ES(%ldL7CR%JNvioGZx}XOM=74h^lo25q0|FI&F7^ zqhVv@RZ?P49VRo!yDW>H=gp_bE9QM#FI}=$8*5k-_~`j8l3y9tDT=TylYXMHc4Z(O za3w!%YRXs**WZPVFxMqIR{H>TPLe%e933^Djw|^mVqtq;&;XpB7lm6BqTU!g4-+{U zaX?;uphT5??T^RW1N(@;t9q(QCe1w69fgFgi*srI70MaRKPwuck1Ltg$YCw(s_ zj#FSHJE_N&bM^^eaxDs|fPpv`wcCdjZ!&i?)10lN5Fax5lt`X>j7ssX@=42Icq&u9 zVMC?S`oqoadAjr(-uyINg%4uL5?)|IXc$ega~*UhTTbaxY2TR{Y`wMeeWjvGec?2s zvSC?|E#vj=bKvOcct{z*Ml(IqOjD8u;7U*MOW7&v3pIy%V*{QWBPG{f1h&BqZVj50 z-;jKa`Pm0w|A$bmpspQ_)G7PeimLoZSe&ib!ON%+6KIX;(CvT@n&g&LdG72R`24v~ zw)kGPrMD=Ege2f^T8+O5-@ zM{yez z-OjxWcaixPiiFlm3jTgjZj6;v>-hi;{+5A+sq#vz{)Pr2NA5rMDUV3Uo!;)vn>`bM zVbqyaybq&fG+tI6kr=+>7QLK$PB3xw)(X1QHvj$hRw7W_U!t%x zDK82Ef)P`{ca*l6y!}`v!Vrc@L)%~Sc!4yWHHMRU7V!g(bShaojk~0b)CaRb*NQ;K zpNJbngFtXBmpEvvJ=5@WvudUg-`Y?^gTQ2M6aa&nIS9=%)I443Im+}agM$7<=uET( z^^hXOfZ>y$CHK=VdKTv0V5w9xqff7?mEBLWq z+SL`&^O;(RV%o@c-$`%iK|-42WZ&@GMaY|vNC0wsIA=bFB5MsC%}UG$ceyYL%)$WN z^@}El1L@iB`aB?0hz`x*EATfN1ObA+Ca`?imE%FGbFOQ2BPaK%$dBv#J3-9DuT@rf zt~&EnlcNh2h?Cu0`%K9i!KB=JwVLb_TIE$^w=vq!*87w4o9DE98+XhC3KmJQqtR-P z_RMIqCWuxfhBmfGKS~%czQ!~TRmVW(myV(-LH)V$S`DU%S)j+t3dBeJJ8i_& zW9jV$Aw=n>K1BX;#bJP9uyiUcyHIbs4^+@su8CVVD_G7nyslltQ4>l?9qDp{;Yz_k z9sIw%8Fi({1K0Dz9kLCphOVX>2w7Y#M6gqHlHQwyKr*0B!<$a=G!+qF?B}`7^|E(+ zfgx-i)As$z>IvsbHu^uR56dCZ~N`U6EJHxK9(%mi6(58vjq zVE%xj8>!_{;bCx)h#{u{@Fb^ua;s1@P7j737(4NTFFX^Vqrj>42V85~n{y+w8{504 zM3bYX#-*nVv8jh3mudDE?eI)~F>B~2ltgLkM2*?j?^?_;9cQWe87vbO`iW~SoiUzY z42&!2Ox}xsHoYKcpSUfe#4Z5li0c*jg8g8Q;2%8VTe}VYVStwSbyp#IfSYNQg#m~r zmTizg4hdO*YCH=wIJ!I{E7nj^OV%WrDCRKngMe8B+UkaJve@5?VvAVN!ZL&t?qg_b zlzarQPN5-LCvLa0-dxkC3}QPHE6d4kXd2Of^c;V-lmD&8)q9{G`D|XZR@86=f2Tr_ z5z8xw+kzhN+4pRiCMgm0N|vOdQs$Pw+c-U7$q|^LgwsHt1XJoB={>Oyg~$%7`~`yc zHlKa3KOz=iXIe2?>+_d8XOxAn(0WmrDMSMfXj?r8J4jIeiF#@iV6VwL(>7gI^+W4G(v!-Td}AcI z)~kk1*|Uf!?`CY25tuLPdbQB$)m56at#@Okq|Qm&@%d;scVB3S21XTMj?^RdD=zYPQ#9uoXC4V z>nj|IQ}$U?aW3v%b%J!}9tt;XUR%sxNf*kN*S8QqyxTnX$za zD36GAU<0q8f6!^_Y@=t6=l=I0il5s}%h1ysO6uQ$M~g^|Q-R)Usq3qa>m50gJ3A*q zsZ_AJk3mxBc}ZPormjeB>Z^aQ^W`v}(_g>oZv@9iKksqlegne31wSxVIyPqXI(b)0 zw_mM@#?xpr|JOf7I~*+06g(>qm*% zA-E>;`VF|nFbV$JSfw}Xe49Y>mF%as$*1paEg;hDOaZ=lguH5Q2WtumL5^rvPJHus z%Dm`pEQTDxR7#S9!6QmT8`|K`@$@@$Z`&+oQ$&VpgHo{(| zZRg(r{@teq!b7vR3)anT(S&64Hf$p_{zV2R0;s^pY56^_AL&G;m^n|%6}o2b8YIh+=M+VN`2$&2gN)-8Va9$DQA z@rQV3HiOH3*@1ZByVE9CTxatQr{UV8DSttc)C}$lJ(*P*J{79cz`V5JRZfykehYxe zvs17j9H*HzY=0C<4^T+Xj1bk0b^~xw#TeLsJbeBBMYZ5CSqa_aaM6RM9fZq%_KdKA zd+{~J*2^>5&3LwE3Uil5kXOlfM{v%zYWh~QaV=`u5I$v5oEBQjV}{HqmY~^mV2E*9 z*_Ym@3&dJ>-Wj4)nDa?c(oX_A^R>E@o^kIeMkfB8h5lKWCVsV2f`0>QT?*o1wk=(J zBc!vb;q%7h8{)wf+IhX_kb;!kzQPjlF~SvLws--f&h#1l^+opUvKS@CyJ3v8&$y>} z;*AlJwZP|C2TgEF2?3gFN_H#>-IQUq#LwY0C`R9hEu+^lH^T&@Ddw3Jqe)-Ob_4K3 zumk_43hg)VBX**y`aFZ=gqJwEHe1*whx1+Qz;VW9rI)~A8d}7Wb5mLMmu1xwg%TB8 zm!GxV!zV^8{7jeKE;a<89_$xGX-o%;{Ys2iS4`G{({NK5thLMzO=dsq~QZed&GNl|yjdZ$MLfZmkQQ;?(h}2zaWkY5e*;7JN`Gv`j19^H~`$ zr8b+JF$hfl-%J{02Z9jWt5I&DqbH6R>@$pQMwI>dXi4f~S82zNIW(yxik7z7AJs^v$uM?A1Xz|F3?M|V{bk%ePE|05^lCi(Xv}$aD zB2SoeFCN6qL4L=SI3k&3^6RZgbCO*;WP6p8*4U$-=Ax$s{enQSyFY|A5?YSFupM!- zuKWW_dPwr+dGCxS?n~@MIWOuSm!|P4Xk(H=@x4s%l73U}p@?@7Nkdy>j%$uGt4&t= zs|5Dle4@UrvdiwO#nT5u)nDrpW4Qz-1;bChGPc+HL{0M|SyN$vDHB|slP_lz$5)qY z>t98PjfH;!Q;#qlG8y(%TtDvO=Y)lbefwnvl7Bri9Bn>P6y{CSlij1(Qb05nPzwQVCiXy z2wPb&oJ#5baYOYT!H3^~=z?2oWn@RUh%}sso*_%TZbkRsxO^mojsJ@J&NRuRH{%N6xM;8) zrJ>i79hOlq?k;4zsUvmLwsUbeoQ<+q z6RVgYFig_A_7me~8&P0je+!ZIuSNt-Ru~WN#>TisJH(ko8Q78JjcH4q855<+eza-D z=^2|OMoD2IN6Ye1#>Hyv>@Sgdo8M_I2dejYs*F3ZqKhgAuxG^pAY6xWaXS9OOhPx%1}cwc#NBIeh5>Syez zy=blJ2ph4Ux6Bcaej2u|@AqFybs&n10aUM#-!7(5A8IJ1mil>n;mwc`sU;3qwCxr=zA`M-FDANO# z%O*}S#qi1GaXqUE;&M(9v0_!+yFRY>1b(kTaA#I%|cpKcxlaBx(?%FRxu|DM`E14=HE?F9##voi{yet}Yt3cFT zdA+<0j!5PlEFuFNtwSbWTU%y^F!3;sB8mE(p(t7i51r6!Ni#323Vr1|rs3W6P3syF{-PyAD0P#)|hREPs4 zBNwSKc8p&uz5apI>buI!tz;&< z`JI8-kqY8WrSPSQhFFet-pXJW)OMAln_U>MNMLridH&xrhT+_PvNI-(Krqvr@lg{bVEFnqgDWL7UfkO~SHTInA2{D^>U|=9>O#AWKYwM8W4aLGK!yLL zXRHlp-Zx2_M4{GyyB1!QS51P9&uX#9~xD4nL0V5vFZ@O|N&f}$jjv1(aK5}UC#6idNlq4^wdMU!1;yd?A z0~rZnk`$)zuZ)9ExV^M2#Df$Ly9C>-x7`{ex~wjlFRuaHlAv^1F3|vX}klTUd~r9Ix~Dz zp7($^QT? z6h-izKIYIZxO`W6(*V6yU3U<^QOTerQ9@6uC^P*@3d-$32jRL^4ylEJoobatqQ5Ke zDZJq`*)y=AV@7B#A7!u{Cw`dIUgY@HzvyYA^hC8}j448mNKq{*gocB5(^_mG?l1JR zf}%nF_4gQKEWvhYd<-`v;81_G#ZNM2y@=i|(ofA;!W$q`ptA|EXZ2+ugs@Lle0_yn{b8;X^p?q7T~dp|fNPbgj@P3?4)Xw; zvQuY>nHO&0WTdcHxpehrDGZLNscC}nUiw`su}@sqRZAF%UL!U8rk|Mp!{s9dN`-PI zQnj-_@+9YQ^*1#`|GMDNPl4A-7iF^R{EF1*M|ZEpei zXG&?ToS$hwpznDaU8>E~YjrlNiuk&EsT%)i#SasmEJ#pK1mNSlI{p}DR~{+QGyNU71J1bmgL=ae}Zg+JW${CahtjzlA* z^)`MU2KQFJQnoce9wWHEXhdeHs6LQd^$yO`K3`X^v``}ArnCmc2RbhNMFveXk39T+ zjQPh*1O1<88jsc!s9({q%oh>D@|JFOT(hKOM7CjUqq$m_xR-H7%|PtXY!=dPxbNV; zDgMRP$qj7W)G4vYmKh<3*Iui@!_xVRsef{VkJ-0-mA6>C&!lDP_`3tw$t=tnu43QP z(tv$SM-~TE{`pv2q~*HP5~?B4%*%=KTH$q#>hNcWY8I7rYA3bFR4=-Fya0eTxgiWn z6i=wTSBLrQxpoCd+R6-5!@#iZeFupAeoNf;xW5 z&V@0yZOUV4CsP?An<{hE24VW>VMWH0n|8j*16W%VbsDM8U}i_dDjq#b7Mul3HDcz_ zWdx-y2+$f7}2 z5nb{%ijQa(+q*28XSl)zEk>&f-1KccE?KOZ_+5jUTQmRRo(0oo`Ffn~WmE zNOe;dbo>%nI<)~fqj^?x5)L)-gVE(*HU`QZy))ipf5V%{66Xk^;9#@Nvd^M|{+D@= zgSrEA z`>GTksP*i_qeb0=OYA7N-F-G76AQ=bf0(2lj@Tx& zB_;m!sS22kMk%F_ws05+<)T4N_XC3f;wB9iT#J;>*{$7IUhyqs!ZbQS?`F4h`}y%~G4AU39t#nuf2eD4BEu?)G^XSjq+=^*QI zZHxcVAN9EWF_i6(dMxAo3;wrWogPKuwV!Nvb8v$OMx@YyRqnB`E_oa|E4$ zLH2$yhyC|e7ThG_pV{@DQ&va2!*-k20dewzs^YRXasuVzg9cz*bX3_B6x*r!w=*O& z8sS?>K&|ocq)?QPDV9TSav0O^WGU^C*qmx8@k?l9J4Dfu+peR{AxEj3I?4d<&R|WU z^_dL~fMWFRd9K;T9@3^ay~doevRT(mG$cvnvR*YsR5RHvZSq81MAF&7Nsp=!Pde8h zRRCy@D3dwtPlH+b_Jgjl^%!$S(Wb z);5H?JKMvaaa*=#z<7AZG7O?g?Ks0f+%)%KcUuOkuq15t;BA{3o37S01aWoR}A+A>0l0zP#%1F`@LLsE7P>juSq|-Z3Nt_VuO2>sIsp_ z=RO)SscJj9lPXCFRY0-h+u0_*G7)*CeF zROl1|oNB^ht274}BN4ZKv(BmYLWq8t*T7mWv|SZTTQ`-nb72i=xG8awr#b$1lW_W# zY^?DVE^OUgsL#|)F~JiL-a`_ic=lb|_@p+HReSk);z3)j1L{Rzm}KE5ckhP&nnr&c_}&PV0e>;MDKI>_3-J zczNwHLAMsKV!D~YElnCC@mOxm-t6C66#?UP#5D$Mdd4Xb1#^C_v6el<*u!T#D5-kh z06?+dBjrCrXnI8mR*>Y~%_Z`}y48nw`RreJny0jZr+DtoN8&yW$@uSA`hAIB$70QS zfy5BohL4dLB2qdRf?a-J`z6>e*b%AtN4|nRGM#2Ar&E6&{_`@v-Rs)`>N9%0-b8p{ z>dnRCK7q(RI}cZ3uu$Jvvp9diBpMA{4+RB{4(nO=`x=%8{#6+4K8e#V?5TD={4=Aq z)z&8f?VxUXz);R(L2j=&{>ecOc%|Ybj!NyGCg)LYr=sE_D+Ys&HB|bWc1>N*I!+th z*{{mjhH#9%;N=P$Hr92#^5GF1nuL~~E7{k=XN;CDoU&i}C?4|j%`pve^q`QF3u8+c zm#wH3K*UP)X_T_o%Nhl3gL;6dg^D1;VpYBRQ25n`8Ein%vmw{E*&&8>B^DvM!&+VX z1qOqeO}RfRj{adBRhemXK2YA)pbp{frvJE0*BSANSSDhN$geSNDOHCvSzLu6bpXAB zP8Ve+V$q9W7)XbLb20ZHteP^|*|Dg*{)moa0mNvx3~XLl7vc(YhbW0+T;v}u&i-NG zywfj(Pk6u?;!RCwid;g&(eH+UZ+?)V*aZ{7r%I$oT3QTjb0pF$b*s}jXAsM~DeIUQ zJ6i^5H8wPj%91j!k3Xj|?eFD9`*B-BtvXF~RNDN*$o*KylOwDiUxx5DS6nqFIT1Qu z_*TDGUC|Hlo+xkr3m^ITZ>@}gHzaz0cNxyye_*}GKoZWBDi4AUXhvUgoFheScsCltRs0~nWpcXE^n*s%tjoz8F~k#j_LWtj+vF0L>a> z@z5x_JkZ6R?E6|oKTNDEG&?-6hB2e3YV!hs7rqR1nCPyiupxh^tBZ!wgxF7ZzPaym zC^0SPYRej_gsQS7)X|$J2fUNqgC)PkzWZt8fwEI2a6SE)Cjz|JJio6}&21ZM;d=ZV zAei{{-DDyq%E%=qDr57>wPe#;`X`)D&K6@3H#0DS##K~5xRF}w6@^o1hb$(E2dx?=Dz0-zR0*?U4J+VW)i(%g*(uDVQruF2$54 zUnZ@;wM;m`-CMkV)e)wa(OD{-Y5$PZ>A%`w{4{+~0vL_U$@XeNajQ2mHzYkuU`Ds($i*i;K03SW8~0T^@xNTJ=9Ie-n)*w)G+e<@oU< z2N+Dw7DoY`NmvNc=GPoQF-?%J=zkDI>2G%cc{sVbp@!4r8LeM4j=$t?TqQbrM-%pmEl6kPgw~<)FtXFAorlYUkdy_@rCn66^V5?rJ!%!9;l%?79#21zGeEb z3}Si(O*pmFG7=gFknYwhd>w2!J!YJ@FtGRks09ygYJohS#JruU0ea4f-j!wqrMZ5& z&UulRux~Bn*q$0-EhRbV;)RHPAVC>eKALhbcI0=uDTIM?Z{A*?dd#?FNQ5MENQSD` z$gz$wTwg(sltqU-TB9V<6E~b(Z7I$g9`~qsN7<+3C%z2TB!c8K$e~wl>N{FEFCv$N zWY7PM4#Vy}myB^H%PtYTnxW?C5(-*ij3(nEN!r@QUN`82U{L@c9rZRje8%O$hzj1K zBM>NzV_93xH<&JaS?$iuQ3AZHz_c9MjMp?;L&_Ka)B{F#Ww6W(nMxJP`FmCwn8>*p z_(A%+Y}S~n^5fQ*Ik*Q_iBZ@TbITr?k!XfjXb?7YW=x zmZ-`Ge&dg-8;yPgoPu5MztA6dAxP`l$9yCI-HM1L#+UGMyT!2yy)zv4Hl8& zT-h_|LdwC7(Aq&b7Z=y(%w34z0_$U-&X_27NXY?by9WpICI4xFEcmAlGlo~}+jYLF z{OdW`3-TuMz3_grfe-1Ns#of>>_2SjOwyS{Ydi4Bh+n`WQi>}gT|v;X+bG040)Dnk zgujjLQCYz7nI^{Do9M5>|K50+KaS_bfy~YQpFN;@mY)CIBmVCnz1+w(@{NC`#v&$< znTpwK?EFdhuE6EOwWQvv`5+I^-Ba1zWe2q%Rz~NwgfGbKF=*NaJ%fyyU{R_F2m7s zn(OBh-n5-Rvz_T1d)I~sjCoM8z9&0Yn2ggnPCJ&laPP&bIg(*n@X|K5cli=FxzaSM z1J;@ss;Mq~->gaTkWGY{k~d#`;?ufiex&RT8x69Gxnx`W*?1(+j?ANFaI0gppvC4z zw@+bs5*RsL!Qs#4JyMv8Qmo=0yf@}Mc~XkLEf|*}1V|^wEPTf1D}#*BSxGa7&Y2jl z;%RR?q`WRt=l7G(!uL_4>(vZwM@tHw4Pk6NH_PQNDm7dsZ@48vkY~D657C5L8B(0u zVq-pv=U35Pm)6OEMZC_z+?U<5(drf_vA~>Smu0vmOfrdE`cVBN9f~W}Kt^7F-}tOB zF2iDQ!4h-26|aEVeJr4x#I{cesW9kO1(BbeRC%+gGAbXqhHO;YBn3 zs07*}4U%0hoU~gVuCR2cZawheeD7jM;Zg#Ot4zAc(vBI(u(`>HDe(I-%{sSm1D^^h z?>Kb3H{;FJc)SQfNZ?}~kaTU)EdbBebBd&9RUScC>xq^Gh?yikm`Xm}o7jq!?V_5X z_LmMj)&A1g$r^lJ9!yJaj1*qzn zgl&LP4{p4TUfe>VYTiu!!VGO5i~xDh9^+wBWv-A&J%{9GyG3G)l7Nwr^O2=4Ss_D z{<-3dcf-E{pxPXC80df|^;L-GShKyOEwYUSAOo-8e|UMxS#Ya=>e>{TBg56yqW9Xp zi4wOcV$)3@R|Bo!va~Nl#QL#pWOF7@DUUn&5?YrJssnJYsu2VmJ5w#v=2Np+lBXgvJR3-Sp#=_)te>f$bzCHZnLAw$ z59?hZ>|>vaVKYQa2VPR%@ySyC_bxd8usju|qePYrSe#V@n}D8c4GNb?HW7s(sUa+h zu*1cGMWT^y4lY@KKVBmf*-@2MBc<>Nsak6LBR15Ou1MQlt#GOdveEq$XSS!r#Ehvt z0^YmuaP!rXFpAu^?h$yK$y(KiV6r?X2(@dik@>3m5dZL?3YEFU?z1LrjGQ3QkOT&r z&)BRM15)owp+`CtY5Ptqoos??6m~-WY+rzoA=R7*JP(gB-%x@W(0BB7uOVOZKk3rM*ny(s!R^2&id(g3g?Xs-zm%&Q@6(Qv*?>-gO~+=M zFwLZ{k;}_{m$jy`-vDcg=0RzvLP>#=_btKv<71vceQ*c}OHlu*S1hl|M+@)N?jD_| zy|Ys4_fe&%53_Ll`@H*ttKWdSYs6mgP}54IUz@<(!Q^BYw@h>ht0tkTX=MeO@RN@3 z8;%<^Kc9*w4<{--rC}z%tbt#|#wItMYyBrl1MgnUf4{2lfEr80G(W8D_i!R;tPuj7 zL(cE5yi;KO1#V*3?(g)>u{L^&8q*!Q8m3pjo*fEIa0r5k2J3h-khBT#rN*p2wd~rY z?Bl9}-vDNBq@=*4stfYkpNEVy%GEvCCAHBfHR1|p`*HXM!ywj25S<~aF6*O@c>Ogv z$=3hq64>eSoC-99gCD0$FMHxpJvCF8vIvlwVh1=!RGoj{lTzaGE& z#MsvADq5Ri7s0^9R)zK+G)|>Jfkugs^~hcK%XyGX(1yMBK1`ulq0A)x%f+rT5MNdS zyJv&2Q;uDrX@56S0co5D4Rj1ZR#u_au)H|d?EDRqSzu;*7~gu4a8{VP#2Bh_S=}#< z2RyEZF%{64hy$e$DmjjZ1rDh~NdXI5)P79b-jHV4;}ef3RB3dKsd&$Aj0KUSS+h&= zr|}nIh5;RII$+A+KsptRpr06M?ylRE_VbL2^$K+@Z5g9j@B4>sW5(B7gtzp6@>@i( zo}BS}_ju)PjFp%I?cm4yK36|4)Mj}h!Z9P)sGvTyU4l%v!OI@_C0Q%&ol$t1GN^OF zG1xtrW{jB<=ooOC_+^!i&hNU3=9mU=gAGIFk4Ub+GHUYvdK&U?HTOjSOCV%4N6I1{WsHkYiGHi2uCoL*108N$!BHR+wZ z<#P8K$(QU4X%em;-mJQD$g_08EE*lG8#3h64as>#?^o4C-qnTzAMLtQX5Nat zaZ7+YEqQB(_$qhKG{gt}JkghGk;LakYU@otnz42@*3MT!F)fK;E2`cuu|)9U2)<;B zq`LZ&$vGwqw1&+O6w_x{<^Cm_K6o&R3J&U`k-0DR`kV$NSkHhdFf0rA%h1qxSrLZ{ zb0TMAN8u|glqm2?Kq%`V089nt=NoaC9D`D3J3GLmJQ3xGPN!+7tm>bS~ju zH{?bXY3EZ~E4UM~FT1TQ_dlVa9RgFJmF1T@+v-^P;yJ=7P zExPTW(TUL<*z$h#@gUMu+DhA+7MsRbP?B6LM! z3htgfMpVg~2pp-}3tIBK;z3j+!oejlhp02bbZlYx%KSD{QJ)j2%$FWRhm`GCvO!K6Jv37 zFgnvYZ#B}1h=8{LDRiLxL0;|k@VG@$Va#kM(d%7D>=?`IsRL1jO}}CZ{(>(6_%8-r zW_!QD8$RpXdirm6Oy3taHxWm;cpFXe)@glDg9}Xw$u=^fxUkgW3yCA~uwV&H; zIc?$JZS!2Wj+X6D(`?}6fut;RMGn~&RsQ44vll9tYMO;R@j|p!wbXb zYhm+uM3X?vYFDor&#*>OF5aF4rutg1(dOR-G$}9>)IFO{0u{qnP#jYOxV8X+tIae? z4j^zHN05X*f8vjq4+_?Z#A2orjJy5lMEpBpe|WRm={0PPALLkP{RD&yCY+|U=kJ(o zx=YYarM0j_Opb7YIiqv7ov8QN9Pnb0(kS)gV$bj!{xD@4xU);oz%2VMYB2>aF4zw0SswxsVG_!(I-%ju?&xqfy`XGp%R&GZ7ks?T+a%E2`zkA`V=E; zqBJ0dnkbeZh_Hm(IKj{*w%EsCd2D(p76u7@+- z&pV^8NDXC1J{4#cewq^ zN7TRED@CPFBjNFepnK=IHE6oXxmHtJEFOJ>5@dPFJgXCf#5W**&<9p%P2OCjsBt!s zG!PLB61XjGq6jqHu9HvbE4-e;j)285ir?$v0O66^(7%8{4(_1NUODO5?=F{Ddnd=w zkeY&TDT0?l*jyb^AWCo)mSUmNew6i>mlsCKkR^eh!{!0D^e@ykXJS4BbFb}SgG+0eT0{qM`$6r<0n+}h=t&R#=%IGN<5o?7KZ zMW+_xvExaB0zqVnrMk0Qk}M;zQU=9Ls}e;y8Z(>&tsTL1Y@26QkQ$Oo*dj2wB!Avb zy=DPYXe{l2^C8jeEJT-j?5F1J8hFOAqf>XPYj}MzA z(2gH7mkHy;i6lJ$KyA_W_W@9wlr{#apRZ?-H8b<4+S9|YopF@wgWb!BIBOh=o@xJ@ zktp0wG-I)_kWICHmC}zdMzIx5@8B{NYiLFKv)SfU!a1);Z)F63j#Wpb5h6e}y+uvLcqtt8CUD`WtSgj$KJy}Iho3``d` z5KVYdqi*_4G$s%WN;oJj!S;shx^;Phe0r%w#B-kpt-e(rD=|s(BUHxhiB-DF@`!xEd>Zb=Fbkdw^r?pBS-4N- zJt5HEm&YKOsFp_LSi0%}H?~J9_ekv(0Pn5K8X7?6+#x;xR1Yz3{vr_Q-HwFVzE;Sa-Z&LI_GUwEVTK$9jgpt zNkh@^Ch#YDi#VFfUOQS*h!|Viy`*pX_t@t!?Czk2y<5Z6XHr?H^!);WHsaF(Ti1oo zrMKe7nbFHbVK1o9&~b{Xz0;BJqHR^y`**85Z}JmTEZi+2@Aps@ zZ{;+r(!4~I6j{O?1!QF~gEd3rh- zAKr8F&Hhu!tYSF4hl`sU6xOfaMc;QCh^gCWZu=h|cF8|{e_*p12f*v&m-;P({Vv@j zq&k`dZ|D`}D>3egcW1=865P;S9Yt7Q?AAb1XcZ`2Mf)D@L)co%@jemWGfNV`w zJXLCua*i!ue5~9VZ0-4rS1}u|mqX=w#&w&I{!+&Lzo}+&;&@iHX1nW_=qpV4bO)BK z$A01k%cqcAYmMJlig*(T3iPl|mL!kwOBPM88msakt?X1vez$R?(YCuH-9`q6hf;-5 z^Go0P5(Zlh<3739_I>xd14c%+uGpB(uBjEaoPq6Vg0)gxLT#Ux?nj<$f#BoJ;5w*y zNF6;ACYoYq#vdJ}@NhYLzykX7l~#S=;l=^D9(v@<7fJdKb>d=A_Pm?}f)bDG!T#jQ zD6CHav&M?`E#Qh7a<)M5G8M#G##n%3RpoXpDIR5j8YD~aQf29KH}#Lg{`na=#+%9S)9}j z#fm~!i!hPA$cH7xpCW~$id1(3^z>p~nh?G_1r*bAHgO*^jO3kYrVtMU3FQtC@%^|x zI2FOYd7cm1O+VI*3S&L;Mz;cumrudmhxdP-j|f@XvIR_a#1ylUcqD1QEZ z>QRoT`?gARxz~f0q%pM$Z%*B~>6`%E_n1pw1T8Yz!Ri2WDA)DoY4DF8?f<@LF>HAR zeU17aVH0X`67#fDzF2CkBC_FA9|N&XN{NayQnxYm$f`I4mAfu@1U) zikBp8O3wRR*5vtNhjQ;&#C2)`xUn3(PAeunq=h?oFFe4hT*?|W?{s88`NUc{26%7Y z(+^a(wHBL)c5t6`(?*XGtd#YV%YiVkgZpS`XMf-T2Zcp8uwWa1U~^B6;&*0S)0?O& zJAm1C_}cNJEW>LJIqOn(DD2b3^3uTbmEJLKsso8#iTz4b+8tbeN|VDAl<&0GRlgUe z;>CP;M8-QFAH6%X(WZBji$`Qu&8cB&${#BIm+2GUk~-!DA?XwBHTA9#z>eI&!XGpZJn7R&HhVUmH3?BIpApf?v~()TW0>SD(~v>Ef+dL3%U#)u~PM>2^n! zaaeGH6YID;)#wG(@9il~s|b8%x<5a7|6p@;?pamXECsB})6IG%R$ngGOpm%(8`J$Z z6SEUxY71%&TUK1m&8ntydZ{gb_$tpQW{#4{Pg0sIL265WFx#Quk)LR7;6Evw-o}6R ze_nqVbo-NER4Bay&4-!RTu)5L)u`mn^boL$m;{7-zlR*v8%`;ia>JSYQP~OsSO!ic0UNpYNoBPg5$c*{WS4*5EXN)t>MpcRO;T4DBM2P13kS> z#@nDO3}9uSkUui6Y(6Yz8Os{ol^H&Ri<7(sWn-y-2&D&yP|h9hOG`;^8+>`MUhnx8 zF#1@*bo*KTpnDo}#Bjwjk`wWa zx_S6kRmn=gGZE9E5c`_8cBRo{x#}@fWjQPH9y?SvJ_)tI9;H+pf&gu~413RxT1x0! z(Ff9n7y4G)EN^-U`xa)~D#X3VvC{-a48p_tzDfUJIMO?ZT^$?gZo^wDlMP1F}6 z9eDF*$A{f0ez0fu9%EK3Sb-fqFRSvn;ZxcD`J_XG2}^VA;91K`p}ejaH37$_^npYB>+kH8Vg|K<+SwK^ zjlg%r&x`wGLY!F*-7pGO~ z71oTXRtI;kwQ4g1m1NgshO0dRF7MdsJmgUS!*83-H9tMba!z0S+ddN)E>+gd%Hve@{gSe4sRQ`g8P?ap7zcj}d-HA!b2rn#=eIsj z=vF@;N_w4cjF?Wt-E=P_zqUG@Wuf1`R{7E>(lroOyC!%OYA4@>PA+!tsoxuJ zJ>kY$dS=BS0~cXqvf%u((J$WzLWwZkDr(%_&Hsg$w27=ayk^i-wMgu*cN@k*9m-+E z&2vOzgW+Cm582S9ZqoZqqu0vmVR%QmKa9S`yO%v-srpPIYX-f<+C@L2UFjq-!l(vJ5cPK%)`K3y>(YL5tiHl0?F z49i;)t<3;g|D9^DQa?mh1C+D6+IltJ^^0YsHkvyP;g+L>Q~1F*4u`*#_TTS(J;rEZp5kY1tYrViW(yaf8$5J`68&UI8AC6>AP2}BPni9d>wy8? zyX?QT>&uLBSGjFkg*-DG5O9gdYUM+ujgD2%+DQX*ZX3$&L%END&UubDP)Sh(!Vsv< zbCrekU~V2%Uh*a!cZQAixoK}5`&j>diVaiX=qeD4o`Ir`1gmC$NJ9d)bW#W~wJ z@_mC?Ix`Jnz+qQB@%#*KMnrUSEU5d0bc;$mqdcRjZt4MQvkp(Z$Hb@_6_Naz{cx>C zobdfO_F7uvf593cE0*OfE06grUO>BQPUWSLn0ERLuPo96tFPg>wU(bAo4uyF;y|hZ zSxfpTzbV;k*In{|G2{4a0Q?uOkhaQXwWWEn_3ZPVZI^tmVu#?~^6>k{YNjFGsMgJg zSXhQ}6nRoHa&UHY$C3>H-Y54rYZ*wsN#zqI8-K;Es9~W@RSbQt%>xEIwel2pU1bn! z=pFpbR!y7INFx@NEpNI&_fOzg`>6gNF4|DIzHG_%a3e3quo?pKfH)2KOqGWg8~dYy z3;dN|_6Dm{n3bc0iHgub!@M11IU9lkOch+ga!5^S2D+A5gDdP@O%$xs>xDg_S-nM*8%YW!0!#Yq}4V1=+Pl^pm zN!}}k73*00j`ik40t?mHKIzF%yv@%rw}mn3uCpF#HIADszq4NrGAT3eT{E-sxRnv< zxjjXZwD~l|R^u+=iLf5Q$N+{$e8e=ozhUB|fW4zCzXzgN|BlR9<1HBhrq5L`Ly(#Z z7?CZpcD}^9(tZWH){b1~!KmsSt~rc&(jpru#u_-%kr*jOR$LYOY(RNI`$!WJCqO;7 z@D)2wdOIq*K-|nY#W;<_V&q3>IlQN8TfmxiVV~k&A1w1R(kaM43_C;P+x?_w#LFyw zMTzxqMP)#ao>m54WTSU-pVP9pCpy{Gct2)HMVAa*?=R}nEs}|pAj#c?n(Y?;W)UWFvq=S1d6k&4np&g zQJ{Hs^>DR%>!W^WqM%C;dA21Jw6pSQk7zU%|E{)a+TBtHAo>yTA*5~b{#w1~sO^Nm zlmnd*X+3%hIml7%Aq6E$dd!Xr!+!=!p^1gi?N_cgVL+##SW(dG{@wxr7mBQ-dN7=~ zAWlsk5d<7Z`TU|alUkI>AV;Zny8D}&WzddF;hMJZGttKUB`3x=DqlER?1ysfrdy0( zMi+P8(&p6b%98DSz%`Miqxs-`Q!uOzKHVvKaQw;d<($FvRg8`U>LaOFm>UiwERTW$ zFRZz7O+3v8m5VICDm2uaZ+Edjo8jW2rN+j_aP-1>eb-=7f9A}{rY?k7RGQ$0ZSdk| zR>`aKE|7~9MvZ&r*&?ip-|OI=#iIXN=uq$C=Obb44+-bv6NwJ1wEW(*{9DSkFu$rb zMQ)S2XiY_7Oh&08?j!b=A=d2o9p~bug;?Xfg6gfvd>NKhNtZFfA#9>FA`J7 zYP#EP5w?o687KolIz$!10Z2zTLu5vn?;r8Imm#dFYJAyXmY;d`zOUMYo_@9t*ILNBJHRTndEtMb=@>R*QDDfU}g$eQWlMfBzbN=XE8 z)SVM6`C342S@)jBO%jS8pjM+grdqzP+vCHrhZ>hJ-{Lv8M0QaD8$-a{OiO3Xj%A!_ z99&W3Jsof6!A+WY)57P+?)MYe*8a@ocN^LY^crjGtW46xtV=#Vh`&1un|?#SsCdMT zd>+tf77hXNr2gL$9r~K=+eQb)(j{2$6js+i-WrhHcy5@o95^Z`Y{tIGFSP+QXxbEi z53hIr9$tSi?e}|lJsIH+NXV~@hEjia(R(8^Wam=ppEj0<^=_+Hrj62gsVm>AvJMES zR%ihj!YH-+F}nwrh_v0_*;QMMB@B2+$p}Qc7m)o#iN3-ck^~2@)Ld{!ASe2-9nQI4 z=y#_*dlT>E@%+pn_@OD>nP23S+G-z8hH`>QUHu=0Ql(5|1h5!y?JdV(PGPq=Q=tl`fuXv@fSN9nCoow3EnmC zQ@cw6#W`Oq#YO@0OrA{I-N5*Yk{%xIEi~p*8uA6Wq{bPsQh~%tG*-q*m*N7!vo4s> zXgmEMC)u6Gm<{>f0(8$F3cK4z)_1mW?F3WH`o!ag7Xt~_sHx!GwIyc(S%^nrY#8XQMgrtL8-J zszNf-6IKfm3J_M055 z{)GU<2|tjF@%78sH`JHjxPs3rl6e%K!E;W=z+P+-*UCJ*@5j&SYJR1ps|y5=U9uEF z-&K`XsbHqu<5N4CZmcjrgfRqT$6fgMg*YmRJ!P)bz6ZQY%Chi9tw7r!W6dMBq;Dg@ zNw`V($v&EE_*68%82Sj)l;h2RHOpR0i48@JWhlCB_tEl|P$uD6rfS53Xvn!k?48~B zh0)?VH*!YxZO)G)tMh!5pVyREHH0g|xSYvS!&$Tx?XWm#ESZCPKvRmcAZLAQ{hy)( zMb!;Wq8)w6Mopn^nHW31&hCb3zL_%iwOuc>IOmN|<0ZM1uLnF<`fBZ?#k=V?+H|QD z=64vCYu$8f`%svN%nWq0u0PbVQ|EtrKY!omtScFQT50(6)tuVl$O;qwyEs)N?*RYN z`E*>fQljdj=Fl}tEHdPD@(Y`QzaX$F< zL8(kl=f=vN0WCxTE~P}G!f&ACu)xFBIj!cJ3Bl>iDLc*Cjm}kSk<1v@C-^Q+fn*(K zBq^7Dtr%;0S^naC>cc*dedrxN=|e?TXKCD>ap*7?m^xdt6X*Fj2k-PbEfa=M_b}B1Cj~|G-HPV1A7qH}5T5HX z7x3Fx&8VY>kltWy#(;~@QyJeN`G3P!TBuoz{ruE+@CkrRRn3hHHIfX9rk(Lt4LwH|~OTIK4jE z5a$~~S&|!t>vN0G7qF#itnWqF>5?zio)L!Mr{`afbeaJ48IQk-CUMWVwW1pgVQzK8 zBYKc81UK#g=c*3aICgRLRx5^Q^$DRe>d_qi%$WW4kpxdP4EI)TTCk=jW=Vw)`7cZ8mj>6L_>w@#ux;)PTvNV=i z%DK6vvoDUM5u;CsyR#mGBg{4TYCqduePgyV4Yn7xtp6|Oi6HXO!sck(m#pz|N{-A2?})0F1@j^S|_a)v*jW67wZ9eeL4x_ZPR~5ZkV_ z7*07aqT;&z{4Oe~nDP(jlB!7}t4hY6Ze*-N~`2Cy!*=p!L`WJ_xS!OW#XYdQqll`UD zTR54VZv)?QFW~Wyk~3EyO;wN_NvkC}`mwepI#%|7&?hPlcl$hmH>cWAZFcx|PH2>W z)3;ul3yujqP?I3N=y@q04!G(3-7L&0pgvmcCRcr-?-lC6tia50H^$Y0QX7M&WQO`f z-e`s;=Y#j9jPYNERah$YXIiPgf9IVQ--CD(0~J=mku?zz>!|zXgiTk6rj019xVZRI zz(6IxFHdNP1w2~_SE?ZJ2}hAKt|197OM5k|xEtAC!X&D)pz*v#jB#?BY*zh6bgGgT z4+Ip{PA8y&BGoRL`tybc24^v{f{Rej4ynUjsTQS1-@~H2F;TsRIb_`cJ7wPp>J_zM z=v%@VboUTbPt_&XvG86buM{OVhPj8J=wN|l(j>{8o^OfwT#WrYbCO_5gAcWE;$8^g zMz8i;RV$pGg9?4T z_N}dMunsDZR2W2!e8QqxqrKwmM$5J3-_miOtA5`y*{h9Aj3hVw`k0NLmr;2gB}T{m zAwBfCSh1>De1T)mm{;5TbF>42@u>&HvL(ovR2j{mUlu%)JJMY@va0+(?-^}NLmeO2 zj7LPs*ajzC#Pqwe${M$n zy--*~q>g@N#;RKSTKeB)eex zI~;0#yUQ(qPH0|z{sl|}@7zsRzvj|9hAMQ)=e)LZX8}# z8x@O()}Qc!!P0t@tCqS7J7guKO9fHc+*lQ_R&&86%;yDTe2<4$=4vi-I2=<&Q%Zpm zC>;D_%@l~srIIxbrqU&7(2FrjGZ^LsdYEXhZ)Ti!W1QO;NGu!=q%OqI*fU0owBgF6 zH$>GMc7V_~AiWVSP9b*tSGJlkPBmernrQ;Ew5@e$JB7qT_e07S3JiFQF+o&cgYswZ z@73H89DAP4NOo}K#&SD_3_mYJp~ylaF-4~YUq7LF{?ur*5hsIa82|-#l2hVKCPq_2+SjMODotQZcB7zyBgk!P6?7LQMy`kQoJEeQY76Ezp zol7bdYplh)9-Cn4!`x`Dct!MN=xsb8Fe#= zTofluc8?o}Ts)(Cyj?8Hn;QKrEJAb8Ozpu-72L>BtLyXqY5d7a89I7Ikh*fTi}UbT z@p#_ZawDGmISWm#_`qUZv7Hay3$X9n4*woc2X5H~Te$f~2DxiDSN`I_**7;={^+`d zSm4T!3g@NgSf_KD(3ZKTN2IHJ$GA8Hi+2wJ{Q@H%z3jR29?nJ*WLH}mwsE(JU7!HZ zrLvb$QM9zpNlceGIfB1@VZDdDt^M6$t!Y&vnz5vIXjs%bmhTtM3$>F+C*gBns++%_ zEb%;gWI263mI6CGwLg2k0XZ2O&_{1`$EPmrbFNVMyrD$tA^w>!l||f`zjv?&ba#)T z00aVnqjD=3k1qXQ_##k`Y%V-@FPDV-4iT^!B+zO&oJP$}3Nw%r>)2PBw@%qYfgsV_zqL(P-WO&&12bAuB-ExzE?j)&)EHI`&@N=%b_+ z9qWk}Wc^QNcELHw={(Z=^tuNB9cl+@Hl6{q#)0}gXd6yzAK~Vux zqIL%Y5O=h&R^Y0G8AXfSBMO?2+nsd-hE4dcWM!IfSCALmvMj9Ev`x>n->E<&tR!0^ zjmn5M4$CRG06Dh6tpm^|c#fNt1}8^1V3MP&Vu@?D{&J&F%f%IngtOV@jwnOWdfyn! zDNUNCF-|xLD!R$kUkJ>6gPfO#P>gqp!?}tyPO)I=^&l9Bt}TWP8bl3nM^4YQC5$Q)YyIEIRpyqdQ$|0m62Q zrDJPfy#G3IDd|4 zT1fPto=evA*><5i)AahJvK>iusAw1ItT?0(N=mQ@wNxN@$LeOO+eg@+;jcj3!c}+v zL4TEL;uD={Rp5QrtK*$v11>~`*c;tkb3zA1Z?K}QnXyMbyZG*_zUnWjm!Feqm28W> zd_=sszm_Jk!WWOOXrhrRA5GTijPUS`**Af)U%8-)SPS?GKSzTcg}oNoUttGVyKDIT z**1K?Bgr-G{^X;IV>J}DRz(%s_0&l^*Iq4)@Ot@ndIDVdl`*~~PaV{6M?(m>jTIq~ zJZ1sC=?`{}NGU$l*MZ{Jtf(s*JV3y;eDy~GPieIZxyXY($zJAh7O5B-16kVs$F~M1 zre11Nix_)xQ3jb2sxEuF@7lstH1Bm<_y9|KfUZNI;H0 z`mN-iZrC=UihC2(JK;utJvjPIGHE$bQI|(&CbI9LQa`YQk!!Ak|B4>f&OE}oj5ev zDgxc}$~$m1pEc@ar`$}wx-M;u>84J&zzZ7>*HLc7bZ2x4fZ={7sT;- zOPl0|Uhra$W#@CVm_@8iWFvs(VMacR{_$C>!)O4Q-jt+`G62&XE*^#&uAAvig?+RR zFuhstp&^X;W<@gl-}>IQj8G8*7XlY*7ji=vkFH-g9v?QJEPY9FTPuCR`Odq}0^uTo z?2oHCnjNqhBPBy=UfxTnQQgmv2BNhLiulAL{?#XaMkqB@dR6;X{8iJYFT(Q>;%Dgv zMO-a3TlS=^dC+=hwYVWfI}sx=YN=ws(S}`1xkj-3oN|)EqDu zP}P4Jtz$L*L_m;reUFc;cRNYVUovR7HDx=g=}Vjkt86TSqgOs)zq-{o#6Zf=3C!L8 zz0O*fs9jh1j_tY1M~|tRaupgB+l)OG(^q#>F|~(1+gsK(H8t^-^U~IUbsN||oe_7k z*+tzk3+Kf~ieQ&!76|YDt^HE&8XR~qy8U$jN0i!$2_4k7XwJ*2!tC{aqZ!V0 z1X3x=3#cIMpug-y`)b3^^vn_qhgfN%W4`}kZhSRBQh zk)E&~nU+<-{XbWi1}21cDA?~;x`P*mz}DnXRI-i}bE$anpu(Bjx-YvjRM8FB^(R2# zXxdS3RyLoQ?|$FK;u0nK^6Z0t_rGtrkGvFA$+y;j)g5v&XYi#qZJPIpDYSX2M(#yn zUjGM-eUH-otR14a7es33L_o-oKjzZvFQg0r9yP7o^Ot}6r|^u=v42wg#v1VdRgU7X zBn|cPslPwga!>(65^e6K&?46>dl=-Pv6N?)Gk}3$iL)xKzXiSgULU2id&C;pDavBP zOl75kauaTu{+y7RoKXmxqpmoF2L=%v^KvMPKgpGK^Rk;V`cgT!nbp~^UZxoo&<8oj z!{&0n$D)9J38r$Gem%7@fapK;DXmo8-0MU&t1bz+FC_z2g^lk6w*JU(9cY?J=m|Ri_|zl+LiIzIr1Xj!&@X97gR3 zIpvp{X{CP!!H9fiNgu0SGt9+p5vC;!Z`AZEPfni6o1UZP7q08-V|0sZfmV!xO|%MaztPJE zsMf7TZR_dI(nI#A<;YsYC62v|9I9$S?o1TBp(lS*y0~P2A^sZb;9OL=X3tNlOxUtq zLXm=LhyJf#XZ;uzvPM!h@zA?`1s>7U9EAaJMF|jD9N;=j(p_wtIDvo$Sl=L@Q{XdEj zH#dV1#)l+jG-5e1gQ;Ge`|sPp}7!UA>3%Yk)q;~m5MY|K2ltBAT*~^ zYku{^izVPI2@f@BU!zS7D@Y0^b1!Bsa%2h$TYWdrPtiYZAFMjL%UqWiRh$-|U z&H1)6=)CmFJ2N695~Q2~jQaI?OrX?Rg%sue9_|6_kpj(Kyp!u@Z~T8Wf6M>XlC1*y zG~iq_$tN$~)er7ri~v2(Y&OmV-$M_Gq#(ulsvYxU05Jz4+iNMdf8C5Puwt zHerl_u{2NB9E}{u*qj(;KQ**yy3jj(7$mIGF2Uj3J^uF~tujx%dhQ z+h07SOk4a7grbU=$Ox3V&BW`m_Ih=B*{y}$OTya;xF{@kG)idExmQ)6d-)}eIhX$R z_n`j^Zo{ouRy*YX4 zRt~ZdH-=I>PG^|b_8h}J(+Pr#n;uxtc+?i@^?%J=rb0`c~H+ERq;9W17M-REE z9s>pzNC5ltA8;0|h(p}uM~`hWy=|@R?O3ZL!SzWBsWXm|B&`>s`Q3-ZW$kNhCYv`S znfJg6$X#XBP+vW+y&|cnO$gc2Z70e!kPR{T@p9Zn@80V>U(z~vG2^BMCzGo1lp*Mb zs^Aaet{|Vqx1Vgwv;0N4mD_brJrI?paP_VGe)a|4+zma~VGL%LvI}&Q`ZKDzT%dH+`u*piB13>JXx! zJD=6XsI>OL39ZBF;R*W^z$_s)!7k3{Zk)LI(6}~kqo*78cy<3{pws=U^~OkiP^J_% zTy-zLILlw)$2KnPLABSq8K;+fW#`?L;M=nFl4s$7s|W9IvhJG_ir$f~GTXbNYEaL5 ztF;sQeKsd+I&zAW4p@3V@O9`3mHViPFYmOEvnve|0;;Fqx=_OG7bmR@v%H~APDf=| zy*}9yO%i`=v;9W^fPowHc+~!27Af+wPk_5W+OQt23Or(vILX`UtPwB^MaO)ajIVGk ze8QUdCL;DX5Z#i+%*M`;TDq9%W$iV`_itINBJTuM)YO18ORKWB z;R7noj&yLOQ*w;I-@R6j&Dt=VLl`|ho|yWI8x~@9)$xQ z+Cu6kviti~8rQEy((J!zyVWW}3%j(8CeN4lU;PGZ8CI;Ci?2`A>A4U*)i4wc?smP8 zyv%e8O6PK^HT8HBY2Rh{{j-4~X|q9;ECuahG2^3iiYuG6-#~Z#JOI$o7XYtu(EDpo z_nErle6Mb3uXq!;L*HfmJTNm6`_&ZwODkB*^dI1BzkE)+YVrVW93|U5@^^L5=h-Nzm5luO{pMisxka(r}7cGu^Z56F~X3QY3+U0tUm@VT!5NM=0y1huYg zzn5t4I!f6tZd;AoU(}Cos$=l!^Y7S<$ou6As3-bI8&+bT$1=e1Wt>Qwa5$ggoE{E` z>M%c%Q}l3@v8A}Up&xh7>__*wK69+MM8)8zJ7!$le1}1%6j#${<(q`f8(7rtT)7wjnccCVLUXNSNnm;gTx50YkU=o%p+K3wDYCT@nCPU1@g?+qF8JRDOud9(9A6=)#KDF8G?_eC50d4#h)jsEgB- z5E#UZAbM;owOW^esx-<`CcszEX&GUbjv_c1V(QsI;h2$P%~RyJG9W8v1~P3@x%-Uh7dp81E0g?YIkmdOK7+P>ioi*U~DBX$Wqv~Ol^NhNjvm$pOze`U~ z%OIBJNlBh%(bnN!h>9e){_I>|RHcH?H&hIc%e#rE$>)$&U7s(W-=5^yB-xS7Se>3g z&Rsc3aqMBNwXO!PJeHJ{gAbRD-3zk!H_}grQ26gi_SVhfR(1QbRG112%Re|_@C-kP zxTnd3;b{8*l9j-h>Qrna;Q4q;uhuM*I`PNFyWp&z4Y0EsrBzsXJfkXq?NV(-Q1jtW zKNUk&32BoAHJS*1_PUr}9dmOF68mY2w|1#@ETLP9S@gu#>W@d{ZQock#bC*a`5=g? zO4NG8+8MF#nk_p!qXjvwex6h&27|HAEK>RM20Zt{7J__=G%_Ttn_?f(@IJQFC(I6) zx{3V;BAr)pe?8>D8e{&j%oVmTmgtPjMjfDyfx@`Vj`#w}xuzep=YRT4=B8HK)E`y$ zAYz%Hmr>XMt2}123GPOAx-9QtyuKJz&yWk(Hj&AT>F_o@pGl8I8Z(l*4D6ACE0jF< z*`lnbk~jD3aZdnCB7Tl%q#`Z{Ufe1_U#;)XQ8=B+Qpc;<>e$@Mn!bkjsj`b2){+^w zWnG{3;nPjd9r;r%gZxdSBg3tAJj%rN7Y3@k2}<#2p0IrGXt}N6#K{Y$@yYe%B9hMV zv{WW{oXzpzE8=DbM=w5wBj;Q;)aSY_L>O)YY!slc^#_t=Wd;kmvDHD;VrsTkqbgJqV$WL{e(cLISr`bv*hS z9=!R{b1c^oclS^>2NFHkNnjJ*IZFE0nmXHfnWB3Z;MI2WMBGuMirKn#??PD>fsvR} zn!v<|g`b+SW0HrSCeTd*5`><)(FzZysy~exut%cd4cslJG$wMyeY(a**{Ld^Pexdr zL#|$>{k@z@k%bw2If$G-+Ui$Z`9U-G=HOI7Is@;HM?4nKL$?~ZOxyT&~os)&AJLgq&^ z;nZxNT6a^E!*b;L!Xt`k0y~7Q^$x6(d_)796%17BQlIw&o$tX--5#LxJ!6a}mdv&x zGMoW4GSzuYM9*(*(Q-cx4Q~2&u^%}qd4ay&459!Kx2vVH^|wR=+L015s-bupK#Pod z)V2ZZ-N~{9w8)SKIik*&!R@ydW@{g@w=gHyO;uC(S)-Jn;0A8Yj;<=u6W)jKKmN)) z6QRWEjLVpkZ+sv`{RvRzKA7G6U6pI}^Xzw3?k4KU!z+DTWN>E>=f~I6DpDPKhUuCsJMR@3^fC_iK9uczbu!o&(-qv4M-HfVUU6uxWqe?R9Ao zd;KCs9b3Y9%qCu&%6wvBrN#*L=vaqemf7o`;$3Kt9MQBK!+L603|v5OufS-@)7@9e zb}rh@eC0Dti9Ad@^!4y}0RjF@yyb*3q_Iv=7n?+QGyRx(bs#Z^)f?&>Ma=$a-zJVKe_C9JB<}m?*s2KTAVe z-1a3flwHZF1zb5oXm>+zgN1ggSKR*L2gZ3Pe|}sH5U1)tZ=!R|{&XhO(J&Q}qju+W z*D?qymwu@AkW#~63KZ_7@epB4>#0Bf{Zk?5;!@1fk=nX>(V-1ua-{cA9>!!Kn!_&$ zI~2ESNK_C(hQ8oSA&DK(ux&_AWrgCwTLK6icFcK8vn#uK4cyPde;k8_oXMKhWK$+>HXa%So`7a*>^8; z!U;(Ec_lD$GvDn-^vuW{)B*uec2H3F^6BUJzi*Ur_HtEy4&L`}wazhu&VL|O!#q^R$4*-AqKEUlY~02O zkYek@w#HGN4M@wDb!=uBSqo8~W*qyJIH#)n!;2g{q1{v8WN5?qOjR$_l#{H7lFJ=V z_hHfQ%G>41X)*mA>Isa>2X?*i>Ic?8{t@oXT(yR z1wT@&;{7QKNZNQ*_M+{RJ|t7WNpqA>L84(fi&%1A*SJQI}G3&o`!NOTFS|I^_6n*8eLT7M0uVE2Z zNMc@R(r=)*B^x7`@leigO|2Sd=BsrJ$&7^Oc?sGOW@G1|QGe0x&$V2cdiL}tg3%pB zxM!(t>}wMV#GRjt9#BC0TvpcZLZ*ZXPa5R-pnQ6ivK{6%Wz5zsZ0>S=Dd867Fw{V{ zv@h(X3sJN~5#>stn7novx`hdgF@7VufH0chyBb~(b!-RqRu9bnDL$Etv{~u9?HDkV zu%D-AhH7-x?X5NzA-&!U{O8`R>6)(o(E9Gm9=CdIJjW5pkbvD=`+@n2&E(JKubVlW z>uQ6Mqv_AX&u)>gTo2$V_Vg?ke!I&v&q=y|y#oVn?eQ#^mDe`b`|&I;LgI8<#kAxU zTh{l*o=+gv|1;^k%XfgoWNOcCatQ0NMam;7PIw+t<6t^IY(=MKM>|leWHcuNSs10_ zr36YZ2Xx9~XHqaT1U-e;(w#$veCB1Tl)eYG)fwkWh_ElkkJfH;<2qDB!Q)k@aTC-! z7K+)DdJjA!==MF0b+|Gsh|r1r!-GfnUJUoo`m{f*9dWI6auQ1JHk-MBq15fk&nK0c zJ-7)XpyI;L(=Z@R3$ZsIV@R4=FN3{A9-5YWKQw*61HXTZ^edeItlJKYXa06e)%%} zW=V9zCcO!d>vlIw}Kz$?@hvN-&xEKA-=%m@rzwaHs z{I`gd;SvY!X62?=LyGXlpU@}b&-&QQ6W3x}`>kkotngN76Uxx7UP$|9L@4|l4yBb2 zttn>LNl2;*%wF48!sO({!b(?;F$N_@p&-}EHuwSPhxBw)Lun&!l#A$iL-EkD6#%Qz zzY6OOZ@b5rT?5Hh!1`($+Xly-2jY)|!A?`d1^}!I!ZeBe)Humi`qDf&+=AkIpefwn zPE$NlQkx-#0KLu`XKVNW1<@kZU6SfruE;pHhjE{!@r0ur+EX2QI^g;o|066Ag6scP z#wnPagmrhOdFiV9M0u#(59a&%S|aDjr?l*hy0N&~@_djF@$js9Pf(XA#OStlxqj=T zWWg-oIp)AwMe{RNYQDmF!#unhbZZ8hJ7GLNPjdCoWOorc<)Lq)I!8OB)8JzH9PbY4{V~c)A zt2)us(!H6-Jg)8|w!+6!=o>a%J?Z10lg^7duh9BE@=Ekon9nU`&Tl0p#woEEfN+I| zo6*uTBFaA_HTPa*gA|^stRn0sOB3R4Eb2g9jMQBnSH0$tfK&|2?v>fa_=TeIl^3-* zH+v`Q6lG}-YesInRre090O50aSMFrh_x;_PGWHs}KQw;>x!#HPS5=kI&lG>OqR10b z@qNTAkpnlPO@OG7eizQ`jMm-yLr9|E`KoYt`UxN0`@;KOcSP7-H8!UQ5!rOmeFbsX zb+ejCqiTI9g$1d!pOX_KUzya0O&H@r)n>mc8e3Wl4c(|t*>!>P%#q>Z)b~q7^PJJb z@%FIaCF3F;Flc;EU;1+dSOl6JipPpzEXq%<|^x4`8EAdtz zO=0)+Ad#o|X))EGw%u>F|7vno{1*oNKTfW6IE#LUK_8GP=cPKxj%F$=neLgiH!rlv49b{a^UemhdS1iec76 zUOed-hy$>&YQuUkk&MhyrHEKqBt8)?hQEM6PPO{N%^`vhjz&AqvHn20A4F=>pQ(d zl-!RhGQ;0z1iFwP`iz~bd%a!n9DYS4g{}B9x23qu|k4|o#|h$df6Wfhd7Y@ ze7z8N4Si{RKwm|sm?T6gK%5vDD51=3uh&H@#-%=m{>VJ{<)=^Ql{T}xqEg>QuQbTvAq{PtOC0#?OfRuzZgMc8Z zAV^6!(p{1QLrK@rf`s^P@2B)R?{lB~ocH|qF4kVanpv~24KvrZuix+c{d^IdCB+4q zSMKoHg;HjenFCX^g+7|$kfTPP`&n_Zw&(>QPr@X@@Blz+pFEap4@m8a{T_}2QhRp@ z*d0>R1idkQmu6oiSzfUynf#^!DYPnrwAZhr^pev{6n@=bQ*BG8uTZI2uP|)u9>Xwg zO*dpx{?-lGU2W(juAN+4kN*9)RDY<`+(3yv84DJZ^BspMGC5m&WF}f`vown>^2SF` zbzVc=e}L5ME9>fr#+Me^yJOsstEDX>B(oiI&GjLzq;mVH0S8W?c1n6e-Ht;tG^6b# z#<)7-*c(_Zwn!{2ck3Wq3dK4Y8~R5o^WQDQJyVBXqU76PEt?tGLXUH52WerNA5x}( zq)Bdd%KS2$)d8ufB#;*sL#cYQ)oqv(-cu}v+JZNPx!AZMI#}?U0u+!dxxTW#l2!6S z5*5%-pc3c_dX<~?6q$%w!1s02&d>1yC@xGcZQ!!&pfhAEA=Av^n;{ztR(}{6lCOYV*TPQgkOvQB5DHxP!K7z=nmimF*jHK3 zE16?l-SsbD8B{5TOEe2<>Vw*U5|Dr8yZjrWrg)@m(QH?J)*c5N_2Y!f$P}8k3(Z>G zw1$b=a$+n@Vmk8<3BRq<>d@s`-4uehE`l8TkkZiCS~sb{fJp8nCB)=8M%03gt*fYS z2MFLj{@J;T{tB|Apu91NBhTRk%cas}c|l+PZf~c;Jg4XuXEXM_#AhaN$@>^xhLQY# z|I7Rr$_>!=|3oTrlqe4%-%b2~fRN|_ZGNqz$_#8xtM-LwoDI)O(K36BL(G_Fz-UhT zIlud_VH@Ut5BLk^a8uGvXqFwjkk;SjBBCTIJhG>M@p0Dz!Im@@^_ykjv z3|pIf@21GT%-kxXHMXQ|-mGEDwP7PeJFI$F;PE{VwX7Q6M{#^`Di~0(zM$Z9(r&yG zxZ^KAZ6)|LJ{iRu9Wu=?<(x-!Y`WlAjE-7AOj5^1lE~AZ2%^?S5MXRlbqeU6*Av$h zeR@~#LDLw2W#nmJmXAUrj}o>K9;bj)TSu|wHae452$1&YA?U9+T^h3k;cf{6ddZaj zF1`M1VmbiqFZE9z*A0wFF14R%aozQ1xBBe9K(f>^{Msrd-+GCm_n8F}e5c2GTyoS! z{V3KjGUC9qu-Ov^IVg<&f@i~!RWLTFN&-z!yb4vAcYVAiKW#Bpi&^^B(T@E?p+S~P zlAk3lICkHIVPTa^PCZzSI-b=#8pR?e-P3n<(n(CTXv`i+D#hwzBNqq$7jYb zUVWN}o~qE#MUS!V?3|0 zrcV>Qqm9szBy?i8t_=zA8Cp=Ib4is+Y_34N@9xb60!iQ-ys&hLX8I=(q0}ggQ!A&N z+W}dLCQ@|P+hAcRTw5KL3CMKeG-{e^S-3p^)UkoM{%E8) zH|jU`dXmC&Ii}|4v<4i1g<8{GqmC}{e}bGbK_$T0n(V6Ajd6Swcf%ZbZ{BC%Q6-G= zbRB=-Ic|QyuE0$nGWCJj)L&tx>}=;^Kl)cDX>p>j?k>4LqOI1OzAV}P++7AUUO(6J z;p#}sL7J0NZM!SR06wErJa6U=qYa2E|BD8ORK{ir^RvT4DHR8xrF%H!d**L7(y z1IFD~CWYk1m@PChR22rxe!8%oaeE(HyMTd*7+t{{VNCMf2{0LK#HBCw_V*Z5;3J;Y zc4Xr61JteYJ7z!fEb4a6Hqk|bSz5z z3E+SLsZ7@DPlut{kK^#K+q=DczabgQ?CUZ*9lXoLTTUO-YmUc|Ja8RTe%^m0e5Hjv z`V62v^y_xrHc)-P8~t1G=x9n$AaXCPM-%dSA}^! z@a7k&UY?0P6eZhchIJ8ai(R1Hd4xfL)G3eBWPCN!)0&$0Wv6Bj znOELMImtMJW&$G6-Ny3DS{Y=9!ys@|(A0`{;D3$DaX`g!!OIi_@$#`Kix5=7U0yO?Bex8=IO}V3GQyG9tF90@ zj)UzA3MO`2m~lfn#20plF*P;=U^TB5sMxD1K>8nCY^~U}c$6;$>7^e{6@@|wh*-!i zirN?4`aBk3Rz{*aC+Bc!u|_hh&U-0v@6Cs?K6PqZ4ObbUwYM{0f!(gSK0_b}d2<7T zj40fSNw&0VScZ#=%bji=?*mf5uoVDXD=7Y6q6*stkdD!78jX|6a)cUH_r<|r>~u)5 zRV)KZaPX;#$lUygw7U8G8~u|Ecmd_`dag6e)~csjeN&(6yh}C^b@VEnNk)^<01ZZ;3dS6E$XFF!?MOkaAQ`MT1UfY0PVD3dh7A{Gav2}E!&MK8?5->>zfG3*Sg5t2i3g>Iz%?S1c1@9^|y*(|X~n20ap`;tLwv3fQZs-Cbfj)5sxRT^nfG;VTv z?oa3j7~&?N<$7Uwz9u-((r6kE6rx`-Gae%dgV&FD0o9PNS@g{`a$zM+sBRJ%S zDa_%+A~J=wc8oah=kglQriPlvMzr2f#w{A)J6!q|YQhE7Rn-iiDxZ9Fb{~i@HJFdl zFO#jIU1HBRmO|^El~TqHvj-(8V{7|7g@#|hT{18-=5yGN<5S$w>HUmM7u*%LEAk1= z`Ry<4e?4Z4<+&!gtOT zz(``UW%>*s2n4-Pe``LMN3%LA8i85YNC52c5aE9T7d}-(p-I1 zP_S5Y8gL>d3SJ+K_0yxrOQqP*%SQFC4?2oA>pfmF{`Pd^p%icFXQBhrP6W1;SluVI zrz;jYUcf%^CkEj{sv%>pz5`sZF8X*5okc02klOQ%07=)#g>x14<)(e+P@xGZei>{I zfp!+HxI-9W9-*!T*xO(C@>b!j){TuB^XCVvv*-%=*pFdECsR~HRV@ysBPSG?qT_saaBPmYR! z+TvT#x8m$Ror(3fI)$%+Jo5J1EcE-z!|q%l99UpcHS+Ms|G1L;Nrbh`-&PVG6UAG0 zPNn84Mm;;Ix!1YxmBExu?QA0r8d!1n|Ad0I zN*`hOS!>b-$SYpJ_pc8dqSwd_)s%yu(ABA;5u9csmovO5^hJrXk=3*7!Wqm@#H0*i znZ2S?+-j>BO(~NJf>M6Hxr2$G{OELaToFxY?SVLwHOKQUv0M_(%@RAPu9C zOG!Uk`Oe_7&0)%E<)m%Kuex~uI?LVn2grcx`}xN=h0!N7j_(l`jS)&Qfge2;R$BZc z^jvp&gh}^|m(ubU$}0^HN+qe0AVQZ__l#jB?;pk#Nv$@QnK=$2n}ya5_iM||jLX?d zb>O3!wB$5yLP=d-0SO6!S?F(p1%F^FLAus6HK{&B*mZiL%fsT>v4?dj684u}-M zF;>wZ4V?#v(v0hRsL@T4VbWdx3=gT!f42?wdX}~h) z2)sEc?e@dg=#6DU>&XoM|Bd?}WIwPPC&H>EygYi(%bi^pl%51WeazX6j-=6#T3s*H zA)3ZHbtC0cFvjKr_27ZGb2zU@alq{$PNL4{`T+@@XcEk8Ntws_%T(AsF6$OwzFM`~ z#guE1eN&YZ?%j~~2$hrS5VOvvo#e+#{~CpGTJoB%sjYzA|HR%LCOE>diSBZkc7P_q z>NZJD%>TbazpVEDx?bsG8!{AM$(B9OFg5IxO@oMgmTVZeWGfzOCNw-2d&i#jAygpL z7aIq@m&G!(17)wtZ)*{KQCF+rldB#cLu{>)z$4C^+=7QOKxjMT$ppY|JjZGczbA3N z%Vxr3BRaq|AQ9H3e(n*Dy$oFVD`+V{JMGEL-nEEh2lRYa-)MluujTS>ip}MmUREhZ zK!dw?`S5*3wR;YAPr*%whTjVg`N#voBiPY&p$aoP9M{oxV@sg|5kH9;k|IdrQS(RR zuuX` zE*}t7!#K6N$U$H}HO1bi$kfoU?lUK^y+Dv15097>?j%9DcBF;8h2+94N~%cD*U=n% z3PBGDT7mz%fdjAfdseA+Qsr=gqQ#d<#4Kn6mDu9PPbi^;N@}53@ZpHvJ%k%JNns+( zphsp&xO$6QD5zhEj-(iSh(*O^PC4Xu%EY9{R!!98aMOt0 z7T?F?bOgM1VL-$^&N(q-`4-~h{s=(|oPmB+A zr5dLPo!1(QvQ7GD{uzL3NzP$g~L32k?A zxVW=yIx%eMVY>LL@IL4KbC$R33u^syLcJnBd%X<5h28&ZP^dgZ_~=A`R{fZ?ITcjH zmo}9>MH_Zs3zHdbdSKP2v(Z8^cYz0D?(!RiMB`U-h(Vur^UPOPQ6r`Fnro$~1Kapj zBytE(_c3k7Qfwaiunh@1%l!~iRs)!Cq)y8?{WSjG{+Mkpe7BdxQj6k5p{i1Tfwb<~ zHd4*|F2P4!NE3f@DxZw(+>G$AgQg-f*2RXi>_v~c`(c;l=`T#KBeQ3_kWR{_w&=49 z0X~YQ`$as!M@iGganSh5M|n1*Neu8&INZAfMuLUf(F%X(olyP8I?(|Lks-;yUZif# zqKtN$RxQL6C6t#X;^nT?dFzqP5`Cc+o?JY4)do_G=i zBCtAV&jA{Jk*Y*YFol)XO58}+-GU-VGMi+;6>sk#;Qh=wpsi-K^01dTuG^NBDhtH3 zEL&aMT+VRv8PiPjJT`v6d%c<{ma=;t*1euNi5u>CZeR{!hy?-;!l&ZSPb%rt zaYd_zX{vC~wO)!kjFcBV2}pWWfT-;pEi(J#M8jgQnPEvuR=%Q~3UA3rWI zK&X!&7d$H-iGA-=f+31^4EcxvdTNiBW?TA8l}F$EUJeISVCP$bcss+9Qy)R-F@+VBK5D%k;gG#OaSV8ogTt zEw0!nP&JsI%hZdi5+*5Tlf-q$yTy?!4H;c)H&r)AY%ZQw=^Kj(;NoJeoM7BAHMi7O z)cThUy@Hlal5eDU(yp&qWut@d^#=0{KdmdEs1vD6Ddfm;BkvK8)JR$=VMak(|6sg! zh;7UF1GImizA^e#^J9yp*aN?0o;zaiQKIuSbR-vqMSbvG)v#VHn~tweu1|z|JiRVFSWP8Uv#lf0r)3tV;{0Vx-2eU@MdS8?TncGZ7Qx}Jo?^p6}IsDbxMT6T4Tnv;!m91 zVm!oTC4obnFjy2#YRJ~K_+!BnqCBD;dZ1E2*t}L}udT2*M&kis123r*LBkIpROm12 z8l}Kw&3M#@8aE7LcbM0ZQgyvSph7=X1rV_McMAT&F1-4KU8o0d`-5GW((F72pbH1$ zUiC@%ZT92~Kk6;PFHYlI zy!LwTFjL`lCc*<#uTEw*?+Jcq;bhMb;_n)25a9_JMW$ftAR(d9`U?^C{5>1*YooV~ zJE0qM8-o;1f$Jz8+dlZ9ul+qLk|Y_S)xPzzG!QJD0Sk1gsJ^d+_}`@a4Qor&d|+@a zOIvK!eiVUWy#Ph&P@PZ~SkEh@t~-!IN3>OF5Tq*p>U@UzZC3s@d)H(A@?iiNL;5IC zMPO!T;@m%qT}-cdLB$Eq7|(99_{J$I0(4VI{q>BmJ^e-yBYC9eV@p-KRr-WNKphjk z`AIHrtUz_qGb!pL471d9iRb(DA?$gnn=_yHUs&?p=Sj(4M8YB`#%>24@DvzriXCwc zT^p%zpysF_o0iyP33Sl+mLI=&dJqc}ZkI?Q)~!p`f_L};ZssM&)Hwi9dJxBIh0O%$ zYv~G$a7JzENtI#3xI>|#4!7;z{FXtYUi?gN(tH=9&<+bP2U-M-Y9YhQkpMq)vB zY^?zgoD#y5)eIH*0$%fgxK$=Eo?s?Yc$X@S$TRp`jL`#;>QY@{M>eA&G>EndnDs`bg#o3Qphb|&A(YW{+boI zF!!%>ul`*_0a*JRTP;K{#h&EHiEqv?xm1|qe5Qh-{0XK;u0i=6`Oov>591pgx#yty*g$}sm*#U zxt&ZnF3p=~t$XeH3Ver$7f!d!YNiVi`OjW_WzI{*a$FX<(tpFh(r*EV zNvABi64NFMYoo8-g=hRP*yyUSp zMBfGb-slh=%cXgLuI)iiV|dVu_2?ybkzJ+yYDfRp<3-hw-0)aU4x7ugyE24ST$ghq zXCgl5c)MI|ysd*w(02I305F>dZqMQi?_@sH9+6P0p9~nIRq8wC&Z${a66em&wiFOK z?+v;OTIHyv$FeNqcv}EZF2|oQVHQY3-{)xVOcw%Ka8QvaW;Ysso;qx+5vTkXZH9n~ zYW1WHUd}&-#szt*PG0w2HjbG-cia2P68zhyLH4Ki?2m(RaE~lCL7>$oHwI3^VS0eo z++(i+w$UuZkIk%!9l-0o*MD!3p7$q9&pV5&;+VR&b|(AEzxg`iV-<`~w?4Kswp?zU z8rg|E!ka}W&8|Zk@MlSe0$$xG#7r$lbl2}~M+>$Qh2gt`I{lr#ivCoth!0JVPv8o9T!ZuhEabf*{S(XXY zF3m_}rHzktzaMeCmr21ruzC&u=G90OaX{{5K&Q|5`2@bXQWH`qPbN68Z^70pwVQh{ zbL+nX`udGbdcMcxvk}Lq8QMnXhXz&Soxn|O;6riAbQj@k)N~5w@$I)Ww{NOd(kby2p-WO3;+RYscnD{TJvAgbP8PTDdHQSVH5xTiGI#hf99_BEbZK^{nuXD zEArpRs#~*+w|!xlRf=cY0&6KIl+9dY6n`gn@BM1lE929g-?qxXZ=B!%`No-**I=r= zl&q6gRKeMqlS3J!TGc|f+g$--9{W^Ij(e$QkIs0Uc4mEIViU_T#>lZ+?9&3Zm)D84 z)JdY0XqKAzOYG7mS|D)4x0V$H2;5*$il}e=8MrYTsxlmNVSpJBOvnR|7nsRP%{$*K z>5k_-^S2jE64<{iM>BIFna>X&^=LH46F5zB`7+=+%gKjeGBU9Ltmi0hKJ0>zCpLv7 zPanM^u%fNtmcTBfn#>rnWaOv2u@8pMxXsV22#2^L%RRP(Yd_Rud`k#IA;5U8@%hc9 z7lKnc1D*9*Rgxj7BHckF)Z7d37$e0q7=AKrR-Od zQN|6$4!@_N?1?e3M#isH8TL4*Tfsv@xSj6jB-eeBBs=nj&FJrQSesSrF9U!;@)wy* z#!TMw`(+geB#bm*G1P%iljQ}ilPgUbbBGMaWxhaJg(VZdCR2a*i2RlY!wQa zzVS$#Yy`xnE>?{uLp~|&AnBWE(r~ycL^LIy5}90mFKDhp-ntlTFJ@L7Tn)ER!v_~q z2r)nWq;H;r5)Lj`<&`8O5{ZO!d}R_V%du$0b6(84j27mdO!)e$?-B1(^*%q;QX^$O zQn}KIzBzTF|Kb1)rzj&A=)mPYfuS9$I$&ANAP#HEo3Y5rV@#wyn_?Fhm0V~OXuvg!6V~k=asG1c=-E|qSM+76qBwR;=*!$c3)aLKBjL>G1s14@@Q%M}f%YsbIh#$|Z zHu{{)8=R#yB<0$Yq|o_k=yRbX#d2`TNuh^wslek5k3TR*s!UECJI&IM`zJ7m5#Fof z(vF0d7H%@N@x(3rJF6CaVJ%t4UYwJ4!xjk}c=l;%Ku#(0<)zCf1kF^YD<#2~x|PMY z@$ziPZwQ3v-jIr-Z0Z+&?4$?9v}hOvFNp8w%_~y8zx?>52Gln5)sACdb5f}~W9e3k zvU$u8jE}s*R~TS}ul4*%Y;vX*u3KKgF3&O~5c_-wd&Z*_7hf8%TvJ4%6s?eQPiET2 zuIP{v&*dE<%JS3eC#*<$artL0>}i@$aoZHb#pvG|?>3bkyf4`KoZ#hN_4w4N zG`@%?P5{=GkWRAVHrAMn^N33Qc4nN7pOba)+N8$g(!btmDX%gUd+m{%o}+|RMVivl zL3-h6|D@r(EOHgTc2%xc>{@K}r`#w1sJ$2vU)64e&-3o=e9yFvUMv)(YT=|~Vb1ghbX!$2xE-=&cV9A@#^PIBI|Y)2r#unZeJTBHf47`tdx%NZ z+~tgNzj@u2Fgi&`uKw8!aXH(*Wm84YP_w~dI<@S{j7p~&8%&_dmZjmc6^S2UlsGCG zU6)Ag@3^>Fa#m?-3fY`e1`ob70VQsQ579WGrSw0w`+VwaXu{xImSj!+Uc;_h)UCV97y?v+4_k59e|;mBDc9lQje{W*-*dNIYdYuGG}l#$w% zH0)~v-q1TWZ`x|emx>zV`q)zf>!`H8RDmo+R8FXN;F6zEbLp&7(QF?ITlA0*RG347 z+%gO7oOM+A@LOrCk4#%zjwVsWY*(>9`*Q?aY?*WJ&}q@#fUXw_gNlLUV`3ovUv+{X ztXF%I*bg`nu$j>lAgDvRDjnlFNe55d+aYSj=POkq4XH@(P7eQyLAI9pQl@QxWWZgi zVO{Vs#f90DDTQiKi?w$kSh^>NlZ~Wqq8&RG?d&RLYR=^01bHvyp|Dkk2ezUfsd@)V zwbfcUHKD5l9lFR~x6hW^BtH(XN?l{!lrHjJW0M*!=d?^G{RO;YpGx%LQn&C;@)2@< z6@u-VB>e>gh*+lw|4{b{ypg?{R`BwLMd^AfHPPtBpyPnGm(TkhJv~~&=ow+Kuf`Cx z!CFUGE2L4HZ)lXafx?zVk_t|G%wo4XVNNy=i5rS5QY7h}Mo(Q;SMsDpVstgEyQN7D zlqPWGGh%(+SepAvSvf+#Wdy*q5(arNU|2jmL}rA}mC`47>ly}IotOh9nU~28H3?YQ z{uTmbQ@SSQkwHXx4lr_;N<*^+vp$~wUI>|)=sI)#UG(f{&^}RgWS>E#iJv((ERJg5 zZo+PxI8Tcl{`q6>y*~*FE6M>)sHY0lVv80-V~P`cTL1Chx>aXpI< z)V$e{eWSOqNX^UZ~*%loo0-0J@{`})xj}k}x*8{0Y{)MtAcW3d6ckzuH`g?sY zWfQ8Q!grY}9)`*KeH{h-=HG119AgHejf3pVo_DnQVUM?VoSbk;@5k~ybk*sV@2}nF z18EpMB+oE7Ys|KPC`WuzL^=y2bhg%^I0u0MV9wY#cI%8Tx#k?TW26itg?0a-EOh`& zo#$^X_ zDA`=q!Hc^wI3op9C%qX3gUntqP(Bj%JSgcK3B}opuE}O|vFyqip3`J4gp6S-RrD+a zuQEKT__myjBoYj0@yT5<7u;@3Yq`(r!76C>J7Q zWyAjQs(;Pe3KAt_jrl*au4+7?EFj!^NOMea{tz{L;*s8d{lq|#ENXW(5^awQTlAf3 z_XFuZlC5WELG&v2-y*(l-Ojhvetd0{^QJGz;`}pqWjgtoXunpSthjc7z()Jdz<{{` z5bOD>7Qw;F3UCTw%;!qpRa}w+_-HexA6w24$yzSIZ3jZjeLbky6GqN5ldTxjrF`NH75|1*xdJBP_xCg;%a5| zCB?wl3#xfCuj8gh`w#pvaORYEmZT99HTxQ*q67&H66unR=->mVVCa8FYdC5sez9cy zjkaMpY1ECbRZC1Khj&@h(t`~@&Ynvqz1rG-sx$a6t>MlB+yA~qPKYy|Ph3%|huKP` zuz?H?=>IZxyghjDi-|QR#;Mm+xROYvsq(^ z$l}ff+{hGhl5OzCUT1AURI%ipf>$)6&@VHXRg2CdWmTV~CX7ClOo85*CCp5FHd-t`G&>fwp5O8;= zHYlvsy+D7pz}}qp-0PMIe0?!O-1I#>{J8q1Da4h|z<|BM-~qY49bM>G za?!?NfzOz%7<3JT?(c-LP|O!GM9K3I2Tb>|uO6m*Y3Bs|c*75@#_4B#ym_%X zNJ8bNJ1*t;SklgG6V4gW$8rER!w*n(V9Dt0@^0P1VytbUP_Nw`@0yUqH!W5p-5MswZe%#EnwCo$fr=c?!sFYEyOv!j;+4ZuU)WWIMV#IZPa%EIVJYtfl}p5l z?qdxHKWKCnf0Br$ZYTxiAFgBoER#yy1EQ~J-vxfu*692HTjcz!9RWs#G(|3(QT-)m zyk_gJEkE|Gp4Z^iQ3yCW+dl1zA?LknwTbjT+*=5eMWj6-3f7@kXsI7uNl0(Fj5pwn z;+riq&l$okD67aWQ*{#c3wW0^VF0P3U)bDp=ZEDvUuW zrwAVlfqC&TbcI(|kT^=6%LCN%$530UWKjekS{B0|h=K;birvajWM|`6#?ea^8uPhx zrfsyqs=$6Iz0nX%()rvs!TBHzY9xb6J_%H0^>Mc^8Pv zI6fg4Sll)xy>G1?@=?GXC1&uZA5hQays{2yl?Z1q=TS*nP`2{(fse4UVXgUM$71MG zb8B?CybASuv(@SCW2zn~p#2BUfRn(5WQY7sVtE)C)m#$0iz0K?fsE?;*%+I#{gZ6v z{dg=to5YaVtSBrfDJavN2)Uui+4BboW&TnYLG78!%>^S_(x2d+koOvVLxE2kg~Cd4 zy-^ZxiOqH|AialH|Lpy zE(5&9D`Hg|HD1X8�^=lN4a3C?`l%-DtmzP^ig4S1BSVsE8eDOw#Y7j$8C-B$5{3 z#TL-Umz(LoRK)@9e;n}m0TMs?0a`{&_-BrMxzE3XbqgW#OK1u3-pNbK{Urd@hv%XXI1ZoYci%^P)>YPX{Eheqdm5S(~Vje&# zKY&G}*w_&z&+W)^Xbq(ry^aYZrGAM&e1ZygYd{CBBqh2kY*u=eG3=h|eJ(GfevJr| zjc3J_d3nddVYYV|IeO5DO=GfEoK03GUp&;WXD^5t}i zlBf}uc5QWC#QY*}6_YwGhpH+Fkcr;dA-_JFZhiTTcG`N9jl*f5Y-0L8xF&TGIWn{2 zv6nG6PjZbVo0_J>VGJ#=y&Fxn(ktb)kyG}i4LXyu2s^wmuEiSYzP`kfZr1JVC&iK# z4n(@2T}xcT#j7@cHo`c3J2F|if3x71V-sSz9J?keIm#0x0$SaN zGMI=$M9y=3p)}V|Ni1rUV)4J{`k~TAHOtrWyT<1~CqZf?9c!Hg184V@XMh{{B#KbkOC;_GuTtuv*l4(t)KB9GjIr6NRP}FURQr0|D{0o?A~b5>BeMvDl=Fla3vgEpWS1Cbf?UM||iJsQ$au7-}XTD`2&R(Z64Bz#8MAR8;YV|zJ$(DC-kOs#t9za!%WmH5RPEii zizN$&A%oEyXt#whsUqwl1K@3XU&Bppp8Zy@p|<98P2*=D!+XgLRHqV3hV?yWO<;QtQOl>Hfu$ ziyZ#@2_$|`wV%RP+UAg`;U~ZE=ss!cNTgR$)!EC79b~iAD=EPIP&tPcPZbSmp^?qJ z;r7#HsY==4h;6Cq((nitMmJAjp(Q59Z1?5(O)-R27}?R%<-c(XvbE2=sS5gi1pj7Z zEU+QwFaGCNzEtAh$6xzy590QIzPm}Tn$%C*C3T5kcI&;kt=jN4nKxFp$A3;^{&R{j z&_}GOS(FFbA2rjOqV|07Y5Dj$_0nUx6+&-!xc*9LKY=A9_J-W|`JU==j?&vPn~ZqA z*CuVY_3^Z@;5M&^Cd)kcBy$ssNnbfHVD!`;n@r7z$$u}%S7Vy8s<|DE;Nb|x95xy_ zRa=zWq)H~2+7>2*?bSn8zFX?u?>dj+toL(}nhkgX*6ttm!{F^djMdHlGpk_0?ZZ^< z#!97bm4}FY{}?Sy9xATXBwdwdmP&Bu`zMWcRX5F&f{vI0S}rUK<`o}Pdf;=9LkN{m zX_BAj*?@v8d7Z)7u9)W9Dgu>(1SfLYyS`tFLyj?0B8bMW1x|H* zV2&?1*ipFUn+!~)^Q>NR^&URs9y8Yb+`<-M<}hLWVb;*mCb-8BO4yyc#U2?I!4f-1 z9yMUC1IjZF#t}T`FMXPMuHxudx3mdlKL%88(DfHtl8zd=CFyOTgbhJa@D09Y%$!_8 zXJ$_L{L{@Z6(nHEWR{n*8)r=l4%nPpOIOg;wRqP+0+}J^Qfkj??`NKc7U> zE-Tb|EMb$flU~&?$@LDE&YrERL3lkyIMT!OG9iRDbxytFql2C5f)!vi7Vmh_M*SUT zBY*>}Vmc^nRZVp9xn9>5j!J8FBGx{Ux)`Zxv5jXrY^o~moL!OJ1w?9Nf~E?x=TaC2 zv8q0S4{nWm-$0PMic8}OpeO;2mSN4_KWic(;T}GQ8#jjGlfCR8d+dW;o`BiONx}93 zIho@7Ob>l8y)*a4;+8of`g#u^0wqFQqi&!?*x+LOyz^&?u(jFD8z>Pzl+t{5K}Tmt ziQ#?0bBVmxLbO3oKMn`P-Kr%ea6UtPG`sd&9CbVSgo5YzG&^_3Ter;C#fK3t zHn$OyANxJS`Z+>!S8f#^p^reO5^!f3`b+NZaW%kH$zFOccvNsEVZRJM?^VbdOH^XO z6G=KPf}c`ghc%q4t9-%M{~kJeJy?^b8l%+sKxR|nNRHx8EUC0OH~>as>jFgT-C6o| zS<>~&i7tOrThlfLBdTtle66M7a$m3Zg|bl?tZ%q;1g6dWeXbMMjzjrB^^9U;Zq6Kh z_{!wO7ZGu1*`8r@7nwyc@wgXIe-Dh%R5mXJJVD*P_~$Fe~5H01BaRj+>&I&N8=Zr*<;^R;8zJJI5d5qk$ixH zs?0GNH;uA{*OM^IcGyw^@!YOyPT~`{NwLd0}-RuCJ()!Jpizkw&eM2={Pu86;l}y}kO{AySiIy#z+Zrn33FDq8)tLH> znt78@QQtJn3C61~XZR=FQxebPEn8(z8Cejl?tH=^!)9u__@Vi3TVn$CdkO!fq?-Dp zvkC{iW>Y!?Ba;z-6k2>R{?MlL!ga;a^$ypaunRvS(O^M4Kom{*FSh*@|G$3zgr5AL znWc^v27AQ+0CxSqnnX2ZEw*z`h>sb+KL=o^5a>3tL-gvtGe0YQPYDYuv(GieP<+Zq zbbKhTvsw%tOu+$q>$vW~9Qi~s=z(LsHj-6)_LMBU6aBQ@Ns5H{}we+gX|nw zrPMuroTjbxq54sFm`e%ClQ@7FLp#_*TwQz&+>-n z^6vA-ge~DyBs$Dwm^;$;OI?nXM`uqL&tLoboVRhzV_F? zStHlR281S{e;tixc!qN^*MZU)(2NaE#G8gzeCLLG28Gb>FbdYlE{+W(E1NDN>R>Nz zJpsNwfnz70o%8MF_6e0DQ%;VJWK8D+Kk7q#=l}+7!Uj@e{69;;w6`R$)u+AC;&2dk zjZa)q2Q)Ti9|R_QIxOm_r87vb9J**pQnnJdFF5#Qgtv~QjI65Q)Gn5l6u%RnNO)!e z$W=k1m9GZla_e88yyVVE-uG^s&zW7eiM-~#_8+(=>R5NW9X<8lziti~%OUAKczP=P z+(%mv>z%>;uHd|PRv;8-IyPt?&R!^a%$eew)E6#>6Q%fp;*Ml{Y4vT+7snF#*xBo5 ziMxg-a5cd(@PjH<<)Xe6cMZ%ZqdI;o8s?(xrjE-91jo!E0&3`6xX3xTmJ)0diTpJ4 zp0TCa)4Q*Kb3p%w#n@mox)xNJ@M0CFscvdGTK|0EgC@>FuU0L7d4wgg6p%^O^$~-S zuYLbn&xfE-{mb7t>VJS%nXZXie}H7@f>xTAe}JM&N$&W@7*?p5GC3pG6V#LUJ-R^PmhhOYe%q!W1b(-8iaHoJpj4M+EoEw1izpv(O3T$lgda1IoJ_u2lA-ClnXVRU-bgD=R#YQc|puTmguj4^kqTvaD(z#0Qv@QJ=f8m(( zwd4oLcoGoT5aRriaP!0g8@`+m388O-d58?rvhuN6D8zTg7O|3TeaC`d8%iy$P0S?sp@@Axm6&OjSLh@`S1!b7u`ULm5V!K zT_}t6KxabFDX1*&wHhYKPtso7rWG0#!adH*Dws}BGY{7`M>qGf3ul0OYcGa{!IB#p zW|j__{jq> zKNa`QSeaqz3&4B^gkty3Z{$V$BHlHre>B#D)LY;g`7sVBq-dfY!?L%qSS5Vd=^EZ1p;!=-#l*M11IQV`=KvEd0qBks$j9ow7w)$``M&&m z^YWSVBK)T9Ymm6l8b#n?Y6!8jXCNSyXJsuD0fh2y8&Yg{0iitFG#xT%#j;j>Po<(Y zd&$3<)$v8y>i~Qu{N|s2V}(mq$(?Ohv2QTq2>5=TV45E9W!rY8pChfGD!xh2qSl5x z!IyYQfB$BV*QyE6XSGSr3_V|d;g!%&Zz%dFzAxc&al<^~kq(0;N#94kJtj)=ar3c- zVsjg#Ilg`w;|2{z#g_9w+xZq-YIYPY13r=U__DpUDw|=W6ys{;1sxm)mgDsY{bEyw zr5Zq*BfhYP3AUcFE-8A{wYGrByBGdonzO0e=uK|^%+L3`@ppOp^O8}LlELQacl&%v zMqS(MeE`f^!Ho;$##m&z#`*)15jW>AnH-v*|ap#QG&2XJ)Mg%PEwISLeyz zG46U7SPZC~1Nn|6L;g$RdU?D+29Mhm%oY7Y)vZ?r)cU&vTcVCH;R?sz>>Jg+ zA0R^2+hM>1m&59Eeb)9x{#SFIA!zwGU$^$TNO>Cl_bU049hj(Mo(89djb&0W$SE12 z@k>a12@#P%;x5sNtm7S_B-bGl6puYMNwK#7h;%JB!pyGpxUCJ^%Lv-0&3j+|4|=^e zKhVa0Zy86%2$Q$ID}7Uvxh1|f5t5{#1+Du5%B}*c%Xxs?T%!(t`*i3B=ouhlB$ULP zc~qUVkgI+qt3TY+9&eXwOF84UUUs2uYkVD(HO4}~B zgO0T^PFpnxPp|JH9(Hax6*Nyyuu>Wxj@c$7lv=6}((YGqm-7Ii!KG)8dQVl$+^Mvp zv4#wcbcx?!m?FEsKUb?>abYJyEDN&9O@8(vT>O&}QaspxT9*0LWV&)1C5e3{9hzV3 z2%8*+ZM5{MiVqMfRQK?0?mJ%#wTQ|Mnj=jo)MAGKmmO2>=P z8P(3@Bt289TRxpJyFQcnRxAJy8Z!tw`~=)+6x|_XVZCnlr*S|^m2Yf{n3IwOaeQ%C zRg;8V^a}0ip8xXH3cT?@`-Aq|y|4S_*>LC&&?7(u+$Qq_6rGFlzkkp%X9jxs;VY|x z<>Y3!Ah#0brv@#T3K8BHbZnRbtf-e1%q$%*x15*Kd|ck!SDJ3>&*&wx?4x|KvO+nX zLX3*qzW@C)?hg;S1p2HxGQ-s}2y2ptMlZ0y__9^9X6%C2|4(~g0*__0{!fw;sVqfA zC}n*X&t}V#Es{M;$iDA;wxaBjEg_`rvWsY;r0mH~AzM-*k}dR``$6gPo^#&!J?Ha3 zpU?kuyzkxI_jS)TbIo|^r6)!n1abHL}2 z$Jl7zE9$nz!wytK$lGpv1!k~A& zG^wwJ!g3!YGU~;}wnrR3U~Z^;d2=h1`qhG1aDBf<)b|dSoKc$%(cZ=X=zdrTZ=~n= zx%zT8Dnc(gj|zRQJRWj7DC z;@3x8Te~Ls+;6`bKW1j6#JFFoD9iP&TB-N!z7qJeGA87QfoYx}L>c+ZF5wF|eh{^F ztqO`Vp7}FBnr?pkV`&mfM>a1vqa?KcT#b_CVi&W$s^}0?b|1(jGueNxE`(+E}!yS=(yH7@U>p=`_jpUc=c_Y&(!P# z%}Xc^G%ql>5tJd#^GG>0grZ#epo!RRT^hhkrSq@eTm9TqKKcje2w@!7C&v zoO;6*p(W>XQ1h)21|w^;*S^BUt>@9;!!eU*hj(7My$$#XT`l<1oa&SVJgvpSey?2{XzH>#NK_my?=*tIu zN#7-;&^U5(BSzM3z@G|u$klb7?EFwUccA14(QDuPIUHaFp?wEz>Cpw_1}XB+ySIId z`J7XWpP(apbafut-)l=SQlDWnc&g$1G(nL03dI1Qf|S#zglVRjk*~3BpLxA|Tdc~S zls=rfae`P-e!SfEMXEo^erNj<)AvN<$Mg7fT2X?PG;j1IOp!tubiZU%#T!yNyN|~E z1y>i)6py4_VmNUP*zFX*WbjHH^I>+i39Ee5>EpW&jn z+mBQkhOwp`O1bcCa7N68)`gNy<-4wbmkXbxaQsT*6npvh7%8?U4#B&oYU+y^+dZ#q zVYF3bhG2>n$?4?wCcKJEfeQN-?I8Kq+MRYLvC*~qD&X(Q_2FPaWD43U=Gss zBPC3T_iazVnqx$mI}RJB1)2nZQc@3g)tI|E%xW^@w_i#;lcbGEjVpQA$FB_`A5@f$ zOgs`|_ua01UaCwyYHAUAT}YJi1l;$zP1828CN4;8g?PRbbw_q;$e!p)TG;@Vi>YnocWeUS0ruJnL~X>l-esl z#eSnLmllJ*!z-SJj2}ctw5gWf`OI=2-~7Ny;Nm&lx_o+?rsx3TP3RY*D;J2XXkIO7 z^aF(@wfGD~sMNo7?BRU8T9{#uJ~hxUY5QL0AxRm}rJkx!FQnfKTh@XfgEMpsSoIJC z#+4-Vm%>M&&ld(;Tq;?^6wAk%zua8#SoJON23F(pF_FVykIX%Au<*GAu$}V7G}ZE) z-|BMk$xSJUD4m}TUy%0{2E%rZlX_q7u2M5o`Lw80buDu>{fF~nOmS>IISBtUze0Lp z#pl`PZ1XYTY=~<^%!?CbD~mKfzhuRUkJ)D}B%FGfEUV!x=rZ$7(ER+3UfNTB>`~q7 z0e`B9bi0!xzj9~KjfUQ++4|iuc#hJX@`COQH7L?340&A*?Z@F`u+R?%b6OUuTrn} z$0&!C`AKTA|L8HcF>-WrFxIyw2Y0px7UXaQk_E;B-CTYMu!Y5{9W9vlDr{ZkjgnyPdx3MJWQ#LkqV$sIpcrj=eehe=Pf#7F> zV=%l}eikH(7l(xL>yYz_vA_{%C(TvW#P_mrJrgl?2>hogBM0)iy_RVbAr2eU)xINtm}s`>~T0 zpOLC^-y%J|x(&=2BmNRL^yf*SDJ^#&laV zZyHBr^8C0>(t+{BJ!nq15>A%8^jFz;J}=|kcJQ@QVZ^|xi{f5-X|6GTA3~IABk7MU zB6QBb9Z0X?eXQr{iPH0w{eFU+?Lu+uVlOe;9~boLOQ-iq`iLpI?@862c4i3WX9r`? z3NF$pei%H$_=O?>>3co@Fdu7x<364b`qy_Y2nFsERb=NJeQ$kQI|$Sje3NR{=S z0flg@eiw@j`3@S(+l)D-R}3}LeVE)EQaP{#(H!ndZ13FFNcGLk6$9To`vyA;$8mbB zsFTyxEwzxO(eYBUq-mr!GAbQ*=zL<8cu2bD!-YOi>W|fzh)5Z;MfMnUsMZkYCq3UL zv*M^uYZ+vJhFJGdakt}Yb_9#CmQvStO6qgo561m3$i(YdUUlry_P_Hwhg2AG}B=rMyuiO|;nacCGqAgJs_Pn{bqq!j0a$CCe zc!z$H?H6rYsYhjTeEVh=7WRJqR4ylrAl04m5?U_W)&98B_FG!KM`wSwVsbtiM=s7z zU)nP~{uDFQ?w}*M?Lh~Vx0#P<$OtyR-#4{gW>{LHS08919=;e*uQEh7~gziY@;f5@`#-L*X6AK@d~nq+SIP30c3J|kjU$xaQEmlRqP zbs2-&$$8d>D(+j=?Crc=_a-YD@@f>djDurkg?w*GUR9I5I%P;HuXgimSj$Cum#3#bf=I$wZb^7ZE=CZ!0)VxuB?x||S551cc$KFt+!wwyivHjMn zJvqtJN%A7~43pKmZ2N4*5sz1j4z`9W#sHT16i-RGS(EdT~Sjk5+80N?QO&8-nE2ne;5A-sX!N`Rz* zY>t2#LLDF;9wNar@@Kpa1jbXKLTkg3_*{QME&h1}@%|G~i(D_uzk*tPyp4?iI@ChN z*x+6h8?W169fYcbvoZ97oKFF`cLErO1Nhf>G=`#q?!>`d-wJ#Wa|cH!2{U~M79;|I zv;O)60)-;yJ7;d>Wah}Cjlf`8pbLotUk8Q8Usx=T1&zkAz;SREBoeuH0U8Q)JovbP zw$4Ys!Sk=ejeMXqeqJavIUEK@;WL9W#2`SW0~d6U1~mu+1cRO-W)uvDr$ZTGfdKfp z7%VxSZ#~{mzV$S4{QN)DhSJ**0t)%jpg=$XsDM9(2YGDVM3JKLkDv^CtC-AersS2Ao3^rZP=f`tVsw2 zele{jvIY|yz+k0s>WBvgD5^Lf^m))|6bla$7^?^%6$=mz4MbCMGPYJ{!2!UKb<(#o zHx#omwK8Ub0f5%GlQA|oHFILY!N6NFM?TLpQr{94uB0g4ue_)DF6a^uma&vfLaHv z4X}XTLjd)6fen%YN(&0{a%=)NC|#)h8zB@2x`!@^XF~=k#3TROJMxVpTM>;g8tLMwgC=* zh;Cp^%7X+0>2-92L%_R+Zg4p6KLKxljKiA-^;>K<kLo`-ji=I{i_hl1fLN|1GRC1Q5u+R#T#+> z(dN2A_nR>X8DEg01Z0AYBj_D8`otT4&?prcRU4yO2$nXdgD=Qt3lS1vqfjU;u-j*5SXUzrSJ4t*uCG9;R+yd-ye_^~b~1KZJ=j zs}8`)rZFoD1zB}4%!XBm_%&vQ!@(%^9}ZfvI51(hK4`_?ZyL0sH(@k>!t$@p#DUT9 zuR-f?CHa$P-8yvf!`3Ffpq7Up7lIl9hsIbN5Cx$D8no2E33U)cKo^w8#sykGISv7! zYGbUrJ}C#m7la@iD20~+pa?!5cn6Ie*Way;`_}m(9z67|L*`FNgx&)_D4h+|!cWMp zBOM;$K>0R@c*KIr46dKDuir!HvW{Rsp?*U~d>PmIAQ{)=;nU^^`UC?47AQpVuOTh; z{@*~Wf2*Z|eE-J}zU6;Q|9``(TU(ykjC7lqN&gbk0etvFkVF3taxlyn3&Q_sMFI&>ePclaYVzwBDCY0>Fh~em z%ldtqVC(AE?@Vintj~Y`p`dG8C$OdevC_ei@^AMn_yU2ob7Nqi^KV_r+E~7Wrab=X z3Om56bv^uAVRu$MBljG(_wm@dMy2WNXk9r`mwCmf&OMW2kBppKv9}GMBII%yOit4~8JE5U29Cmc-a%H4gk@rIK>oaigI}*va4U~PKiX>lD zn$Be0_CsY(qM~u17`NABcgh_vGx;NDNW|GV<3g@q>R(POe5qzX@hyP06rOXD1DSX3 z5ue7r1s2Wz!or5qTjXzVeGEG8V-RFhs4lM=Ugl&NSR>&+K-%duv321SuMeo7Mj+8Y zr^fLL-srslxjNUE_0}91!0ILc&w2J=`t3O9?%CdP|KxoC0Jr8b*vZeB;;zrTC|EGw*!|E`xsg}ACy|~XvAip zB~8{SQ_gymbGGi$)Jjvqw_(eH@1Ff9Z6|LzPHIjHk0p6{&%63O4?G?rAagz6+c{HH zpOrRpfVyyG$vHQSPuO(G<^P0mM{&siYi8x!lK zcK=?jnK-yLx6;goMz{%XdtEX|94h@ zk4~G_+Y25v%Zcxp&Rtcs8yM(v-#72TYaQ2QD96 zl6WDLC!H5~Gh%f#eD|o{p_R&2dK*%C4#5ir-D32oBIb!Rh?(clgm~I&$XW~p*Pb|1 z>{_nub<8gc4;vZPzX34)L9%Wb0ntT{ABsfM68-31F}VD zmX0U&{_K&&JB-<>^BRcv(<;cc)`eP*s+ba-1C9E$s#JI@yfnBe&2u)xj>@t6YpD!h znv%lEXl=r~$uufW_0*8`+w-O$rK>X&y`}0(e04)T!)1!i;1h<2x;aKt1$ytCPjQk` zZ{02+pI>}>)}-ZPb%K3>Ijxj%RjY>Wh|vh!(zR!q#K@H^Xtp8Px0!u+8Vdp#!NI8J z$FAO9fj_QUDvo$|fS&HewYQ|>B1^sx7r#Ecf_=*$V=+!wLDl2tr_k2LefgTnd=ZIR zenBNe|0%1OcB!tRo{9rKZ=}Opl+cmaM$CmMdrsdSi_;)v*4>4=@9%0&An(=*-;bEy*@a@!ksM~yzagn|53-z8e!6ps(vANykaCHgDV zD~r#U%rAa>BwWN&baLj10X!=4#cQkVlw(@b=h{}fJ2J*Kmkxaod--(c!OX2et?Hgy z>so7c4C|a`K~hM0*@f6=?S6AR)O*5l1;O@K#}cY<6k1zxeA2fqEPD_kxX7@Y)$&|! zh{-tg>E091YS17CJMVw@oMzE#PA z4zjMF_doPq;hR_IyiRJAd}dKyt;8YWP;Sp=<$cw5rM~wIsP-(@E8LQ(+*6)S zW|rn8eWHm@Tpvf#y%J;vlN|OYj}@j`^$JLy-wG_>*MIKrM|7d~>q&#~f{bp*&!lrA z-=)w=K{u*jelgH}!L@*Rvdfz6&K z^{%(kKiTyrQR9v7++IQ7IW8B931^qcl{l~ZC-06M@G3U(iiD1Roz^g?Pi{1w#+fy^ zQEMUf8oKlY=B91DqbrXr?T)RbK2j+4_UK{Svmss2%gE0OoqjT;_MWRD`dYpHDDCK( zQ9-}HcNlKWXM~V-BTQHSmg#x@M{RDk3x(4jQLj~vwXAwCTx_C&KeW?Yq5CA_*%J|K zE7Yt-K@x4bAS-lW{7#P@bwWTWz59KQqu`iXer{vatA3B01SIcj(7TJOeyI#OhE6_N zhq&6O$bO?$J-C2m*=v>dhm5R#$1?SeyUU>~!I&TfI60pppYue$RP{@@faRdz1?PLQ zCppl;*CO;(BJ>Xkns?Xe9SLv1&@c{+V7KU8Fzs+Gx2MsNCo-X zsy!kUrt!=fbvgI0w~p_*HLv$g_{dqS>I8qD%;N7?0^TbU^9=DR?Mu2w^?I8V8*MAR z{hbNtJJ(i!88U5ON1q+dXBC94NJope-@0KQn9DR3qM8&`TtKJ$Bkb!B$y-Y?pYxqi zj_>L|rZGxdlyZ9Cl6S`Xl-=e@k$g9q@+LHZGQXAkhEytc$t9(JAcbqXd68(9EaJd) zM~hc5nUbI#BL8Wi$5Q%rstKu!LG~4U;AfvX>ZZv*t$T zb$?TkRj5@;Z>?9v)soQnQgg%0ItO;O9jvI^JMxv%W|aI^Azy*%w8#>slyq#4=Hj8T zh>*0l46_FhTiC0I+q0H;nVQ-gS&lfL785~4q|~^DB&B!Eo*S_a{*b2Rg>*lcD$YMl z=^SYyJtz5@JL!hB`rwF{?_4|u)atEdSS+G;xTso&(pXE; zC;p?_iHM_TXDoL5wjA{pXd+gs8AZq6Rlqo&w;A^vZS^UbmE`d{z#Z2#c+@uO<={D{ z-iFcay8#`Idv5#4l`}Y*na-|KJg(H4(r$4TfL9Hk)zh79(MYV$SG%X(Kc3I^(n)96 zw6TSzglAGf#SnGN8PT(HDhUrYdfC%m-Qp`VL!x?oZd+h&2Mt2IwJ(L17Mq|W$q0&j;&pn}iEyAnl-i5Ogoa4|`&MdE%kznc=n zdBGLUc*@WU52e)ozkNvVHt6=LnjHyIy)GQK9^?_C?QV-xt1Yb-ma*mBz*A5$If zvY3uod!>~JyDK!pC23_Iq(8WxmBo45R^f~&`4`LsPE{YnJ{lp5K&4N5bbINA4o*x{ zq@2CFq~oS=NqAi4KLBJa(6M_A1roqbY^L!=gZ-ubTJk? zfc;ptcu%f8`gDpBW8~BClxHPxCOCf&y(@BOk50~qZHf6)`l$_#W5%H$J=|1H1o?)q zpHPZB8(WtwbfP|+B)0R?>IKrTBMFHraT>?o4;|v8ov%q=a5#AM#JG7Lg@;0Vxt@3! z-&Yx}lX}ngou+1=X=~k~spE1kK<>dsjl5U!N+mCw-oDa^`Yg*N%PLzx6n#g6r2Eok zwiyo%%d+B%V|^1ziye39O`Ca^O!l?N`$#M%XFYK9pU6Qj%*!1PKS?4Z;B7=K2WL>( zk(w69$%xE`p^PsnH8mdgsyWz26{0D5;iBiQnjzW4BKE!AMQRBP;S8R;b_MN9Y9FLF z4dv3YzpFp`WuM%!%p2^VPMy76>D(Xb*V7}pn}br}bkP~R@LNSLy2E16Fsj_U<=^cP zuP3>J!i0Z5SQj*X9)9bsRYX$G6VsaWOH5B1XUs!vhlJ_pL*0&7a{O>zOddIuf5t-Y zlYDP zgP@>ebzcT*wHbnkskx`Kva-ti=?&%1^*{f3pfND~Y)NYRHwo64(fPgY^H!4!lGG8$ zihDls-Yjwv8@{Vq?oLVF&K}YuRHvi(+Fv!&8@B6CXh5a#dCPC!)`!hH`9kM?U?b+T z;ZMA=V-GtWwXKa5wjIiN^Xb4@)~MoFXLno8>R9TPUgI@u{y{M&I7IhZfx_UYU;|K07k+tO|Q)i4a4R>W(nnnH-68P39V% zrJN;m%&7vq6-=|=H)rOVJ<3k8upU;+4SRo7j!XPo?itH2!R$^nEpAnxU2WK+g5lf; zy7MNczPgkG0r4!m2a80=B8}VqsXF(HocmEeA!)7Zs-$vt_eY8kTo>$Voz!m1&1u1( z(05IywOq+O>`Bf4=|~LSnFmsWt5?r@xqb=3!keg8?mYZ)@X4DbL8*=i-D&T#0lg2O zh@Hb*%(13!gGyODsTj^LSWb)hT=9=!@{Jm7r_lMT`=ErslOD<2JKo&HC8W8ZumA2V z;`5I<6ox+LhJS3PS8^+TzKxi=D~eW6+@+VT|0rcu9Y_CUb3O0dmDB~{S-#){d&?N- z#JgMb_fmrsw1Ok+c*6p#;Z1{+soqyrTYZa++jo0zvm>{oyR8`2Ej@9``jP|@4Qm0@ zb*h_3j}BeJUc%1T50N&L@e`L4(`3_8Njy-=$FUZC;z6@1AXs znP*aN&tHypat*CKr25fkU(^7p2<>e1!N(*s6fKGGj;^?e>T&eW+ULS6q)V;@^jaU4 zNO2`1C))Oy=>s@(%cYiW+s&YB1~HRayG!;uIY+bVbX`r%ej?rFoI%O`)-PH&pj|JZ zQ3w1lO|E*V`HfXz??@13K@U*^_~+6+nn1b*%ZO1@D-tZxHg{XG{Q@{;A1^ZQ8}_UR^p?Gv!dNG;6;+ z>knaa(XIZIViI>~)Vbs$PYJIatTHFEqkBg#iWA-UZSbDwcQ`ysJ)thv$aZYvj*dCz zIO!<&qO6jP8dhr}ntP^px8LBL7T+Q516_<=`#7xZ!yfoz_0Fr^N|7xIu@>)^d~%$w z_*5=z_SD7d^gBu{PwHOWQF=o?$8NUk@h*=26!C>!ZqMB6-8#+e4(<*WF^nl}?zZ|2 zv%yTlUsz*oFw+%R-d_pZt}kcDPQ$*iKYKrg3-ue7B3bSmWJe1qTPV8sC|)1ds%08F zEz09^moDpp@)PCDyKYh1ZCg>fy~9quTT|ckYCCzBn#e+xvI=P?3IEHI7rW!X8m6vj zW)V~Pp?9^ikId10(d;3SCov@k|6T6bwJOY7LM%uG&gg4UAXY?GjX9ITy_l*pKfU^h z9&;AK-SC;(P5Ftbxn$7lm0hV9_N#k{9JyDVXkA_1eT&>2bcRcQYbv@t-XVUDv$$O| zPZL)>$5~@hn1ju5%a6=||8T}}W}effW3p(hd!F-6I&=K$$n0=iOz-%awl^6eh20gC z1rKj4X2kKzQU#Fh;bgSriL0X0$K9`bNX$X(`?+fIl7T3-=wQI5iDi~lvLnJW#YFds zNTe8}U+yjtPLG=Dt5BFrP0~w0ps~O9=lG2B*^nCkf9`zMf+0a{-MQe2P?wC@|9!?Qx zzJAkd`sV$&r|rXw`7#-ig$1q;m&WY=-&o(7y%t74N-dwp*_SAM?_&s~ zc(zE*1?6wIk+o@U>?R$4Ws2zvP5R$2zG@0DhggYYR$YxI|EWVuy6ROJ8dwDJRd2oN3eUSSs zc~e~6aL?}$^F=5De&me^08ZaV+%uIK^7vK>#&J&_`MIV8sw zPxMBo9E~Ln+i$p-U{iP9sXATTS4=(YTc^sXLBL$o`Fm{MF4s&H<=Rd->)2nkpGox| z=BoE0>ZmFBKE&7OHO}W1SX%60BkJKMqsSGVSbP0K8rnV2Q2*U=z3PPJQEB&L_NP@! zEc`Cc z%ru?yxF1xVSBtC)rAp)W%HtMMjZZmzCrXnqkvrn1T5@B&%#x8`no?{7DRsD9ywf%3 zr?(qW>gSjrWUA}Dw(}inU3s9PIA7f@nQ$wUGMmMux~ahahu$i~=^qDP+!LH_j=bpO z_c32(h4PYsbwkDIxYkR9fV-A;g35y}r(F7mmPRA}8A@E+{T-}>v?f20cl@|ip_?sX z;eMdwdk%@tw8wc%Uf|N zJNgM{T0WT<)yF5CW6?$R*`l#=r~Qm~-z`btcs}f&*o7rC+&YDhkK zKjz&Yiy(_E6Ji-PU0K~A)xq)TZ1%uhxZ2V;>Ra?LyH{`4@3234Kl*L-q%C*l7h7|4 z^O%;-_d9H?<+_Y>-OLglx$Xz|pop7t+*jiB^MqMW57h&RYGtl7Dvp2VJ*b1tBjYdOKRwoYD$BTE8TaUt_F~8>UH{2?5z^Q42 zx^&#Si*u>3-Df7%D`I(PXwz?&^xe( zjt|z>sWAB2f3WI~CxW$Y=pAk?fWz>9TwsF}ehCI_P}&Ib?{zjg4{Q|ZmzWazz>D72 z6xw6E3l5OS%_ZT?P1OW{PEsFe3L&Cs<`W71hRvH{lzzg<>Le3k%@xl=} z3=U{_a~@zz7wnJH0}gJ##e>0+2;c`oNJBzhP<;vunHFsMoV&Wnblw#b4;K<$Yj9uiuBBcy?yPJ}cRd@#z2*`g=54+_Xfs$dNji-e1_zAxy9E;l0 z2H{vV*!oDwi$M{#BRCGdr9K1N)^Co(0$0l4(?i0rIKuRhFdWzoNe~YtNGLNBj@yD^ z0RFa=7m2{{i2Y3mfVMSXB#aQB0ZhWSU16NzZIs|B2FoGYAfsS(cO+J1!c1zy_+|;(_iv^t& zAup(Ygx``MizMvxV1S0*8V>~{#3p_$3hY=VkPnC1(%$%Sm@Rm~j{_BufET#AZNXjO z=!+z*YbY4xAoqK|C>ZFd32AsYW`cM?1%zco0qne9!uDNfc|JpKMi#O zU`N&Oc_8`GV0SYC4S10g)B`vYs(@SKp$M@A#M{!RfDRA6MK6H188@69^nsU^1O9jc z74wV02Mrk2e6ltswk#l5=s*EwTU#d=0;i=i-1) + Add_wr_gray[i] <=Add_wr[i+1]^Add_wr[i]; + end + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_gray_dl1 <=0; + else + Add_rd_gray_dl1 <=Add_rd_gray; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_ungray =0; + else + begin + Add_rd_ungray[MAC_RX_FF_DEPTH-1] =Add_rd_gray_dl1[MAC_RX_FF_DEPTH-1]; + for (i=MAC_RX_FF_DEPTH-2;i>=0;i=i-1) + Add_rd_ungray[i] =Add_rd_ungray[i+1]^Add_rd_gray_dl1[i]; + end + assign Add_wr_pluse=Add_wr+1; + assign Add_wr_pluse4=Add_wr+4; + assign Add_wr_pluse3=Add_wr+3; + assign Add_wr_pluse2=Add_wr+2; + + + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Full <=0; + else if (Add_wr_pluse==Add_rd_ungray) + Full <=1; + else + Full <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Almost_full <=0; + else if (Add_wr_pluse4==Add_rd_ungray|| + Add_wr_pluse3==Add_rd_ungray|| + Add_wr_pluse2==Add_rd_ungray|| + Add_wr_pluse==Add_rd_ungray + ) + Almost_full <=1; + else + Almost_full <=0; + + assign Fifo_full =Almost_full; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr <=0; + else if (Current_state==State_err_end) + Add_wr <=Add_wr_reg; + else if (Wr_en&&!Full) + Add_wr <=Add_wr +1; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_jump_tmp <=0; + else if (Current_state==State_err_end) + Add_wr_jump_tmp <=1; + else + Add_wr_jump_tmp <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_jump_tmp_pl1 <=0; + else + Add_wr_jump_tmp_pl1 <=Add_wr_jump_tmp; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_jump <=0; + else if (Current_state==State_err_end) + Add_wr_jump <=1; + else if (Add_wr_jump_tmp_pl1) + Add_wr_jump <=0; + + // + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_en_dl1 <=0; + else + Fifo_data_en_dl1 <=Fifo_data_en; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_dl1 <=0; + else + Fifo_data_dl1 <=Fifo_data; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_byte3 <=0; + else if (Current_state==State_byte3&&Fifo_data_en_dl1) + Fifo_data_byte3 <=Fifo_data_dl1; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_byte2 <=0; + else if (Current_state==State_byte2&&Fifo_data_en_dl1) + Fifo_data_byte2 <=Fifo_data_dl1; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_byte1 <=0; + else if (Current_state==State_byte1&&Fifo_data_en_dl1) + Fifo_data_byte1 <=Fifo_data_dl1; + + always @ (* ) + case (Current_state) + State_be0: + Din_tmp ={4'b1000,Fifo_data_byte3,Fifo_data_byte2,Fifo_data_byte1,Fifo_data_dl1}; + State_be1: + Din_tmp ={4'b1001,Fifo_data_byte3,24'h0}; + State_be2: + Din_tmp ={4'b1010,Fifo_data_byte3,Fifo_data_byte2,16'h0}; + State_be3: + Din_tmp ={4'b1011,Fifo_data_byte3,Fifo_data_byte2,Fifo_data_byte1,8'h0}; + default: + Din_tmp ={4'b0000,Fifo_data_byte3,Fifo_data_byte2,Fifo_data_byte1,Fifo_data_dl1}; + endcase + + always @ (*) + if (Current_state==State_be0||Current_state==State_be1|| + Current_state==State_be2||Current_state==State_be3|| + (Current_state==State_byte0&&Fifo_data_en)) + Wr_en_tmp =1; + else + Wr_en_tmp =0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Din_tmp_reg <=0; + else if(Wr_en_tmp) + Din_tmp_reg <=Din_tmp; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Wr_en_ptr <=0; + else if(Current_state==State_idle) + Wr_en_ptr <=0; + else if(Wr_en_tmp) + Wr_en_ptr <=1; + + //if not append FCS,delay one cycle write data and Wr_en signal to drop FCS + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + begin + Wr_en <=0; + Din <=0; + end + else if(RX_APPEND_CRC) + begin + Wr_en <=Wr_en_tmp; + Din <=Din_tmp; + end + else + begin + Wr_en <=Wr_en_tmp&&Wr_en_ptr; + Din <={Din_tmp[35:32],Din_tmp_reg[31:0]}; + end + + //this signal for read side to handle the packet number in fifo + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Packet_number_add_tmp <=0; + else if (Current_state==State_be0||Current_state==State_be1|| + Current_state==State_be2||Current_state==State_be3) + Packet_number_add_tmp <=1; + else + Packet_number_add_tmp <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + begin + Packet_number_add_tmp_dl1 <=0; + Packet_number_add_tmp_dl2 <=0; + end + else + begin + Packet_number_add_tmp_dl1 <=Packet_number_add_tmp; + Packet_number_add_tmp_dl2 <=Packet_number_add_tmp_dl1; + end + + //Packet_number_add delay to Din[35] is needed to make sure the data have been wroten to ram. + //expand to two cycles long almost=16 ns + //if the Clk_SYS period less than 16 ns ,this signal need to expand to 3 or more clock cycles + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Packet_number_add <=0; + else if (Packet_number_add_tmp_dl1||Packet_number_add_tmp_dl2) + Packet_number_add <=1; + else + Packet_number_add <=0; + + // ****************************************************************************** + // domain Clk_SYS,read data from dprom.b-port for read + // ****************************************************************************** + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Current_state_SYS <=SYS_idle; + else + Current_state_SYS <=Next_state_SYS; + + always @ (Current_state_SYS or Rx_mac_rd or Rx_mac_ra or Dout or Empty) + case (Current_state_SYS) + SYS_idle: + if (Rx_mac_rd&&Rx_mac_ra&&!Empty) + Next_state_SYS =SYS_read; + else if(Rx_mac_rd&&Rx_mac_ra&&Empty) + Next_state_SYS =FF_emtpy_err; + else + Next_state_SYS =Current_state_SYS; + SYS_read: + if (!Rx_mac_rd) + Next_state_SYS =SYS_pause; + else if (Dout[35]) + Next_state_SYS =SYS_wait_end; + else if (Empty) + Next_state_SYS =FF_emtpy_err; + else + Next_state_SYS =Current_state_SYS; + SYS_pause: + if (Rx_mac_rd) + Next_state_SYS =SYS_read; + else + Next_state_SYS =Current_state_SYS; + FF_emtpy_err: + if (!Empty) + Next_state_SYS =SYS_read; + else + Next_state_SYS =Current_state_SYS; + SYS_wait_end: + if (!Rx_mac_rd) + Next_state_SYS =SYS_idle; + else + Next_state_SYS =Current_state_SYS; + default: + Next_state_SYS =SYS_idle; + endcase // case(Current_state_SYS) + + //gen Rx_mac_ra + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Packet_number_add_dl1 <=0; + Packet_number_add_dl2 <=0; + end + else + begin + Packet_number_add_dl1 <=Packet_number_add; + Packet_number_add_dl2 <=Packet_number_add_dl1; + end + assign Packet_number_add_edge=Packet_number_add_dl1&!Packet_number_add_dl2; + + always @ (Current_state_SYS or Next_state_SYS) + if (Current_state_SYS==SYS_read&&Next_state_SYS==SYS_wait_end) + Packet_number_sub =1; + else + Packet_number_sub =0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_inFF <=0; + else if (Packet_number_add_edge&&!Packet_number_sub) + Packet_number_inFF <=Packet_number_inFF + 1; + else if (!Packet_number_add_edge&&Packet_number_sub&&Packet_number_inFF!=0) + Packet_number_inFF <=Packet_number_inFF - 1; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Fifo_data_count <=0; + else + Fifo_data_count <=Add_wr_ungray[MAC_RX_FF_DEPTH-1:MAC_RX_FF_DEPTH-5]-Add_rd[MAC_RX_FF_DEPTH-1:MAC_RX_FF_DEPTH-5]; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Rx_Hwmark_pl <=0; + Rx_Lwmark_pl <=0; + end + else + begin + Rx_Hwmark_pl <=Rx_Hwmark; + Rx_Lwmark_pl <=Rx_Lwmark; + end + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Rx_mac_ra <=0; + else if (Packet_number_inFF==0&&Fifo_data_count<=Rx_Lwmark_pl) + Rx_mac_ra <=0; + else if (Packet_number_inFF>=1||Fifo_data_count>=Rx_Hwmark_pl) + Rx_mac_ra <=1; + + + //control Add_rd signal; + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd <=0; + else if (Current_state_SYS==SYS_read&&!Dout[35]) + Add_rd <=Add_rd + 1; + + // + always @ (posedge Reset or posedge Clk_SYS) + if (Reset) + Add_rd_gray <=0; + else + begin + Add_rd_gray[MAC_RX_FF_DEPTH-1] <=Add_rd[MAC_RX_FF_DEPTH-1]; + for (i=MAC_RX_FF_DEPTH-2;i>=0;i=i-1) + Add_rd_gray[i] <=Add_rd[i+1]^Add_rd[i]; + end + // + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_wr_gray_dl1 <=0; + else + Add_wr_gray_dl1 <=Add_wr_gray; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_wr_jump_rd_pl1 <=0; + else + Add_wr_jump_rd_pl1 <=Add_wr_jump; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_wr_ungray =0; + else if (!Add_wr_jump_rd_pl1) + begin + Add_wr_ungray[MAC_RX_FF_DEPTH-1] =Add_wr_gray_dl1[MAC_RX_FF_DEPTH-1]; + for (i=MAC_RX_FF_DEPTH-2;i>=0;i=i-1) + Add_wr_ungray[i] =Add_wr_ungray[i+1]^Add_wr_gray_dl1[i]; + end + //empty signal gen + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Empty <=1; + else if (Add_rd==Add_wr_ungray) + Empty <=1; + else + Empty <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Dout_dl1 <=0; + else + Dout_dl1 <=Dout; + + assign Rx_mac_data =Dout_dl1[31:0]; + assign Rx_mac_BE =Dout_dl1[33:32]; + assign Rx_mac_eop =Dout_dl1[35]; + + //aligned to Addr_rd + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Rx_mac_pa_tmp <=0; + else if (Current_state_SYS==SYS_read&&!Dout[35]) + Rx_mac_pa_tmp <=1; + else + Rx_mac_pa_tmp <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Rx_mac_pa <=0; + else + Rx_mac_pa <=Rx_mac_pa_tmp; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Rx_mac_sop_tmp <=0; + else if (Current_state_SYS==SYS_idle&&Next_state_SYS==SYS_read) + Rx_mac_sop_tmp <=1; + else + Rx_mac_sop_tmp <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Rx_mac_sop_tmp_dl1 <=0; + Rx_mac_sop <=0; + end + else + begin + Rx_mac_sop_tmp_dl1 <=Rx_mac_sop_tmp; + Rx_mac_sop <=Rx_mac_sop_tmp_dl1; + end + + //****************************************************************************** + + duram #(36,MAC_RX_FF_DEPTH) + U_duram(.data_a (Din ), + .wren_a (Wr_en ), + .address_a (Add_wr ), + .address_b (Add_rd ), + .clock_a (Clk_MAC ), + .clock_b (Clk_SYS ), + .q_b (Dout )); + +endmodule // MAC_rx_FF diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v new file mode 100644 index 00000000..1019779e --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v @@ -0,0 +1,156 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_rx_add_chk.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_rx_add_chk.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_rx_add_chk ( +Reset , +Clk , +Init , +data , +MAC_add_en , +MAC_rx_add_chk_err , +//From CPU +MAC_rx_add_chk_en , +MAC_add_prom_data , +MAC_add_prom_add , +MAC_add_prom_wr + +); +input Reset ; +input Clk ; +input Init ; +input [7:0] data ; +input MAC_add_en ; +output MAC_rx_add_chk_err ; + //From CPU +input MAC_rx_add_chk_en ; +input [7:0] MAC_add_prom_data ; +input [2:0] MAC_add_prom_add ; +input MAC_add_prom_wr ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [2:0] addr_rd; +wire[2:0] addr_wr; +wire[7:0] din; +wire[7:0] dout; +wire wr_en; + +reg MAC_rx_add_chk_err; +reg MAC_add_prom_wr_dl1; +reg MAC_add_prom_wr_dl2; +reg [7:0] data_dl1 ; +reg MAC_add_en_dl1 ; +//****************************************************************************** +//write data from cpu to prom +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + data_dl1 <=0; + MAC_add_en_dl1 <=0; + end + else + begin + data_dl1 <=data; + MAC_add_en_dl1 <=MAC_add_en; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + MAC_add_prom_wr_dl1 <=0; + MAC_add_prom_wr_dl2 <=0; + end + else + begin + MAC_add_prom_wr_dl1 <=MAC_add_prom_wr; + MAC_add_prom_wr_dl2 <=MAC_add_prom_wr_dl1; + end + +assign wr_en =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2; +assign addr_wr =MAC_add_prom_add; +assign din =MAC_add_prom_data; + +//****************************************************************************** +//mac add verify +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + addr_rd <=0; + else if (Init) + addr_rd <=0; + else if (MAC_add_en) + addr_rd <=addr_rd + 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_rx_add_chk_err <=0; + else if (Init) + MAC_rx_add_chk_err <=0; + else if (MAC_rx_add_chk_en&&MAC_add_en_dl1&&dout!=data_dl1) + MAC_rx_add_chk_err <=1; + + +//****************************************************************************** +//a port for read ,b port for write . +//****************************************************************************** +duram #(8,3,"M512","DUAL_PORT") U_duram( +.data_a (din ), +.wren_a (wr_en ), +.address_a (addr_wr ), +.address_b (addr_rd ), +.clock_a (Clk ), +.clock_b (Clk ), +.q_b (dout )); + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v new file mode 100644 index 00000000..24701498 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v @@ -0,0 +1,536 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_rx_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_rx_ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:37 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_rx_ctrl ( +Reset , +Clk , +//RMII interface +MCrs_dv , // +MRxD , // +MRxErr , // +//CRC_chk interface +CRC_en , +CRC_init , +CRC_err , +//MAC_rx_add_chk interface +MAC_add_en , +MAC_rx_add_chk_err , +//broadcast_filter +broadcast_ptr , +broadcast_drop , +//flow_control signals +pause_quanta , +pause_quanta_val , +//MAC_rx_FF interface +Fifo_data , +Fifo_data_en , +Fifo_data_err , +Fifo_data_end , +Fifo_full , +//RMON interface +Rx_pkt_type_rmon , +Rx_pkt_length_rmon , +Rx_apply_rmon , +Rx_pkt_err_type_rmon , +//CPU +RX_IFG_SET , +RX_MAX_LENGTH, +RX_MIN_LENGTH +); + +input Reset ; +input Clk ; + //RMII interface +input MCrs_dv ; +input [7:0] MRxD ; +input MRxErr ; + //CRC_chk interface +output CRC_en ; +output CRC_init; +input CRC_err ; + //MAC_rx_add_chk interface +output MAC_add_en ; +input MAC_rx_add_chk_err ; + //broadcast_filter +output broadcast_ptr ; +input broadcast_drop ; + //flow_control signals +output [15:0] pause_quanta ; +output pause_quanta_val ; + //MAC_rx_FF interface +output [7:0] Fifo_data ; +output Fifo_data_en ; +output Fifo_data_err ; +output Fifo_data_end ; +input Fifo_full; + //RMON interface +output [15:0] Rx_pkt_length_rmon ; +output Rx_apply_rmon ; +output [2:0] Rx_pkt_err_type_rmon ; +output [2:0] Rx_pkt_type_rmon ; + //CPU +input [5:0] RX_IFG_SET ; +input [15:0] RX_MAX_LENGTH ;// 1518 +input [6:0] RX_MIN_LENGTH ;// 64 + +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter State_idle =4'd00; +parameter State_preamble =4'd01; +parameter State_SFD =4'd02; +parameter State_data =4'd03; +parameter State_checkCRC =4'd04; +parameter State_OkEnd =4'd07; +parameter State_drop =4'd08; +parameter State_ErrEnd =4'd09; +parameter State_CRCErrEnd =4'd10; +parameter State_FFFullDrop =4'd11; +parameter State_FFFullErrEnd =4'd12; +parameter State_IFG =4'd13; + +parameter Pause_idle =4'd0; +parameter Pause_pre_syn =4'd1; +parameter Pause_quanta_hi =4'd2; +parameter Pause_quanta_lo =4'd3; +parameter Pause_syn =4'd4; + +reg [3:0] Current_state /* synthesis syn_keep=1 */; +reg [3:0] Next_state; +reg [3:0] Pause_current /* synthesis syn_keep=1 */; +reg [3:0] Pause_next; +reg [5:0] IFG_counter; +reg Crs_dv ; +reg [7:0] RxD ; +reg [7:0] RxD_dl1 ; +reg RxErr ; +reg [15:0] Frame_length_counter; +reg Too_long; +reg Too_short; +reg Fifo_data_en; +reg Fifo_data_end; +reg Fifo_data_err; +reg CRC_en; +reg CRC_init; +reg Rx_apply_rmon; +reg Rx_apply_rmon_tmp; +reg Rx_apply_rmon_tmp_pl1; +reg [2:0] Rx_pkt_err_type_rmon; +reg MAC_add_en; +reg [2:0] Rx_pkt_type_rmon; +reg [7:0] pause_quanta_h ; +reg [15:0] pause_quanta ; +reg pause_quanta_val ; +reg pause_quanta_val_tmp; +reg pause_frame_ptr ; +reg broadcast_ptr ; +//****************************************************************************** +//delay signals +//****************************************************************************** + +always @ (posedge Reset or posedge Clk) + if (Reset) + begin + Crs_dv <=0; + RxD <=0; + RxErr <=0; + end + else + begin + Crs_dv <=MCrs_dv ; + RxD <=MRxD ; + RxErr <=MRxErr ; + end + +always @ (posedge Reset or posedge Clk) + if (Reset) + RxD_dl1 <=0; + else + RxD_dl1 <=RxD; + +//****************************************************************************** +//State_machine +//****************************************************************************** + +always @ (posedge Reset or posedge Clk) + if (Reset) + Current_state <=State_idle; + else + Current_state <=Next_state; + +always @ (*) + case (Current_state) + State_idle: + if (Crs_dv&&RxD==8'h55) + Next_state =State_preamble; + else + Next_state =Current_state; + State_preamble: + if (!Crs_dv) + Next_state =State_ErrEnd; + else if (RxErr) + Next_state =State_drop; + else if (RxD==8'hd5) + Next_state =State_SFD; + else if (RxD==8'h55) + Next_state =Current_state; + else + Next_state =State_drop; + State_SFD: + if (!Crs_dv) + Next_state =State_ErrEnd; + else if (RxErr) + Next_state =State_drop; + else + Next_state =State_data; + State_data: + if (!Crs_dv&&!Too_short&&!Too_long) + Next_state =State_checkCRC; + else if (!Crs_dv&&(Too_short||Too_long)) + Next_state =State_ErrEnd; + else if (Fifo_full) + Next_state =State_FFFullErrEnd; + else if (RxErr||MAC_rx_add_chk_err||Too_long||broadcast_drop) + Next_state =State_drop; + else + Next_state =State_data; + State_checkCRC: + if (CRC_err) + Next_state =State_CRCErrEnd; + else + Next_state =State_OkEnd; + State_drop: + if (!Crs_dv) + Next_state =State_ErrEnd; + else + Next_state =Current_state; + State_OkEnd: + Next_state =State_IFG; + State_ErrEnd: + Next_state =State_IFG; + + State_CRCErrEnd: + Next_state =State_IFG; + State_FFFullDrop: + if (!Crs_dv) + Next_state =State_IFG; + else + Next_state =Current_state; + State_FFFullErrEnd: + Next_state =State_FFFullDrop; + State_IFG: + if (IFG_counter==RX_IFG_SET-4) //remove some additional time + Next_state =State_idle; + else + Next_state =Current_state; + + default: + Next_state =State_idle; + endcase + + +always @ (posedge Reset or posedge Clk) + if (Reset) + IFG_counter <=0; + else if (Current_state!=State_IFG) + IFG_counter <=0; + else + IFG_counter <=IFG_counter + 1; +//****************************************************************************** +//gen fifo interface signals +//****************************************************************************** + +assign Fifo_data =RxD_dl1; + +always @(Current_state) + if (Current_state==State_data) + Fifo_data_en =1; + else + Fifo_data_en =0; + +always @(Current_state) + if (Current_state==State_ErrEnd||Current_state==State_OkEnd + ||Current_state==State_CRCErrEnd||Current_state==State_FFFullErrEnd) + Fifo_data_end =1; + else + Fifo_data_end =0; + +always @(Current_state) + if (Current_state==State_ErrEnd||Current_state==State_CRCErrEnd||Current_state==State_FFFullErrEnd) + Fifo_data_err =1; + else + Fifo_data_err =0; + +//****************************************************************************** +//CRC_chk interface +//****************************************************************************** + +always @(Current_state) + if (Current_state==State_data) + CRC_en =1; + else + CRC_en =0; + +always @(Current_state) + if (Current_state==State_SFD) + CRC_init =1; + else + CRC_init =0; + +//****************************************************************************** +//gen rmon signals +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Frame_length_counter <=0; + else if (Current_state==State_SFD) + Frame_length_counter <=1; + else if (Current_state==State_data) + Frame_length_counter <=Frame_length_counter+ 1'b1; + +always @ (Frame_length_counter or RX_MIN_LENGTH) + if (Frame_length_counterRX_MAX_LENGTH) + Too_long =1; + else + Too_long =0; + +assign Rx_pkt_length_rmon=Frame_length_counter-1'b1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_apply_rmon_tmp <=0; + else if (Current_state==State_OkEnd||Current_state==State_ErrEnd + ||Current_state==State_CRCErrEnd||Current_state==State_FFFullErrEnd) + Rx_apply_rmon_tmp <=1; + else + Rx_apply_rmon_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_apply_rmon_tmp_pl1 <=0; + else + Rx_apply_rmon_tmp_pl1 <=Rx_apply_rmon_tmp; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_apply_rmon <=0; + else if (Current_state==State_OkEnd||Current_state==State_ErrEnd + ||Current_state==State_CRCErrEnd||Current_state==State_FFFullErrEnd) + Rx_apply_rmon <=1; + else if (Rx_apply_rmon_tmp_pl1) + Rx_apply_rmon <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_pkt_err_type_rmon <=0; + else if (Current_state==State_CRCErrEnd) + Rx_pkt_err_type_rmon <=3'b001 ;// + else if (Current_state==State_FFFullErrEnd) + Rx_pkt_err_type_rmon <=3'b010 ;// + else if (Current_state==State_ErrEnd) + Rx_pkt_err_type_rmon <=3'b011 ;// + else if(Current_state==State_OkEnd) + Rx_pkt_err_type_rmon <=3'b100 ; + + + +always @ (posedge Clk or posedge Reset) + if (Reset) + Rx_pkt_type_rmon <=0; + else if (Current_state==State_OkEnd&&pause_frame_ptr) + Rx_pkt_type_rmon <=3'b100 ;// + else if(Current_state==State_SFD&&Next_state==State_data) + Rx_pkt_type_rmon <={1'b0,MRxD[7:6]}; + +always @ (posedge Clk or posedge Reset) + if (Reset) + broadcast_ptr <=0; + else if(Current_state==State_IFG) + broadcast_ptr <=0; + else if(Current_state==State_SFD&&Next_state==State_data&&MRxD[7:6]==2'b11) + broadcast_ptr <=1; + + + +//****************************************************************************** +//MAC add checker signals +//****************************************************************************** +always @ (Frame_length_counter or Fifo_data_en) + if(Frame_length_counter>=1&&Frame_length_counter<=6) + MAC_add_en <=Fifo_data_en; + else + MAC_add_en <=0; + +//****************************************************************************** +//flow control signals +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Pause_current <=Pause_idle; + else + Pause_current <=Pause_next; + +always @ (*) + case (Pause_current) + Pause_idle : + if(Current_state==State_SFD) + Pause_next =Pause_pre_syn; + else + Pause_next =Pause_current; + Pause_pre_syn: + case (Frame_length_counter) + 16'd1: if (RxD_dl1==8'h01) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd2: if (RxD_dl1==8'h80) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd3: if (RxD_dl1==8'hc2) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd4: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd5: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd6: if (RxD_dl1==8'h01) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd13: if (RxD_dl1==8'h88) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd14: if (RxD_dl1==8'h08) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd15: if (RxD_dl1==8'h00) + Pause_next =Pause_current; + else + Pause_next =Pause_idle; + 16'd16: if (RxD_dl1==8'h01) + Pause_next =Pause_quanta_hi; + else + Pause_next =Pause_idle; + default: Pause_next =Pause_current; + endcase + Pause_quanta_hi : + Pause_next =Pause_quanta_lo; + Pause_quanta_lo : + Pause_next =Pause_syn; + Pause_syn : + if (Current_state==State_IFG) + Pause_next =Pause_idle; + else + Pause_next =Pause_current; + default + Pause_next =Pause_idle; + endcase + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_h <=0; + else if(Pause_current==Pause_quanta_hi) + pause_quanta_h <=RxD_dl1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta <=0; + else if(Pause_current==Pause_quanta_lo) + pause_quanta <={pause_quanta_h,RxD_dl1}; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_val_tmp <=0; + else if(Current_state==State_OkEnd&&Pause_current==Pause_syn) + pause_quanta_val_tmp <=1; + else + pause_quanta_val_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_val <=0; + else if(Current_state==State_OkEnd&&Pause_current==Pause_syn||pause_quanta_val_tmp) + pause_quanta_val <=1; + else + pause_quanta_val <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_frame_ptr <=0; + else if(Pause_current==Pause_syn) + pause_frame_ptr <=1; + else + pause_frame_ptr <=0; + +endmodule + + \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v new file mode 100644 index 00000000..c1b21154 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v @@ -0,0 +1,430 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_top.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_top.v,v $ +// Revision 1.3 2006/01/19 14:07:52 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:13 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module MAC_top( + //system signals +input Reset , +input Clk_125M , +input Clk_user , +input Clk_reg , +output [2:0] Speed , + //user interface +output Rx_mac_ra , +input Rx_mac_rd , +output [31:0] Rx_mac_data , +output [1:0] Rx_mac_BE , +output Rx_mac_pa , +output Rx_mac_sop , +output Rx_mac_eop , + //user interface +output Tx_mac_wa , +input Tx_mac_wr , +input [31:0] Tx_mac_data , +input [1:0] Tx_mac_BE ,//big endian +input Tx_mac_sop , +input Tx_mac_eop , + //Phy interface + //Phy interface +output Gtx_clk ,//used only in GMII mode +input Rx_clk , +input Tx_clk ,//used only in MII mode +output Tx_er , +output Tx_en , +output [7:0] Txd , +input Rx_er , +input Rx_dv , +input [7:0] Rxd , +input Crs , +input Col , + //host interface +input CSB , +input WRB , +input [15:0] CD_in , +output [15:0] CD_out , +input [7:0] CA , + //mdx +inout Mdio ,// MII Management Data In +output Mdc // MII Management Data Clock + +); +//****************************************************************************** +//internal signals +//****************************************************************************** + //RMON interface +wire [15:0] Rx_pkt_length_rmon ; +wire Rx_apply_rmon ; +wire [2:0] Rx_pkt_err_type_rmon ; +wire [2:0] Rx_pkt_type_rmon ; +wire [2:0] Tx_pkt_type_rmon ; +wire [15:0] Tx_pkt_length_rmon ; +wire Tx_apply_rmon ; +wire [2:0] Tx_pkt_err_type_rmon ; + //PHY interface +wire MCrs_dv ; +wire [7:0] MRxD ; +wire MRxErr ; + //flow_control signals +wire [15:0] pause_quanta ; +wire pause_quanta_val ; + //PHY interface +wire [7:0] MTxD ; +wire MTxEn ; +wire MCRS ; + //interface clk signals +wire MAC_tx_clk ; +wire MAC_rx_clk ; +wire MAC_tx_clk_div ; +wire MAC_rx_clk_div ; + //reg signals +wire [4:0] Tx_Hwmark ; +wire [4:0] Tx_Lwmark ; +wire pause_frame_send_en ; +wire [15:0] pause_quanta_set ; +wire MAC_tx_add_en ; +wire FullDuplex ; +wire [3:0] MaxRetry ; +wire [5:0] IFGset ; +wire [7:0] MAC_tx_add_prom_data ; +wire [2:0] MAC_tx_add_prom_add ; +wire MAC_tx_add_prom_wr ; +wire tx_pause_en ; +wire xoff_cpu ; +wire xon_cpu ; + //Rx host interface +wire MAC_rx_add_chk_en ; +wire [7:0] MAC_rx_add_prom_data ; +wire [2:0] MAC_rx_add_prom_add ; +wire MAC_rx_add_prom_wr ; +wire broadcast_filter_en ; +wire [15:0] broadcast_MAX ; +wire RX_APPEND_CRC ; +wire [4:0] Rx_Hwmark ; +wire [4:0] Rx_Lwmark ; +wire CRC_chk_en ; +wire [5:0] RX_IFG_SET ; +wire [15:0] RX_MAX_LENGTH ; +wire [6:0] RX_MIN_LENGTH ; + //RMON host interface +wire [5:0] CPU_rd_addr ; +wire CPU_rd_apply ; +wire CPU_rd_grant ; +wire [31:0] CPU_rd_dout ; + //Phy int host interface +wire Line_loop_en ; + //MII to CPU +wire [7:0] Divider ; +wire [15:0] CtrlData ; +wire [4:0] Rgad ; +wire [4:0] Fiad ; +wire NoPre ; +wire WCtrlData ; +wire RStat ; +wire ScanStat ; +wire Busy ; +wire LinkFail ; +wire Nvalid ; +wire [15:0] Prsd ; +wire WCtrlDataStart ; +wire RStatStart ; +wire UpdateMIIRX_DATAReg ; +wire [15:0] broadcast_bucket_depth ; +wire [15:0] broadcast_bucket_interval ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +MAC_rx U_MAC_rx( +.Reset (Reset ), +.Clk_user (Clk_user ), +.Clk (MAC_rx_clk_div ), + //RMII interface (//PHY interface ), +.MCrs_dv (MCrs_dv ), +.MRxD (MRxD ), +.MRxErr (MRxErr ), + //flow_control signals (//flow_control signals ), +.pause_quanta (pause_quanta ), +.pause_quanta_val (pause_quanta_val ), + //user interface (//user interface ), +.Rx_mac_ra (Rx_mac_ra ), +.Rx_mac_rd (Rx_mac_rd ), +.Rx_mac_data (Rx_mac_data ), +.Rx_mac_BE (Rx_mac_BE ), +.Rx_mac_pa (Rx_mac_pa ), +.Rx_mac_sop (Rx_mac_sop ), +.Rx_mac_eop (Rx_mac_eop ), + //CPU (//CPU ), +.MAC_rx_add_chk_en (MAC_rx_add_chk_en ), +.MAC_add_prom_data (MAC_rx_add_prom_data ), +.MAC_add_prom_add (MAC_rx_add_prom_add ), +.MAC_add_prom_wr (MAC_rx_add_prom_wr ), +.broadcast_filter_en (broadcast_filter_en ), +.broadcast_bucket_depth (broadcast_bucket_depth ), +.broadcast_bucket_interval (broadcast_bucket_interval ), +.RX_APPEND_CRC (RX_APPEND_CRC ), +.Rx_Hwmark (Rx_Hwmark ), +.Rx_Lwmark (Rx_Lwmark ), +.CRC_chk_en (CRC_chk_en ), +.RX_IFG_SET (RX_IFG_SET ), +.RX_MAX_LENGTH (RX_MAX_LENGTH ), +.RX_MIN_LENGTH (RX_MIN_LENGTH ), + //RMON interface (//RMON interface ), +.Rx_pkt_length_rmon (Rx_pkt_length_rmon ), +.Rx_apply_rmon (Rx_apply_rmon ), +.Rx_pkt_err_type_rmon (Rx_pkt_err_type_rmon ), +.Rx_pkt_type_rmon (Rx_pkt_type_rmon ) +); + +MAC_tx U_MAC_tx( +.Reset (Reset ), +.Clk (MAC_tx_clk_div ), +.Clk_user (Clk_user ), + //PHY interface (//PHY interface ), +.TxD (MTxD ), +.TxEn (MTxEn ), +.CRS (MCRS ), + //RMON (//RMON ), +.Tx_pkt_type_rmon (Tx_pkt_type_rmon ), +.Tx_pkt_length_rmon (Tx_pkt_length_rmon ), +.Tx_apply_rmon (Tx_apply_rmon ), +.Tx_pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //user interface (//user interface ), +.Tx_mac_wa (Tx_mac_wa ), +.Tx_mac_wr (Tx_mac_wr ), +.Tx_mac_data (Tx_mac_data ), +.Tx_mac_BE (Tx_mac_BE ), +.Tx_mac_sop (Tx_mac_sop ), +.Tx_mac_eop (Tx_mac_eop ), + //host interface (//host interface ), +.Tx_Hwmark (Tx_Hwmark ), +.Tx_Lwmark (Tx_Lwmark ), +.pause_frame_send_en (pause_frame_send_en ), +.pause_quanta_set (pause_quanta_set ), +.MAC_tx_add_en (MAC_tx_add_en ), +.FullDuplex (FullDuplex ), +.MaxRetry (MaxRetry ), +.IFGset (IFGset ), +.MAC_add_prom_data (MAC_tx_add_prom_data ), +.MAC_add_prom_add (MAC_tx_add_prom_add ), +.MAC_add_prom_wr (MAC_tx_add_prom_wr ), +.tx_pause_en (tx_pause_en ), +.xoff_cpu (xoff_cpu ), +.xon_cpu (xon_cpu ), + //MAC_rx_flow (//MAC_rx_flow ), +.pause_quanta (pause_quanta ), +.pause_quanta_val (pause_quanta_val ) +); + +RMON U_RMON( +.Clk (Clk_reg ), +.Reset (Reset ), + //Tx_RMON (//Tx_RMON ), +.Tx_pkt_type_rmon (Tx_pkt_type_rmon ), +.Tx_pkt_length_rmon (Tx_pkt_length_rmon ), +.Tx_apply_rmon (Tx_apply_rmon ), +.Tx_pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //Tx_RMON (//Tx_RMON ), +.Rx_pkt_type_rmon (Rx_pkt_type_rmon ), +.Rx_pkt_length_rmon (Rx_pkt_length_rmon ), +.Rx_apply_rmon (Rx_apply_rmon ), +.Rx_pkt_err_type_rmon (Rx_pkt_err_type_rmon ), + //CPU (//CPU ), +.CPU_rd_addr (CPU_rd_addr ), +.CPU_rd_apply (CPU_rd_apply ), +.CPU_rd_grant (CPU_rd_grant ), +.CPU_rd_dout (CPU_rd_dout ) +); + +Phy_int U_Phy_int( +.Reset (Reset ), +.MAC_rx_clk (MAC_rx_clk ), +.MAC_tx_clk (MAC_tx_clk ), + //Rx interface (//Rx interface ), +.MCrs_dv (MCrs_dv ), +.MRxD (MRxD ), +.MRxErr (MRxErr ), + //Tx interface (//Tx interface ), +.MTxD (MTxD ), +.MTxEn (MTxEn ), +.MCRS (MCRS ), + //Phy interface (//Phy interface ), +.Tx_er (Tx_er ), +.Tx_en (Tx_en ), +.Txd (Txd ), +.Rx_er (Rx_er ), +.Rx_dv (Rx_dv ), +.Rxd (Rxd ), +.Crs (Crs ), +.Col (Col ), + //host interface (//host interface ), +.Line_loop_en (Line_loop_en ), +.Speed (Speed ) +); + +Clk_ctrl U_Clk_ctrl( +.Reset (Reset ), +.Clk_125M (Clk_125M ), + //host interface (//host interface ), +.Speed (Speed ), + //Phy interface (//Phy interface ), +.Gtx_clk (Gtx_clk ), +.Rx_clk (Rx_clk ), +.Tx_clk (Tx_clk ), + //interface clk (//interface clk ), +.MAC_tx_clk (MAC_tx_clk ), +.MAC_rx_clk (MAC_rx_clk ), +.MAC_tx_clk_div (MAC_tx_clk_div ), +.MAC_rx_clk_div (MAC_rx_clk_div ) +); + +eth_miim U_eth_miim( +.Clk (Clk_reg ), +.Reset (Reset ), +.Divider (Divider ), +.NoPre (NoPre ), +.CtrlData (CtrlData ), +.Rgad (Rgad ), +.Fiad (Fiad ), +.WCtrlData (WCtrlData ), +.RStat (RStat ), +.ScanStat (ScanStat ), +.Mdio (Mdio ), +.Mdc (Mdc ), +.Busy (Busy ), +.Prsd (Prsd ), +.LinkFail (LinkFail ), +.Nvalid (Nvalid ), +.WCtrlDataStart (WCtrlDataStart ), +.RStatStart (RStatStart ), +.UpdateMIIRX_DATAReg (UpdateMIIRX_DATAReg )); + +reg_int U_reg_int( +.Reset (Reset ), +.Clk_reg (Clk_reg ), +.CSB (CSB ), +.WRB (WRB ), +.CD_in (CD_in ), +.CD_out (CD_out ), +.CA (CA ), + //Tx host interface (//Tx host interface ), +.Tx_Hwmark (Tx_Hwmark ), +.Tx_Lwmark (Tx_Lwmark ), +.pause_frame_send_en (pause_frame_send_en ), +.pause_quanta_set (pause_quanta_set ), +.MAC_tx_add_en (MAC_tx_add_en ), +.FullDuplex (FullDuplex ), +.MaxRetry (MaxRetry ), +.IFGset (IFGset ), +.MAC_tx_add_prom_data (MAC_tx_add_prom_data ), +.MAC_tx_add_prom_add (MAC_tx_add_prom_add ), +.MAC_tx_add_prom_wr (MAC_tx_add_prom_wr ), +.tx_pause_en (tx_pause_en ), +.xoff_cpu (xoff_cpu ), +.xon_cpu (xon_cpu ), + //Rx host interface (//Rx host interface ), +.MAC_rx_add_chk_en (MAC_rx_add_chk_en ), +.MAC_rx_add_prom_data (MAC_rx_add_prom_data ), +.MAC_rx_add_prom_add (MAC_rx_add_prom_add ), +.MAC_rx_add_prom_wr (MAC_rx_add_prom_wr ), +.broadcast_filter_en (broadcast_filter_en ), +.broadcast_bucket_depth (broadcast_bucket_depth ), +.broadcast_bucket_interval (broadcast_bucket_interval ), +.RX_APPEND_CRC (RX_APPEND_CRC ), +.Rx_Hwmark (Rx_Hwmark ), +.Rx_Lwmark (Rx_Lwmark ), +.CRC_chk_en (CRC_chk_en ), +.RX_IFG_SET (RX_IFG_SET ), +.RX_MAX_LENGTH (RX_MAX_LENGTH ), +.RX_MIN_LENGTH (RX_MIN_LENGTH ), + //RMON host interface (//RMON host interface ), +.CPU_rd_addr (CPU_rd_addr ), +.CPU_rd_apply (CPU_rd_apply ), +.CPU_rd_grant (CPU_rd_grant ), +.CPU_rd_dout (CPU_rd_dout ), + //Phy int host interface (//Phy int host interface ), +.Line_loop_en (Line_loop_en ), +.Speed (Speed ), + //MII to CPU (//MII to CPU ), +.Divider (Divider ), +.CtrlData (CtrlData ), +.Rgad (Rgad ), +.Fiad (Fiad ), +.NoPre (NoPre ), +.WCtrlData (WCtrlData ), +.RStat (RStat ), +.ScanStat (ScanStat ), +.Busy (Busy ), +.LinkFail (LinkFail ), +.Nvalid (Nvalid ), +.Prsd (Prsd ), +.WCtrlDataStart (WCtrlDataStart ), +.RStatStart (RStatStart ), +.UpdateMIIRX_DATAReg (UpdateMIIRX_DATAReg ) +); + +endmodule + + + + + + + + + + + + + + + + + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v new file mode 100644 index 00000000..f5e605bc --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v @@ -0,0 +1,266 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx.v,v $ +// Revision 1.4 2006/11/17 17:53:07 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:14 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// +module MAC_tx( +input Reset , +input Clk , +input Clk_user , + //PHY interface +output [7:0] TxD , +output TxEn , +input CRS , + //RMON +output [2:0] Tx_pkt_type_rmon , +output [15:0] Tx_pkt_length_rmon , +output Tx_apply_rmon , +output [2:0] Tx_pkt_err_type_rmon, + //user interface +output Tx_mac_wa , +input Tx_mac_wr , +input [31:0] Tx_mac_data , +input [1:0] Tx_mac_BE ,//big endian +input Tx_mac_sop , +input Tx_mac_eop , + //host interface +input [4:0] Tx_Hwmark , +input [4:0] Tx_Lwmark , +input pause_frame_send_en , +input [15:0] pause_quanta_set , +input MAC_tx_add_en , +input FullDuplex , +input [3:0] MaxRetry , +input [5:0] IFGset , +input [7:0] MAC_add_prom_data , +input [2:0] MAC_add_prom_add , +input MAC_add_prom_wr , +input tx_pause_en , +input xoff_cpu , +input xon_cpu , + //MAC_rx_flow , +input [15:0] pause_quanta , +input pause_quanta_val +); +//****************************************************************************** +//internal signals +//****************************************************************************** + //CRC_gen Interface +wire CRC_init ; +wire[7:0] Frame_data ; +wire Data_en ; +wire CRC_rd ; +wire CRC_end ; +wire[7:0] CRC_out ; + //Ramdon_gen interface +wire Random_init ; +wire[3:0] RetryCnt ; +wire Random_time_meet ;//levle hight indicate random time passed away + //flow control +wire pause_apply ; +wire pause_quanta_sub ; +wire xoff_gen ; +wire xoff_gen_complete ; +wire xon_gen ; +wire xon_gen_complete ; + //MAC_rx_FF +wire[7:0] Fifo_data ; +wire Fifo_rd ; +wire Fifo_eop ; +wire Fifo_da ; +wire Fifo_rd_finish ; +wire Fifo_rd_retry ; +wire Fifo_ra ; +wire Fifo_data_err_empty ; +wire Fifo_data_err_full ; + //MAC_tx_addr_add +wire MAC_tx_addr_init ; +wire MAC_tx_addr_rd ; +wire[7:0] MAC_tx_addr_data ; + +//****************************************************************************** +//instantiation +//****************************************************************************** +MAC_tx_ctrl U_MAC_tx_ctrl( +.Reset (Reset ), +.Clk (Clk ), + //CRC_gen Interface (//CRC_gen Interface ), +.CRC_init (CRC_init ), +.Frame_data (Frame_data ), +.Data_en (Data_en ), +.CRC_rd (CRC_rd ), +.CRC_end (CRC_end ), +.CRC_out (CRC_out ), + //Random_gen interfac (//Random_gen interfac ), +.Random_init (Random_init ), +.RetryCnt (RetryCnt ), +.Random_time_meet (Random_time_meet ), + //flow control (//flow control ), +.pause_apply (pause_apply ), +.pause_quanta_sub (pause_quanta_sub ), +.xoff_gen (xoff_gen ), +.xoff_gen_complete (xoff_gen_complete ), +.xon_gen (xon_gen ), +.xon_gen_complete (xon_gen_complete ), + //MAC_tx_FF (//MAC_tx_FF ), +.Fifo_data (Fifo_data ), +.Fifo_rd (Fifo_rd ), +.Fifo_eop (Fifo_eop ), +.Fifo_da (Fifo_da ), +.Fifo_rd_finish (Fifo_rd_finish ), +.Fifo_rd_retry (Fifo_rd_retry ), +.Fifo_ra (Fifo_ra ), +.Fifo_data_err_empty (Fifo_data_err_empty ), +.Fifo_data_err_full (Fifo_data_err_full ), + //RMII (//RMII ), +.TxD (TxD ), +.TxEn (TxEn ), +.CRS (CRS ), + //MAC_tx_addr_add (//MAC_tx_addr_add ), +.MAC_tx_addr_rd (MAC_tx_addr_rd ), +.MAC_tx_addr_data (MAC_tx_addr_data ), +.MAC_tx_addr_init (MAC_tx_addr_init ), + //RMON (//RMON ), +.Tx_pkt_type_rmon (Tx_pkt_type_rmon ), +.Tx_pkt_length_rmon (Tx_pkt_length_rmon ), +.Tx_apply_rmon (Tx_apply_rmon ), +.Tx_pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //CPU (//CPU ), +.pause_frame_send_en (pause_frame_send_en ), +.pause_quanta_set (pause_quanta_set ), +.MAC_tx_add_en (MAC_tx_add_en ), +.FullDuplex (FullDuplex ), +.MaxRetry (MaxRetry ), +.IFGset (IFGset ) +); + +CRC_gen U_CRC_gen( +.Reset (Reset ), +.Clk (Clk ), +.Init (CRC_init ), +.Frame_data (Frame_data ), +.Data_en (Data_en ), +.CRC_rd (CRC_rd ), +.CRC_out (CRC_out ), +.CRC_end (CRC_end ) +); + +flow_ctrl U_flow_ctrl( +.Reset (Reset ), +.Clk (Clk ), + //host processor (//host processor ), +.tx_pause_en (tx_pause_en ), +.xoff_cpu (xoff_cpu ), +.xon_cpu (xon_cpu ), + //MAC_rx_flow (//MAC_rx_flow ), +.pause_quanta (pause_quanta ), +.pause_quanta_val (pause_quanta_val ), + //MAC_tx_ctrl (//MAC_tx_ctrl ), +.pause_apply (pause_apply ), +.pause_quanta_sub (pause_quanta_sub ), +.xoff_gen (xoff_gen ), +.xoff_gen_complete (xoff_gen_complete ), +.xon_gen (xon_gen ), +.xon_gen_complete (xon_gen_complete ) +); + +`ifdef MAC_SOURCE_REPLACE_EN +MAC_tx_addr_add U_MAC_tx_addr_add( +.Reset (Reset ), +.Clk (Clk ), +.MAC_tx_addr_rd (MAC_tx_addr_rd ), +.MAC_tx_addr_init (MAC_tx_addr_init ), +.MAC_tx_addr_data (MAC_tx_addr_data ), + //CPU (//CPU ), +.MAC_add_prom_data (MAC_add_prom_data ), +.MAC_add_prom_add (MAC_add_prom_add ), +.MAC_add_prom_wr (MAC_add_prom_wr ) +); +`else +assign MAC_tx_addr_data=0; +`endif +MAC_tx_FF #(.MAC_TX_FF_DEPTH(9)) + U_MAC_tx_FF(.Reset (Reset ), + .Clk_MAC (Clk ), + .Clk_SYS (Clk_user ), + //MAC_rx_ctrl interf (//MAC_rx_ctrl interf ), + .Fifo_data (Fifo_data ), + .Fifo_rd (Fifo_rd ), + .Fifo_rd_finish (Fifo_rd_finish ), + .Fifo_rd_retry (Fifo_rd_retry ), + .Fifo_eop (Fifo_eop ), + .Fifo_da (Fifo_da ), + .Fifo_ra (Fifo_ra ), + .Fifo_data_err_empty (Fifo_data_err_empty ), + .Fifo_data_err_full (Fifo_data_err_full ), + //user interface (//user interface ), + .Tx_mac_wa (Tx_mac_wa ), + .Tx_mac_wr (Tx_mac_wr ), + .Tx_mac_data (Tx_mac_data ), + .Tx_mac_BE (Tx_mac_BE ), + .Tx_mac_sop (Tx_mac_sop ), + .Tx_mac_eop (Tx_mac_eop ), + //host interface (//host interface ), + .FullDuplex (FullDuplex ), + .Tx_Hwmark (Tx_Hwmark ), + .Tx_Lwmark (Tx_Lwmark ) + ); + +random_gen U_random_gen( +.Reset (Reset ), +.Clk (Clk ), +.Init (Random_init ), +.RetryCnt (RetryCnt ), +.Random_time_meet (Random_time_meet ) +); + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v new file mode 100644 index 00000000..c3b6b080 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v @@ -0,0 +1,168 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CRC_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CRC_gen.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module CRC_gen ( +Reset , +Clk , +Init , +Frame_data , +Data_en , +CRC_rd , +CRC_end , +CRC_out + +); +input Reset ; +input Clk ; +input Init ; +input [7:0] Frame_data ; +input Data_en ; +input CRC_rd ; +output [7:0] CRC_out ; +output CRC_end ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [7:0] CRC_out ; +reg [31:0] CRC_reg; +reg CRC_end; +reg [3:0] Counter; +//****************************************************************************** +//****************************************************************************** +//input data width is 8bit, and the first bit is bit[0] +function[31:0] NextCRC; + input[7:0] D; + input[31:0] C; + reg[31:0] NewCRC; + begin + NewCRC[0]=C[24]^C[30]^D[1]^D[7]; + NewCRC[1]=C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[2]=C[26]^D[5]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[3]=C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[4]=C[28]^D[3]^C[27]^D[4]^C[26]^D[5]^C[24]^C[30]^D[1]^D[7]; + NewCRC[5]=C[29]^D[2]^C[28]^D[3]^C[27]^D[4]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[6]=C[30]^D[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[7]=C[31]^D[0]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[8]=C[0]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[9]=C[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]; + NewCRC[10]=C[2]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7]; + NewCRC[11]=C[3]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7]; + NewCRC[12]=C[4]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[13]=C[5]^C[30]^D[1]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[14]=C[6]^C[31]^D[0]^C[30]^D[1]^C[28]^D[3]^C[27]^D[4]^C[26]^D[5]; + NewCRC[15]=C[7]^C[31]^D[0]^C[29]^D[2]^C[28]^D[3]^C[27]^D[4]; + NewCRC[16]=C[8]^C[29]^D[2]^C[28]^D[3]^C[24]^D[7]; + NewCRC[17]=C[9]^C[30]^D[1]^C[29]^D[2]^C[25]^D[6]; + NewCRC[18]=C[10]^C[31]^D[0]^C[30]^D[1]^C[26]^D[5]; + NewCRC[19]=C[11]^C[31]^D[0]^C[27]^D[4]; + NewCRC[20]=C[12]^C[28]^D[3]; + NewCRC[21]=C[13]^C[29]^D[2]; + NewCRC[22]=C[14]^C[24]^D[7]; + NewCRC[23]=C[15]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7]; + NewCRC[24]=C[16]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6]; + NewCRC[25]=C[17]^C[27]^D[4]^C[26]^D[5]; + NewCRC[26]=C[18]^C[28]^D[3]^C[27]^D[4]^C[24]^C[30]^D[1]^D[7]; + NewCRC[27]=C[19]^C[29]^D[2]^C[28]^D[3]^C[25]^C[31]^D[0]^D[6]; + NewCRC[28]=C[20]^C[30]^D[1]^C[29]^D[2]^C[26]^D[5]; + NewCRC[29]=C[21]^C[31]^D[0]^C[30]^D[1]^C[27]^D[4]; + NewCRC[30]=C[22]^C[31]^D[0]^C[28]^D[3]; + NewCRC[31]=C[23]^C[29]^D[2]; + NextCRC=NewCRC; + end + endfunction +//****************************************************************************** + +always @ (posedge Clk or posedge Reset) + if (Reset) + CRC_reg <=32'hffffffff; + else if (Init) + CRC_reg <=32'hffffffff; + else if (Data_en) + CRC_reg <=NextCRC(Frame_data,CRC_reg); + else if (CRC_rd) + CRC_reg <={CRC_reg[23:0],8'hff}; + +always @ (CRC_rd or CRC_reg) + if (CRC_rd) + CRC_out <=~{ + CRC_reg[24], + CRC_reg[25], + CRC_reg[26], + CRC_reg[27], + CRC_reg[28], + CRC_reg[29], + CRC_reg[30], + CRC_reg[31] + }; + else + CRC_out <=0; + +//caculate CRC out length ,4 cycles +//CRC_end aligned to last CRC checksum data +always @(posedge Clk or posedge Reset) + if (Reset) + Counter <=0; + else if (!CRC_rd) + Counter <=0; + else + Counter <=Counter + 1; + +always @ (Counter) + if (Counter==3) + CRC_end=1; + else + CRC_end=0; + +endmodule + + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries new file mode 100644 index 00000000..58f964cb --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries @@ -0,0 +1,7 @@ +/CRC_gen.v/1.3/Thu Jan 19 14:07:54 2006// +/MAC_tx_addr_add.v/1.3/Thu Jan 19 14:07:54 2006// +/flow_ctrl.v/1.3/Thu Jan 19 14:07:54 2006// +/MAC_tx_FF.v/1.5/Tue May 1 07:35:17 2007// +/MAC_tx_Ctrl.v/1.4/Wed May 2 06:49:15 2007// +/Ramdon_gen.v/1.3/Wed May 2 06:49:15 2007// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository new file mode 100644 index 00000000..3f5abe3c --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/rtl/verilog/MAC_tx diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v new file mode 100644 index 00000000..b13c0662 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v @@ -0,0 +1,745 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx_FF.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx_FF.v,v $ +// Revision 1.5 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.4 2006/05/28 05:09:20 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:18 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:39 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_tx_FF + #(parameter MAC_TX_FF_DEPTH = 9) + (Reset , + Clk_MAC , + Clk_SYS , + //MAC_rx_ctrl interface + Fifo_data , + Fifo_rd , + Fifo_rd_finish , + Fifo_rd_retry , + Fifo_eop , + Fifo_da , + Fifo_ra , + Fifo_data_err_empty , + Fifo_data_err_full , + //user interface + Tx_mac_wa , + Tx_mac_wr , + Tx_mac_data , + Tx_mac_BE , + Tx_mac_sop , + Tx_mac_eop , + //host interface + FullDuplex , + Tx_Hwmark , + Tx_Lwmark); + + input Reset ; + input Clk_MAC ; + input Clk_SYS ; + //MAC_tx_ctrl + output [7:0] Fifo_data ; + input Fifo_rd ; + input Fifo_rd_finish ; + input Fifo_rd_retry ; + output Fifo_eop ; + output Fifo_da ; + output Fifo_ra ; + output Fifo_data_err_empty ; + output Fifo_data_err_full ; + //user interface + output Tx_mac_wa ; + input Tx_mac_wr ; + input [31:0] Tx_mac_data ; + input [1:0] Tx_mac_BE ;//big endian + input Tx_mac_sop ; + input Tx_mac_eop ; + //host interface + input FullDuplex ; + input [4:0] Tx_Hwmark ; + input [4:0] Tx_Lwmark ; + // ****************************************************************************** + //internal signals + // ****************************************************************************** + parameter MAC_byte3 =4'd00; + parameter MAC_byte2 =4'd01; + parameter MAC_byte1 =4'd02; + parameter MAC_byte0 =4'd03; + parameter MAC_wait_finish =4'd04; + parameter MAC_retry =4'd08; + parameter MAC_idle =4'd09; + parameter MAC_FFEmpty =4'd10; + parameter MAC_FFEmpty_drop =4'd11; + parameter MAC_pkt_sub =4'd12; + parameter MAC_FF_Err =4'd13; + + reg [3:0] Current_state_MAC /* synthesis syn_preserve =1 */ ; + reg [3:0] Current_state_MAC_reg /* synthesis syn_preserve =1 */ ; + reg [3:0] Next_state_MAC ; + + parameter SYS_idle =4'd0; + parameter SYS_WaitSop =4'd1; + parameter SYS_SOP =4'd2; + parameter SYS_MOP =4'd3; + parameter SYS_DROP =4'd4; + parameter SYS_EOP_ok =4'd5; + parameter SYS_FFEmpty =4'd6; + parameter SYS_EOP_err =4'd7; + parameter SYS_SOP_err =4'd8; + + reg [3:0] Current_state_SYS /* synthesis syn_preserve =1 */; + reg [3:0] Next_state_SYS; + + reg [MAC_TX_FF_DEPTH-1:0] Add_wr ; + reg [MAC_TX_FF_DEPTH-1:0] Add_wr_ungray ; + reg [MAC_TX_FF_DEPTH-1:0] Add_wr_gray ; + reg [MAC_TX_FF_DEPTH-1:0] Add_wr_gray_dl1 ; + wire [MAC_TX_FF_DEPTH-1:0] Add_wr_gray_tmp ; + + reg [MAC_TX_FF_DEPTH-1:0] Add_rd ; + reg [MAC_TX_FF_DEPTH-1:0] Add_rd_reg ; + reg [MAC_TX_FF_DEPTH-1:0] Add_rd_gray ; + reg [MAC_TX_FF_DEPTH-1:0] Add_rd_gray_dl1 ; + wire [MAC_TX_FF_DEPTH-1:0] Add_rd_gray_tmp ; + reg [MAC_TX_FF_DEPTH-1:0] Add_rd_ungray ; + wire [35:0] Din ; + wire [35:0] Dout ; + reg Wr_en ; + wire [MAC_TX_FF_DEPTH-1:0] Add_wr_pluse ; + wire [MAC_TX_FF_DEPTH-1:0] Add_wr_pluse_pluse; + wire [MAC_TX_FF_DEPTH-1:0] Add_rd_pluse ; + reg [MAC_TX_FF_DEPTH-1:0] Add_rd_reg_dl1 ; + reg Full /* synthesis syn_keep=1 */; + reg AlmostFull /* synthesis syn_keep=1 */; + reg Empty /* synthesis syn_keep=1 */; + + reg Tx_mac_wa ; + reg Tx_mac_wr_dl1 ; + reg [31:0] Tx_mac_data_dl1 ; + reg [1:0] Tx_mac_BE_dl1 ; + reg Tx_mac_sop_dl1 ; + reg Tx_mac_eop_dl1 ; + reg FF_FullErr ; + wire [1:0] Dout_BE ; + wire Dout_eop ; + wire Dout_err ; + wire [31:0] Dout_data ; + reg [35:0] Dout_reg /* synthesis syn_preserve=1 */; + reg Packet_number_sub_dl1 ; + reg Packet_number_sub_dl2 ; + reg Packet_number_sub_edge /* synthesis syn_preserve=1 */; + reg Packet_number_add /* synthesis syn_preserve=1 */; + reg [4:0] Fifo_data_count ; + reg Fifo_ra /* synthesis syn_keep=1 */; + reg [7:0] Fifo_data ; + reg Fifo_da ; + reg Fifo_data_err_empty /* synthesis syn_preserve=1 */; + reg Fifo_eop ; + reg Fifo_rd_dl1 ; + reg Fifo_ra_tmp ; + reg [5:0] Packet_number_inFF /* synthesis syn_keep=1 */; + reg [5:0] Packet_number_inFF_reg /* synthesis syn_preserve=1 */; + reg Pkt_sub_apply_tmp ; + reg Pkt_sub_apply ; + reg Add_rd_reg_rdy_tmp ; + reg Add_rd_reg_rdy ; + reg Add_rd_reg_rdy_dl1 ; + reg Add_rd_reg_rdy_dl2 ; + reg [4:0] Tx_Hwmark_pl ; + reg [4:0] Tx_Lwmark_pl ; + reg Add_rd_jump_tmp ; + reg Add_rd_jump_tmp_pl1 ; + reg Add_rd_jump ; + reg Add_rd_jump_wr_pl1 ; + + integer i ; + + // ****************************************************************************** + //write data to from FF . + //domain Clk_SYS + // ****************************************************************************** + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Current_state_SYS <=SYS_idle; + else + Current_state_SYS <=Next_state_SYS; + + always @ (Current_state_SYS or Tx_mac_wr or Tx_mac_sop or Full or AlmostFull + or Tx_mac_eop ) + case (Current_state_SYS) + SYS_idle: + if (Tx_mac_wr&&Tx_mac_sop&&!Full) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =Current_state_SYS ; + SYS_SOP: + Next_state_SYS =SYS_MOP; + SYS_MOP: + if (AlmostFull) + Next_state_SYS =SYS_DROP; + else if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP_err; + else if (Tx_mac_wr&&Tx_mac_eop) + Next_state_SYS =SYS_EOP_ok; + else + Next_state_SYS =Current_state_SYS ; + SYS_EOP_ok: + if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =SYS_idle; + SYS_EOP_err: + if (Tx_mac_wr&&Tx_mac_sop) + Next_state_SYS =SYS_SOP; + else + Next_state_SYS =SYS_idle; + SYS_SOP_err: + Next_state_SYS =SYS_DROP; + SYS_DROP: //FIFO overflow + if (Tx_mac_wr&&Tx_mac_eop) + Next_state_SYS =SYS_EOP_err; + else + Next_state_SYS =Current_state_SYS ; + default: + Next_state_SYS =SYS_idle; + endcase + + //delay signals + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Tx_mac_wr_dl1 <=0; + Tx_mac_data_dl1 <=0; + Tx_mac_BE_dl1 <=0; + Tx_mac_sop_dl1 <=0; + Tx_mac_eop_dl1 <=0; + end + else + begin + Tx_mac_wr_dl1 <=Tx_mac_wr ; + Tx_mac_data_dl1 <=Tx_mac_data ; + Tx_mac_BE_dl1 <=Tx_mac_BE ; + Tx_mac_sop_dl1 <=Tx_mac_sop ; + Tx_mac_eop_dl1 <=Tx_mac_eop ; + end + + always @(Current_state_SYS) + if (Current_state_SYS==SYS_EOP_err) + FF_FullErr =1; + else + FF_FullErr =0; + + reg Tx_mac_eop_gen; + + always @(Current_state_SYS) + if (Current_state_SYS==SYS_EOP_err||Current_state_SYS==SYS_EOP_ok) + Tx_mac_eop_gen =1; + else + Tx_mac_eop_gen =0; + + assign Din={Tx_mac_eop_gen,FF_FullErr,Tx_mac_BE_dl1,Tx_mac_data_dl1}; + + always @(Current_state_SYS or Tx_mac_wr_dl1) + if ((Current_state_SYS==SYS_SOP||Current_state_SYS==SYS_EOP_ok|| + Current_state_SYS==SYS_MOP||Current_state_SYS==SYS_EOP_err)&&Tx_mac_wr_dl1) + Wr_en = 1; + else + Wr_en = 0; + + + always @ (posedge Reset or posedge Clk_SYS) + if (Reset) + Add_wr_gray <=0; + else + begin + Add_wr_gray[MAC_TX_FF_DEPTH-1] <=Add_wr[MAC_TX_FF_DEPTH-1]; + for (i=MAC_TX_FF_DEPTH-2;i>=0;i=i-1) + Add_wr_gray[i] <=Add_wr[i+1]^Add_wr[i]; + end + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_gray_dl1 <=0; + else + Add_rd_gray_dl1 <=Add_rd_gray; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_jump_wr_pl1 <=0; + else + Add_rd_jump_wr_pl1 <=Add_rd_jump; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_ungray =0; + else if (!Add_rd_jump_wr_pl1) + begin + Add_rd_ungray[MAC_TX_FF_DEPTH-1] =Add_rd_gray_dl1[MAC_TX_FF_DEPTH-1]; + for (i=MAC_TX_FF_DEPTH-2;i>=0;i=i-1) + Add_rd_ungray[i] =Add_rd_ungray[i+1]^Add_rd_gray_dl1[i]; + end + assign Add_wr_pluse =Add_wr+1; + assign Add_wr_pluse_pluse =Add_wr+4; + + always @ (Add_wr_pluse or Add_rd_ungray) + if (Add_wr_pluse==Add_rd_ungray) + Full =1; + else + Full =0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + AlmostFull <=0; + else if (Add_wr_pluse_pluse==Add_rd_ungray) + AlmostFull <=1; + else + AlmostFull <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_wr <= 0; + else if (Wr_en&&!Full) + Add_wr <= Add_wr +1; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Packet_number_sub_dl1 <=0; + Packet_number_sub_dl2 <=0; + end + else + begin + Packet_number_sub_dl1 <=Pkt_sub_apply; + Packet_number_sub_dl2 <=Packet_number_sub_dl1; + end + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_sub_edge <=0; + else if (Packet_number_sub_dl1&!Packet_number_sub_dl2) + Packet_number_sub_edge <=1; + else + Packet_number_sub_edge <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_add <=0; + else if (Current_state_SYS==SYS_EOP_ok||Current_state_SYS==SYS_EOP_err) + Packet_number_add <=1; + else + Packet_number_add <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_inFF <=0; + else if (Packet_number_add&&!Packet_number_sub_edge) + Packet_number_inFF <=Packet_number_inFF + 1'b1; + else if (!Packet_number_add&&Packet_number_sub_edge) + Packet_number_inFF <=Packet_number_inFF - 1'b1; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Packet_number_inFF_reg <=0; + else + Packet_number_inFF_reg <=Packet_number_inFF; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Add_rd_reg_rdy_dl1 <=0; + Add_rd_reg_rdy_dl2 <=0; + end + else + begin + Add_rd_reg_rdy_dl1 <=Add_rd_reg_rdy; + Add_rd_reg_rdy_dl2 <=Add_rd_reg_rdy_dl1; + end + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Add_rd_reg_dl1 <=0; + else if (Add_rd_reg_rdy_dl1&!Add_rd_reg_rdy_dl2) + Add_rd_reg_dl1 <=Add_rd_reg; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Fifo_data_count <=0; + else if (FullDuplex) + Fifo_data_count <=Add_wr[MAC_TX_FF_DEPTH-1:MAC_TX_FF_DEPTH-5]-Add_rd_ungray[MAC_TX_FF_DEPTH-1:MAC_TX_FF_DEPTH-5]; + else + Fifo_data_count <=Add_wr[MAC_TX_FF_DEPTH-1:MAC_TX_FF_DEPTH-5]-Add_rd_reg_dl1[MAC_TX_FF_DEPTH-1:MAC_TX_FF_DEPTH-5]; //for half duplex backoff requirement + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Fifo_ra_tmp <=0; + else if (Packet_number_inFF_reg>=1||Fifo_data_count>=Tx_Lwmark) + Fifo_ra_tmp <=1; + else + Fifo_ra_tmp <=0; + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + begin + Tx_Hwmark_pl <=0; + Tx_Lwmark_pl <=0; + end + else + begin + Tx_Hwmark_pl <=Tx_Hwmark; + Tx_Lwmark_pl <=Tx_Lwmark; + end + + always @ (posedge Clk_SYS or posedge Reset) + if (Reset) + Tx_mac_wa <=0; + else if (Fifo_data_count>=Tx_Hwmark_pl) + Tx_mac_wa <=0; + else if (Fifo_data_count=0;i=i-1) + Add_rd_gray[i] <=Add_rd[i+1]^Add_rd[i]; + end + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_gray_dl1 <=0; + else + Add_wr_gray_dl1 <=Add_wr_gray; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_wr_ungray =0; + else + begin + Add_wr_ungray[MAC_TX_FF_DEPTH-1] =Add_wr_gray_dl1[MAC_TX_FF_DEPTH-1]; + for (i=MAC_TX_FF_DEPTH-2;i>=0;i=i-1) + Add_wr_ungray[i] =Add_wr_ungray[i+1]^Add_wr_gray_dl1[i]; + end + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Empty <=1; + else if (Add_rd==Add_wr_ungray) + Empty <=1; + else + Empty <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_ra <=0; + else + Fifo_ra <=Fifo_ra_tmp; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Pkt_sub_apply_tmp <=0; + else if (Current_state_MAC==MAC_pkt_sub) + Pkt_sub_apply_tmp <=1; + else + Pkt_sub_apply_tmp <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Pkt_sub_apply <=0; + else if ((Current_state_MAC==MAC_pkt_sub)||Pkt_sub_apply_tmp) + Pkt_sub_apply <=1; + else + Pkt_sub_apply <=0; + + //reg Add_rd for collison retry + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg <=0; + else if (Fifo_rd_finish) + Add_rd_reg <=Add_rd; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg_rdy_tmp <=0; + else if (Fifo_rd_finish) + Add_rd_reg_rdy_tmp <=1; + else + Add_rd_reg_rdy_tmp <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_reg_rdy <=0; + else if (Fifo_rd_finish||Add_rd_reg_rdy_tmp) + Add_rd_reg_rdy <=1; + else + Add_rd_reg_rdy <=0; + + reg Add_rd_add /* synthesis syn_keep=1 */; + + always @ (Current_state_MAC or Next_state_MAC) + if ((Current_state_MAC==MAC_idle||Current_state_MAC==MAC_byte0)&&Next_state_MAC==MAC_byte3) + Add_rd_add =1; + else + Add_rd_add =0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd <= Add_rd_reg; + else if (Add_rd_add) + Add_rd <= Add_rd + 1; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump_tmp <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd_jump_tmp <=1; + else + Add_rd_jump_tmp <=0; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump_tmp_pl1 <=0; + else + Add_rd_jump_tmp_pl1 <=Add_rd_jump_tmp; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Add_rd_jump <=0; + else if (Current_state_MAC==MAC_retry) + Add_rd_jump <=1; + else if (Add_rd_jump_tmp_pl1) + Add_rd_jump <=0; + + //gen Fifo_data + always @ (Dout_data or Current_state_MAC) + case (Current_state_MAC) + MAC_byte3: + Fifo_data =Dout_data[31:24]; + MAC_byte2: + Fifo_data =Dout_data[23:16]; + MAC_byte1: + Fifo_data =Dout_data[15:8]; + MAC_byte0: + Fifo_data =Dout_data[7:0]; + default: + Fifo_data =0; + endcase + + //gen Fifo_da + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_rd_dl1 <=0; + else + Fifo_rd_dl1 <=Fifo_rd; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_da <=0; + else if ((Current_state_MAC==MAC_byte0||Current_state_MAC==MAC_byte1|| + Current_state_MAC==MAC_byte2||Current_state_MAC==MAC_byte3)&&Fifo_rd&&!Fifo_eop) + Fifo_da <=1; + else + Fifo_da <=0; + + //gen Fifo_data_err_empty + assign Fifo_data_err_full=Dout_err; + //gen Fifo_data_err_empty + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Current_state_MAC_reg <=0; + else + Current_state_MAC_reg <=Current_state_MAC; + + always @ (posedge Clk_MAC or posedge Reset) + if (Reset) + Fifo_data_err_empty <=0; + else if (Current_state_MAC_reg==MAC_FFEmpty) + Fifo_data_err_empty <=1; + else + Fifo_data_err_empty <=0; + + always @ (posedge Clk_MAC) + if (Current_state_MAC_reg==MAC_FF_Err) + begin + $finish(2); + $display("mac_tx_FF meet error status at time :%t",$time); + end + + //gen Fifo_eop aligned to last valid data byte¡£ + always @ (Current_state_MAC or Dout_eop) + if (((Current_state_MAC==MAC_byte0&&Dout_BE==2'b00|| + Current_state_MAC==MAC_byte1&&Dout_BE==2'b11|| + Current_state_MAC==MAC_byte2&&Dout_BE==2'b10|| + Current_state_MAC==MAC_byte3&&Dout_BE==2'b01)&&Dout_eop)) + Fifo_eop =1; + else + Fifo_eop =0; + //****************************************************************************** + duram #(36,MAC_TX_FF_DEPTH) + U_duram(.data_a (Din ), + .wren_a (Wr_en ), + .address_a (Add_wr ), + .address_b (Add_rd ), + .clock_a (Clk_SYS ), + .clock_b (Clk_MAC ), + .q_b (Dout )); + +endmodule // MAC_tx_FF diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v new file mode 100644 index 00000000..fa6cd4c2 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v @@ -0,0 +1,128 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx_addr_add.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx_addr_add.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:18 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_tx_addr_add ( +Reset , +Clk , +MAC_tx_addr_init , +MAC_tx_addr_rd , +MAC_tx_addr_data , +//CPU , +MAC_add_prom_data , +MAC_add_prom_add , +MAC_add_prom_wr +); + +input Reset ; +input Clk ; +input MAC_tx_addr_rd ; +input MAC_tx_addr_init ; +output [7:0] MAC_tx_addr_data ; + //CPU ; +input [7:0] MAC_add_prom_data ; +input [2:0] MAC_add_prom_add ; +input MAC_add_prom_wr ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [2:0] add_rd; +wire[2:0] add_wr; +wire[7:0] din; +wire[7:0] dout; +wire wr_en; +reg MAC_add_prom_wr_dl1; +reg MAC_add_prom_wr_dl2; +//****************************************************************************** +//write data from cpu to prom +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + MAC_add_prom_wr_dl1 <=0; + MAC_add_prom_wr_dl2 <=0; + end + else + begin + MAC_add_prom_wr_dl1 <=MAC_add_prom_wr; + MAC_add_prom_wr_dl2 <=MAC_add_prom_wr_dl1; + end +assign # 2 wr_en =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2; +assign # 2 add_wr =MAC_add_prom_add; +assign # 2 din =MAC_add_prom_data; + +//****************************************************************************** +//read data from cpu to prom +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + add_rd <=0; + else if (MAC_tx_addr_init) + add_rd <=0; + else if (MAC_tx_addr_rd) + add_rd <=add_rd + 1; +assign MAC_tx_addr_data=dout; +//****************************************************************************** +//b port for read ,a port for write . +//****************************************************************************** +duram #(8,3,"M512","DUAL_PORT") U_duram( +.data_a (din ), +.wren_a (wr_en ), +.address_a (add_wr ), +.address_b (add_rd ), +.clock_a (Clk ), +.clock_b (Clk ), +.q_b (dout )); + + +endmodule + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v new file mode 100644 index 00000000..5412bd13 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v @@ -0,0 +1,646 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// MAC_tx_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: MAC_tx_Ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:17 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:38 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module MAC_tx_ctrl ( +Reset , +Clk , +//CRC_gen Interface +CRC_init , +Frame_data , +Data_en , +CRC_rd , +CRC_end , +CRC_out , +//Ramdon_gen interfac +Random_init , +RetryCnt , +Random_time_meet , +//flow control +pause_apply , +pause_quanta_sub , +xoff_gen , +xoff_gen_complete , +xon_gen , +xon_gen_complete , +//MAC_tx_FF +Fifo_data , +Fifo_rd , +Fifo_eop , +Fifo_da , +Fifo_rd_finish , +Fifo_rd_retry , +Fifo_ra , +Fifo_data_err_empty , +Fifo_data_err_full , +//RMII +TxD , +TxEn , +CRS , +//MAC_tx_addr_add +MAC_tx_addr_rd , +MAC_tx_addr_data , +MAC_tx_addr_init , +//RMON +Tx_pkt_type_rmon , +Tx_pkt_length_rmon , +Tx_apply_rmon , +Tx_pkt_err_type_rmon, +//CPU +pause_frame_send_en , +pause_quanta_set , +MAC_tx_add_en , +FullDuplex , +MaxRetry , +IFGset +); + +input Reset ; +input Clk ; + //CRC_gen Interface +output CRC_init ; +output [7:0] Frame_data ; +output Data_en ; +output CRC_rd ; +input CRC_end ; +input [7:0] CRC_out ; + //Ramdon_gen interface +output Random_init ; +output [3:0] RetryCnt ; +input Random_time_meet ;//levle hight indicate random time passed away + //flow control +input pause_apply ; +output pause_quanta_sub ; +input xoff_gen ; +output xoff_gen_complete ; +input xon_gen ; +output xon_gen_complete ; + //MAC_rx_FF +input [7:0] Fifo_data ; +output Fifo_rd ; +input Fifo_eop ; +input Fifo_da ; +output Fifo_rd_finish ; +output Fifo_rd_retry ; +input Fifo_ra ; +input Fifo_data_err_empty ; +input Fifo_data_err_full ; + //RMII +output [7:0] TxD ; +output TxEn ; +input CRS ; + //MAC_tx_addr_add +output MAC_tx_addr_init ; +output MAC_tx_addr_rd ; +input [7:0] MAC_tx_addr_data ; + //RMON +output [2:0] Tx_pkt_type_rmon ; +output [15:0] Tx_pkt_length_rmon ; +output Tx_apply_rmon ; +output [2:0] Tx_pkt_err_type_rmon; + //CPU +input pause_frame_send_en ; +input [15:0] pause_quanta_set ; +input MAC_tx_add_en ; +input FullDuplex ; +input [3:0] MaxRetry ; +input [5:0] IFGset ; +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter StateIdle =4'd00; +parameter StatePreamble =4'd01; +parameter StateSFD =4'd02; +parameter StateData =4'd03; +parameter StatePause =4'd04; +parameter StatePAD =4'd05; +parameter StateFCS =4'd06; +parameter StateIFG =4'd07; +parameter StateJam =4'd08; +parameter StateBackOff =4'd09; +parameter StateJamDrop =4'd10; +parameter StateFFEmptyDrop =4'd11; +parameter StateSwitchNext =4'd12; +parameter StateDefer =4'd13; +parameter StateSendPauseFrame =4'd14; + +reg [3:0] Current_state /*synthesis syn_keep=1 */; +reg [3:0] Next_state; +reg [5:0] IFG_counter; +reg [4:0] Preamble_counter;// +reg [7:0] TxD_tmp ; +reg TxEn_tmp ; +reg [15:0] Tx_pkt_length_rmon ; +reg Tx_apply_rmon ; +reg Tx_apply_rmon_tmp ; +reg Tx_apply_rmon_tmp_pl1; +reg [2:0] Tx_pkt_err_type_rmon; +reg [3:0] RetryCnt ; +reg Random_init ; +reg Fifo_rd_finish ; +reg Fifo_rd_retry ; +reg [7:0] TxD ; +reg TxEn ; +reg CRC_init ; +reg Data_en ; +reg CRC_rd ; +reg Fifo_rd ; +reg MAC_tx_addr_rd ; +reg MAC_header_slot ; +reg MAC_header_slot_tmp ; +reg [2:0] Tx_pkt_type_rmon ; +wire Collision ; +reg MAC_tx_addr_init ; +reg Src_MAC_ptr ; +reg [7:0] IPLengthCounter ;//for pad append +reg [1:0] PADCounter ; +reg [7:0] JamCounter ; +reg PktDrpEvenPtr ; +reg [7:0] pause_counter ; +reg pause_quanta_sub ; +reg pause_frame_send_en_dl1 ; +reg [15:0] pause_quanta_set_dl1 ; +reg xoff_gen_complete ; +reg xon_gen_complete ; +//****************************************************************************** +//boundery signal processing +//****************************************************************************** +always @(posedge Clk or posedge Reset) + if (Reset) + begin + pause_frame_send_en_dl1 <=0; + pause_quanta_set_dl1 <=0; + end + else + begin + pause_frame_send_en_dl1 <=pause_frame_send_en ; + pause_quanta_set_dl1 <=pause_quanta_set ; + end +//****************************************************************************** +//state machine +//****************************************************************************** +assign Collision=TxEn&CRS; + +always @(posedge Clk or posedge Reset) + if (Reset) + pause_counter <=0; + else if (Current_state!=StatePause) + pause_counter <=0; + else + pause_counter <=pause_counter+1; + +always @(posedge Clk or posedge Reset) + if (Reset) + IPLengthCounter <=0; + else if (Current_state==StateDefer) + IPLengthCounter <=0; + else if (IPLengthCounter!=8'hff&&(Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD)) + IPLengthCounter <=IPLengthCounter+1; + +always @(posedge Clk or posedge Reset) + if (Reset) + PADCounter <=0; + else if (Current_state!=StatePAD) + PADCounter <=0; + else + PADCounter <=PADCounter+1; + +always @(posedge Clk or posedge Reset) + if (Reset) + Current_state <=StateDefer; + else + Current_state <=Next_state; + +always @ (*) + case (Current_state) + StateDefer: + if ((FullDuplex)||(!FullDuplex&&!CRS)) + Next_state=StateIFG; + else + Next_state=Current_state; + StateIFG: + if (!FullDuplex&&CRS) + Next_state=StateDefer; + else if ((FullDuplex&&IFG_counter==IFGset-4)||(!FullDuplex&&!CRS&&IFG_counter==IFGset-4))//remove some additional time + Next_state=StateIdle; + else + Next_state=Current_state; + StateIdle: + if (!FullDuplex&&CRS) + Next_state=StateDefer; + else if (pause_apply) + Next_state=StatePause; + else if ((FullDuplex&&Fifo_ra)||(!FullDuplex&&!CRS&&Fifo_ra)||(pause_frame_send_en_dl1&&(xoff_gen||xon_gen))) + Next_state=StatePreamble; + else + Next_state=Current_state; + StatePause: + if (pause_counter==512/8) + Next_state=StateDefer; + else + Next_state=Current_state; + StatePreamble: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if ((FullDuplex&&Preamble_counter==6)||(!FullDuplex&&!Collision&&Preamble_counter==6)) + Next_state=StateSFD; + else + Next_state=Current_state; + StateSFD: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (pause_frame_send_en_dl1&&(xoff_gen||xon_gen)) + Next_state=StateSendPauseFrame; + else + Next_state=StateData; + StateSendPauseFrame: + if (IPLengthCounter==17) + Next_state=StatePAD; + else + Next_state=Current_state; + StateData: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (Fifo_data_err_empty) + Next_state=StateFFEmptyDrop; + else if (Fifo_eop&&IPLengthCounter>=59)//IP+MAC+TYPE=60 ,start from 0 + Next_state=StateFCS; + else if (Fifo_eop) + Next_state=StatePAD; + else + Next_state=StateData; + StatePAD: + if (!FullDuplex&&Collision) + Next_state=StateJam; + else if (IPLengthCounter>=59) + Next_state=StateFCS; + else + Next_state=Current_state; + StateJam: + if (RetryCnt<=MaxRetry&&JamCounter==16) + Next_state=StateBackOff; + else if (RetryCnt>MaxRetry) + Next_state=StateJamDrop; + else + Next_state=Current_state; + StateBackOff: + if (Random_time_meet) + Next_state =StateDefer; + else + Next_state =Current_state; + StateFCS: + if (!FullDuplex&&Collision) + Next_state =StateJam; + else if (CRC_end) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateFFEmptyDrop: + if (Fifo_eop) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateJamDrop: + if (Fifo_eop) + Next_state =StateSwitchNext; + else + Next_state =Current_state; + StateSwitchNext: + Next_state =StateDefer; + default: + Next_state =StateDefer; + endcase + + + +always @ (posedge Clk or posedge Reset) + if (Reset) + JamCounter <=0; + else if (Current_state!=StateJam) + JamCounter <=0; + else if (Current_state==StateJam) + JamCounter <=JamCounter+1; + + +always @ (posedge Clk or posedge Reset) + if (Reset) + RetryCnt <=0; + else if (Current_state==StateSwitchNext) + RetryCnt <=0; + else if (Current_state==StateJam&&Next_state==StateBackOff) + RetryCnt <=RetryCnt + 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + IFG_counter <=0; + else if (Current_state!=StateIFG) + IFG_counter <=0; + else + IFG_counter <=IFG_counter + 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Preamble_counter <=0; + else if (Current_state!=StatePreamble) + Preamble_counter <=0; + else + Preamble_counter <=Preamble_counter+ 1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + PktDrpEvenPtr <=0; + else if(Current_state==StateJamDrop||Current_state==StateFFEmptyDrop) + PktDrpEvenPtr <=~PktDrpEvenPtr; +//****************************************************************************** +//generate output signals +//****************************************************************************** +//CRC related +always @(Current_state) + if (Current_state==StateSFD) + CRC_init =1; + else + CRC_init =0; + +assign Frame_data=TxD_tmp; + +always @(Current_state) + if (Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD) + Data_en =1; + else + Data_en =0; + +always @(Current_state) + if (Current_state==StateFCS) + CRC_rd =1; + else + CRC_rd =0; + +//Ramdon_gen interface +always @(Current_state or Next_state) + if (Current_state==StateJam&&Next_state==StateBackOff) + Random_init =1; + else + Random_init =0; + +//MAC_rx_FF +//data have one cycle delay after fifo read signals +always @ (*) + if (Current_state==StateData || + Current_state==StateSFD&&!(pause_frame_send_en_dl1&&(xoff_gen||xon_gen)) || + Current_state==StateJamDrop&&PktDrpEvenPtr|| + Current_state==StateFFEmptyDrop&&PktDrpEvenPtr ) + Fifo_rd =1; + else + Fifo_rd =0; + +always @ (Current_state) + if (Current_state==StateSwitchNext) + Fifo_rd_finish =1; + else + Fifo_rd_finish =0; + +always @ (Current_state) + if (Current_state==StateJam) + Fifo_rd_retry =1; + else + Fifo_rd_retry =0; +//RMII +always @(Current_state) + if (Current_state==StatePreamble||Current_state==StateSFD|| + Current_state==StateData||Current_state==StateSendPauseFrame|| + Current_state==StateFCS||Current_state==StatePAD||Current_state==StateJam) + TxEn_tmp =1; + else + TxEn_tmp =0; + +//gen txd data +always @(*) + case (Current_state) + StatePreamble: + TxD_tmp =8'h55; + StateSFD: + TxD_tmp =8'hd5; + StateData: + if (Src_MAC_ptr&&MAC_tx_add_en) + TxD_tmp =MAC_tx_addr_data; + else + TxD_tmp =Fifo_data; + StateSendPauseFrame: + if (Src_MAC_ptr&&MAC_tx_add_en) + TxD_tmp =MAC_tx_addr_data; + else + case (IPLengthCounter) + 7'd0: TxD_tmp =8'h01; + 7'd1: TxD_tmp =8'h80; + 7'd2: TxD_tmp =8'hc2; + 7'd3: TxD_tmp =8'h00; + 7'd4: TxD_tmp =8'h00; + 7'd5: TxD_tmp =8'h01; + 7'd12: TxD_tmp =8'h88;//type + 7'd13: TxD_tmp =8'h08;// + 7'd14: TxD_tmp =8'h00;//opcode + 7'd15: TxD_tmp =8'h01; + 7'd16: TxD_tmp =xon_gen?8'b0:pause_quanta_set_dl1[15:8]; + 7'd17: TxD_tmp =xon_gen?8'b0:pause_quanta_set_dl1[7:0]; +// 7'd60: TxD_tmp =8'h26; +// 7'd61: TxD_tmp =8'h6b; +// 7'd62: TxD_tmp =8'hae; +// 7'd63: TxD_tmp =8'h0a; + default:TxD_tmp =0; + endcase + + StatePAD: + TxD_tmp =8'h00; + StateJam: + TxD_tmp =8'h01; //jam sequence + StateFCS: + TxD_tmp =CRC_out; + default: + TxD_tmp =2'b0; + endcase +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + TxD <=0; + TxEn <=0; + end + else + begin + TxD <=TxD_tmp; + TxEn <=TxEn_tmp; + end +//RMON + + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_length_rmon <=0; + else if (Current_state==StateSFD) + Tx_pkt_length_rmon <=0; + else if (Current_state==StateData||Current_state==StateSendPauseFrame||Current_state==StatePAD||Current_state==StateFCS) + Tx_pkt_length_rmon <=Tx_pkt_length_rmon+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_apply_rmon_tmp <=0; + else if ((Fifo_eop&&Current_state==StateJamDrop)|| + (Fifo_eop&&Current_state==StateFFEmptyDrop)|| + CRC_end) + Tx_apply_rmon_tmp <=1; + else + Tx_apply_rmon_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_apply_rmon_tmp_pl1 <=0; + else + Tx_apply_rmon_tmp_pl1 <=Tx_apply_rmon_tmp; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_apply_rmon <=0; + else if ((Fifo_eop&&Current_state==StateJamDrop)|| + (Fifo_eop&&Current_state==StateFFEmptyDrop)|| + CRC_end) + Tx_apply_rmon <=1; + else if (Tx_apply_rmon_tmp_pl1) + Tx_apply_rmon <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_err_type_rmon <=0; + else if(Fifo_eop&&Current_state==StateJamDrop) + Tx_pkt_err_type_rmon <=3'b001;// + else if(Fifo_eop&&Current_state==StateFFEmptyDrop) + Tx_pkt_err_type_rmon <=3'b010;//underflow + else if(Fifo_eop&&Fifo_data_err_full) + Tx_pkt_err_type_rmon <=3'b011;//overflow + else if(CRC_end) + Tx_pkt_err_type_rmon <=3'b100;//normal + +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_header_slot_tmp <=0; + else if(Current_state==StateSFD&&Next_state==StateData) + MAC_header_slot_tmp <=1; + else + MAC_header_slot_tmp <=0; + +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_header_slot <=0; + else + MAC_header_slot <=MAC_header_slot_tmp; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Tx_pkt_type_rmon <=0; + else if (Current_state==StateSendPauseFrame) + Tx_pkt_type_rmon <=3'b100; + else if(MAC_header_slot) + Tx_pkt_type_rmon <={1'b0,TxD[7:6]}; + + +always @(Tx_pkt_length_rmon) + if (Tx_pkt_length_rmon>=6&&Tx_pkt_length_rmon<=11) + Src_MAC_ptr =1; + else + Src_MAC_ptr =0; + +//MAC_tx_addr_add +always @ (posedge Clk or posedge Reset) + if (Reset) + MAC_tx_addr_rd <=0; + else if ((Tx_pkt_length_rmon>=4&&Tx_pkt_length_rmon<=9)&&(MAC_tx_add_en||Current_state==StateSendPauseFrame)) + MAC_tx_addr_rd <=1; + else + MAC_tx_addr_rd <=0; + +always @ (Tx_pkt_length_rmon or Fifo_rd) + if ((Tx_pkt_length_rmon==3)&&Fifo_rd) + MAC_tx_addr_init=1; + else + MAC_tx_addr_init=0; + +//flow control +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_sub <=0; + else if(pause_counter==512/8) + pause_quanta_sub <=1; + else + pause_quanta_sub <=0; + + +always @ (posedge Clk or posedge Reset) + if (Reset) + xoff_gen_complete <=0; + else if(Current_state==StateDefer&&xoff_gen) + xoff_gen_complete <=1; + else + xoff_gen_complete <=0; + + +always @ (posedge Clk or posedge Reset) + if (Reset) + xon_gen_complete <=0; + else if(Current_state==StateDefer&&xon_gen) + xon_gen_complete <=1; + else + xon_gen_complete <=0; + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v new file mode 100644 index 00000000..76534e65 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v @@ -0,0 +1,203 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// flow_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: flow_ctrl.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module flow_ctrl +( +Reset , +Clk , +//host processor , +tx_pause_en , +xoff_cpu , +xon_cpu , +//MAC_rx_flow , +pause_quanta , +pause_quanta_val , +//MAC_tx_ctrl , +pause_apply , +pause_quanta_sub , +xoff_gen , +xoff_gen_complete , +xon_gen , +xon_gen_complete + +); + +input Reset ; +input Clk ; + //host processor ; +input tx_pause_en ; +input xoff_cpu ; +input xon_cpu ; + //MAC_rx_flow ; +input [15:0] pause_quanta ; +input pause_quanta_val ; + //MAC_tx_ctrl ; +output pause_apply ; +input pause_quanta_sub ; +output xoff_gen ; +input xoff_gen_complete ; +output xon_gen ; +input xon_gen_complete ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg xoff_cpu_dl1 ; +reg xoff_cpu_dl2 ; +reg xon_cpu_dl1 ; +reg xon_cpu_dl2 ; +reg [15:0] pause_quanta_dl1 ; +reg pause_quanta_val_dl1 ; +reg pause_quanta_val_dl2 ; +reg pause_apply ; +reg xoff_gen ; +reg xon_gen ; +reg [15:0] pause_quanta_counter ; +reg tx_pause_en_dl1 ; +reg tx_pause_en_dl2 ; +//****************************************************************************** +//boundery signal processing +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + xoff_cpu_dl1 <=0; + xoff_cpu_dl2 <=0; + end + else + begin + xoff_cpu_dl1 <=xoff_cpu; + xoff_cpu_dl2 <=xoff_cpu_dl1; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + xon_cpu_dl1 <=0; + xon_cpu_dl2 <=0; + end + else + begin + xon_cpu_dl1 <=xon_cpu; + xon_cpu_dl2 <=xon_cpu_dl1; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + pause_quanta_dl1 <=0; + end + else + begin + pause_quanta_dl1 <=pause_quanta; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + pause_quanta_val_dl1 <=0; + pause_quanta_val_dl2 <=0; + end + else + begin + pause_quanta_val_dl1 <=pause_quanta_val; + pause_quanta_val_dl2 <=pause_quanta_val_dl1; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + tx_pause_en_dl1 <=0; + tx_pause_en_dl2 <=0; + end + else + begin + tx_pause_en_dl1 <=tx_pause_en; + tx_pause_en_dl2 <=tx_pause_en_dl1; + end + +//****************************************************************************** +//gen output signals +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + xoff_gen <=0; + else if (xoff_gen_complete) + xoff_gen <=0; + else if (xoff_cpu_dl1&&!xoff_cpu_dl2) + xoff_gen <=1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + xon_gen <=0; + else if (xon_gen_complete) + xon_gen <=0; + else if (xon_cpu_dl1&&!xon_cpu_dl2) + xon_gen <=1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_quanta_counter <=0; + else if(pause_quanta_val_dl1&&!pause_quanta_val_dl2) + pause_quanta_counter <=pause_quanta_dl1; + else if(pause_quanta_sub&&pause_quanta_counter!=0) + pause_quanta_counter <=pause_quanta_counter-1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + pause_apply <=0; + else if(pause_quanta_counter==0) + pause_apply <=0; + else if (tx_pause_en_dl2) + pause_apply <=1; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v new file mode 100644 index 00000000..ba344693 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v @@ -0,0 +1,123 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// random_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Ramdon_gen.v,v $ +// Revision 1.3 2006/01/19 14:07:54 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// + +module random_gen( +Reset , +Clk , +Init , +RetryCnt , +Random_time_meet +); +input Reset ; +input Clk ; +input Init ; +input [3:0] RetryCnt ; +output Random_time_meet; + +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [9:0] Random_sequence ; +reg [9:0] Random ; +reg [9:0] Random_counter ; +reg [7:0] Slot_time_counter; //256*2=512bit=1 slot time +reg Random_time_meet; + +//****************************************************************************** +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_sequence <=0; + else + Random_sequence <={Random_sequence[8:0],~(Random_sequence[2]^Random_sequence[9])}; + +always @ (RetryCnt or Random_sequence) + case (RetryCnt) + 4'h0 : Random={9'b0,Random_sequence[0]}; + 4'h1 : Random={8'b0,Random_sequence[1:0]}; + 4'h2 : Random={7'b0,Random_sequence[2:0]}; + 4'h3 : Random={6'b0,Random_sequence[3:0]}; + 4'h4 : Random={5'b0,Random_sequence[4:0]}; + 4'h5 : Random={4'b0,Random_sequence[5:0]}; + 4'h6 : Random={3'b0,Random_sequence[6:0]}; + 4'h7 : Random={2'b0,Random_sequence[7:0]}; + 4'h8 : Random={1'b0,Random_sequence[8:0]}; + 4'h9 : Random={ Random_sequence[9:0]}; + default : Random={ Random_sequence[9:0]}; + endcase + +always @ (posedge Clk or posedge Reset) + if (Reset) + Slot_time_counter <=0; + else if(Init) + Slot_time_counter <=0; + else if(!Random_time_meet) + Slot_time_counter <=Slot_time_counter+1; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_counter <=0; + else if (Init) + Random_counter <=Random; + else if (Random_counter!=0&&Slot_time_counter==255) + Random_counter <=Random_counter -1 ; + +always @ (posedge Clk or posedge Reset) + if (Reset) + Random_time_meet <=1; + else if (Init) + Random_time_meet <=0; + else if (Random_counter==0) + Random_time_meet <=1; + +endmodule + + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v new file mode 100644 index 00000000..7e0090f5 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v @@ -0,0 +1,227 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Phy_int.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: Phy_int.v,v $ +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.3 2005/12/16 06:44:14 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.2 2005/12/13 12:15:36 Administrator +// no message +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module Phy_int ( +Reset , +MAC_rx_clk , +MAC_tx_clk , +//Rx interface , +MCrs_dv , +MRxD , +MRxErr , +//Tx interface , +MTxD , +MTxEn , +MCRS , +//Phy interface , +Tx_er , +Tx_en , +Txd , +Rx_er , +Rx_dv , +Rxd , +Crs , +Col , +//host interface , +Line_loop_en , +Speed + +); +input Reset ; +input MAC_rx_clk ; +input MAC_tx_clk ; + //Rx interface +output MCrs_dv ; +output [7:0] MRxD ; +output MRxErr ; + //Tx interface +input [7:0] MTxD ; +input MTxEn ; +output MCRS ; + //Phy interface +output Tx_er ; +output Tx_en ; +output [7:0] Txd ; +input Rx_er ; +input Rx_dv ; +input [7:0] Rxd ; +input Crs ; +input Col ; + //host interface +input Line_loop_en ; +input [2:0] Speed ; +//****************************************************************************** +//internal signals +//****************************************************************************** +reg [7:0] MTxD_dl1 ; +reg MTxEn_dl1 ; +reg Tx_odd_data_ptr ; +reg Rx_odd_data_ptr ; +reg Tx_en ; +reg [7:0] Txd ; +reg MCrs_dv ; +reg [7:0] MRxD ; +reg Rx_er_dl1 ; +reg Rx_dv_dl1 ; +reg Rx_dv_dl2 ; +reg [7:0] Rxd_dl1 ; +reg [7:0] Rxd_dl2 ; +reg Crs_dl1 ; +reg Col_dl1 ; +//****************************************************************************** +//Tx control +//****************************************************************************** +//reg boundery signals +always @ (posedge MAC_tx_clk or posedge Reset) + if (Reset) + begin + MTxD_dl1 <=0; + MTxEn_dl1 <=0; + end + else + begin + MTxD_dl1 <=MTxD ; + MTxEn_dl1 <=MTxEn ; + end + +always @ (posedge MAC_tx_clk or posedge Reset) + if (Reset) + Tx_odd_data_ptr <=0; + else if (!MTxD_dl1) + Tx_odd_data_ptr <=0; + else + Tx_odd_data_ptr <=!Tx_odd_data_ptr; + + +always @ (posedge MAC_tx_clk or posedge Reset) + if (Reset) + Txd <=0; + else if(Speed[2]&&MTxEn_dl1) + Txd <=MTxD_dl1; + else if(MTxEn_dl1&&!Tx_odd_data_ptr) + Txd <={4'b0,MTxD_dl1[3:0]}; + else if(MTxEn_dl1&&Tx_odd_data_ptr) + Txd <={4'b0,MTxD_dl1[7:4]}; + else + Txd <=0; + +always @ (posedge MAC_tx_clk or posedge Reset) + if (Reset) + Tx_en <=0; + else if(MTxEn_dl1) + Tx_en <=1; + else + Tx_en <=0; + +assign Tx_er=0; + +//****************************************************************************** +//Rx control +//****************************************************************************** +//reg boundery signals +always @ (posedge MAC_rx_clk or posedge Reset) + if (Reset) + begin + Rx_er_dl1 <=0; + Rx_dv_dl1 <=0; + Rx_dv_dl2 <=0 ; + Rxd_dl1 <=0; + Rxd_dl2 <=0; + Crs_dl1 <=0; + Col_dl1 <=0; + end + else + begin + Rx_er_dl1 <=Rx_er ; + Rx_dv_dl1 <=Rx_dv ; + Rx_dv_dl2 <=Rx_dv_dl1 ; + Rxd_dl1 <=Rxd ; + Rxd_dl2 <=Rxd_dl1 ; + Crs_dl1 <=Crs ; + Col_dl1 <=Col ; + end + +assign MRxErr =Rx_er_dl1 ; +assign MCRS =Crs_dl1 ; + +always @ (posedge MAC_rx_clk or posedge Reset) + if (Reset) + MCrs_dv <=0; + else if(Line_loop_en) + MCrs_dv <=Tx_en; + else if(Rx_dv_dl2) + MCrs_dv <=1; + else + MCrs_dv <=0; + +always @ (posedge MAC_rx_clk or posedge Reset) + if (Reset) + Rx_odd_data_ptr <=0; + else if (!Rx_dv_dl1) + Rx_odd_data_ptr <=0; + else + Rx_odd_data_ptr <=!Rx_odd_data_ptr; + +always @ (posedge MAC_rx_clk or posedge Reset) + if (Reset) + MRxD <=0; + else if(Line_loop_en) + MRxD <=Txd; + else if(Speed[2]&&Rx_dv_dl2) + MRxD <=Rxd_dl2; + else if(Rx_dv_dl1&&Rx_odd_data_ptr) + MRxD <={Rxd_dl1[3:0],Rxd_dl2[3:0]}; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v new file mode 100644 index 00000000..c7821a97 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v @@ -0,0 +1,180 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON.v,v $ +// Revision 1.4 2006/06/25 04:58:56 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:53 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:16 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + +module RMON + (Clk , + Reset , + //Tx_RMON + Tx_pkt_type_rmon , + Tx_pkt_length_rmon , + Tx_apply_rmon , + Tx_pkt_err_type_rmon, + //Tx_RMON + Rx_pkt_type_rmon , + Rx_pkt_length_rmon , + Rx_apply_rmon , + Rx_pkt_err_type_rmon, + //CPU + CPU_rd_addr , + CPU_rd_apply , + CPU_rd_grant , + CPU_rd_dout + ); + + input Clk ; + input Reset ; + //Tx_RMON + input [2:0] Tx_pkt_type_rmon ; + input [15:0] Tx_pkt_length_rmon ; + input Tx_apply_rmon ; + input [2:0] Tx_pkt_err_type_rmon; + //Tx_RMON + input [2:0] Rx_pkt_type_rmon ; + input [15:0] Rx_pkt_length_rmon ; + input Rx_apply_rmon ; + input [2:0] Rx_pkt_err_type_rmon; + //CPU + input [5:0] CPU_rd_addr ; + input CPU_rd_apply ; + output CPU_rd_grant ; + output [31:0] CPU_rd_dout ; + + // ****************************************************************************** + //interface signals + // ****************************************************************************** + wire Reg_apply_0 ; + wire [4:0] Reg_addr_0 ; + wire [15:0] Reg_data_0 ; + wire Reg_next_0 ; + wire Reg_apply_1 ; + wire [4:0] Reg_addr_1 ; + wire [15:0] Reg_data_1 ; + wire Reg_next_1 ; + wire [5:0] Addra ; + wire [31:0] Dina ; + wire [31:0] Douta ; + wire Wea ; + + // ****************************************************************************** + + RMON_addr_gen + U_0_Rx_RMON_addr_gen(.Clk (Clk ), + .Reset (Reset ), + //RMON (//RMON ), + // .Pkt_type_rmon (Rx_pkt_type_rmon ), + .Pkt_length_rmon (Rx_pkt_length_rmon ), + .Apply_rmon (Rx_apply_rmon ), + .Pkt_err_type_rmon (Rx_pkt_err_type_rmon ), + //Rmon_ctrl (//Rmon_ctrl ), + .Reg_apply (Reg_apply_0 ), + .Reg_addr (Reg_addr_0 ), + .Reg_data (Reg_data_0 ), + .Reg_next (Reg_next_0 ), + //CPU (//CPU ), + .Reg_drop_apply ( )); + + RMON_addr_gen + U_0_Tx_RMON_addr_gen(.Clk (Clk ), + .Reset (Reset ), + //RMON (//RMON ), + .Pkt_type_rmon (Tx_pkt_type_rmon ), + .Pkt_length_rmon (Tx_pkt_length_rmon ), + .Apply_rmon (Tx_apply_rmon ), + .Pkt_err_type_rmon (Tx_pkt_err_type_rmon ), + //Rmon_ctrl (//Rmon_ctrl ), + .Reg_apply (Reg_apply_1 ), + .Reg_addr (Reg_addr_1 ), + .Reg_data (Reg_data_1 ), + .Reg_next (Reg_next_1 ), + //CPU (//CPU ), + .Reg_drop_apply ( )); + + RMON_ctrl + U_RMON_ctrl(.Clk (Clk ), + .Reset (Reset ), + //RMON_CTRL (//RMON_CTRL ), + .Reg_apply_0 (Reg_apply_0 ), + .Reg_addr_0 (Reg_addr_0 ), + .Reg_data_0 (Reg_data_0 ), + .Reg_next_0 (Reg_next_0 ), + .Reg_apply_1 (Reg_apply_1 ), + .Reg_addr_1 (Reg_addr_1 ), + .Reg_data_1 (Reg_data_1 ), + .Reg_next_1 (Reg_next_1 ), + //dual-port ram (//dual-port ram ), + .Addra (Addra ), + .Dina (Dina ), + .Douta (Douta ), + .Wea (Wea ), + //CPU (//CPU ), + .CPU_rd_addr (CPU_rd_addr ), + .CPU_rd_apply (CPU_rd_apply ), + .CPU_rd_grant (CPU_rd_grant ), + .CPU_rd_dout (CPU_rd_dout ) + ); + + RMON_dpram + U_Rx_RMON_dpram(.Reset (Reset ), + .Clk (Clk ), + //port-a for Rmon (//port-a for Rmon ), + .Addra (Addra ), + .Dina (Dina ), + .Douta ( ), + .Wea (Wea ), + //port-b for CPU (//port-b for CPU ), + .Addrb (Addra ), + .Doutb (Douta )); + +endmodule // RMON diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries new file mode 100644 index 00000000..5df4f14a --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries @@ -0,0 +1,4 @@ +/RMON_addr_gen.v/1.4/Sun Jun 25 04:58:57 2006// +/RMON_ctrl.v/1.4/Sun Jun 25 04:58:57 2006// +/RMON_dpram.v/1.2/Thu Jan 19 14:07:55 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository new file mode 100644 index 00000000..788bf5c9 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/rtl/verilog/RMON diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v new file mode 100644 index 00000000..0a3b00cf --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v @@ -0,0 +1,295 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON_addr_gen.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON_addr_gen.v,v $ +// Revision 1.4 2006/06/25 04:58:57 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:55 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +module RMON_addr_gen( +Clk , +Reset , +//RMON +Pkt_type_rmon , +Pkt_length_rmon , +Apply_rmon ,//pluse signal looks like eop +Pkt_err_type_rmon , +// +Reg_apply , +Reg_addr , +Reg_data , +Reg_next , +//CPU +Reg_drop_apply +); +input Clk ; +input Reset ; + //RMON +input [2:0] Pkt_type_rmon ; +input [15:0] Pkt_length_rmon ; +input Apply_rmon ;//pluse signal looks like eop +input [2:0] Pkt_err_type_rmon ; + //RMON_ctrl +output Reg_apply ; +output [4:0] Reg_addr ; +output [15:0] Reg_data ; +input Reg_next ; + //CPU +output Reg_drop_apply ; + +//****************************************************************************** +//internal signals +//****************************************************************************** +parameter StateIdle =4'd0; +parameter StatePktLength =4'd1; +parameter StatePktNumber =4'd2; +parameter StatePktType =4'd3; +parameter StatePktRange =4'd4; + +reg [3:0] CurrentState /* synthesys syn_keep=1 */; +reg [3:0] NextState; + +reg [2:0] PktTypeReg ; +reg [15:0] PktLengthReg ; +reg [2:0] PktErrTypeReg ; + +reg Reg_apply ; +reg [4:0] Reg_addr ; +reg [15:0] Reg_data ; +reg Reg_drop_apply ; +//****************************************************************************** +//register boundery signals + +//****************************************************************************** +reg Apply_rmon_dl1; +reg Apply_rmon_dl2; +reg Apply_rmon_pulse; +reg [2:0] Pkt_type_rmon_dl1 ; +reg [15:0] Pkt_length_rmon_dl1 ; +reg [2:0] Pkt_err_type_rmon_dl1 ; + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + Pkt_type_rmon_dl1 <=0; + Pkt_length_rmon_dl1 <=0; + Pkt_err_type_rmon_dl1 <=0; + end + else + begin + Pkt_type_rmon_dl1 <=Pkt_type_rmon ; + Pkt_length_rmon_dl1 <=Pkt_length_rmon ; + Pkt_err_type_rmon_dl1 <=Pkt_err_type_rmon ; + end + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + Apply_rmon_dl1 <=0; + Apply_rmon_dl2 <=0; + end + else + begin + Apply_rmon_dl1 <=Apply_rmon; + Apply_rmon_dl2 <=Apply_rmon_dl1; + end + +always @(Apply_rmon_dl1 or Apply_rmon_dl2) + if (Apply_rmon_dl1&!Apply_rmon_dl2) + Apply_rmon_pulse =1; + else + Apply_rmon_pulse =0; + + + +always @(posedge Clk or posedge Reset) + if (Reset) + begin + PktTypeReg <=0; + PktLengthReg <=0; + PktErrTypeReg <=0; + end + else if (Apply_rmon_pulse&&CurrentState==StateIdle) + begin + PktTypeReg <=Pkt_type_rmon_dl1 ; + PktLengthReg <=Pkt_length_rmon_dl1 ; + PktErrTypeReg <=Pkt_err_type_rmon_dl1 ; + end + + +//****************************************************************************** +//State Machine +//****************************************************************************** +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState <=StateIdle; + else + CurrentState <=NextState; + +always @(CurrentState or Apply_rmon_pulse or Reg_next) + case (CurrentState) + StateIdle: + if (Apply_rmon_pulse) + NextState =StatePktLength; + else + NextState =StateIdle; + StatePktLength: + if (Reg_next) + NextState =StatePktNumber; + else + NextState =CurrentState; + StatePktNumber: + if (Reg_next) + NextState =StatePktType; + else + NextState =CurrentState; + StatePktType: + if (Reg_next) + NextState =StatePktRange; + else + NextState =CurrentState; + StatePktRange: + if (Reg_next) + NextState =StateIdle; + else + NextState =CurrentState; + default: + NextState =StateIdle; + endcase + +//****************************************************************************** +//gen output signals +//****************************************************************************** +//Reg_apply +always @ (CurrentState) + if (CurrentState==StatePktLength||CurrentState==StatePktNumber|| + CurrentState==StatePktType||CurrentState==StatePktRange) + Reg_apply =1; + else + Reg_apply =0; + +//Reg_addr +always @ (posedge Clk or posedge Reset) + if (Reset) + Reg_addr <=0; + else case (CurrentState) + StatePktLength: + Reg_addr <=5'd00; + StatePktNumber: + Reg_addr <=5'd01; + StatePktType: + case(PktTypeReg) + 3'b011: + Reg_addr <=5'd02; //broadcast + 3'b001: + Reg_addr <=5'd03; //multicast + 3'b100: + Reg_addr <=5'd16; //pause frame + default: + Reg_addr <=5'd04; //unicast + endcase + StatePktRange: + case(PktErrTypeReg) + 3'b001: + Reg_addr <=5'd05; + 3'b010: + Reg_addr <=5'd06; + 3'b011: + Reg_addr <=5'd07; + 3'b100: + if (PktLengthReg<64) + Reg_addr <=5'd08; + else if (PktLengthReg==64) + Reg_addr <=5'd09; + else if (PktLengthReg<128) + Reg_addr <=5'd10; + else if (PktLengthReg<256) + Reg_addr <=5'd11; + else if (PktLengthReg<512) + Reg_addr <=5'd12; + else if (PktLengthReg<1024) + Reg_addr <=5'd13; + else if (PktLengthReg<1519) + Reg_addr <=5'd14; + else + Reg_addr <=5'd15; + default: + Reg_addr <=5'd05; + endcase + default: + Reg_addr <=5'd05; + endcase + +//Reg_data +always @ (CurrentState or PktLengthReg) + case (CurrentState) + StatePktLength: + Reg_data =PktLengthReg; + StatePktNumber: + Reg_data =1; + StatePktType: + Reg_data =1; + StatePktRange: + Reg_data =1; + default: + Reg_data =0; + endcase + +//Reg_drop_apply +always @ (posedge Clk or posedge Reset) + if (Reset) + Reg_drop_apply <=0; + else if (CurrentState!=StateIdle&&Apply_rmon_pulse) + Reg_drop_apply <=1; + else + Reg_drop_apply <=0; + + +endmodule + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v new file mode 100644 index 00000000..02ecab3f --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v @@ -0,0 +1,290 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// RMON_ctrl.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: RMON_ctrl.v,v $ +// Revision 1.4 2006/06/25 04:58:57 maverickist +// no message +// +// Revision 1.3 2006/01/19 14:07:55 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:19 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +module RMON_ctrl ( +Clk , +Reset , +//RMON_CTRL +Reg_apply_0 , +Reg_addr_0 , +Reg_data_0 , +Reg_next_0 , +Reg_apply_1 , +Reg_addr_1 , +Reg_data_1 , +Reg_next_1 , +//dual-port ram +Addra , +Dina , +Douta , +Wea , +//CPU +CPU_rd_addr , +CPU_rd_apply , +CPU_rd_grant , +CPU_rd_dout + +); +input Clk ; +input Reset ; + //RMON_CTRL +input Reg_apply_0 ; +input [4:0] Reg_addr_0 ; +input [15:0] Reg_data_0 ; +output Reg_next_0 ; +input Reg_apply_1 ; +input [4:0] Reg_addr_1 ; +input [15:0] Reg_data_1 ; +output Reg_next_1 ; + //dual-port ram + //port-a for Rmon +output [5:0] Addra ; +output [31:0] Dina ; +input [31:0] Douta ; +output Wea ; + //CPU +input [5:0] CPU_rd_addr ; +input CPU_rd_apply ; +output CPU_rd_grant ; +output [31:0] CPU_rd_dout ; + + + + +//****************************************************************************** +//internal signals +//****************************************************************************** + +parameter StateCPU =4'd00; +parameter StateMAC0 =4'd01; +parameter StateMAC1 =4'd02; + + +reg [3:0] CurrentState /* synthesys syn_keep=1 */; +reg [3:0] NextState; +reg [3:0] CurrentState_reg; + +reg [4:0] StepCounter; +reg [31:0] DoutaReg; +reg [5:0] Addra ; +reg [31:0] Dina; +reg Reg_next_0 ; +reg Reg_next_1 ; +reg Write; +reg Read; +reg Pipeline; +reg [31:0] CPU_rd_dout ; +reg CPU_rd_apply_reg ; +//****************************************************************************** +//State Machine +//****************************************************************************** + +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState <=StateMAC0; + else + CurrentState <=NextState; + +always @(posedge Clk or posedge Reset) + if (Reset) + CurrentState_reg <=StateMAC0; + else if(CurrentState!=StateCPU) + CurrentState_reg <=CurrentState; + +always @(CurrentState or CPU_rd_apply_reg or Reg_apply_0 or CurrentState_reg + or Reg_apply_1 + or StepCounter + ) + case(CurrentState) + StateMAC0: + if(!Reg_apply_0&&CPU_rd_apply_reg) + NextState =StateCPU; + else if(!Reg_apply_0) + NextState =StateMAC1; + else + NextState =CurrentState; + StateMAC1: + if(!Reg_apply_1&&CPU_rd_apply_reg) + NextState =StateCPU; + else if(!Reg_apply_1) + NextState =StateMAC0; + else + NextState =CurrentState; + StateCPU: + if (StepCounter==3) + case (CurrentState_reg) + StateMAC0 :NextState =StateMAC0 ; + StateMAC1 :NextState =StateMAC1 ; + default :NextState =StateMAC0; + endcase + else + NextState =CurrentState; + + default: + NextState =StateMAC0; + endcase + + + +always @(posedge Clk or posedge Reset) + if (Reset) + StepCounter <=0; + else if(NextState!=CurrentState) + StepCounter <=0; + else if (StepCounter!=4'hf) + StepCounter <=StepCounter + 1; + +//****************************************************************************** +//temp signals +//****************************************************************************** +always @(StepCounter) + if( StepCounter==1||StepCounter==4|| + StepCounter==7||StepCounter==10) + Read =1; + else + Read =0; + +always @(StepCounter or CurrentState) + if( StepCounter==2||StepCounter==5|| + StepCounter==8||StepCounter==11) + Pipeline =1; + else + Pipeline =0; + +always @(StepCounter or CurrentState) + if( StepCounter==3||StepCounter==6|| + StepCounter==9||StepCounter==12) + Write =1; + else + Write =0; + +always @(posedge Clk or posedge Reset) + if (Reset) + DoutaReg <=0; + else if (Read) + DoutaReg <=Douta; + + +//****************************************************************************** +//gen output signals +//****************************************************************************** +//Addra +always @(*) + case(CurrentState) + StateMAC0 : Addra={1'd0 ,Reg_addr_0 }; + StateMAC1 : Addra={1'd1 ,Reg_addr_1 }; + StateCPU: Addra=CPU_rd_addr; + default: Addra=0; + endcase + +//Dina +always @(posedge Clk or posedge Reset) + if (Reset) + Dina <=0; + else + case(CurrentState) + StateMAC0 : Dina<=Douta+Reg_data_0 ; + StateMAC1 : Dina<=Douta+Reg_data_1 ; + StateCPU: Dina<=0; + default: Dina<=0; + endcase + +assign Wea =Write; +//Reg_next +always @(CurrentState or Pipeline) + if(CurrentState==StateMAC0) + Reg_next_0 =Pipeline; + else + Reg_next_0 =0; + +always @(CurrentState or Pipeline) + if(CurrentState==StateMAC1) + Reg_next_1 =Pipeline; + else + Reg_next_1 =0; + + +//CPU_rd_grant +reg CPU_rd_apply_dl1; +reg CPU_rd_apply_dl2; +//rising edge +always @ (posedge Clk or posedge Reset) + if (Reset) + begin + CPU_rd_apply_dl1 <=0; + CPU_rd_apply_dl2 <=0; + end + else + begin + CPU_rd_apply_dl1 <=CPU_rd_apply; + CPU_rd_apply_dl2 <=CPU_rd_apply_dl1; + end + +always @ (posedge Clk or posedge Reset) + if (Reset) + CPU_rd_apply_reg <=0; + else if (CPU_rd_apply_dl1&!CPU_rd_apply_dl2) + CPU_rd_apply_reg <=1; + else if (CurrentState==StateCPU&&Write) + CPU_rd_apply_reg <=0; + +assign CPU_rd_grant =!CPU_rd_apply_reg; + +always @ (posedge Clk or posedge Reset) + if (Reset) + CPU_rd_dout <=0; + else if (Pipeline&&CurrentState==StateCPU) + CPU_rd_dout <=Douta; + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v new file mode 100644 index 00000000..4b58512c --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v @@ -0,0 +1,46 @@ +module RMON_dpram + (Reset , + Clk , + //port-a for Rmon + Addra, + Dina, + Douta, + Wea, + //port-b for CPU + Addrb, + Doutb + ); + + input Reset ; + input Clk ; + //port-a for Rmon + input [5:0] Addra; + input [31:0] Dina; + output [31:0] Douta; + input Wea; + //port-b for CPU + input [5:0] Addrb; + output [31:0] Doutb; + // ****************************************************************************** + //internal signals + // ****************************************************************************** + + wire Clka; + wire Clkb; + assign Clka=Clk; + assign #2 Clkb=Clk; + // ****************************************************************************** + + duram #(32,6) + U_duram(.data_a (Dina ), + .data_b (32'b0 ), + .wren_a (Wea ), + .wren_b (1'b0 ), + .address_a (Addra ), + .address_b (Addrb ), + .clock_a (Clka ), + .clock_b (Clkb ), + .q_a (Douta ), + .q_b (Doutb )); + +endmodule // RMON_dpram diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v new file mode 100644 index 00000000..d18d719f --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v @@ -0,0 +1,68 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CLK_SWITCH.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_SWITCH.v,v $ +// Revision 1.3 2006/01/19 14:07:56 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// +module CLK_SWITCH ( +input IN_0, +input IN_1, +input SW , +output OUT + +); + +assign OUT=SW?IN_1:IN_0; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries new file mode 100644 index 00000000..25cf148b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries @@ -0,0 +1,5 @@ +/CLK_SWITCH.v/1.3/Thu Jan 19 14:07:56 2006// +D/altera//// +D/xilinx//// +/duram.v/1.2/Wed May 2 02:54:45 2007// +/CLK_DIV2.v/1.3/Wed May 2 06:49:15 2007// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository new file mode 100644 index 00000000..3c7177d2 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/rtl/verilog/TECH diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v new file mode 100644 index 00000000..ce94e2de --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v @@ -0,0 +1,74 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CLK_DIV2.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_DIV2.v,v $ +// Revision 1.1 2006/10/22 16:12:24 maverickist +// no message +// +// Revision 1.1 2006/06/22 09:01:41 Administrator +// no message +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// + +module CLK_DIV2 ( +input Reset, +input IN, +output reg OUT +); + +always @ (posedge IN or posedge Reset) + if (Reset) + OUT <=0; + else + OUT <=!OUT; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v new file mode 100644 index 00000000..4e1a5d35 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v @@ -0,0 +1,71 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CLK_SWITCH.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_SWITCH.v,v $ +// Revision 1.1 2006/10/22 16:12:24 maverickist +// no message +// +// Revision 1.1 2006/06/22 09:01:41 Administrator +// no message +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// +module CLK_SWITCH ( +input IN_0, +input IN_1, +input SW , +output OUT + +); + +assign OUT=SW?IN_1:IN_0; + +endmodule \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries new file mode 100644 index 00000000..426ef42e --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries @@ -0,0 +1,4 @@ +/CLK_DIV2.v/1.1/Sun Oct 22 16:12:24 2006// +/CLK_SWITCH.v/1.1/Sun Oct 22 16:12:24 2006// +/duram.v/1.1/Sun Oct 22 16:12:24 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository new file mode 100644 index 00000000..25356ea5 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/rtl/verilog/TECH/altera diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v new file mode 100644 index 00000000..b653bedd --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v @@ -0,0 +1,87 @@ +module duram( +data_a, +data_b, +wren_a, +wren_b, +address_a, +address_b, +clock_a, +clock_b, +q_a, +q_b); //synthesis syn_black_box + +parameter DATA_WIDTH = 32; +parameter ADDR_WIDTH = 5; +parameter BLK_RAM_TYPE = "AUTO"; +parameter DURAM_MODE = "BIDIR_DUAL_PORT"; +parameter ADDR_DEPTH = 2**ADDR_WIDTH; + + + +input [DATA_WIDTH -1:0] data_a; +input wren_a; +input [ADDR_WIDTH -1:0] address_a; +input clock_a; +output [DATA_WIDTH -1:0] q_a; +input [DATA_WIDTH -1:0] data_b; +input wren_b; +input [ADDR_WIDTH -1:0] address_b; +input clock_b; +output [DATA_WIDTH -1:0] q_b; + + + +altsyncram U_altsyncram ( +.wren_a (wren_a), +.wren_b (wren_b), +.data_a (data_a), +.data_b (data_b), +.address_a (address_a), +.address_b (address_b), +.clock0 (clock_a), +.clock1 (clock_b), +.q_a (q_a), +.q_b (q_b), +// synopsys translate_off +.aclr0 (), +.aclr1 (), +.addressstall_a (), +.addressstall_b (), +.byteena_a (), +.byteena_b (), +.clocken0 (), +.clocken1 (), +.rden_b () +// synopsys translate_on +); + defparam + U_altsyncram.intended_device_family = "Stratix", + U_altsyncram.ram_block_type = BLK_RAM_TYPE, + U_altsyncram.operation_mode = DURAM_MODE, + U_altsyncram.width_a = DATA_WIDTH, + U_altsyncram.widthad_a = ADDR_WIDTH, +// U_altsyncram.numwords_a = 256, + U_altsyncram.width_b = DATA_WIDTH, + U_altsyncram.widthad_b = ADDR_WIDTH, +// U_altsyncram.numwords_b = 256, + U_altsyncram.lpm_type = "altsyncram", + U_altsyncram.width_byteena_a = 1, + U_altsyncram.width_byteena_b = 1, + U_altsyncram.outdata_reg_a = "UNREGISTERED", + U_altsyncram.outdata_aclr_a = "NONE", + U_altsyncram.outdata_reg_b = "UNREGISTERED", + U_altsyncram.indata_aclr_a = "NONE", + U_altsyncram.wrcontrol_aclr_a = "NONE", + U_altsyncram.address_aclr_a = "NONE", + U_altsyncram.indata_reg_b = "CLOCK1", + U_altsyncram.address_reg_b = "CLOCK1", + U_altsyncram.wrcontrol_wraddress_reg_b = "CLOCK1", + U_altsyncram.indata_aclr_b = "NONE", + U_altsyncram.wrcontrol_aclr_b = "NONE", + U_altsyncram.address_aclr_b = "NONE", + U_altsyncram.outdata_aclr_b = "NONE", + U_altsyncram.power_up_uninitialized = "FALSE"; + +endmodule + + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v new file mode 100644 index 00000000..00b2712a --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v @@ -0,0 +1,71 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// CLK_DIV2.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects.cgi/web/ethernet_tri_mode///// +//// //// +//// Author(s): //// +//// - Jon Gao (gaojon@yahoo.com) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: CLK_DIV2.v,v $ +// Revision 1.3 2006/01/19 14:07:56 maverickist +// verification is complete. +// +// Revision 1.2 2005/12/16 06:44:20 Administrator +// replaced tab with space. +// passed 9.6k length frame test. +// +// Revision 1.1.1.1 2005/12/13 01:51:44 Administrator +// no message +// + + +////////////////////////////////////////////////////////////////////// +// This file can only used for simulation . +// You need to replace it with your own element according to technology +////////////////////////////////////////////////////////////////////// + +module clkdiv2 ( +input Reset, +input IN, +output reg OUT +); + +always @ (posedge IN or posedge Reset) + if (Reset) + OUT <=0; + else + OUT <=!OUT; + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v new file mode 100644 index 00000000..f831932b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v @@ -0,0 +1,103 @@ + + +module duram + (data_a, + data_b, + wren_a, + wren_b, + address_a, + address_b, + clock_a, + clock_b, + q_a, + q_b); + + parameter DATA_WIDTH = 32; + parameter ADDR_WIDTH = 5; + parameter ADDR_DEPTH = 1<>1) -1; // We are counting half of period + + + // Counter counts half period + always @ (posedge Clk or posedge Reset) + begin + if(Reset) + Counter[7:0] <= #Tp 8'h1; + else + begin + if(CountEq0) + begin + Counter[7:0] <= #Tp CounterPreset[7:0]; + end + else + Counter[7:0] <= #Tp Counter - 8'h1; + end + end + + + // Mdc is asserted every other half period + always @ (posedge Clk or posedge Reset) + begin + if(Reset) + Mdc <= #Tp 1'b0; + else + begin + if(CountEq0) + Mdc <= #Tp ~Mdc; + end + end + + + assign CountEq0 = Counter == 8'h0; + assign MdcEn = CountEq0 & ~Mdc; + assign MdcEn_n = CountEq0 & Mdc; + +endmodule // eth_clockgen + + + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v new file mode 100644 index 00000000..9c45b088 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v @@ -0,0 +1,162 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_outputcontrol.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_outputcontrol.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:46 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.4 2002/07/09 20:11:59 mohor +// Comment removed. +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:56 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +`timescale 1ns/10ps + +module eth_outputcontrol(Clk, Reset, InProgress, ShiftedBit, BitCounter, WriteOp, NoPre, MdcEn_n, Mdo, MdoEn); + +parameter Tp = 1; + +input Clk; // Host Clock +input Reset; // General Reset +input WriteOp; // Write Operation Latch (When asserted, write operation is in progress) +input NoPre; // No Preamble (no 32-bit preamble) +input InProgress; // Operation in progress +input ShiftedBit; // This bit is output of the shift register and is connected to the Mdo signal +input [6:0] BitCounter; // Bit Counter +input MdcEn_n; // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc falls. + +output Mdo; // MII Management Data Output +output MdoEn; // MII Management Data Output Enable + +wire SerialEn; + +reg MdoEn_2d; +reg MdoEn_d; +reg MdoEn; + +reg Mdo_2d; +reg Mdo_d; +reg Mdo; // MII Management Data Output + + + +// Generation of the Serial Enable signal (enables the serialization of the data) +assign SerialEn = WriteOp & InProgress & ( BitCounter>31 | ( ( BitCounter == 0 ) & NoPre ) ) + | ~WriteOp & InProgress & (( BitCounter>31 & BitCounter<46 ) | ( ( BitCounter == 0 ) & NoPre )); + + +// Generation of the MdoEn signal +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + MdoEn_2d <= #Tp 1'b0; + MdoEn_d <= #Tp 1'b0; + MdoEn <= #Tp 1'b0; + end + else + begin + if(MdcEn_n) + begin + MdoEn_2d <= #Tp SerialEn | InProgress & BitCounter<32; + MdoEn_d <= #Tp MdoEn_2d; + MdoEn <= #Tp MdoEn_d; + end + end +end + + +// Generation of the Mdo signal. +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + Mdo_2d <= #Tp 1'b0; + Mdo_d <= #Tp 1'b0; + Mdo <= #Tp 1'b0; + end + else + begin + if(MdcEn_n) + begin + Mdo_2d <= #Tp ~SerialEn & BitCounter<32; + Mdo_d <= #Tp ShiftedBit | Mdo_2d; + Mdo <= #Tp Mdo_d; + end + end +end + + + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v new file mode 100644 index 00000000..a11ead1d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v @@ -0,0 +1,164 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// eth_shiftreg.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: eth_shiftreg.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.2 2005/04/27 15:58:47 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.5 2002/08/14 18:16:59 mohor +// LinkFail signal was not latching appropriate bit. +// +// Revision 1.4 2002/03/02 21:06:01 mohor +// LinkFail signal was not latching appropriate bit. +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 08:43:51 mohor +// eth_timescale.v changed to timescale.v This is done because of the +// simulation of the few cores in a one joined project. +// +// Revision 1.1 2001/08/06 14:44:29 mohor +// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex). +// Include files fixed to contain no path. +// File names and module names changed ta have a eth_ prologue in the name. +// File eth_timescale.v is used to define timescale +// All pin names on the top module are changed to contain _I, _O or _OE at the end. +// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O +// and Mdo_OE. The bidirectional signal must be created on the top level. This +// is done due to the ASIC tools. +// +// Revision 1.1 2001/07/30 21:23:42 mohor +// Directory structure changed. Files checked and joind together. +// +// Revision 1.3 2001/06/01 22:28:56 mohor +// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated. +// +// + +`timescale 1ns/10ps + + +module eth_shiftreg(Clk, Reset, MdcEn_n, Mdi, Fiad, Rgad, CtrlData, WriteOp, ByteSelect, + LatchByte, ShiftedBit, Prsd, LinkFail); + + +parameter Tp=1; + +input Clk; // Input clock (Host clock) +input Reset; // Reset signal +input MdcEn_n; // Enable signal is asserted for one Clk period before Mdc falls. +input Mdi; // MII input data +input [4:0] Fiad; // PHY address +input [4:0] Rgad; // Register address (within the selected PHY) +input [15:0]CtrlData; // Control data (data to be written to the PHY) +input WriteOp; // The current operation is a PHY register write operation +input [3:0] ByteSelect; // Byte select +input [1:0] LatchByte; // Byte select for latching (read operation) + +output ShiftedBit; // Bit shifted out of the shift register +output[15:0]Prsd; // Read Status Data (data read from the PHY) +output LinkFail; // Link Integrity Signal + +reg [7:0] ShiftReg; // Shift register for shifting the data in and out +reg [15:0]Prsd; +reg LinkFail; + + + + +// ShiftReg[7:0] :: Shift Register Data +always @ (posedge Clk or posedge Reset) +begin + if(Reset) + begin + ShiftReg[7:0] <= #Tp 8'h0; + Prsd[15:0] <= #Tp 16'h0; + LinkFail <= #Tp 1'b0; + end + else + begin + if(MdcEn_n) + begin + if(|ByteSelect) + begin + case (ByteSelect[3:0]) + 4'h1 : ShiftReg[7:0] <= #Tp {2'b01, ~WriteOp, WriteOp, Fiad[4:1]}; + 4'h2 : ShiftReg[7:0] <= #Tp {Fiad[0], Rgad[4:0], 2'b10}; + 4'h4 : ShiftReg[7:0] <= #Tp CtrlData[15:8]; + 4'h8 : ShiftReg[7:0] <= #Tp CtrlData[7:0]; + default : ShiftReg[7:0] <= #Tp 8'h0; + endcase + end + else + begin + ShiftReg[7:0] <= #Tp {ShiftReg[6:0], Mdi}; + if(LatchByte[0]) + begin + Prsd[7:0] <= #Tp {ShiftReg[6:0], Mdi}; + if(Rgad == 5'h01) + LinkFail <= #Tp ~ShiftReg[1]; // this is bit [2], because it is not shifted yet + end + else + begin + if(LatchByte[1]) + Prsd[15:8] <= #Tp {ShiftReg[6:0], Mdi}; + end + end + end + end +end + + +assign ShiftedBit = ShiftReg[7]; + + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v new file mode 100644 index 00000000..4517d897 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v @@ -0,0 +1,62 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// timescale.v //// +//// //// +//// This file is part of the Ethernet IP core project //// +//// http://www.opencores.org/projects/ethmac/ //// +//// //// +//// Author(s): //// +//// - Igor Mohor (igorM@opencores.org) //// +//// //// +//// All additional information is avaliable in the Readme.txt //// +//// file. //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: timescale.v,v $ +// Revision 1.2 2005/12/13 12:54:49 maverickist +// first simulation passed +// +// Revision 1.1.1.1 2005/12/13 01:51:45 Administrator +// no message +// +// Revision 1.1.1.1 2004/12/15 06:38:54 Administrator +// no message +// +// Revision 1.3 2002/01/23 10:28:16 mohor +// Link in the header changed. +// +// Revision 1.2 2001/10/19 11:36:31 mohor +// Log file added. +// +// +// + +`timescale 1ns / 1ns diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v new file mode 100644 index 00000000..cbb67a98 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v @@ -0,0 +1,179 @@ +module reg_int ( +input Reset , +input Clk_reg , +input CSB , +input WRB , +input [15:0] CD_in , +output reg [15:0] CD_out , +input [7:0] CA , + //Tx host interface +output [4:0] Tx_Hwmark , +output [4:0] Tx_Lwmark , +output pause_frame_send_en , +output [15:0] pause_quanta_set , +output MAC_tx_add_en , +output FullDuplex , +output [3:0] MaxRetry , +output [5:0] IFGset , +output [7:0] MAC_tx_add_prom_data , +output [2:0] MAC_tx_add_prom_add , +output MAC_tx_add_prom_wr , +output tx_pause_en , +output xoff_cpu , +output xon_cpu , + //Rx host interface +output MAC_rx_add_chk_en , +output [7:0] MAC_rx_add_prom_data , +output [2:0] MAC_rx_add_prom_add , +output MAC_rx_add_prom_wr , +output broadcast_filter_en , +output [15:0] broadcast_bucket_depth , +output [15:0] broadcast_bucket_interval , +output RX_APPEND_CRC , +output [4:0] Rx_Hwmark , +output [4:0] Rx_Lwmark , +output CRC_chk_en , +output [5:0] RX_IFG_SET , +output [15:0] RX_MAX_LENGTH ,// 1518 +output [6:0] RX_MIN_LENGTH ,// 64 + //RMON host interface +output [5:0] CPU_rd_addr , +output CPU_rd_apply , +input CPU_rd_grant , +input [31:0] CPU_rd_dout , + //Phy int host interface +output Line_loop_en , +output [2:0] Speed , + //MII to CPU +output [7:0] Divider ,// Divider for the host clock +output [15:0] CtrlData ,// Control Data (to be written to the PHY reg.) +output [4:0] Rgad ,// Register Address (within the PHY) +output [4:0] Fiad ,// PHY Address +output NoPre ,// No Preamble (no 32-bit preamble) +output WCtrlData ,// Write Control Data operation +output RStat ,// Read Status operation +output ScanStat ,// Scan Status operation +input Busy ,// Busy Signal +input LinkFail ,// Link Integrity Signal +input Nvalid ,// Invalid Status (qualifier for the valid scan result) +input [15:0] Prsd ,// Read Status Data (data read from the PHY) +input WCtrlDataStart ,// This signals resets the WCTRLDATA bit in the MIIM Command register +input RStatStart ,// This signal resets the RSTAT BIT in the MIIM Command register +input UpdateMIIRX_DATAReg // Updates MII RX_DATA register with read data +); + + RegCPUData U_0_000(Tx_Hwmark ,7'd000,16'h0009,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_001(Tx_Lwmark ,7'd001,16'h0008,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_002(pause_frame_send_en ,7'd002,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_003(pause_quanta_set ,7'd003,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_004(IFGset ,7'd004,16'h000c,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_005(FullDuplex ,7'd005,16'h0001,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_006(MaxRetry ,7'd006,16'h0002,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_007(MAC_tx_add_en ,7'd007,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_008(MAC_tx_add_prom_data ,7'd008,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_009(MAC_tx_add_prom_add ,7'd009,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_010(MAC_tx_add_prom_wr ,7'd010,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_011(tx_pause_en ,7'd011,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_012(xoff_cpu ,7'd012,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_013(xon_cpu ,7'd013,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_014(MAC_rx_add_chk_en ,7'd014,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_015(MAC_rx_add_prom_data ,7'd015,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_016(MAC_rx_add_prom_add ,7'd016,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_017(MAC_rx_add_prom_wr ,7'd017,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_018(broadcast_filter_en ,7'd018,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_019(broadcast_bucket_depth ,7'd019,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_020(broadcast_bucket_interval,7'd020,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_021(RX_APPEND_CRC ,7'd021,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_022(Rx_Hwmark ,7'd022,16'h001a,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_023(Rx_Lwmark ,7'd023,16'h0010,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_024(CRC_chk_en ,7'd024,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_025(RX_IFG_SET ,7'd025,16'h000c,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_026(RX_MAX_LENGTH ,7'd026,16'h2710,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_027(RX_MIN_LENGTH ,7'd027,16'h0040,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_028(CPU_rd_addr ,7'd028,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_029(CPU_rd_apply ,7'd029,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); +// RegCPUData U_0_030(CPU_rd_grant ,7'd030,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); +// RegCPUData U_0_031(CPU_rd_dout_l ,7'd031,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); +// RegCPUData U_0_032(CPU_rd_dout_h ,7'd032,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_033(Line_loop_en ,7'd033,16'h0000,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + RegCPUData U_0_034(Speed ,7'd034,16'h0004,Reset,Clk_reg,!WRB,CSB,CA,CD_in); + +always @ (posedge Clk_reg or posedge Reset) + if (Reset) + CD_out <=0; + else if (!CSB&&WRB) + case (CA[7:1]) + 7'd00: CD_out<=Tx_Hwmark ; + 7'd01: CD_out<=Tx_Lwmark ; + 7'd02: CD_out<=pause_frame_send_en ; + 7'd03: CD_out<=pause_quanta_set ; + 7'd04: CD_out<=IFGset ; + 7'd05: CD_out<=FullDuplex ; + 7'd06: CD_out<=MaxRetry ; + 7'd07: CD_out<=MAC_tx_add_en ; + 7'd08: CD_out<=MAC_tx_add_prom_data ; + 7'd09: CD_out<=MAC_tx_add_prom_add ; + 7'd10: CD_out<=MAC_tx_add_prom_wr ; + 7'd11: CD_out<=tx_pause_en ; + 7'd12: CD_out<=xoff_cpu ; + 7'd13: CD_out<=xon_cpu ; + 7'd14: CD_out<=MAC_rx_add_chk_en ; + 7'd15: CD_out<=MAC_rx_add_prom_data ; + 7'd16: CD_out<=MAC_rx_add_prom_add ; + 7'd17: CD_out<=MAC_rx_add_prom_wr ; + 7'd18: CD_out<=broadcast_filter_en ; + 7'd19: CD_out<=broadcast_bucket_depth ; + 7'd20: CD_out<=broadcast_bucket_interval ; + 7'd21: CD_out<=RX_APPEND_CRC ; + 7'd22: CD_out<=Rx_Hwmark ; + 7'd23: CD_out<=Rx_Lwmark ; + 7'd24: CD_out<=CRC_chk_en ; + 7'd25: CD_out<=RX_IFG_SET ; + 7'd26: CD_out<=RX_MAX_LENGTH ; + 7'd27: CD_out<=RX_MIN_LENGTH ; + 7'd28: CD_out<=CPU_rd_addr ; + 7'd29: CD_out<=CPU_rd_apply ; + 7'd30: CD_out<=CPU_rd_grant ; + 7'd31: CD_out<=CPU_rd_dout[15:0] ; + 7'd32: CD_out<=CPU_rd_dout[31:16] ; + 7'd33: CD_out<=Line_loop_en ; + 7'd34: CD_out<=Speed ; + default: CD_out<=0 ; + endcase + else + CD_out<=0 ; + +endmodule + +module RegCPUData( +RegOut, +CA_reg_set, +RegInit, + +Reset, +Clk, +CWR_pulse, +CCSB, +CA_reg, +CD_in_reg +); +output[15:0] RegOut; +input[6:0] CA_reg_set; +input[15:0] RegInit; +// +input Reset; +input Clk; +input CWR_pulse; +input CCSB; +input[7:0] CA_reg; +input[15:0] CD_in_reg; +// +reg[15:0] RegOut; + +always @(posedge Reset or posedge Clk) + if(Reset) + RegOut <=RegInit; + else if (CWR_pulse && !CCSB && CA_reg[7:1] ==CA_reg_set[6:0]) + RegOut <=CD_in_reg; + +endmodule diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries new file mode 100644 index 00000000..9487498a --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries @@ -0,0 +1 @@ +D/rtl_sim//// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository new file mode 100644 index 00000000..cd76b955 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries new file mode 100644 index 00000000..110ed0af --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries @@ -0,0 +1 @@ +D/ncsim_sim//// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository new file mode 100644 index 00000000..930bcb3d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries new file mode 100644 index 00000000..08bef4ec --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries @@ -0,0 +1,6 @@ +D/bin//// +D/data//// +D/log//// +D/out//// +D/run//// +D/script//// diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository new file mode 100644 index 00000000..06f0c568 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries new file mode 100644 index 00000000..75c10e88 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries @@ -0,0 +1,12 @@ +/cds.lib/1.2/Thu Jan 19 14:07:56 2006// +/com.nc/1.2/Fri Jan 20 12:18:05 2006// +/config.ini/1.1/Tue Dec 13 12:54:51 2005// +/hdl.var/1.1/Tue Dec 13 12:54:51 2005// +/ip_32W_check.dll/1.1/Thu Jan 19 14:07:56 2006/-kb/ +/ip_32W_check_vpi.dll/1.1/Tue Dec 13 12:54:51 2005/-kb/ +/ip_32W_gen.dll/1.1/Thu Jan 19 14:07:56 2006/-kb/ +/ip_32W_gen_vpi.dll/1.1/Tue Dec 13 12:54:51 2005/-kb/ +/sim.nc/1.3/Fri Jan 20 12:18:05 2006// +/sim_only.nc/1.1/Thu Jan 19 14:07:56 2006// +/vlog.list/1.2/Thu Jan 19 14:07:56 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository new file mode 100644 index 00000000..f3861d09 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib new file mode 100644 index 00000000..c8b8c7c8 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib @@ -0,0 +1,2 @@ +DEFINE work ./worknc + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc new file mode 100644 index 00000000..566452b8 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc @@ -0,0 +1,36 @@ +if test -d worknc +then +echo worknc is ready +else +mkdir worknc +echo worknc is created +fi + +ncvlog -f vlog.list -logfile ../log/ncvlog.log + +if test $? -ne 0 +then +echo compiling err occured... +exit 1 +fi + +ncelab work.tb_top -NEVERWARN -loadvpi ip_32W_gen:PLI_register -loadvpi ip_32W_check:PLI_register -snapshot work:snap -timescale 1ns/1ps -message -access +rw -logfile ../log/ncelab.log + +if test $? -ne 0 +then +echo ncelab err occured... +exit 1 +fi + +if test -f ../log/.sim_failed +then + echo "../log/.sim_failed log file has been removed!" + rm ../log/.sim_failed +fi + +if test -f ../log/sim_succeed +then + echo "../log/sim_succeed log file has been removed!" + rm ../log/sim_succeed +fi + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini new file mode 100644 index 00000000..cbcc5a0d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini @@ -0,0 +1,3 @@ +46,1500,1,0 + +#Pkt_begin_length,Pkt_end_length,Pkt_number,Random_en diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var new file mode 100644 index 00000000..1899fcea --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var @@ -0,0 +1 @@ +DEFINE WORK work diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll new file mode 100644 index 0000000000000000000000000000000000000000..675f2fed2b5f7e8e34a7a4219e82a6b45a6e92b8 GIT binary patch literal 40960 zcmeIb4}4U`)jxbUyMZOyunQy_Am{?YM-AX6yZN)({Do`?N?=1smM9P-S=hvoq{+Sf zQG$s#@yX`8LR(vHOD%}D)cQQ7)hb%83Bd%AB7dx+Pz6ivjf)y9O%{mk?|bI%h5*{P z@9%lu_x-(}hwzy@cV^C{>#;GvG|qhzaw5; zwbD&>zl~Cdo#Pg$G+e@~2j_-hPH|(WMyf_}+`Y(gD7mYrAvPe?v$TP|BTamvzfjC| zB0+HY3u8|tSUMCd$^H!r>Rmj?WiYsJdF%pxAm#t|Up>d2`zMuV^RD%1ntON|9z@^0 z!5C5K&xqXF4V4vq1;#r9xxmR_DIW2cgW}l=7`N;;6f`3cPKrnT<#1f*>;_j&-Aay2 z8;ycp2n4qUVZ>h!$ITg$y#9Kf1J^llodef7aGe9!IdGi=*Ew*V1J^llodef7@c#k_ zN~E*m*|=W&85J7qwVzFE<_x{|{xjGB_u9|pu*gqz^xB6g^4iZhWUHRz#Jw8nugkkx zeG5RTu$rqbk@H!h)?+^?*$+CZ%j7#k8Gf52lPwFQSZKtR~(5*x=MQG1s@AnoSFOq+%AToCb zX>2*$4gf~q&qFA;O7^V|`7>>>$%9hiL1~<);MTyvD@D#qo zaP)pO$lj$6{Dc`-GI+L$7=iW#OYcR2w5;KDdT?-O72NO#uF8GEm1!mW8IOJ26@_OP%f2Y0SSfr)DtxA^Pc5ESc?x$*CC_wy8YX_M@|5@` z`!nJxwa0#3D%{r97b}&#qb?b0kC5!!x@3b?_>Q`8s6AIDm2B(!6qw#o+lSg6VM=~S z$+q?)wPZi;X4ONB#X zSD2^pobPr907}mJZgy{_{!U|LYuvji5u+sJ(THgns+Y)Pm2P?L&qGX9g0-3hIYsi} zaMs(lPT}9Al5^sxV;5JC1;GyaE4XCDy*jDzToFJ^-dQYv02U&LRUaJ`NgB+;bDjbn zhHfz%@CU$Yuul<p$9pi*knYU| zEErO99HgR)Z!3KtA;kuCy0`7zMRL_~oKG*Ycd=9DVJ|JOvm(sprUxZ2y?eZVJbT;v z@uVq^DnFoiagWNQyu41yF->NvjUdHSsC)`P9Q= z-|v*x6d5GE^iiQi)bwqVtq!{|V7jp+W4^SQ)uZcT$ZX5as61jxYta zd0)$E>aWr~1h(a4pys4jFSTgi<6+Gyu;Q1&F8h9@fCHAQ+O=xC!MwktV90hv-?k8} z7>Vr)h_4A^<_HMG)i^E@M8pV)*sCD|1VKtkDlw4EUT*&)W;l3aK=Cey;NDnDn~2hve@mXJViTWiWsPJk=zKkA||i< z)>e={6A$?qt2Ki39LoKjqSZnAGD`kjNix!BDm2QEA}_c)6oJK%4Xwgn;Z}?g4UbCn ztA)vEmxd&)?Wmwv;0n~@`Kdyfuio8G$mfTUvr1|rlvSWElEkTq2PDl7_iF?{k-rKHfd4->*oWcvwc>e|zU@PjbEYd8<1?F)*0=4W+{+zoxv+<2 z|Aw+j#1#75_Uqf8qO9Tinzl}Tn{-9xaPfjlc<^#9UCa4)00{2OwW377R#qhuIkf?X|P5hHs2i;%9>bGgd3g z5mvju@djnYI!gTQm-*^@7joUz2T7;#CG++Q!a7QH01oX^uxS`#HVIQ59y^4lpJor5 zE9_@-0*k-jR$^$%M6Sb&hK&t^FAh6T1F^Vs3EaG0KxM8 z7TxJO9oupmr~{rtKag~N43h;ZFwP&j$7A;sNS_uUP~qrnRn&#r_FNdtl;42?n-eumfi5`7+?q$A-HM^sU>#o`GP|G6ED){x zk@&G*y{F5s!NBP^)9D}W?%Z0cH<{G~@7a!#hWZ@i;5o7BoS~@%PpuH;jwVnV9olBv zhirCT(^P3Jfe*;GUuheAK31StY2>1UMoi%tDwnlN?zim?ot_77Xig?=Bz#t$30 z#P9nfaH7zoYa@a2{ghfg7?^Rko-+hy$n1HBJx{UcN%lO>p6{^dVfH-8p8M#ToChr* z;N!J2gn=t7>lQc0Yfi13hAfR7hpe{FGT{bmUc8nkFpXRcILiCb+}T|!p`tC>0%oj> zER~R^m&Nm$R*Ko_8@rSwf8#$F&6Z~Yd?iI6W&%y_D@&Y!fyu~1sf7F61P%fHWHs8 zaSJ7;BJnX2(|e#XjQt}X7wF-q#{fP4PLFSPC?92jmk2sc-!rI=!8;puD2W5HoGkHH)$gJMP8P z6IF?4*D0;KYsWIY1!B^GXvY$axBS+P9Jj-QO!+g6ja(Ol$8VzX_z+|S!c8bakM`)` zt|0*v{%&P?n{Ez7QJFP-3!Xx_{29RI5~?^0g!$eA2M%CNilyb+Qc2J`r6vO;Zj6{o zwK(4`;w2TN>Qb06ZTnKt0f`yoEG-Tk#)}RwvKEYnq>wGLkkTcw5Rpq*#B~BhO-OY* zisdtx05d>n)WR(Ubc`}O1@%-r`~fDn3Sjluc7XADU)4C`CQ0UTi*Lol*d>5^2@~WN*sbz5uCGr<7TMq_v)=V5n-pCXD0m@-z*3 zA64anGS6~o;eJUsVSr3Izwl7kc};cp{BlpB&i3|NwWmoZEtsIOEtsGc3b25Q=Z%eV zZ-BJsV_$f2^JnnAsT+6YT^b`Kpw+2XU%c3LDp(BYAjE6C_M!%WMiI~k4KQk@jT1B; z_Nu|FR=wQs*`Nh6(#5WTW|0`kUaPLoE+^ZCoNs59y?O%dNUZHdAr^r7ciRqt%(dYj z^!b2#`H*xG2&lU4!rCzDg6(boeyk5tk;Yaug8Ek(^`A1@j!s>2nfh^z`e1R0`lC}H zzk>QAc$R=tE6vw0pIAjQ!A|OSTI^628`{6`b%BycyFB1+@$A(vA-uerMHjyz_c+Fhg06XU3p_-eYY_n zgLly>R-!R#>f%?m{Cx+%F6M89z=7?9*+;u8U+Q$GB_IVeKJ6q%}h%R!- z6;PmLjqTM1qG*!X!l_Sd)uJ3NMNw5x6ip@{T&>z2ROCsfZbB*T4^EX4%@miSA*VOv zVF1(ID7aBP-H&+zQJW8uZyGBe3LN`fEc6FF!a1J-LXJhpJa9}#5=P^8YDqe7`^d9g z+c;6WOC#B)7y74PihNs$6uYBs%ZE_V@HfQ52I&LaaRQ6E0xTS0zE5n&g)#s&juRi$ za)K6lfb@Mv^==8(i(+LA{&T>OmD4bxrQ>ZU0Ln)f%O45WT6Al2xBKy~ICVI48P>IB!8-@Q(>3}()D3_38DLf}F0Nz7FRIr(9BN{1h+rKgV$mw~$ zLD+xNlJgoVA_4m6OX!}iOPYn^rR+_Z_$zFK`qn6@r{E;1zyxVZ7@h-A0^W^jn!#h9 zwT0-=-{!b6EEpeO^6Hv-=%?wpdKdh0~$I) zA+3a&{eTtEL8{6}IcZ>jx@-7Tb+c6J9sSJ5vW7H!14`{#n*ym$Xp>|-VM0z4T z3&stBWN0CwUwy>8P(@iuLl_@vY}wE*qQP!sy^ygB*|6J4eGN zf-(i7XuTJI56cL%ChDRt!7IwFKxYig{Uk}Yyk__rpe~sn~POWi&>;p z@LF0F3)j}`$v5z~S8LpyF}Sok12GPU&6BSaqG8z%H$syicF2w5LT$j4<=LbOgwxcP z@(rRkAoakoB?k{%-<;BS3{tMve4?!r@^or0U z!zEG-n*}TLd*1pR6N+?L>#?=y`cy9+xMm728k%pz4fOIHbZ#59hf4vFE@Osfj#lw32%lBn}N51Iy2O zD1#Fxtb=K_JUw$4&M!XfMbf%mc%-Ion((j6x!D`nX55Jl+9zsBJ&Sj_hicB+HZVQf7OzjE{55W9c1Rj%8>JIwK+wvlMTV>-7D_F-b#kn<;u{A(>!24VGdZ6 zIq-Q?;dc26ut&2Cd$}~JKsV4O@+NGuvH#OMzhQmoPb?O7(dn_PY4zX zVUhlP8$-771y)h&=EJ03hz45m-}XGpt#7~CA{b4PKngQv1csH#VZTp0>$bEec)MNQRzMz1gEy|Jncu^iD1JW9I2?vk2W9en~3-3KQ{M`L+pMA zbpwK-C?II%49GGhVXwjVRuk?1CrS3`K=?CYrl(%#S*r2GdX{QEOXEBRiqR~^(3oO^ znLooIciR5s+_YSp!Up)Fq__Q%j~U3-iF`zk*sHPa*?88MEm=fgyyUMocKt0({@Gc~ zSSgC&JsQ0t7#X8&U-PljpzR;%??OYsQ>*dR>O8et&umX^94+{mA8cP6PyUS-Ukx2~ zUCh@%O8jrR*ny{h^AmU~>!IcKtr7>7W*F#J3!^ZTH>vXFDELaGZtq=zs?Ig&e%JXh z>0OWDS0C{#?^o}UO5(h_9CY1dKjYQyyMKR%x-gcWQM)@h!y>WCsPZ(OEnne%bX;>w z$wp71mNz0ZAQ;8W*8susH+tqvY#IGS?im4s*;+U>Q2hE?lhPWKA&XggIf&d>l$d7za3QAjS<9 z1f5ig2vbDI6-&$Fqdl4AFKVCiC;?_{Hz^cMlcHwgt2@?(R_4ByGU6#?BFktyagRXmM6HLB5s0}LEtd!VpHMQ5QNwt9G``PP zbc<*6NH4p|?<+s?Dg49zWzb^U>dEp)pFt`-$)uHg!%1Zu(S-LAg0%HFPHKjh5yu94 z^1~yx6O7oS4W}~S$KJen>hyXvy$Zda!|7Y!L{)e(^Jrg7UTVqYb!&EWIK#8`d*fzr z43qk;$<#*E;y2=fOrd8q=pp@?CMxZFMtj>?^IeUx&G({tZJ;M7JVMgLh-~R%jh}&p zE0{L^9tU^~rx^~iP5F`<3XMl3xr2_#0u#2Ak0_zoaLNT&AO%7iw~RdOB*4z^m4$!Lxa;cu~FaCjmxyAf|?f z4>L{No7Y|X2VyfDKdiD3`Jt3<;mX7wP0JAAD@xQtLBx;U($qtt)lYDUd`(yy$<;&G8=o_SDs{^_rv5tZW?}#G03$*^I7k9D zh0u9!DqqnX9uG<(FV(-2~ETL(>h07-d`8mG1EGL{5 zcNlW?FMTi|jzkjP5!-%KK3XyIW6;W&<_lwlgRMseb%9TdHZ;wv0-WfI<(ktl?@ocv z4gORJ48T7FrIaSz917Fnms6RfM1l=D?q%e%n{Pt~Z<@sJFgb12K;RO7hz- z>RUfWj#5TeQ{QGh;2zhvwcrIZq*LnaTlXNn%6gO3!;j6$S!InC&MVR16MCe~cAz`r zFD}k0E_Rd_7kl#JE%4OIHXolTw40?~EbM8q!bN%vB=qJ;a*2HKOmI5m^kBdj zS>h~}?}3=Za)dH!CWJjOj5|rUC}(LnT$vzd(lG=^31nyjF^>aGIrq5YoZ$A*Il)vn zU5u4+M8Iv|wgsmH!F4o1xr)^Z1EJ+CSvI&5Vcx$x2WJR215SxLwYiyxk=XcUsq`gm ze%lYJNZ%F*&`@LgRvC!Em8f8Y`quZ5(Q<)W)wh0$r?+hxPCJ-_z`3uYAy8pLF#m8P zR$qAnEatK@CClmXzD`x-&ykr^Ci*kh-lmi| z?-s9J>tz+HIR{keLLwS3)#sFz1PjWO7>*VD=_(5Q18bdhs)Q}Ngo)TC9Z~n#F0Iwt z{Q8I2gN=i|YH&!1?&`zESlrvvHr)2rvw`>@XtOZ*y{}RsE+01Na9LKpFA(2m%*3Hs zyf+rbTM%zWa*GL%WeCj((~oqFY9HN^uWFyC>c|fR+V**2VvkzvWylW>BlphdhG7|$ zO6&;}d&7u?pASQAQDE``F^@_f9WFur0NOi?a0cNd!aE2D5%wayh9KoVcE*#p`3zzi zv5fdE;BIF=It*V-d$9jz%1f z*npVIb%=F{wTQKdHHbA=j*G&dUBI^+VIRUt!W|lyd^LJMVjNf+y&W+I=o?^^yeq~{ zS`e=>AN54het$P9ARFx&I<<2$)7nWJiRULYOcBq=uZvZj0a1{aZb|c}cL2JByaU}W z=U+o!!}yl-2M{YzFk`v35z0FZB#t)Q*ovER4DkxH+303*s&@;sUH3G`4dlSR5sqek zU&4eK^I1crhR>f$M&r*kf!t&uqFkeRKanwMylSA1b!6IcN)eb*~d@1*u16 z+tV05(j*=S?CN)Ya@XI&rH>R>0No-e#bG{Fyt8q!v`@kj3iG^VcnK4EUi4x{Vn9d< z>RYy15pEJ?jyDwW+5%xharHT$wz&EqK6P>RKp=*vb!4K6NwtE9qEg2lBc!DzB!CS4$jDdarf=usavQ%9-HRVdB-tHxE}of)SkI zkbi_JLQbb`-~<*P?D)v(L@O&seEbf=dxcktcFZkjqhWGmKU%DCrPQexH_#G!nKdJP zVIA;=?NsSooq!-C%;0JAdz$*$(GlhCH1fLYFlUjRj=eONcL|~dW;W|vyC8`;>ZdAj zwl`_@kNgce-nO&2Iu2K90#1<&4UM zoaaFA#)GsrvK(04=demCDW^kH2P`IKc|xb>;^vRa?zi=Bq^ng4cAd>`Xy`EG{vq;| zyQ$VDEni+8zSL^h3JWf?hjFW~88j+f#62}%ueb1=6D|=$09McCMu7SpdW7RW-!Co$ zZzb2sB;0f>^JOYd#2lV3J2aQV+NPO z2qH}*#VF1eOj9Ffd?qFKRq^tr2}2fPJAw;qi!#k`7t#+w6zQ|@* z0FJV=5F-5eNi%bIjT?9~N6=csH{#Y7wi1Pb=4!RnwPJctLN`CFfS+2x$6Qu(o+-q{ zz8T<)a+j>r7ra!K;|qR;(u@NU(Qn{y5&cF!R`i?r2+^M-{N5w<`(kjvjI2Z-uGc9S z&D3!Fyvo6kw3{Su`hho?!rb>zGC$k)7JoY~4NQSBq7I*d7#57atrimy4mO4-6)&jA z`DVk1Xk@IfQcXV?Z^q*T9EG4r1DAS*F$(vD3hhV($i7eF1apIIe)%K7u(tQm>Ge z?8~a=N&^Ds&lyKR=nn|zAhCg%XGo3q+XngZF!mKkfiM}b;iEdtRbOSP#!JKVa8| z@wL@q)q!I9BHZCnACNPANhXYKVG~3|YkZ+EyV*4XcgiMIt8n4y-{G4CAY}0d9L`Ll zso7sFCy>30%4Pg`a)}kozZ%9T=!#_yj)F3H!F?0y1XdV_mg(B}=;j3`jnNAg7d%K4 zGu@CpPKcBt1-N=esqP~3P?fYmgh%7~i!)@)2ys;zHJ z#@lv+A`E?ZAl00OebFtHU8zt!&QU5?k|Kg~(zohR5=f>ZIro!5pj7U|Y{_xR+umhY zWo>WnpfY{y88EOE`youQYIUj72l>y?AB;#c`S-%sP@}n70`7k0mzTT zLi|tQ;H1_LzONwA|6vsRKm)?ZF~W&CGQ6$|n;^7AHF(XKX2fMoY<}6nNWjV*#%xht z050J?2BL9~lpk#iC-D+=wr~t(hb&`qqQslm6wX6<}J?Dr=^LnD=tjGD;%8 zI~XseSeSyGC3tgEQE9M<#H+|r?28WNIq^c7&LW4iSRRYE^e=};AOm|uv@nEbaX~U% ziyVqa1!E1x!mY^R@aCkVuwW4hInIru;TxkfK;ZGs2^FKYa4L5afYbM9r_%{b!dZ-U zt+-g;0`Oo?b$E4zg5yDkW2qFg1l-tOiHEc>&UU!zCffo1qi zzKfD>N^b4)eL?39O*$1091NxUHg=B-rvu9kqRXI``i01@Pr{@}2--J>z0#3`bj(|z zsya&N3*NZ_XO}-rI$u3n?f15(&)6CWl>cZDwn=Qctt75#?ERgi6ZWX>aX3Lg?~4ef z)cfGO3K!DECTJ$D^imBqFiLu98)Dm`O-8YAv~M!pPjs>|M$)x00!HJ7op==DOaji8 z1j!!ni6XX9f9P-!@j2`}AO`}S#cF-k-e=-5rkoTYJKZ_hg;C&meTOQy zwUf6gN#RRL^=jbKrA_L?xan`+1B2z4oJ!Tg%Tn$Tz^1pJ;V1nPx`pG%BErqlFy9}& z8v?#4?}kf0t#`xMKDBql5E{@0@lWg+mkXa@W&4fuf=ztx3-{azM=EPaQUzO*#tpZ1 z8t|6Z0sh|x_pp}6Q_Py`_1uW9jkdgdmGaUvFN_;bly|oWOR0as=A)JF<%GIN)&Td< z`$oN&31xr}QGUESW?ON5`jeLeg}U8Xz|+q1FQ6q143PWlBaRdVMKFf;=0 zF;yeSd;&K;T1)Be=x!N!AzCAsG+I>KvRvzi|o< zX0b_Dc^Ja4e)XJZ${CoW`bWM%r<$8S$C5zT54?q6bc|~~s&D;IB=j%k2H;$^?a}}H z_X(<NpI=7#)vvsk#W=C;`b6`b_bERpYPNCGTl~z9A{B-L&TW^1lx6$-UEndDn+__CB;C02khBlV5)OrZwMoebNr ze)TI~lyyoCG$B7$ehd}m-{OG{BV9{pHyCJ3EY2VZ7*&@9?A7R<2n@{F%2@sNm6^}8 z%ppO)o!0Wp;B31%>lsWQewrw2_!~tziq{qJYFz%^t-&(ey?Z=+YTzsA-aU@qoz*#I zAeF`sqZTBZ@nr|~f^hfGy*2zSRBMi{r{P?O z{qH?(lrmz9rWQNBC12@ZiqOB*Y3o`y1=lrSW1zXajrfz^hx6yFUrBG_^1)Zn&6(3N zC(zm{94^17n)ZvZD+9?iE=tq1@dMgY2ksU)vDh=1uL*_-D;+L|Gm$|U`UdGL z7&lq-LAcidC+=Nl?(}-8$yL(0fjuhz24(0C9gl~fMI-H7PN5NB+`yhN-k=m2sR+1z ze`(%Eg~KeST{C=ZeMes z(RV6@45*=W3iVzWQr}(Ht6HK${$Nm-|UYK*53?(jR-tK4MHWtGK3`v z3lQMPI|D!7f6*VyI1!)@??QMD;V|J2`ThPM^T(P>(2C-ZJ+ee}Hf{0ykn+mv#_$CRf~ zB>qLsM=1a(y#wc-SX~VFtSIkRP6GJxR8`+f7h{5F1D`8ZYfx~PaN(X6iW$S~p!xi- z6;o(b$P~H(2kMI1^&!^ZnJ|TJ3YtPX#S{{HJU9C2_ZYB7;%%(*`6k}T<4v}expO`r zVea&d#`}E14}yiZ5RJ4F7AyYFQfFi1H+zQ%;5kt7*cv{Kiy5@p7jTeDUhy&LlW!5R z7N>B0e4HZ?vztbdxwNlzijHx}>&O}4Gh+9T+jw6qyZ>+X!Ub=~IrYEBkcgK~Ngu9@ zy5h4va{#&PZnsTgU-v~7%dftT&4s_LEg&S6R%=6-q;F+Xh0JMUW*+mEO)Qn~cuR4r zNBdsEXAe&KI96x!p|VSCBo?L4YPC7A!m8Yv%NucL?v8;R+^C9j$nW+lyui&0@ly20 z8yQT%vP=Fmw$&_ACdTjLLRaNpBjmKCvm0h|3RuU!4>J$grjU^Ni&jPH#A$JW-NFU{gA>T5buWuz^5naAx*9Ys|SiP{CbN#e*%b&x) z!fsg?%Qn_&T(Z(Jl5GAa+~UJ3PLS~T+}RguiPthrvA*|2<{b(bf@dCdN`kL2;G->; zKLg^jGG9&;d|fQ#X{_4Cay`ZzN2m~t*JvR62S1!VF!&+9DF_Hbk0K#ayj{!@OtrPe zawTfhi1d{EqAtrC?6=`>MRd4lC}^EFc4I~_zDeBc!G%(=4@VloYo$R)s=BAcp@CJQ zZ+!}2qRWr#%=k(UKSA?0zZxcmaNoe50)9$IPIyKHlt`fgM}TVYB2~~)*pp`pk5HW7 zaC*~SJ#u_^qC@b8r7Of&hnL~1ey~q8FOv0pHtdHC^67DLW zQ|-#%HsPAc=xY2J$0T@pA$gRPqE2Dg)S<~0PtmPE^*rBE{Y$BspR=UT=~KxB zK|^Wti4YDQ9QX43FtLFka=TKwUOWYlJ0IoM{KUH~|1eeXy)Aw{OX?QF29LA*kIG5B z@}y7yu!d*{_t3H-WJqD@Dq(g+Cx1(N1uoD;oZ+Y72dL6>!-R>*9G6~!yO7x3;SHizJ;h?=J0^|t=B25WdnD`*S9QQ$ zk2Tr7pb|FXc96?CDm^nO#!szb|LbwdIK#@^hJ{zihqp;}PqDiO6_hhh+)OdDxr9qq zQwYX44c;iX8vxik$HcQTed{#vrZ=3*i6Pde=uL1zM`Jq5G^xwVJof1AR7!60DFGoa zAjB%=bcRI!c0$xgzq`VA(#IGQqo8G5I*;Ax#5SGK!3)D~^PSS>z*}#Gy?}ZWJ`g)& z`$*rq71ii-G|goE1X%o#yauC=?n!$+_LCxx)O_%kFYT77!=5IVBP~B;Z92)XDh>!I zl@@TDG=vvCEktKokwPW0LhAPj$8j)_G+>X?@Hy6|IDISaVQoU(LpLd1ySfVf01RDQ z!Zyma4_`S}dPuRt*NOA2;H_w{CPkt76)=!!KIvJ0iqSlNm}X23bV5SwAMr2Z@||$z zD$+pkR?y>g3L2-=H%cLQYZ%4Mj`hap)hfX**i#y)%IO zWN93av&JvyLr%X!VucW%fZ}dx4=s@pr}l3XCvvBN_U+GLq~Sd27P9D61t0U5GKqq( zBo+jKN)2v0O`)<me|vI&A3r4Up9yXrtt0U$QUUzShlE6-_KBi z3C-hpu`Z7t7MfUG;9`fAlHE8$Xkrzi@z_tww*`b#I5z@GIue;6R-hyz;T9sHrry#_^tujSHR?en1=izx zVPZW$4?i^okC{_CFJ90z%o=>SMn4Y)Lqg6+#Z7Y%a8i%SE!0j z*t|Od!yIljlFaiFyjIY7_f^O2-X&d{js;yBx^bJ;(e($end4?4a8}$!7Q&lPKje#Q zp?lFe(3sk`+F-wU*VT^;5d)9m`1Yq!(qFW$lkXu>IZm z4TFg3cHQN>S~LX2GVtFJxerer9K~SX;ORZk)`O>|<5W6W86T}y-cGBJ-Gd?!&796K z-IsSOz7s_Z*i#E(P+TlaFp=rE5;}2Ajal~4@@}#75-vjG;7nr5A0H4(qwtO3LTL)k zOZfGj)d2?-aNrm&CVs(ZmB>GSjcI(`Ry6Q;IGrU9EZ`{&0+Z+_C(Qb2xe9(ODPKcZ zd$BkOyMq@9yXj=_ALt|K#k4G;6F_&(N}5AjnKlQ@p?>HmKuG=ub2V6~x!o88IGPz( zmc$!8VY_MBq|+E=864G@+&mCe29lA>1s4&N7^S6Jsa^-W5of}n<+(J%@GE7ZH5HjSc$bYOcm}zpm^a{1Vi`gQatmOiSmQOf*hs@nydgAKT(% zF1vOxhjmil_Ar{HpRU2TQ@Czy_j~3JNsT(`K`r&5!mC>=ZrrTlczbDy_kKfQ$!5*+ z6~GYL$(z?_0IFF2B{S$XD1~+ZAxmgc4WB>Z{*+unI#sjTGgpVYT2w%hWZCT7zMJ~$ zi(@_U#Sn5js;Ll6i(M8BYepZqo*Y*KVEUEAIX zjft-xgdFE?TF@Q%X$mM>9aFSe&NHdpH{H3L7V}`Cffedo$pzROP5^kp73*l*SDSF{ z73=vSI`l51MKIdBJdT!mTFm8_S+>U>x1B1H;+`B_enOK2`jD{q{Q_b@w@!YF*t&eg z8V{v91}ODyPohbzrnns*wzO|Ofi=Z#8jXYY8mc<@P_Ea$*TGh4a=p{%3q@4$)ux$Z z)84R#Xv>Jp5=<=Aw<)cDa%jlTglz8Earq8mX1+MCcB0mOK+f6j99F+`7Uw(6j)Wk44t6S z&>CzGSBj2bX*A&DGR0^(1?SByI_+S%t}t?LpzM*h1c`{UZoPQbx&`4Y!bfCKU36in zq&kzdNg=cZot_Mq;f@C^B0SXs0<&=Ex|88 z@Dr_>%n}|ae~eB*t!0G{0$|a#(eZe-M*iN*Ob@b({70TNrH(KGMeBk^49Q+kS^`c3 zGpPaahgMQ>$j&Uoi(_z206D|W(25eAA&a4i%I4&6og z`gg%zNT0J$P3FEryOq(>M;OEj4t$#<(^U72!Y7`zGwH*ZG18kj-Y@T_ON`o*(zX*$ z{O$}KRPt|us;rMp066(#VRw!#mXqF8`b*dUnLkPY@11xpmSbN7iw4Gm~Rz$oUVWAaOhi>NjL$d(_36= z=)@r+jK#=3R=bWL=hNz6K5Q+~@w!}J4_PeU+`w`eLxW<+CQ3M&`0zw4v#39T? zpubh1p%kG2Ast~R!c7R{5wr*c0>_;~2>s!|B;>2sxQwobhPnnL$3;be-UW+_ier(&Kr^B@)i~5&R=*rXK{)tDJ?2umQkp!xUa@#Ri99HpF6*W~4xQuRBMLk2q z5nL+4(SL{Ns;v`NyN!+Y6)RoFRcO1WZe7)giWPiSUG3$1Dc@SJk+1wG^^&Pxz0lw? zuB~d|g^C*EDxr2I;l}^VSX09qAi6Byiod+B*0sKhzoP>GlVBBZ3t~S=!Rn_uL43Jals#N${ShcdDuCZPYB)3x|A{@$pwwVqQ=4Q{H&1HmoSz7_= z7HaRWty@={1z8qq3+rl^2rj3qa*12WZ>TD+;8Ew!irReFeT5Ybx%CZ&6&vmpYVQtSbB2is^!}rJIm1s82$Iq#R&j=p z5a|8R)%Z^u5MCTHhu33X?*k2IsUyL^lf)_Hebe9nL|r#AY;Ap2d4p?pRU_oSym3P# zzl!5xugqO}AE#nDt6e-dnY}gQe{bcu@2dD!<&|}-pw=4QTr?}GZ-5K~hn}UXfE5~o zi)1NxMQvq`i{r+y6tI=At*8-@!P2W5T(0uE`>LS@xcZtZpm8;)=)V&nbHgDpwn2AJ3vx8w{FcEP_m$^ z)|JcQMB2rdx*8gx`#A2Wtd%@hBi~TBf%^$d&4rdh7q~aVN)=t14??-0u`>3`{XSHp zkjFjE$`-qn{ue zjfFso;%mUafw99B6}gdXVE(`H@C8~fd+!xqgntR93%pq zsisy5or9FLtAXPxD92t~xo8z53$tc1mLui;jB1z@OX@=G;oeX!m|Iv;ukaK&J4dF$ zfnuUUDWy>ZMCp||fVm@VF<(nj>u!x*PsnFILy6e@=osnUvuDq?aj zcb9$fLi>WNH898B9{j(Mr#--t17JABA{~cdL?A6}rkJa$FE=MS%U8NxEAMAB)v;jy zutFh#>wnidaGe9!IdGi=|3Bxz^gPaR2kupey0H)G(ED&~Q1aqOE(<8MFJ8Q8ak?>{ zHf-fQ%&YRXF!QjzZE$@@sKSbCOfnAdjJy_|&hNseqE&Kg0-dNRWysv7tk%zHsOiVVJ zOjDuXinii!d^-8tYcB#74d{oyH)7Xye^g4VA;}G&Z_wE6Mpl#=UC|cIu7y z6OnbbH5-huzpE;#7wM+;rkSSoi3mvu<`Axhi)I^Vn3C30FwS=v7byL%YD}MDvQ!%9 zE}lEfoWvG?lf@tHgrI#IWZ?88IUpvPNMIBmqMo8sSjPMcgOArvq8S&S@ph7EtmnHPdR=M{rm7 zX;lR}QwhJ@|8<`j4;1)+(xZNT7*tG<+tr$&{1`K3og)O3hK!SF0nq~ zp-^dJexzAO+)pBPfPBJ3f%XYzi}Ig7_;LD7J{c;Y%L>aH@zon*6JolWq(Mw|PBkd- zFCxZ=@mwone8|k*i+BQJ3*uPBL*Ic92=P0JCn4U7crxNj#Pl;28HnNX_zfxQla=%<+SC?@); zED|A9MtG?%#rUqD;iUzV@~D5*Hwx6=R0PVWi#wFg4W{P?(+`D+jx5$X|$Ck+ThEA@luX+|KLTY~Y!h^bsepz>A(qUXB^w;? z?fn9Q;9f=``si{XmA{8T<*{H2@rQddXd56_sVF1(cdf<1>W>5ofretjPvQFCbq@Ti z9B9TwAerj_l0MH^@~>9=MmR^_f35yre3Y)i*SmzA>BSMPj7-z}O(?S=+>Jmw?Foe6 zA-sd|6~Z`l%7j4wLoI!*AF4x(0O^Ev2tP!45#boZ-w{aZ(GOn~BG84ck$ANr^K7}KWaR|o-~Eg5 zxk31s0RQ&WXP%zK{q<@2>3hB%|EBG%MiCTu(FZF&!0%OjQ2jyDweZJ|e|YLVe14lk zlqn#e;x;P<(wbD_pkjMiQ!@H^1m=> z%zx!ysJ`(Uj{VQeps6t%f#T4gG5q@zL+}41W6X@L=(xdjqv<9SZ+gL$o47FXoTW@GC$>!lpm+Oobp=A(Uj9E zS*f+D52m)KdQ;D)j!T=Cc1PNRw4$^`YpGSRzGyvQ{gd^ybiX18=<{Q#Po+MS zDyKe{_F(!W>5rvvPya>w>*;&b52U}7{z3Xj>3>cCNBX7oSley3J8W}o&)8hq_h&zd z9v{#CIGZ!UwuMkenW9Y>Osd3riLS)oCLK!pIBC3jlKDI4*UTT9{pQi2?ncX0%Pp2N zi_21D*=Tv#;<0?+^1S6m%PW>QEe9;8ET33zO`e^+Ah{y>d&xga{?Fu-$)6;TPKisg zrreuSoAR@iXH#B4U*1oVQzoU}4%#=Qim6YeKAZa6)R$9VPmN8xIc;^?^J$x{JFS1V z{?!_=My5|rzdQXo^yFfCjBT22mMz1!(8k+ZY@2OAw*80g9ot`QGcv3h&Wx2A4`n=_ z@m$7lGW;2a%o{Ur&Wz8zHFIWWN#=u@Kgisgxh?aV%$=FfXYR^;F>`ljSe7S+lY&*=gAs**V#H*#+4PvK`q!&fW&8*qQx&_Se~5 z4(`i?S79c-X{u?KDaka?RBT#p+Gcvyw8!K(=@O?T&P^;%ygRWjQB3?v;_HcjOnfI% zPCTEeOPY{0BPlJZFv*!znN*$Bmh@DT!|XAC&-_#K@5~3x|G*Db>MRp1@s=#h0?Xat z@dnF77O&-pmS0#pEpJ<zrre*>k@7^!(X)g5sj9RwY5KG&Y4K?ZX{l-1Y4g$w)0}Cm(rVHg(^}Iur)^97dD?%Z^`!kd&7T%+ zz1?cDuCTUQz1IJ*{?2;HdffUK>u1)BR(<-d>8A9I^!e#U=}Xh^O?Rg^q(7K0rf*Jv zGX0n7FQorIy*vG_^gpG4nEpxn*Xg5dS+=>ht+rp;-nX5xrDx2^xErG)WVB@bAY)s` zuQNI`{+MwpLyV-xvmT4Y3aGwV}k1*X}GMW-h$);?R-PCUSp6LnGR?~KHzSDF^Vlud1nYb?T z(ZuI4Bi~H?Q{q5kSWg*(!QVeTw0cOo^`$TQR@$_KY^AyV(qi`Tj!@2gWuKZlWg&}r)|Hqb=y9) zjn0^y@ovUP;NRC7&dgvl-?&oY0R`J2o)GXI=;A#;3IQdS3~`B;`M``+xIXa6d@ zH~WL^)6iuXv$=bfJy;}k*&UGC)uz`?Z<`h+-kbPr;%^ewN#m1VNa{`MOY$e(3%wKp zDV&8K-jTd8xh%Odxi)!ia!c~&ttro>JfHF+H2z*_lC-p( ov^-mZ?Nr7Y!hikmItQ+E;5rAcbKp7$u5;iz2d;DA|9B4kFP2mniU0rr literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll new file mode 100644 index 0000000000000000000000000000000000000000..1b905b7e4afaafeaf67e22e7d351d3d9c1ae4f72 GIT binary patch literal 32768 zcmeHw4|r77weOkC2@YYx43cQHPzMcG3u4Gjl9^2Yk_-e)a6(98Lh0s+S}s-8gm4qIsUp})g{xR;@4-nmloTS4Iq$djnF-OV z@80+N?)TpJ&3xZFd+)W^UTf{O*WP>WJtvcrJ9lzMj^lWMrg7W>r1Z&S&o6$QK=sV) zo}I}(GyOO74j77mGjFxOuGQAu(sWNt%_iH1n#RT^!FIRL)*?3A>Kbi@x0l&AHP!kS z#mC1bhgrY%y+iwcaN+59BQSpU#djY@x#jFn-u)rcYub*H(R^JW;P>7C2dv8mT0wF11XY-M?r$sY8H zq})yvhz_3^_C$e|BdK0YxkG0)XEn!VF}lxrbZ}fB8UDZe@p9b3FKDw!@U;o3Uzvgj z>6aHykwiW=j$6N|rM5<>;kXVHu;9sPDV_4kL+eF48TZJQs8|mmo|I1c&+S|DnHs0E@Hh*}_Ofv5%k8!b?- zjFk4S-^5vEPe^JHaYCX}5>jTl%T2-z#S>DBOmaym_(!S|i|WnlF`O5r!#t>!@{r;& z$uUwkHRnWXIFwJvNe)$VB4LAx#3P>ZFEUM{30Y#6i+JUaYX|--8u0eM;4~7vB+^tG znKR@$V}Q^h&xmt9XC3m4_RgYY>|-3}hdkq?(2yrYNx*Z)tG<2(>p@twG~xg$Rn~L$ zhAWKf0>k zpl*(|eSOGtVg^JEc~0Fso0K|D0-?8_sFXmS>=_M|oG4YDIwN~uSjX)n-5_B4k4&jZ(zRC8J9Du(AXl89|NIZgfE+1-CONhyEbSRU$*Q#`x-RjX3+y0IkGoo`UeclW;sF|Qjvp>A)Cj_)bo z-Cb%_JSXI?HVwUbo}R`nE+s%2Ejh3T7Q>KBhj_VsESLx1k@_HNESLs|llo)ilCj`d z838mI3ts1MqY*MQwR!&zDrm86$klU5$!_(l)p3S`eV)d+8pN+CC1cXrnWgnJq5CQ| z2e%l~VYA{H^VW0Fvs8T(1_Oo>UlH~gGN@-vUSfucVaZ2UsXq_Vc$oB&d*VtAHQ*rN zp8;@mX(Yv;vXbLeMc0Td<}oQnNo;stUj>J&iYSKJ74oXi2;mv@wp6`2QaptoLr=X3 z>nSBiWlS)zJ0oLql$=n?Psyb)_KCqfE4pxEDBp}OU}~ONg%R+o#;K}8we2Hjbb6?3 z{3E&s zT|_CJHgxp~S1GL7YiGBgvq=a3tTPGDRK80jue~R;H26zhx=t(a=;EO6*?Ks{5MxEI zp_H49v+CC%-9Juy0K`;qPMP=9staL?_30BcU^43I?o zA(@HOX{B@FE(gD_lY^F;dQ3)-)&5dXQONz8rHg|prgHlP#m7YP))aZxPxF`{3cBHo z@JRYJg+>%VAc}IU^xjMiXGH!-@Iu(cita7Bq?Z zT8mXv+HL=kp_cm1HE41a7o1pC{8lOqgbR02VSl)=n+iV-7gQ=dq8HR}Aq}?rQ|<Z3io|vMy=Jn@MZ;D>6zS&J$yTxBK@J|2G&vKHSJi+Oh^DjmFnN zdXn$JwnYCEMxWs4HlXkWy|KCjDcjW)kF|f?G@rQ$S>McfxetlgpypF(BhWEHpPwgA z-mn@pu4a;J)a_%Yu0VDn5iMXuSbD554k4~(2$O#|72?AzB9@B7h?Av0K+2SpM$F+& z(f>3xIK>F~@O~Mbu6V|2=6kTTo4+sp!D2krKhC>6%|BRQjYV-H8=hN)@Bzx{<&)w|#2zx%vp1as{Cq2{J z5UprJvPs2s;i{?zq;1Lk=`Gg-#jA6G>guZ!uW}V8n*<``)j=4TaBtcB1}YRZbf=ww zR$FFNs57wjP}Q8S3!<^!uudAZNPilwsOPk_oh^`(coQV9BMByh_<)v}f?_?lX9qM; zdvvyz&Tm6zFQG90@d2dFVft?&ly%Q8JmvU@@$5fsGWPG;iJX?W5RCThf(UgMZr}I# zfmH2?JJess<8cw|znTn3&|+&*Q*XHAkQu=X^-27Ti{T!)PK&2HSL|Ut#aPu2a`lJQ z@D?Z*{54{om$6rrDQis?ifFD>+O6p1bSax!alsp<34;(TO~i=T_dX0gu-Z?rtSHlt zB4b8IHDRo}`a}xjR#N$g&=YNBjJ6s0j7o2rdIm8~*9Br@6mKM=BTnvz=LQH&Qxx_29B zprD27N!2QWhj9ilu=c)sj_l*7Z!;{r`n+1A6E^PZ+d~5>B&s`@XNZ=_$U0DqcGSGg ze$q9!8)W6mEqOpcP}sWzrHV==I}61?v)Rw(K^G3ZwRG(bKi5Gq9%Z%7{G_w|RvJtw za5F~RUa4NEr4f_MwNnMJT{Ga%!!S+OGDm~5)I>B4Nb@_9;iUPb6<2TA(}8p-whd2o zkGo@_mns704yI_p-0kjfO;A=R^9#J@?UzW;mMf&bgrJHZvREcTDaZO_DyvZPt;$~( z+-FwqM3@$;?0?^)yrSG6s(XpY!hD@Bfgm&s|?Rj+N2pmyK&f%12;8&E1za$0(QFuL}2KRa8oO zcj*-Ep9Q0i!BZT#f_JYli5q%~uiz!k)?H$m=m|4A>a%pdO=`w(LrI?l{qIsgbqai)7stQc;Y zXO;GL(-7-?l|1W2QGrfSWbN2m0Gmkb`3Z)R+Dw|*P=*Q7Y}VdjD6RSO>`A0~$(&wE zq&X7cJNi$@C`TCKf+KU;wQ*rK^FXz`-E5pqSjf`*G!yf0uGeHKU2FKBkkf@9ix9A^fOf_MOr7i zPJ&cOC{y1=u}ZnkDjSpntK`(gE9`w|EkMe1nU)1s#VTFW*e%Yqkiyi-PFG+S5P5uaqWoAHc+4 z=e}s^q<|?rNop`b+80dD0W1Q6ZBG8;ae3{?4R>`H%76)r2v;{LB_s1@1Q$=87mPNr zgL&x1LU{g_f<@g8F-IH19K7CtvVer-|gzN~E@#3l(i;RE+x4KzJtQx+3SX_~{hTelwN`40g^ZiL z)GQ`wo2`>VX!0WtxmsFj(&QZZ0bYxxsjV!vN+wMitX{`%rr7>gsoZB(@=f-WU48JU z(*^LSf%@FKKHA>y40NWjz0DJn^GtFcFZG#Lz&sz-^Zox}F>b{+Pay{_a$#K%nV2x6 z&wdBi4t$SZl5k(zk`-8Hm5RnSse8l%rw??8kPPL8Mv=gT-JuU~-Y(*YI#eJC8B1&f zMWgPQHxD7C4%}{z9fuFs8>&Gj=bHj6aKUDh^LeTE(Lzo>_$W=jo}~pnM<)6^`>^zM zuPhLa)7yBq%qqV={@%r7^SmZyKp!gsra({>qaF2Iag6kv@KA@r!p~C?uYg$9=TXGb zasW3|1_V!Lbv8qo5&rixK9bxtZOBJIu zt_kTqB^-h>VbO(tlQ;ufu7{Se^p}+(<<_hFWeD~QwEAIp7>Iq)c02~z6*?%aY@sJt1%zK zV$AGxBj-rtG2*n2m3&^%LMQe(WKBUH&yE7=BjdIoYD{r0k)z>Tw|0$mxNxB21yYNR zUz}H6JRLrHBUdF2^4llKa@+p6PLIX?C{$G9EYLO+hEAi?kzMPVmN_6?nUyUVmQ|=W zoOY@L!Zbrr4e-yC_j=VooMU6}J};*|Nq%XnpRRrn_ODX1iG%Yx!Bt+V{s~eQwaU|x zn=M>luKp7mxd*D`X^h-Yzd*wXJuN2uH@p><>eZ}ONIINQB&Y2L>*{rT z9zmi9HA+d6;z=m0kmhlUXvI;`JVdTWaZ;BinB)w&93uJ-=k;P?kJpgbap`*D=FVfn ze9Qi07aq)S=B&J6)(kl6P3y6CU$r!k<$ufa!B<#;@3@g$N(`3f1q&D^mR0vy^DO({ zydX_w65Es1{pF>QViTrA%Jhy))5YP=W1_JrXo3vBW244Nz9g>0iFtP#diGd}HkRm& zdBJlGe+2lc$}8mwTFj8gME$a#>~P*C@_AVONu`As1`OhSyXI<765>1B4A%#|29Z|| z1#yfq!5H>quJRitSJ};PuVu^x!8@x$N6hNhnyFapYz6Xq6 zhaHbQ`w$*DWLvtnBLg2Y>+LO_hfv<=`m!=8%*@N%=t>el)RVs~7*w+F0K3=5%gXY~ z%Dfe2WpZJ%1M$A<4hpH|>izHQQwD3Ka6?&HxfdHnGN`mS=pc`RRjt5fca?lsvK5!lNt+I&g+yRAui9EBz{DX3k!zw6t!HP4KY~Cp)+s45S&q7 zS)uk|)+4aTV$?tk2ZJ&8K3ZG!OCVg)%$Bn0G6SOoHFzy?8_4wAlTX|>d^K|0Fwak4 zHmON?^u7*rR)zObjj&|DDw@~ZNA|+bbf5Ur0Tnk9ZmTc@>vev1TP+r?a}~n_}xum!@4!w*{_&|r2L|8N<0 zUv&u5%&K; z*Lt{{zm0Jn!Tp(zsPw8IOcwkCt9=UyZT|@Rq|L+QY9tb1u-SpUGXm2@?Qdr<}RuwQx2IOv|(Y;uoV z9&CdZFAhNwQYF4HXffmKEaMR^xhv%s+^i)B zZbJ1=q)(%`GY^jj$^dS7t!G;I6+KH0-OCI;OJl&cds&P$Xq1K+^WjP6f#uvJEu%6> zgE7)j45{$MB-KuxW+^C^QO%CY8nm}Si4bIt0Y(640H*-21BL-g;kVAng%6!Usv=d9 zjvyUDI*N1@=@`;6q~l1(k%o|BxeuV*oN?4R9g%ds8rVs_otoEs z9>ze&Pgnz{h|mUv#W)(dLSg$SU$%ez$LAjpLGHd=Aw|3RdsulrvfvdNj}P_nSU}@( z0J?yJh<6@AUIkcD=vivK;kE8%#+6`vJ6Uf36t<*Sxc>4Oy&ofez^uPx3gylzbkH8X z3y;04D$=#5*!BOO5FLlyP8X^mfV^pl_hjai17Bc7cuU zBe_By4Z=eCOI2qCMF&*9+gJ%%^HsMKjjRD?SiW0hd_2i=NaMJpJILBgqcWi zT^kepO<=1Qj1O#`2$}+0KMooLTSE|F4)edJXI4J8(Aw^+mxV>VAB>f+M%=;VD2A{k zW!9vucR=sxydZq#BDN|>x{^{RYDm5sk+`XPV56QHTo%Jk7ODrj!?o1Eu=oVMdwG%W zVV#5pzOav}=wq_p$Jv+rn9r=Xpno8cKSBIi?&HZEb(wJN&NZp5qEZu0YBy~j*vQhX zO$hu%TprW|V?XIWZ|SU{+_3}Q*hpL{LW|UwvLw6BN4rYEqk6G(c48RW{+$fU`aFAI z)r)z}K((s|nK3;7)X(I(g$S!H-}nHX>S%u-I}6>21WG>WnbmpB()n`~Ec^2{#3JrP zmT%HowxgTHV|l^%FS9)VRKT%HUK{HF6aTZoqYp!)4mYR#T3FaqYQRt+7BpD)Uvdv^ z!Ci8w=i411FzqBZdG4Xjf6dSDaB<@6xB`*}On3!_Z|R4J55+D-pP@PD-9x=s2T8I0 zJJ9w;8_JJAUbTmGe>_+Y23s}G(p7{xi!EE%(3F==TXD;|3U+_56JKT;oF>mD0roz| z?Ww1p3dXzUo@m7Uo~eEd4b^|a12+do9OBtw8Gge{^N9jc(S(R&A>~OyZT@Oz>W@E( z>}JT2XzAr3=rZ{Lak^zMCXaBvr1HYmk{U0Viv%NX+z;^B`UVbM$(}rp3m4R}T>aN|Ozo8k&rk<;|OKp}j0XY2@qfjswM z%UF-+FN0lFvSEs5lvM`G&s+A#S@!q2`?t)+9qBRel5LYJpZ#L zOL~@Qoqgib>NWM)-A68A4AN*^bkSa*z_!AR7iALA9Y*sp(U4*llhqY^BefTrGa7s? z|7jXO{Yg%kZY$`d!*|*H0s#`aQJHn&kU_XgA9`!guGkR>>E4+KA;CEp4#fyoy~;*a z;2nIoV>eZDdHMM;z>C4_~k@8_JYrdUq;i|eyO6G z;+F0bpGI4)F^KQ#2g2t=)i!0Z$X= z?-9SS8j?ioO81-w zQv2PWGe~+Vk3-v4+EMzhLzvl1SRi8YRkm^hr@*8L;QEEQVH?1g#li96VeHlBO5B~_ zUs0y~9`6MtTpXlW2vL$%yaHn(HU;PPOk3K0#j@qXd@QLq>-A!gEuLbj^sZ5e*9lO2 zE3OH!Cs^04s~*rJXW>d}YU%6&({L>PzTUI}6}O3()~wUR7#0UD7ygGH3QdcILRaDb zNe{c;!v4Duq0pDZp^#Y*g~UPm>L9&yggp|unflvD$no}LjHkVCxe#aXldnLWwOkyB z!eUoMN334;ntvPy^`Fk+thK$+lJnNe^C`uvnh0KGpNdP9Y!jAPacL#4hS!w&N6~=o zJcw-)6EIk?wMm{!G{NgDE6e;NAfUP=R%hwF9t-ABEY;I_+SQ)$fK|iozGzh+MNL(e z>`CaQTDlsTtBG?oF-fo2a7!TK0-6||rT4H{7<8O5v56+OAIlzFC1NaMr+AXt&E;uR zo>voXTH+kEQ}C7A8TZ?k&PULUzQE(Zgf|kCLuxbjV{}hBEPGB#B_S<1n_dJ^sKatQ z!zgRdxY|z%8_P8Dlr8}`=Mlc>bO}1ks&p;M6w0V9o^W3jQZIPoc_Gi$KF88Y0f<|i z^Yt(5UHfzs+$ML?6%F=4-w}K-Tr1UFU=FPSW|5y!JHH`N~P1 z?I#JLK>FLlGq`9J&wNTXDEtWYsjL+F%F5t0U73n9zm0Zd>J{Di;9?11uib}DTNymt zK*{Q4oN$u)u45H}6&mi&oSb0FQ_d~N{rY(n)`_tTP(7d=qL2mdWL^C^?nJRLbni7| zq!IZJh&l9vFW$JRU>=1)*9--qC68O3xl~u7dlF`?mDN}}Ux6{|WA4~PJjh#;70(=S zitQc6w4UlSxM`p^XMc286Z62b2n?^=?a}cxlEWVSdx;6>B*_7 zw{&|I@C|=z)Q5**N?5C$zL)WHn3{BkD1x}P@Ctf+iq04p^Lf0w#2p|95iGQfV63ci z%mG@#2GE?Qxwn48Z=$)ar2)%@=Qe6=${i-9*(^+5K(!u)mnR-Oc57M7ZoRc067tB7T~ z4V0BW*Maj)FfN?Imvr)NCb@u@?=@GgImC0r!xaUoDR&g4q@dr@2wqXC#J9$4F*K%& zd90I`t_LBKz28Q!zT@&+E(j^DX60)p>OoDwyjj}z5YGvoit@m{R&Dh|{MvQkFr!bf zw`GB&+ zS|DnHs0E@Hh*}_Ofv5$d7KmCPYJvaPEFe_i=iC4@@w;`QN{)LE@Fw78z%ziofNuj{ zCV z*A&*D&!nwsxkn_z)`)#mQprvm8=4@l@g7@qQ(dFL+yIzbU#)J>lDZ8oO|4BE1zSa3 zD-Bh_f(5o!VFxX)ySt^Ph5iPXi-S`}&>r&Jd2w-G{YNu1;lkXaMT@wsNG}^};N4>5 zy^T#<8gn>qnNKLLX%+I}0-Jr_re?93;q-E4U1M$27OuqC+FEmuZ%I>IJ}0*NTI{Kd zY8x6z^5qY1C$)8M&U!b1@>gbY)~f)N|3};%{s5q^zD)l#=G;y%{z`BP?pmxF9B`lY z`Tu!cxh7_Fb6s_d@1D9=_*ix8)>dI7$0dCdyWwumz%cjt1nx^L*NR^}<+y(^2pg+w zn>JzzY4vjntfsjI{sJBrR;mM6%tmeoEBR|0Ya4tVH=UKht$K4!g9rpGZ*1}Ts+;bv z$Bg5e8|uKu*Rl~yjy|H_HxyxY%5R{b-h7#Sqo8TiCh#k+YxL!Fc&XhdRQOt2v08B4 zj~H#CuT^Mi+RFWql?pH~p$PY4OohI>EQL7k$E=QJx#uD^x)AO$R=3Kh7uz`x))e^e z2DlveXw2&Hl=MYV+(o99*wTX86hUr^Df0!r7q-mK@t0Orsk;+T!8hop_ zHv2fQUR#6puX%an#-@BOnJ^_ywPJ&hs8(W*q)KX<6M=LTlBMtuV+m;{UgdIMZk2v3ITI3hP>FXb^cgRqTRVK_5{XAos)O2-I zM1Af>LvcY#O|#x-Q1wkML&GxStJjiMK~eEZ3}|kRStT@-H*Uc?!rkUswbE1kS!+k+ zH+ApE{5N3oN8k>W?*gm`Ja6T=R-_zP*IaE+t*qYQ_iebBd9Am2`Q+sKtfeE0i&`LR zfv5$d7KmCPYJvYo3pC+f(f^e=?CXpsdFr-~MxIrxZeNvYOQwTfwSXYAdNV>+9E)3g z_lb3gV{ECm$x{{1KXEqJ!q&850}i*fnItz(@Z<39uWPBDB(=5r8f)o5PC>YD6Hc$K z_uBA32AUchw%QOU*VWpZHrg^%+ENy#v@Hgt0_+i9D{o(9o1c=}Mqpd+wH5ms?-BfH zpE*CpQEMw$Rd9_}s%=Y6t8Fq+uC>jJ zkbEj7MW*t(+qanikryNDa`tjQJ|EIyIaIP1jZUS7#qkc=*j1_bT+g>=BIomjy3jr zy*Av<)cRV;A?O%S-A}Qnq@*q;{~`gOp!qCS*qDDd{|4PzU~aN<%{{(|n3@gj9!9Tc ze#>Nq>G6Lq;AwiHdLu&qTC}@t1afp;w=f%wWu1@4aKwF(t z3cDw`#l~^WwTWjK3X}C;^BJdiw(z0zJXPXVFD@BxQQ}Q|ZhRGIosM)EDYbhNDNX8a zNM|7}MoRzg;U=WBk%me+YZB7KNa^qCA3=I8(pscnLb?zs{YDm#v<>MP-UOnHjx$K_ zNBTNa`s2i-Na-&x`j8@FA43fNEd%Zdq3`+!w>~&eM{`fSt!H{4*VFAkK20pRyazg1 z`XuQ4_q@5srdR*XbNhsj$_uBz{7=&7hw1l&{?*6MJa#Sj$H&yi)_gwy2YOkH(pZiw zeRJKLHm>^3`ZrVmmj3vOw@!bElimXn&2$o5?03kd(NEL@Q42&Z5Vb(m0#OS@EfBRp z)B^uK7NAHR7x=L$g(-KY_)_jm`DV&*QeICvnX-6s^Ww)+kEfnaU1P7dZ?NBEKb2-l zpOb!bdU|?6dS&{q^e59z8O{t(#zPs;Wc*vku?(Zr?cC`6hVw_xUpj}K$DAjeZ#mC6 z-*b*SFE~Ta7?;U)o2$_!xFlDP>qoA~UHe@7T?bu*u3^_}u9L36x~6B&%Dg&rS!Pw{ zFEfv3zMc6|rqP|^&TtpGSGw1^eeMRg;QpceC+>fC|H|F(9&*3o{&)8o_n+Nk?vOhs zD?TeRt2U=4r!D8(Ip529BIoIxgE>Pvzt0)TxtQ~DPE4*TH!(Lg*O^<8yF9lnw<@QkJHcrdFjkq_(7Xr#_VW-P9kaKA!rs)P1RasV}9zn>vd0`^J2pGo9RbI896xaEbv*C*H^9SH}kp7S2E4+8{Kwyj=RKN?e21a+r8WUl=}tu zA7O#?tQA@QEFo)W*5g^vWgW^gW!tj9l6`BoBiossmF>$`vLDZWI(uLCGua2S4`%me zAI?6KePvEk&YT=uPI699&UbV6<@_rw)|YcQ=bfAxx!Jjexkb6fx!&B>xp(B=mAgLo zXSw@wpUFLtdoY(v;W#I3c2mltl>Wsh7psf^y4aL@W$KqwOH$XQZc6=D>aNsZroNc^ za_Xz8Z=`;Z%G=}YSJ~&Cv@3o8euiLxqN9@P!Z`e=S-?3k^bB-p52wU!T z{HtTw@t$Mc5u28nmY%jGZFSn+Y4@c)n06%XblQKUeULVmHl7wro1cDLx-Y#Uy*XV- zZ%f~n-jUv!-kp9d{Y3hy^wa5Q(pO}ZXEbMQ$=H_Bk{Z03e+(+HcthHHtvYyO3ob^W5TUn!7?K_ZMYS%AAN70fFy*Tn;d3Gf+NYnt%olHXHH2;IcguVkJ&?Z zp4H89Bs&&59F8nUp`+Nb+Hseo*3sY)h&cL*S|DnHs0E@Hh*}_Ofv5$d7KmCPYJsQ) I{*xB?pA9;(xBvhE literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll new file mode 100644 index 0000000000000000000000000000000000000000..9afbaed18ad503f756212b4d24899a29d5c62d6d GIT binary patch literal 45056 zcmeFa4_s8oxj%fCU0{KQT@@4!#x-J^gv0emi}SVexOLFR!U>w6AYi_dr9%TKnpX`ucUe{r)O@gHUg;t+(ecEwZm&S6MYH zGBP~gkNQpJ{I-4nB?`x>m0bwf$JQ&&VlP3xXyv=9JtPb>m0bwf$JQ& z&Vm0AIZ!O05znM{yLt?0wA*zwbvtM4cD-{~G)27&u^;Ysoy=xYACcvA^|+N=qc~1H zV3Pk@)`|L#b{2_eZm;9&ij@pjXqH^>$gX$Xb*0M8K*q4xG|UN;YjV+s5~kx&E=6*j zqrLwLv@aJ77b<^68n`9bkX$^ptj?euVHv>JD>n6p@{?=UQ}shSn6g)|?xyOlVYl+* z%hd~p3Q_%uvDFh%{ROHn`>QwU)yGlw;^Ae=1DC72hTN#`zPfr3RbT9{o~2hm4#qIz zZ_)Ex+WDC^X9#eHp22Wy7S=N*Ot16|DuK5VEb5y!o;ZCP31ljIbcP}@QF5L46`U+o z_UH&|;=TjS2mOgkO6>I~UZ%u<`V;3V@w`8g@h?a`v!HWlAs0HrH`V zj}8FtN7u}L5;b1bGaz2~GWi&gT*Ec@p@hAxm;8jc>;WO-uj!W)V(C@YR8s8+bi}AV z6}5d3W?w;Hq3@{|0JzN8@-iaioGz?sC5%r|{bh=sA&rw6Qn&Ieor0QF;$ga;kXrTv zRQA!Y*kMO6-dQHQ`XFtxkB}nc^fE;ZCZ@znu9LD3UvOG7%TKYYtZiw&Nyi~Giljnw z0I*NWxA-9;Jo9ZjSa(h|y20rM-SgvE!jJl-lphoCMCi2G9+l?m+d2Wrfjcl*bpb2r6FW?Jd*c2m=OOFkUr^&NsxcEL zR`2HEe6eRZTWpV(T*hJe_& z+yK?_9fq7FK0ukvkEkj0s}NnL4!u6_%MI7u%A%|BV0I@k80_oxJPHZg8Jq{C02)H{fq^wIAq&^0&9>dev~W^E28KC%HdHpYwmV2Cjf{t2=%hdW^@gA zaT++pMgVwcGZqhb1z~<2#_*t43T(!L;VuK_;9(}?VDA5wQ<+9HvXE{ zCR|f1`kGoX*VM9KQ)~J)wQjnm){JXv#a~lv<~6m<*VKXzyNZjhYieP1jdH`>@i7E| zxZ8R)liFP7)}T?)Zr2crvl=!=@t8#=Jk2%KI8lFNZN)>am-(vx9&+8$4@sx@+Zuiq zVQs}00PCxA@eJ0J!y5h|i$<44azSVY{LK{%FgY2JiwB&=HmDbunPx=v%5iMl2T+&P z6V*A{@|5>E&gQ^;0>Lsf=|TU7#Q_b1rM`;pU}D;K3biz*eTO?fFmL++DPS3j z*iS1%fb^RI!Zh(v1ZGyu-Dn|pCTpx0*1hyAChFQm7a~uy_ESCH2wqG1QjD2$s9U83i`E*a8%-caiEr_fW-HU~bK^ z==p7UVe=zLDX{nlSP=_$!29!l-WQ)|96T>Jowqd=ImPhiMm-7;=j&C68& zdsc2{N)HgI_8MjH^H&Q9p!#&p9?JiTp5MQWWMGU?1PF1RXB{}dt?4`mBAW^^6X7L5 zN|0hgIlw3#z-$}VgrPGe_8G9ahD;9~>)&?PF7EjR@G8V+=-9`2qv~%l!=g~Kw2;84 z&rqv}wVCI=oK2fKz<$rLUxodiX1{&x_ayu6WxwyR-=pmJF#GMH-xSlc_~qlx3Iu~I zE$tMy#GCpy-h?cZ5{Imo_EKSDT5i0VCoq%pL%>nqpB?R;B{C{HQx1bM8zV}TzEHg= zu4Pa#))`ibT~_hKfs#5-OR?=iD=~>^ag|=nBfhQ4d6r$-e6ZM~sp-2!es+oS9UI4$ z$V18u#M%a%Qe&l;XK86~3I@D7Ue1dHuOi%voeHYsxKg=WthR9Ft2%wT@fJ5Iv`|o{ zjAIo$mE@^JlVIqiGU@>f%1bv;xvjGGD$K;($oUq>n;W9H_0#hm^wVNs z`Hg21dgKEw2Kkh1ma|RnPB}N;w6h&d=-ffSwe4RnFml12&)&?j+>RmJOzmNgqiy}R zGl#)bpKHLa#bkgRK5S96m{dGf%dU^BoxvYt0v4P|C`c%jn1#fLNPI|%=}3Hl#0Qj! zMxqaiK1zh{=tZQud@Zxl5g?rnq~egq-yjYJ3FE89rXw65AvT@l_~1Ij3N;k7vJtE= z@%V(keT3pg{FUz{EUVns*Rk)%&lmJFL_dS{^Ev$txRvFQ42&f4KwQf~K1ebgB(X6Y zgsoakE}E_5cE&=ils3pbWW|cDF~{woEGWdt$%vVT>bQflY&-4vl_KNuOUvz!opE^5 zVzPl~XEfwS*>V%d?K}^xI!%kD zvb10~5DLM{vjA5@sNx+UOnnO+ID~gnB$t^>WWnN*n{1HWFfo&Aaq7+Dhyl_$5+vNT zBNlW(^1?hNMcPq3Sn!~j!Dz@9t#6i4I%EcfY6R-bB0yw?xgK|sa(V3v9qn}-@u!Al+4^KVWMnaT+|s_2MkgPm zJO)H)$Ql@~`6xv_s$S%HFKwzBV8!ws%aOe`cZUV35|5neM$)(5Qp06~77nv=l;expZv9W%qX0WaBlBmNM-DVyOzovpCa) z2Tq(xRB?5Noj7G<>kb}z9TJ6ptN{Dv@(+^5+TPw8-~hQ8(=A_|z&NkslhaJ!lHzxVxpMVc%nhTu>&J zL9-9YmI;GwgCsoManV#aZ&A5aU~#^^!6-FZtOL0j*9?Q{%;sK7TQw{SbR!Ie;1f3L&7)CSWwnTPBz!_GrSR*;qCt zZ8n1#`BH~wS}JPuHW=&XmD76Y6gF;WtS!$0MFM7ctQUwvOe%}+aUKGh8-gYD`H-<} zSiS@VRNeW-h9LP1=iB^)m~-Sple2IP^=|-?_r%AHw&T;6U#5N>quyT}p#J#uC$FHs z(8N%h1CaC#FbNp$GLcQ@E|c>v zv#`2tai~eu?5zdXk&ae8H_r4nSveuSh6NWXjwFP3s?I5vhX}31NPh>_8L*Dc;&~eIY%(wiC z1fPwCqC)Lmy_{9?GbhY#W=Vg|Y@7H(E4^YJubgcg$zPxYvPe z0gDz%gTxt3ecE6Ym1sGVs!EYGm{cQxc2bd)LfwQ?I_MuNW11-{K|>y2#v=fxv5`MX zJT-uE0a057k#C9;k7y@86$^$mNjR_CAmo^I9D^qmB(c!jK`qI>&iADW9u=p%g%k7Il+uPK&qcm zy_*B|B3T)O{}k|1N-74l+}rXVK>6q*<&Q{~%6Ho&gS@~drfLG_$bKs_rKya{1vc3x zexYHp%ty^--XlV!znMBa8Yyo%xFz`5sfFqVtSRN?7fo__ z0`$*WEEhXQOiRR(d0R2?S2-_OnaQ8Xm2HbdOyUTJa75FsBOTtR2(A@5LIfL%@{tptg*itb zL3`Mi)?D?@F@TZ2C4pfZ_AMxtVc%XxEwbN4``#0uhLH^m%ajNyTv|MC7$ifn2?NGs zz9k0AN*>1hk)v7;`ZgP+EMbasDv7)>9=M!rcnhKaxdu6s**D+B27*2WB5A%U@i;VR zhmh#YmE0I|Zhczw1ZK097?;j&zCzR|CpLlkYtvw>Igo={&Wur{SMbf=rmvKp-BO;7 zzpc(x!`b~)t4E7j!LUhr79kodv*W#%cF0rT0?1Qm-Mrd%n%?g5H7ByU%{44#o26`%*lxZH?738D>iEcN z+>B`+vn!k3{j^}gV8)2<|1P-g`&T*{>HK12hHs%w%pcOk)-zbBYrfWDMBUlL1Bk%F z*5S`!{LVLZdlh6*W-y`kX{JvClYgm6 zUTOwPi5^Vy!!*cX&PX_7z)TM#p!M;efhTekS?Opd_bpBu9$gHSo%h9Iinq!4vifB< zsnje%*_N85yUqA@mYRi?qtodG|8zRt+ce>t>C~6IJy+-Q+bZT;09-qjHZ#hhNdmJT z83|}`<|bnZNsA3M42vzkJcBfUSjtTv>R$*ZLfbAtWc8&JN6Rd?0yD4h&M8 zRAwPXhYaXCTI8%6bPeNGv{1i=VZRb&1>lf#saaSE)zWyQuKbRiPb$(LCf%{Bv#1y&V@rIrGqVpm%_=@h zTkMbclRM=vc@)@E=TO}<>m{=F2yp3%5 z-4vw@52eoEl=5*NkhUc3IkctH|49aL0~;3D}u;R-DTz{Ya7f;2sUNa+o~-WE;-su zr4T94EajQ}MhDv6!N>p%=zocV?HX-QnFV51=U`rBHnvT$IxGe;7kW!q-9URLFov43 zINtN1CAmjDW%OPQ66RL_)NJ6BY^YT&gUqV@{ zq2dyYU3?2R53^Wp;-rvrxpURM*jp*kx?Cw5@i+z@?>V%zly>lWYr&3PKL&eDuV62i zD(71UJ48Man{4d=tZro%*(!+fQx~XHD3=qk3kl+*+{y%hkq{Iyl;>o~w)~t`lsow# zxf`OP8zK&1ka??A4&4)|cWoh1aLw&f*%&H+%XQ8ml^wP2 zUS-G|LtJN_$*nv`D8~@j$%r$d(eW^(X|6s@w7%R0N8$fhtHeu79?s%diI;BUlf_GU z{BrTqB0gNav{;xs;yS0gyju-(gxkGagJ%2kf`l2G>!?`O%ZXJdIe9?+#)#{4^(OIB z4L?b|w1%o}Vr}Mtrhhtq>MB>sWoN`o zcUW7t0-(#)Z|Hvr56JZWA_Ha)6bVtS&(Ndq7n%4~gT+e;SPD0pwF10~VUKbIc;wzv ztST`fG@0RI=J+t!PRk&iTjBp?4}n)~sWTNR?W9N0(H$gvZKf4sAH7?6F3tldo+fFo z)2%n=G3@IvWB*m^)W=XkfXU1cxRQYsFp%e{tTlw_7!@ei+Oq#fYe8dL6Pr$h#muXE zsfrI1FS)EOUJUfn);Dy8ROv*%1t%6*0A|tJI=D3?PiNDO0azh;I%L(#$bD7^2ILh? zA#>)nOko1gm;#v~rl`N7@mZuaklzdxmcleQ2r-~{Yi%jOljb_?y_xg1&^vQm-*lbR zgm?0xwKsu3P;33S0tONV_3b+Ecc^+HwOb*j9~<`d!UokJL6divsI0d(?<7%DjMioa zUC+*Ks-{Q>XdXs5OK%>gQI^B9xu0mmD@ttRlM_7 zSzCTW?Ckb!GW7odPb643K>`F=)gVJ5U9PhRb)0`R)Bw3IW#XkoYl{n1_)C`PCEiP$ zz*7EB@1+&|ZQ{r#92!C|INn^hZdLz8KX}1#k&+7d($c!bd;7lxfFDU1Wy;u^o~hfU zzI~b6eMi9$Z-JuK*?q33>o_j z=unKu|KiIHe%c&6e~F+ZA6H+q?(S(jDtBC6tp3nD4}yt1To3>d!K_qGSk1gclA#G_ zB;lx1gwoPd#>c3D5L%m`21A$(PNB+}e4t+Vt#VJD0gc>aeX~qC@qygaanWdfb8+O; zj<;Hl@%Pc|6qb3LKIZrweYR+I^o!H z;y4V}{a{zM6q1*x8Zj~?;hp|UC{ifm{*@GiXt%PHFqEbVN3G4b;8B;Mhv}`k4x^V) zaScci6*%|BhgFMquKzyDLA(MnO((i06CgMJ27UZ}r8G^U=Gf~Nn~s8+R!9QV@hyKL zK^)m6EDa1!YmFCKMM^|0QK&`+l-5kjCL_{L#5l|$7oV&%l`1a)tO!SOq~eoG6A1Hb z#-ac^rjqu7G1rduGH7-oM!b~TROH8JELD~RpK8VFp>Rz&sR_M`5g9suCUdOrP%PJA)(?{#7WbprEfYSWsIkBsU(U&g*;AE~Kle3#VCj_MgHE=c{aUwe9(pW#gRP0Bvzefn$p^Q zgmnwzd@GZxqa0jzIE`7*ivwf@IO=6mZQiDHOu|f3s%os3Qb$~>26Mh(QRg#BKSdnt zEw%0r$#Zr>ThLOVIIxK7)W<|DgM1y3fQ!m=_7G4Om=6P~CfKl25(?lT@NP2H3%T+@ zTS#-ewWVYbb8Djhk}!rgat-B35 zQh4VwMWu?&v#2r0gc;5Wv+^J8HTvCw$gyc5%A1fidB~%@8jTv{X8ed&tju;%h=poO z&5t-6?cW`+*$rX63YA&GPswQDtr;7wEjQr>{?dTqsahNd#UlpQ{L3(8iARF!4EMAx zG%QT-v^LWqb)K*`zlYfRX1h4F{NV=vK2b^FU7|9XpCc+!d|bY@cJ+0ZNmKi1rcHf*up?V&5)Wpp-^V&!XcSM4h#wA%2ZOd9@d8qP1hyEA_#UA& zqNl$BofMV(`TTr-mZ(JV<3%Mz$R7MnyWsTxHoLzF#c5?j!YsJOsnKm8YWp;;Kf|9r zDUf}H%f?EKP7|OiAL=;XKN-*7-+KGUA+C7=bs;f@5DVqM@B*-PIbd_X)kN;9DY7eC z3$6q+rS%qRg-ME)R+yy~aZXQ`cZQg*CbLG2;KWOKteIKJl;k)eShae~lRl zdvM!erxEg}1#LCtDUU!m%ALNuwc7Tz=zhn=Ao=%_FoZ*gWdp|ja&er`l8vt8G?>rQ z^Web@V?iwaMn3mL&bCx+vKyqPGv%v%kB#$gE8Zd%n0Y%gHNh@sz5oc0pX9^wH{}V; z;-%P!W3?G#jNFA1xr6yqVISXd9-C(r1ZhWWqH$Qc#!M%c4}&MIhe}S z58&FTPJ7XGHF`ZClh1EZ6>j%jnnQm{&xyVom~FA^aSr(6W^DtKzahJ@>ww)_gG*ceU+Ji1%+ zWFrsOE$?;ZnYIbRb%QI^aJe)8LhlXjV*B5Km;+*YNYpfb5=^iD zW-QE+E@hFAKg7Gll&xCDA)^qEN3&|euXllX$++c*8Y5hbG12S8ND~j_c9y(JY-aBd zW}zdWKq=kKm5N=aZ6n0FEq{gSgWSFf5+xqEonOP&T23KHyhCdXN$=#xXJqn*g(b>L zvem%2W>6KM>DMH^I0gA9_U@hMq?Fktm*%=K<#whQG6_TKG(N2ub|2J~l~R{?UM7E2 zv9cDGoSiV+Fz_$?ATSE$25Z(JLrUgl#+{lc_cL!7Lp+!4m{A7x~Ryb6aX6? zpn~br)aq7#dy+bZldjHlpwO(`OB4p+$!=|W)Lr6HpoLIt7>8Q(rIg!&x_s5nTM+5~ zGr0gKsG^HX#ObhFve~SgL=82}EgEl@=0fCXlaqRrklW@qWP883iN6&af|*$O4?eQv zMb2j8Et&zAy^uCr>%_cl_WV2ctR7(r6E<;qgdi_lUBGgJS#g^!+q(OYgW^~u!ELeF z8ln|DABI-KykCR~hntTJ#(dR`HcZ}X4Hl1B&YOyH*9SV=Yy=xjaK>!)EX(gf{#fGW z;%F_X+hwMHIo|W8t`tn!a5NA}x5Ioc%!Hwe1&3U2^7jpgT5HRFqv*Hl5*o`!?mn9 zRX({`N&llhWS}GY8AV0Kbj~RrP};j#)*#NNFr~ zUIG=P6!RIW)8uYU?5VNBCHfgm=+2gvV&%ji{KFZ?T?W;NVo!TZY`yg&Ldl=SRfK|`Hjy7R5 zQS%xroq`N)_Zjp_hjA-rO-=hU6o zXA(>(oA5eT9rT~a_K+1A?j#uDMoU8&oLvn;I-)pV8Q(#kbgyuf_Y!`J8P#;>VEOZpY7Jg!KqBj{%* zOHltaw09EW9fZRO2N3omyoT^Hf}H#KX({)K(})$s3gR<}&mbN^Jb?H-;`4}y5Dy`S z$La~FdLO#Y83&9*0ZwStVH-jmLLve*`l|ASo!hCmW1sb&`*T3!72E(T0YgD`;5mS>!C ztaYJr2@o$O&g~w9mgw@AUw%iQ28o|B?mspLa{CxKP>zhuibIxIZbx1B9p_cz83X2TU1jAqrdSiL3~I);qKF1c5{qzTJ$ z%w(b1W#4v@E$4otE_jn_l_;U_=*wV-e;Mqv{F+efNF{@Fe`EC6)$llAH~wB#Iz9@P z-`Cw%bmFxH2T!ry@-!}!dt@BWa+|;t0T6SMtQq6CZG+!-m%-ZXffUgC%O*7qNlgRn zm=opgvh$X@AWxz4Gb~^+v&#@AFw<*o?tmo1>O@s=Fr>+Bee@&b_*%|f!m6NPH~}Xf z3v7+I;t}SnwlMF-a3NH44Rmpl*{$YmxySbuK_(p9c98?UTMpB-$a1jQK931XPkG$3 zQJbBp&rIm_0vMkSxb)S%g{~GPxGYYWt)b09_gkIa))q2vr8SxP^19#^X)d#{_%eGK zxB9&R(7A|v8fv$%;JgRc5SxYt&*esd`aF7sqiE_+E(1SJR+A~X=~1d?>JIO0o(|@E zQ>R#)e~SrUZz~QhNSX9%)QJhMfv*x=vJ13hT$I#Bx3sSkLicc3&8Q*Ht|pkwc2Ws> zE{~8sY$q8_$nZ5C7B`8xZ7KXFVA#a1KCihKrMG<2W<6WQJ?1TU$0`V5x_2tbgvy{D#~_yFf69LL8T%dj>d01I|yTU%(R+ZDuzTkJRu(f=Pv zSq#-bwHW9i8_r~W28~mS;|4gb5u$B~#X$}_Oz{`i5ep7*KpU(fxqUz`u?+5iM3^lv zv3bOc8V+J!?9iB%B}1RYGTv5$_w`|++_uzI?mhL0_u?b4j2y8`C6;YlV`<W&HnH6nmS>>@8xS>^L$HA|V`?pdHwzmp zI^bat%sAwcyemkUC2qyZ(@-2Mp}xo=&O9>??`}1ry=*CDFwc_BkJF;$5EvP($RLFG zc2Y)bED&jVrufeoJLFDg(@{?`V`v;sbHR>LGT7nuz$he;BT@8b6W6y7)89vTcCOCjB>}S8C?mT{2lrH^n5->A49ajkfxIQ z>YoY5=+~**RornqkCJS1e( z!8djn2^WpRw~-GA{1`M09F0j66$Tu`9AirV`p^Q znyOiKT!|)N<~j|PGoT6Qp?I{ISD>t53%J0K#|~S!&Ivc*u@d?Eyy8|4($OoqyZ`q< zqg(6>v}`quEOzvo%(x!%JlZa8a{=s}{FcR_FRNNz5xy}iD{oaqers-$N!SF@;|E=q zAilmXs7@{Wx8-2>Rp^@viq>O@E^An zGjD<9aYBRwDZm9CN_7^JS=jLj*0ME;&16B8?pRO$hpG86<4aRh@vF2#AYm_d{>|Dl zh?+H<0H9xFa>8*l9`dn+vgF9a_# z(L8^{4m%>}Z}{;E9a0Qg^HF~%+3r<~bO)uSJ19lAW;tcM7aRTJx_SHTXj4nM9mEyY zYyondw`FtF@1c;!rLBp#2@yM})O+7NPBrU~c8(dWQC&+(WHpaoS<~7)55tveTU%1_ zw1c1sL;syfd9z@QxS6u!b&AKiOO%i4&0twzZML99OQ9m=27E%IM0p>hCEKke_rQLO zgJ-|lNoCgN(_mnUTRDaSR%a~H`=FeF{$NDXX8QnE`9?H%hkzSGdF3U_dAK1;f1W7! z)E=}&K{GJ72#zBq@aFW+Euk4{4;%B;&%9ab!je3ge*g_0!p}pz$A=O8;Fm$q5$Ftn z{*VxV4IDf}W;l--0_&S0=mWhFK8_Ji%u%Kg;q-(q64l@}W11aT|6p-q$LF*(=A~iF z*~Q=zspvYxy>ec(Gnm9nusDNB*sRS#C{4>GVX-zJ2A{02N8Sjg6;`KZ+K73tN5)YS z@f3d?OR+E&Inj9XP|-wx5s6o!yGV@={yH+c}bk}Xw!}OzQ$wwu();hSf6;E;zL_+ zboSuVEFGinboOi+bRK&6b7#+1GeJHD2u#?J0b`ztenV>86^NVjkYIHjbOvV=ub=6F zZ>)ooZc0Agp?*g5T9d^9FQ2W%+QM%8z$I5^6RT`Sc|eHh_%KL*l%Ulx_DFs{`2-eA zwa3Y+=Ubq8I);Mei*=#KAzyR)%%?T2{0A4XD~K(37RNP3J=h+au;1v4!#V4VYIq=J z?18~JSV$F{pqb3_?&Z`#h`hTMvGd4QyVxJ9-T<2~xf8==OA8|)6c5zAu>g)hSTqx4 zSG*KSY@`0VZqfA?d%j)oywR1olRB;zGf-l#4obhlq+yJ%F`(4S=Ez`f<;}s1cCseN zy+H{$RihI2=ddg(lCbECk`~&B57Rkavs&kSAs%m%lQmk)dM(T{&}+c-L%RM|Rdp}&bw)nI87d={)7+2C(U9yi+7DZtNe9^}7q zVLxkWJjJZ3ZfTOfe6H3Xx)ug;<(>XSd1tG?l=|myK3eZycA$H-2H?KFkICp`yxzwN zm;0E*xR%h->B|`*{Osw=(I@IM<*`LrEQ~O;A#5eBg83=M`vP8wfIBXA$Z@=fTL8@^ z^mKer4_;MTBHtjkC(h5@1JBf!vp$#N#zNpZFWd82TjBv(myOfO`<{a@M{H6I5<__I zrJqStU%>Xy`sioql(*?qOa#Belds^jwsFnJtzQ2+rP8}z`UK% zWIMYz{4FQPo5tZh82neAIFLDPYUsdTr91d(^cj?M?w!WX5keTf(lH>cE$gsv*);YQWLXu)XxsOKN1=)Z3v& z`6%UaR8;6N5ezhRWtkmMp*@Q@lOV8^8WCU{p=Tmco4JRv`pYXbUt^iWf^`SY;FrPK z_Uw)qFnIWzM8$-|yh)u@7`n%V$+dIOc=l_8ZLV|AIC}QfWtW0fdVhFpexey) zc2X}GC(ws-zl{b$>T{hb!NnkA-561rNJ7)j%yO;G!DpaQvz=WH=i6MLcePN;jv+d? z$m1(MXWfnCH0{ogjZ<-zZ!ZJQ*<;6_{0Hnv&%GqSg^laEpUt1&HeYLQ7mk+Si!ai& zocRLpAcfwG-ZU9nfVRYqU1BB{XAA~MG4;}t(PFF@sFK3NXvtt;3Aq}khSu`AB0CiUxB3_Fb5zJ>=j4C^ z`_x5#u82c94U@&8dp1S~aP@dQ?5(W~(=>6YqG5tK^w7qr0JwEY|3k-}i)b;za)gx#l?ZDPc!X~w;Jy5B_&*4f_8fk9A?!goOgaA>{ttG#BJnwP zHe`NHWiu(Zb}x_*IWNIZld>1jH7k(q(XdzcUrl4tmC} zYNB?OuH^DjJ17?!!T92W+zStKY#`w124SN$DQ1MB!-p|fTRgbp`A|ub{0A)iqc3#P zS_rEod=~@bMp&m#ZwtvIn;t(CD)m;qTu_uo7;fY?~D6Xuet^WcMD(KyGmciuyxQP{y+7lP)J}YG!bW4^kvtdG5_9F~-}8T^)!Tn_%47Xcyb^JwPyX}9$SXb#R}@T6bbatG~pRc#m?+$87(rUvMI^ zDDl)89oj1YeX86s_o?n2%*NrxNVn4POPv=u#3YVHZ<)kkwArsH9oS5>M5!463KzIW zwU?bm#Ra#@z2E}P?R8qsCJ1s(>pBeJ9pEJAu9Rj{ES{l7qg18rSP4!J#9Aq%U_k!YpDR@UNGBn%( z*1Z`po9lJ@e?(2w0h1^3kX9BenAvJaa>e3A2m_W~cHOvX&W8CaR=2WCu0GiES7XiS z%YX-2Y&XUaD}#G*Nw-Ro&r8BdPZ2i!3gfUEfkj=XT&2({7!e*H_yum&gg)5BK#~O~ z8Ut8?l8D6OmkeaoTk>Z4st)7p4wd-E0RGrgj;*mRoa~^QRs0QRXWSzBa2mW9 za3}h&ANrjb#;!KE2`db1^RoaGtA=nh370BtocuQb0G1cR{e%1S`KfK$!5QIDCpGXTz5Rd;Y3GdXD4bg@r>s}x^Aqi3ogZ#Zoi$B#)$6d?a!hHht@J9 z1KDoe%6U4})=vf-8FyOG8;WtkH_^m=ZSb58p(=a@VcvP+g2`f1gW@l>8epr$C3IYG zG4?n+a8cMQz6CH?S=+b__?KvzpApGNK(}bP0`nat7p1|!hGS#4Y;S5fj=Q>SLF%fm z(8z^AqBiP%0j$r36?edk7O!{6f724CzJ>TM7G1<(7dZ3z2{1E)Ka+q)j#vnTVz7Fv zv&kYvc$>6vA-F3vT#bcife!yG--r8-OfM+GK`gWj`azQQk%d?osy1+!N1sD>Wuucp ztsx0b7Wfw7r~69XaIjN9e_Vs%%qhYzz(d+`wY1~1Hy_m^14`oJCp_*f^@)$Q|{WWY3w^bjzQ;C@()|Z7^FN!W_))F+prD0tMW3;x zOZ~8d3^n1lC05h~35)9zD0jUjxR>a{Xsr6K$n3Mhg5TdyBLZK`z#uA8CIcJJ?q@f_ z+RQR0f}$dYhp^$~5Qe)QZ&x0;@aG!_FZ>zbe$WE4N=S4OW=>G5Z7x!JFS6Eg%vz1S ztoNA@;^64b-+=oH=j1}^o@X&Bdt9YNa;*CbD$BS~#T}%%(3*G1pVG&T5(KzT<}SQ^ zR}@!ON|b0FLEx_5di}0mq|fw2-^x5(-<-QpeOvtU4!KhZy3orGfV1WJVY)*AX)s^d zPt&-NAqS-!gjwP3{LSeVI6D}AnxERC*wS-?gvrPpmtKKm;IKB}o3i1jh2t_D`JLNu zXg}7M-HEl~e#imGN4Evd2a^6AROq{~pTvmYRV@dJ`w91PF zDcz1`=T|*^6{fBm(o!-o0r92EEbQqpq}+NbP7vnN;X15avWk=feBGc_9%3hJ?{q6! zNIJVqH%yX4ycwyPg4I*xR{j&)NQeWiZ?HH}Psky@+*E^pgpM6w#njUJRZ~lxKDEGy zpIP}F44Zkd`5EplV)KfA#si1)V%hM=dD5u_quvCYpgzB#ERK~~n{NVdx`U~l7?Rc$ zz12UfD5O|Ram6co2bI#?GgTAfNVSidb#z4ie@0z4>6~Cl?4y&8-2<};O;WIhjhS@p z`lLfws7^cI*Kd~5r(;Yv=o3%VtNO%q0N-h*2}Xs_LZ5Losfp#tWvA1cPV&{5({Qhe zTA*pij~6^GKxbKzg-t!g3VA>hdf}c)9&|;T`0TW%IBPT66r4ib!#C<(ySfSvm$y6t z5Sp`ku9~y_cRzflIXcY;|4XO&ByK1(n#YgQj6s1;$Y}k2{x!_*!s)9>1Hn&&9*;*b zc|2-}PE1Kr4RbzFugD!iz9nn(H=%CjuKqO?Er$~qOTU)mCBC~f9HmXgeZ_3~>>|kN zIV4sI!3iktl=qXGgv1n+Pp4*AJ*^zf%It8CE5r0lpA#g_dm+aJmBa19dxsGbh|Org zCI#)GAxQRhWZB$xBQ>u8L8X?0T+YANL5W>nVV2ihpoie)!vR$O7T@d*vgPLzMln8| zEpGWa{Gj7&48Shu{@ko&qqesE=VrCD@(E_b!&uPQ^Of`!d7R4JY@&uBI`~M(GD{jh zLlw+u>ntk#RXglzYPkOa=MCsn3kyurgO<{J@m1Nwhf5YDC$3nKn8+3u_@b8wAKAf2 z99-rL*{qY+mPgP8`^+RAXu$z)X~D4EXpz5VrXE!IEE~ivPnbB~RZ{GG(55Ya!c>O4 z&X$OF-mxhIP({j5VMY-Tm{5w@;?FE$Mm2o)0B6yZ3VJ`LC!_@y)HS05isac(s5|yh z-_$tP6E%jAliTiDy?zeaM7DE|gxp+TSfDh-Uw6ZZO6|3zqptQDpA5B7e zf$>2r`Zp0+Q{2{2c$e2u)e8^j_*@6vOesF+C%2Fa&TYLNcVdGYZt}SfrIsDyr{Ib~ z`U&{V3v6v+>E;Gg`k@W~o=r!;KddvVFB0`htip@_3eV^jzK3fJtb#fHkoD0`c+srV z2mKG>v8af+fRj7{os1h-8TmQ|N>cSr_=tw7nq~>uvs?INt|WRj_%*ucK%Bo5KmV-Ya!9zPq98=swsk z?jU17!*#`+GLf>!s%{byeM9rwRg(jR54TU~vru$Ct++0e6m9_&DLD@=l;U6mCLqDg zJ9p647{_}!)?|IM6JAQB1dChwAzoDJPAbE9M3&>Dc>LtFOt$bCr~CpUgbrr~?BJL{ zTgWF|XHxF`85=aLBLBXWs@D-FpeWj3#E=}2QWM|;%cKUtAKIQNM@fL`s#fWi1>!u8 z&%#nBS)2X3FXMIP=h!BfQf7p_(3Hn)5bA*3#XfZ<3@gitTDn%1p{78`N~YJ<fQ^v}IuF#uj3C zkTu9dwSQZo#2dbp@|QdZ8`X&N6T?xu&5ZPkg>oc2mHV7^7lgi6hk#kxdlR4Du>GN<0VdT z;})1gLtP5N*KcSc*pE9{@^9eNF4s>R6_>QU=fNjAVV_Z!0##{09jAefD;CpyRFN_X zDwg{DFHf2B9Kq^MZ=hr#2r@# zHAvsd=)b=C+P$5AZ>_H~9t%o3b66zzf&yB`>H8dP$iwHS{((Q%e2_2nZ+$+A==I2* z9e=TvMD)tx`^b}vW6u{HZ{gm-Me(dgPTjX1UD)|-J4Jq7A#Nsf@1CFtXVbQQ2#$D# z1FOpK#imG}Kb+dj&w;3amU@!^2K=HQVIPI87-XG9RtU1*QNOpUT&H;c2=fD*l_~W-Zt_R_BiR1bZjv(wucopG!gnvPJ z6oE&$AK{Hh@ev|~K7;`T4t2*P*b!zSWFXvyP=Zj6z#}vx{0qW!2(KZyfoD%E$9;_F zA%t)|+Y!8rC(~IW7{mrsa#578U6^l;nyQEm=4w$>lCB z$XT@Ha?Y|jiOH#v;dj`D`ilG4RN47;_S*VdzP4gb?L$@enyQNR3=Kzca|y0~9dECy zUne|JV{cqvvAW7$jkedU+gLlMVg+BjuKsepIbT_?k+1xkdMQ+Iz0go)-%#7Y3l(eZ z)k6Jh!fjt&v1Scxfase2mH5lo)mLq*|!1;p#>8`psk zl~o&RSC4`9^Qdam`l{7@RVCxhWiE2WpCrZ~0WJDr)(UI*TE3>Cs-hAcTK(YF@L$ar zf2GO_z5?V%hF9EQzph~|7_h!!-2)93Ywdhh!`j;VEBTzr`tx_+PgOlQxUPO}RXq=U zpf!>8=kLN+G&~>>U}J#4iOIyL>NV@oT>S&~_3LWuc_s$PsjRBh`B_lAx?x@8x@z8D zQrk$cYC%GReVJcC7uVk3P|>iNWHuZ^8OU~#+)fVhX`}CX3gR<0==xSfOHG> z57w{SSf2%17U~Pu)h`#SJXMv;YlOUp+M)^`b?&OD&#St>prRpXeM3RT=DURYyM#44 z!UIKB>zA(Pm#o{6TeTWs-12)kZebO_xT2BIff#M5a<5x2tY>+8+EZI!xo#s@P}SI2 z@j%u5b(?ZHp|PsLkvyw%%^Jd>|6zlqy`g>XP&WLq0TX&KhV1ZoL6Axg2`@9w@6t^-!m4*|>(^fJ%zc%c`|h z&fP%i#p|H^Sdu$L(!5~Z+O?o-aczB74u=yKReVWRLnCw~$34SZ$*pSS8`f>+e#lY_ zppDQWZeLJ|u2b{CChkY9j6HI{3Y6&NanG}|WmS4|3Znrdhz(wjyMbG4Z(WOSNhR%F_g}$ zT~oDu^ZF{zt(R6{PFPP2%i-cFr(j*Bu%?QjmOyXhU>(3wk6f2^UlEVD`#@t3_w%nP z@o>k3Jhhco3u-DFmai)y{XKs(*cC$fuSLX}|9-v)?~co@ZKUaDIn)1s4Y&Z)RwMU* zkf#BXMU-+|sP9FWd-^jjZ;jAcLuK=Y>T0}7jyr7_rDXZK0D0VOPJfpKn#1j5e038$ zxL?w9&H^{bB@ul~T?O=a%r`m-e*|6prB&CjsdmX$EH#D&Y)%dn&SG6(7W7p z4x+riqM>RO`lc&$0Dr%Ze{?b{sc5JrCg*T>yOu3+ExuY)W9{_D;RU2cmqDi*5C=j& z(u)z?q-&wYD=6k_*OxnzJ>?Hn)w6NxUc6{j-B9uMzv~>h&VlP3xXyw9-*I5V63+HS z`DKgw9;B}!(x&IdUsm&+YuU1;%hK&*vg{32-xO*wL)sno(Y;VO;rctU07$a06&iVa z1#KOxYag&zV*<6)&gENSkGBSE2G*HmQ>ewV z^=o>Ek@U~^i7@=#v9@}hu%?n3G#-E)jTycT2^=?8TrUGMQxSuliFNeM%G<~M2O9@; zMdGHJiOHK1nN8ykJ7ADP#LAdrz%#8yZ^_dCM~Xv6*b&3-h%7@M1^OeK0ac(=FO~T2 zoEdQ{;xNP(#DqtAM6bUW@i?R(LyV6Oa5ac0AkIJ>i`ayCGUAilI2%4F$i0C02E=O+ z$05!|yb1Aa#AK+6NBj`tXvD*aZHRGe0w=~U>s6v3pAum75sl~qdnT7WwHb^Mi4cN7 zG>0Ns5U3v%vwk3^eo$EiLZFQBQeBFz2!x*$I^|J6sgD#We>wu?(_uME=lIjK@TW93 zKdjC&e}0ia#)g97FGWmkukq)vMQle{k3hU>KpNwd0N;A{HAA^yL3zxq*Zp zQ~j|ZSC;Dd3Fi9Wbq@S@Iq;_{&i3~L$=fUhil4;?l_<~%y87QOT;Si;C4VoC4<~-@ zzh;cHtN&eDV;e?j;Gco}$Nr7Q@CbMLUl2y-Kk_e7-ws~<-~Q)?0wtLkI7?SEmj6@i zaOeJW^Q5jCj#6B9L<-uKAnN2XH(e?NX-kMXSl z_P6aveKZAg*;~CV-UaxMoqybEXQkKvY#Y(@vi2owMOY)Z25Rac_TcYMz6SVu#&r(- zqa46!rnQzWaZ=)qi8B-V#GfaAo|u$$Pf}~rYe^kRN0Q!4Qj-3b6qIaDek}P1$v;l+ zP5wN2q9fPwY>GQ|dFqPPm8n&!FQmSa`kT~asUM}@oDrY7GV|M+KgoPE^Y@vatjesq zto2zNvbJPBlGU2^c-E6y|CaS!){nDZ&iX8C{JhwC=jVMfkF&!*4;sP~Z%#~1bSCB} z7AM}HxGr%+VoTzyiF*@=6OBm|lWt79HR;Zzl%#n{uB5w?mM7IGJ(ARxv^}Xk>3Gtt zmhuv|TBiWJe$ac6LC5|#jwPUSAaD2=0 zsN-?RpHkG6vndgCY;&f~nK>tAPWGH-bE@X7pR-}kx8`h{^Z1;X=KR;3y>s@@IXvh1 zoDb$Gb8eq|eD1!qLutp;{+gzy8Pd(^QR%ViH>S@>zcoEAeSZ4N^tI`Y>5rx>=|9c- z&#Zk}zt4)Bck{f$c`N2|i5&MN`k0mIN|Y0iCH5!QCq0@}=%{jh&+)2bpW}q1diF!J zkIx>OZJsk}?%cV?)G4XUQma!Zr6r^lrq!g)O7BiToqmt=CmEd??`DK%S~IIN|10xE z<|moMnW0&htch7SWG%_MC#xdsn^_yPwu09?vVNNNA6ajJir;0umo;_XjCncpO6Glg z-sAIj&O0{my?Mj)xNMx0fF2!}I13zKo%m$pza{QU>_|M4_@_i`(zK-e!Q)Lyk0t$E z(od3JPI@&dGC4YVW%7>XX2&y*h}FU;LF_w%_!bBE`0sixGrR3UYH>c6Hwo%$T4tRq!TothS(c1zmr zX^CmG(^Ati(z4Uq(zd5PnfCp(r_(-3`#eoc8<##c{igJ}=?l_5>D$wPmi{W<$8dV2 z)8Sm;yvtecY;?-be|P@cdBEB0>~{`3jTtc+w`62yEX-J*aZiSj@pwjd=H|>tGJTmZ zX18TVMyp;}f{j|E5H~9NCH@BQ z*7^g(dfw-I;Tsk#m*u{2#?5x6uH1d+Ho02Y>`oxvKJ<7ZvMmgXgQY<{sO>j;U|}jk zw%LS}nPe^ff!47kJH~3fKfO*Qm&P;r2)>Zl^EAkW36KZVz=I+vhQ;tTRKWMJ4(ebB zG{Js20w>`&xCl3)3+}*UNI^Op`>7zJP?U+yVz<~Q{t!<@ADS~kDmg>Wm5byza-G~I z_sWCvlsqRd$!qBDZTUbxk|{Yzja1{+WHn8J3RICQQOnh8by&5jk94-4sf%?|AJy%; zL*GTu-{^FIv>!#Hv;7i(p?^R2GWPKRGiG9DmDymnn`7p*=`?rEL-WM+nM|8&qgGgJ zi|k6f#>VZ>w#ojAJiBeL?L(evZj8%u4X(+Za_#Px>v8GW29pCoN6UiBpeEQE90a_ImdmEFe1_k-FvSe}lGU?DwwtxE1bfJyu@w8zOZT$8vEC$asz*HV3cNC}3e!2v zFYxQUm!~*|uV5ag)1S#%xB`Dc4|c~;kt6bi7SqLi%%Vzc7j5DLnJuj>l}qFqc|l&6 zU9uJ(9)@J|^cMY#j{2Uz%&+!q{5rqYKkNVPzxId6uEcJ{ZpR+Q3e6l-VXDnfrorqn z`^|CFfm8?C&+K~JXfNB(U5QJ$JFWn2D-Ze?>=lS-IjJV+$yM@zq{vtrrCaF^+D3n; zmuUy>r1$7!I*B<}${N@(uN)ox*Nbw+3wSBt!ng9h{17Kl1@-t|UC<<+qDu@-nuXK3 zOa7zqfcU#Tej|_bZM>1U@B~jHofG^t#~%d^+z(`sPcR)q+IbIu&R_AtkO5gR4sszM zI2f1(b6^21fo1S5R6@7-U#!-Zx>|44asA`K1m_!K@T~9&34{bf0wIBrKu91Y5E6KA G1l|HfvvW%T literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll new file mode 100644 index 0000000000000000000000000000000000000000..04d3b84a896ac4bb124eb3ad9b73d9a93ff5fbdf GIT binary patch literal 45056 zcmeFa4_s8o)i-{ZU2uVgT@@lxOxB2L5~2ZC5L`e|7BLZBL|BO;Ap!xSNa0@pq+-@w zXt~^MW7DKf+a!%Kd1{;XZJstYHnjOJT zK5zT}e!tJ>6*D{k&YU@OX6DSyIWsr;cW>v69LJg9G>zl-z^A_~_WO^2P9Qt-##bV_ zmqPzAZI5B`AEqs@u4%N_H>|&}p?sZvO?h42dft9-rM*F@v)9zwbCwp`*R8LpoD~rf z7UxI(>Ia_(eJ(y$5O7aC`K^K>#3wxYfL= zYpSX2m6W<&9JknD;yS9HUJ%IZ=zLh5BPf2-o|lvB7aXa$E7p4ulQ-_xaUC1|M<_#al`+h&@8@k6Oa67tMNnh z)%$&Tfj>LP-7~A9qMR@1xY{tJdf^C`{4sx77zVR+FmCUy$k+}?aO98q%i_59Sq+tI z*RMff95UMB2<{oUF@IScH-Aj@TJRbNu5sWR2d;788V9a%;2H<6ao`#Uu5sWR2d;78 z|3wZI$!8qxrJeA^Gq=}rwMEKpksK%PH%qR!WY=5n+7e}AAYoW+8s>!Ss}r_!Hf1n^ zz)VUXd`ElVpA8%*=MNVs$Mtl{H6#}eEvq#sdszbToe-N&jN`AbUPZ2EcFa9(Y zcMZFh$1WAmA1Xlcrm@8nP<%TT-|R1bzh1nIiWdzpQ;IGXcMZ8wJoC!pFH!Ni{^Gam z#q9y&uhY|8+W8sPCkSx7p1^Q_Y|&dh2p=t0(M#Q~li|$kojHNCb-PYe&vm;L-8(}b zm?*i<`|?i~DF2}&s5XBGm|yls+!XnlKk@`cp7KX}DYD%kx#dwrM1Q27A{+dXJrt?& zN4W1IvdSOHqsUT!WIILX`y(ePlI)MzA4B98f25isQ~Z$^DH5qiD+YB!I@|6O)=&fbSCYEfj<&LN?m^CD(BEFml+qEnv+wOD%gri1>T@<%AgO_-gKZC_PR`jMAYf?Q`|IeZE}) zD)2r2BD`fj*J*ck93W*Ml`T*XvH~&k;}l({RO+=?&nEtzhSmj>M`~$Dp3Hv5_E@)^ z--{tH>j-5aRDKamfKig`r0ip`MW?0ldI8x-^-6gr`EeZ!Q6tSa2LOAe0*emWon-^b zoSxC09m67eA=gQ1ew4q&}dB73-Qcy zCOke4^>=@}>}q!_FETK6e~+BsBafGg&dUhqnWX$Za#4>wA5!5($|?M%V}7sWSljrH4{XMLqtOCG#|P%I@gUd*b$kQ-@A1p zkOOyMcK5BK+@fA_p9vi$wnsXTSigOdDrY6Ooq|%;yE%A~cwjhN>_Dsb%SETfeUaNf z&@=874-U_liheFO{oH8%){9io^>Zz*B_)Q&qo3jet>c3j*>%$B>UA7wGk2V_8IO)e z^9&uQ%wyw0#-mb^qSjsBd^Aa2`42Htp|r~jjlzF9&j{x@znB~4mzoa_k=Adt@c$0^ zI!mRZUY)CHu3qc6p;G0VG5=7i*kcuE{di43zUr(kIJB`=z3{Y7MoJQHM}!Z-G*abaB5;E>bSb zd8t5g2XcDAZjuC;UPTZbHkw=cLsA|DU=OOtREBOBNX+_-e=M4_9f$i6&U_tbJ2d*o zHWI1bt{!4`x9dnMBx1Mgt(++GPK>jWcM{5Bc)frCkv(qZO(x|`epynm!~0_n)1PfO z_-IIHdAzg8%!gxxAm^E-{9*O4Y$uXeYf*lv7X>s#HI^hPNX($(oInM;vuSYvPllyv zN>!r?M+C#4XC6(a#C!{hQhky8rztQw+SL%Mcs?r%E};DdN-l}LrYKIhRd&Uo4{Yc~ z^D?Cdq=@@1dYZXF`IL<>GpJVcv0&w~&M>)^NqRsovViqwxAKe*M{UfH0V*4ku~nMv zN5|5z_wj>KWAtW-XUyF$W-O3hHlu5}i!F6*h=N--W6^L|(0G8e@dn&BWBzcLVFKF2 zW);|}mzoGpUS2Bds#4Kcm9k$|YT8w$Zn~<}^s7q6T~%tvRi(^VmBPHdqFt`5N}%PVHl~uLUTG!#cVr;QW_Ij!&nTr zeSjFS425GM#8Nv9ssX~}=}_{3KrQWPmVRd6=&GGpd=tDmk zykIOcOEfjdj7pF$wuiZE(E*kM#R!5iE%Yhe@llNIvKYZ~cNn7pV#@*)t#{J&NApm` zm~U>)w&>|?=&<<_qZC;D1HL+k_H2brMw%{l*{E!FS$-gXU^VXR7&4*btdDFb_F;!w zok!)SuyWx2c|Y%q&NB|47n{!8nu_pi79y&b6Dai#c59RCUmh;V+M6}shR=tAq zkFb0*6Fh)Gv3n@_xBg;*0jNG%T|wzP^z^=EGzP{DiU1+5^K7!7-_~@V1CdPySg6nm z7$u0YLD|nJ?ZJ_XPWWi~Sy9zlYdw5B(;;1gWd>ab^Vr zgDWZN6t~2gdNnSS@y0#Si<7YdJ0X#owZq=mgZbS})}h-_+z>%dRXwSnSc%xw}MucCqr5 zjpK^tA>|JE+6J4#TbV#^X>SS!ygEV7jRmj5-OBBhQ5DOT$lYRC1_;xIv+X zoO1JcRWGVG6jx#qzZR?}( zO!{dtu=K_=@jdeX7K40BHp^Kicc+{aXWCg0hIH78tqU&ZlqYSZc?RZHD$B z$I*Fy+nGb)uMc|=Ejk^Xp(BeHor+ME?E0w68T>eY@xir*73w&w zl16kxvBxL$zD6jX$6wh_!m`?JeHn`xem#0->odiD8Z}}_s6yz;DaQ? z0UAPPgRoVL&Ox=c+|C}LQQ9#6F>b8QkD}2#C<($b;sAUmtvY5>l5OV^{7MmT;kTpL zZ0y*12q7&x3y5~UgfXOSxryU;K8Hl*Y4ogOx8vvD8}ai9$k2kHK@M89&jJMi4O-!| zG?upYMlFK!(t=_0wh6(?(*RdOsNhTxroI6V97LZK%BALFS+IEICL2a^sF*>eIQ3?6 z#DI}H5+vNTV-M)SC=B%!7ivckuppqA!Dx&#+HWkOc*t}Nun}w%ErJECQ$o97oaVBKO%{$HAHZ#9xKS9QwrusvQnY8s&q0VdWZ)xEB2vtF5m5sg z@_R4@W7wp8j6k&p=4#PAMjoc}jy-?@fmX^VQcHLfnA3@w>u9g#h(FadmaUJqV~i{Y zk6YSz(oE%}m4|@{6)tndC7+6r-U>cPM|0Q-sEpReKTMn14){+UyrQER!-yqGf*D#WAKX=!Sl*wO3H2DBey?~D6U0ze@IwAloVW_im*lf;51 z1kJ|MA!)N2#K;#rG}BU1o43JOJFkrPMyGJ@Ib&^k1}NgO+GFoP6k>r{bhq;$$lMSt zq0I-4rNi0gpF7{=@5lNh7nqy{W2k=xh`h%?VzeEbw)_(H;~Dk->;U!0 zrag8U^#vw|(kw4BNq6dDlf1|*-AU`7*mQ;yLerWo)@F8q%kTGDE(9Pc3@`~8?legS zX8bzuGz)9m7LPNDn!PpOI?~aKaN`Vbla&)9e@Ar6Zyr~{w9xBEW4@dhb3$X(TN#ke zx3rh#=ujml!~?z4%;*kHmBCb3zcg7|HE~!oTU#(}xE(a?TH3A6?;|2dJc*b-2Rcq! zjd_;e(ZFYOp|C)EThC`j{LBe;n_1LfGRr1D*GgTiuw?&JfN#YEqHf=D9N|YQyMWqOuOsWw;J1I*_re;DY9q><;G1U|nqau$l{UHF; z+{l|Gp6bWEz))L+A>R}!9@dV3BIXZil5k$NVUT0daSR++5XGi+2h}8>aK0~G?y`N5bC}!o|)gXX!998vX`f*et)}JV9X5mw^QXO#RS#LMQ=H<9P8KW==38 z4Up=`RPN?Lxd@iW;6DL;q>_pWEuUz47odDpq4Ex*CGuT1$sjMViK&`^HL}l&L}@Cc za)C{@iJxoOIP+2R!`rFbF=ARGj?CMNiND%8Xl;&wc=At@a!in>_|Z84A>iARY8pH)m7bZt zsx?P~8?g!)>e$4enHH`lj-3|_*7qmy@GS{U+t6=7s0{n|GHQ|hD(d$h|0Hw{#16J8;Zm5ic>FL( zhF}x=jYoY;43v~KjQ)`$TMqa(8>CEOvU4g8d0_%@Iob3U#`)J8q)2Apd=r}p`V@$u z^`_Y4(3~AYf-gsMW6HVpWz7><%~oMvI=lIDQD2WI!*QkKBddJyswud1c##v6%Oq`{?3-JzGAnf_Re2 zlfdF%Vv?7dfl{J?Nq&$f8B`nbhYeWiVFR>&`)A;Z+(cS4TFHHj6Ng7P1EuGEu~_15 z@=8{|)FzdfB?#LRlXRCEzs?e~uxfNUo#=R#g}W4<_}9bNkh!~18KVeytMk}5=Todw*oWf=v~9U zRSry2n^bBcL5Bp$I@;u{9&in#D_W@C!mwWmvJ7y@xzsEyglK8JK^K09kE=dD1d@+& zK2o@ac2w7^(;zAQocBTUAp0t|ms*JP8%@&J8Rs{erLUVoj!_tv1lwh`UXpg-AjVQx z-35l5@$0;cao8{I*oGy<)CdmKhGmS1Gl!;K;_zYOFaW@ZfRv*x&1RF&&*3FwIP9`X zMkyy|82fcL>e)#hXOFmIrT@I9m9(@M^I>kq`gep&R(D?7Sd;8n-C0a6tReC>sZI5=;iZ#-n_Q0~L;#C49Q5(NReY60WNa zQr<)|EM|(*g+Ph(^%6eTqr5_4962gb8DvJ77JUywvZ4k#KK3X#Ay+n*cqNWWcM@kZDokjCMMZbqDW-2U`{gc~iC;2L#qa(kO`h)Lmx&dToPQ3BjSPYFm|M z@S>x=L<*5|%~Gz(uYjP_U9s{VU_kqe6&%;-c*?X9t2+mBBeHO8f(BwSh#5RlvU&=g znZOvT#^QL_gPP zm|nzLE>+I640MQm0uI?Y|5@G2EYe#L(Hpw_j8K)^M(OQnab&8NU+xyh^s^r<_v4gpUgzh8*mC-AKYhfXW>5L9GbWCA`V=qrP5v;RJvT} z3{vS4>+aQt+%d#;)hf+iqzJcrw+7AjpBu@)=xsX7S(}%lU%XA9agt!c-U4&g zwU?PRZF1HyEb*+RO&2*Ksx2hFkPk~=z=wGAFKVi(%XQHJVQAg$5D(kMkvd7(&)*`B ztl^`?k#AtY3T80et^5Y;D^bG{#U4}HKrzXMYa}q%@u6w1^Va5*P}PYe>#dKh#*ni% zhmnzHWR11?c0|RI3TyL_3F+UiWzqY#rivpqP#%rcSzA0vBHFRISerSZ>6?b1+KSb3 z=^63jOl!+l0Cc(f41EtEfJEPK(xK)+mJr$c6a{_1$-u7~EMAPqR=CNm<)bTxJ<4I= zkx!IhSBV9o$qb7z$A?0XT8hEB71m4^2z0Tf)>NpplN>=qchJ~tGp!JNsc&J$I1ii% zP10PaTW`o^*y}H0|6S_TbQBPvGV=qjVj%ep;Ks3zY`4Wr4yHsOAPR45)9dE%^v(u0!6NIbRF)ncMoR z>zpRMl?SPP3-|-E)^{slFrpy7UFTseL@%N6MQ$rb=|_gwTA_pL3#Y}qOH}Hu%{yr* zDMo9v0=T?9YxDO437ubHcqm3b&br&^bU{Zk4~R$dRh^Pf3`E(rS900<_8@qv2JGAx zLa*YTx7ynB6X2A)eVYt@KVWQ*7jD7`5TI4V7z*ifoi(WA{j;GO$n{YsUQDpIxIl$J zXNjKUy|@W1oEA!-_Lu3f*n?>awt{&1m^3iy(e+Ju#TUjV?5B$N_m z98J&EZc^X8MD1%{@WY$0Xtj2q>&aTqt%%oyA^Sn%{%;78-g%`$=&wo_cDa6HSB-5& zZ?ug|FXhV?rq2|zn~(7sZC3AvQa)wj+@p=AwhqppBkw#6OuWIu000q8OVxzk z%v&@vG~tXS98n69TT;UK7#SFZ*5)U{5HZ^btg&^)*MLvjjD?158Nt$rP+I$Ow`WSkU zdd+nRt%QhcK!m8ky)QnrO0;u*_fQJ_74T^}(IuIHanone=igV3rpZ(t>u#~>2$*Tb zNMJI)Yvd1C!HQ?L|_d5*|Yosu2O9HG`5#iL?{G>^gyq9<`G^fjOsjJ`fEr3rltl; z2Dtfqz3`RXtq7Hl1T5%p|3%#wsaw#HDIb=L;5f zJ{#$$h+`*8th+;Uot=;tv=t}{Y@#~#IT6DkUj`)LB6FQR1e6KpLqVzuHtdvyd>9D4 zn+$bAj@;iC(%f!sDIUPunxJFJFK!4SqU!X$nO+wq80 zm&(rZScYAP*zv*7_2R8IviQ}SiWOHh!)K+qemjYw;@{!>s+R&RFGL2HD;JF!#H79{uk>;ziALDHZ4SX6=O{v@+iNGLJ2ZC{*895 zOm|U$jcRht4$emBcL#KKL)foEWR~-j(;Ike`bKNZP3XYi8ZbRoi{pTJ*r1w!OZNiB z!$Gx%yW18T7S8RoHq#_^9=A5X3*Y)`yEwG`!3O>wQHkeWqH;Z-A}W!5Y@W5{=g3dP zk-vrJC@k1O#EA#&>dP#VmiEy^oBHfPN0!he9>`Lkz&>1P6iy@0#bbl zdwR_H9-$<>r>_Bx6qS4VygYuEsD$$qL?uMX8hE{3aC-lc)mMn@w9+AA7EI(+>9!BG z*EFp!-Jd)ukbIcS!cL5C7N96U&T*{odW5}y@b-;|U;P})V#E|+SSbIA4#3f6zs>nZ z6Pc?f%dRLbI10>^>Mha=lN2MZFiR_9r955BD@W5I1Sdkr$2gU=JO9hGwN#$UP9zs) ztMdox)$3h?8&VU6aTkeY{Rehk?-SI zSK!S4{p_bXoWX4aokom5EoiGDS9u7sQSS8JrPZ{rL-RW>1j&DtgdyC)EA7Xv!lGE8 zB@0cLAp9&n_a8_%=Eu-)g!?JZwp47g8>FT)WvhJ;kN0jX+9Ktfc{>s{!7gSj2L#7Y z^0`jBl}E6Om*5bCRXwmgJGh;ip79P%Y z7OqfU6&EFCZ~$qYI*jA7kiKKPPQgub#KQ;``@TNx>~EMThv-y^pO~D<1k(8N>S{ZY z6hkE4hAK-+s&Ao$I7&bpkPViNm_Ha1$IQxCEv$h1u{b|Wm zN7J&`nt@EGCkXV=fj?E$>zBs)T3PiSjWOPPP`z2}$_fsXttL0i#t7FjZTTH; zl`)(SSai4M%0_I0_>{JM4)#BO)@A(U?BO2jVHwh`jomcK&vL1y1pM2bByJHLdZwVX_bc!$;&GPjeT zkefcU}g6Q;|}RLe5U8Z5a3$y%;baEk&`=gactE#xGKD6b_VXj0j~4NG_445<2OI zven(e7z*rCH4`KS1(#DlfV%^)nxDZ~Fkw`|jvw6&X6&FF22%2Q;E+dBW1t}!^5Mb* z9jOZoJxV@}Eq3k7XKHmT9Ve+#;{F)tIZ$X;RuY8)c+y*&zUeOZD3C%ZHH_P&c~bI8 zpe|dz^8`HIeun#kkU@pT;xuS2*=p8JLk%U&EgEl@=3vOtAt&`FA*ao4$nt)E6MriX z1T(PlA9yJHC!Ed1TQmbSdm(MM*6VV!SoptKSUt=lCLH2&2|;d_x`3qwv+OoomUZ_# z1L9aD!EG@(8lo0EABtK+y`P5)hnkNG#yr)GI!xXw4H}Oa&YOyP*9$t^Yy=xjaK+hwM9Io|cAt`baHFfay7$U!nrmZ=)6;Gis3NOLCQRkg8gNa znd4^x@cJ=L4AJ?c^9K5>Q3e{pHO-LKw*pHgYb6+_8TuwsRO5}}*U%kN*avA`X=g#f z4hxpbLfn0L0z(Fxx_7ZEVxS5R?Z4!H=Q-Ze9ZV3^TJ;t!`Y}MsL(YrV=8uq~=V9l} z^*w?g+~=^iY(oHJ$fB3GHt$2cD(wcji;v98s!EFyF6iE8@m+GpcAz^tR9Ki*Sm-V; zER=HMX2Z0sI8{EWNSXDHK4l;y`RRp)MReyW4p7?L*w#fNVpGob(L7ld2TMkrtyqhG zn8@o?3rT1!c3uP(qZIS$sW-~qSlClzgp2eu5Z|38D@988pZwDq*IfqG@FGvK(uKK; zRSLqWl@NA8liNS3@!!5M|y zVFNp{0c7i_MybTV5?ymiI~&}ebR5|81P<6v8?H6Ao3k@+`96f!*<$%Dl+@1eQI@qO z7NCL3bTtHRcKsDvo8Jb~ZJ$%E*5m;)4kYT!hpm1PV;_r=Jk9`YKy#Z(5=! zdECC=QxT;EJFKh{aVUMmt$L1I$)!!#kRB5iXRXmVRQ(n(c$CEuMOgoRE%#u!RqtU~ zZvj?40Vmq{(Ma`6EO#;zwB1jlO*)KQF(akgW;gf?RX@z&boKM?W$Mj7m)XY(K<@w% z`dffQ{hm^LUSCPDplm{StUf?@->~iSkQNx`Bq-tJ4*96D%Q>>a>>RQ_v>^6cq zLR3dzkobX7ykKs)_1yDX+~a!|qTjn;p-i#Q)L;>Njm93lmpGD(3tVx&9%OHaznJ`9 zq*)M1fSZ1_Eu?i^TdtvXp`k4|2xwau28mrpv6~@3G>Y7}h#Q4vPzJFpNbC+G68>Wp zYP$}T3y6i3^YCa6%KsAeJqh<1+{19qa9iMbxV3O{&bLoXIggx%ufSK}pMie{en0$v z_~+rDhd%^=2tF)Uk3iJ>&}`1wZyXA6LZb#BfjbG;PqFQ$XWo z+yE;Aus%MKSswlTkGsVGh%e4PKa6_ai%^R;_$P3gLlC$E{c%C>k9g{j6?v>b2zYxn z!mV&G!nNfZryp%yXj}rsONn#4$Dk#;{P~yq=#wDvQ^x&=$3Si$0|)BSI)7h%ViZ56 z9<@GnHwOBc62NT&F&4NexLCM2xZB}o!!3jZpJT!2SoksUW8g=@kAiQ5Px%)37WiiP zX80!frpx<9=g&RBR}Hrb?qR|m=$Bk0T0f>ASRAb#(+6l9VDz-h`b}OOXL1~qBFHwi zCjqj@NymkD^Zbk_o*+RaUYOW0RlE?lF-A9BMPRga%BKIC4bYur$?Dv8VK34eCTzQK z5WWtD9W1vYOb_FH%#mRTW+Ml#%>0&Z*XZK#9N%`@F*x@%#tvk`6c>zY)w9^W#v3|@ zjK(hcgm_UCmgAbqLb1!f?E>4*y{;~Jl}Z&W7mw@PV26Jj?6dryQ0qt~1JFi|-3^Zi zcHhG^832kif+6XJJ}}M)3{9Tk#XIJSqam9go&(#Rxv$M6H@%5mYq|C8$<Crm7?J+GPzYyYkq3u_0o=;vq&87IXiINDc!mBp`+An~wj=l6!oQ6J-3sZ5KGuyX6oq zi!243?ekcm^q9vj8@1U9`pSexFM#sdfM;CYTj-fVyvyQr*&5m$^di;SZEYd-R$7yp zFRKk+k>)ZBi!ZTi?>NOL~EOFfWR0qgvWm3*+{1 z*v+UR&aNh?%yv=^SuPKgK5Qo`O-S)I4H`FznQxWfT$W+3ovi!?Gq{BIKTlxRMC*DM zOjA2%d`1kk=vzK=9Q*Aq%G@V^rq2=oDO5J~)3FscGr=f^YGQ|d{o- zIG}kmNRNP9;(f26UK(Y73o-V&(P^bN-bQCXfrKb5zR+{Vz(~Hbv@>n$dF$ruBgwJ8mpd0Dr+29?-?gvX7A9C&m z6vd*x@J_&>cp01HodHF4WUa2@#GVT~MV6z6_YJ=2JZ{-(UtBdY>`0~SawNU{(wc z8f-l2YJR&Abf6O#_QR&TENq__-~o}0_S?dH@M{r5Nt_R!+Gpbm2T#c-D0i?TF3PBf zP8pA{L{^|I`Q8gzjnQ7*Y6`JFzwdZ&r+mcG?mczm@egoc!f|ZOwG3giqao&3x^ zep((MtDm@P-^RplqZo}2`sTlPr!>7Z? z*}>XUhZzd3Hp4Rq0hHs^Sy0@nw*my#Remyj7_IqG=RrQQFH?s{21hh9Ejfhqt6n6u zbn_GCi2Z2O;L+*%QQ#YUmxM=0VcW=t1AYt`2KL+dpzuK~cxIMpR>OAL_z)JhDH6;n z*h0OjIp4<_cI=8yM^iPkmMhi-tX!uda{4vlJOqyx{UU8(`kjOP1e~yS?VKxx@BKv%Ei?!G?)jjplF*Rs@5(%4Zqneja2S=3$9<^tF``3;Lf-&VD{!hK_QR^H0+ zyw;pVlduUxj~{SZg7~`Hpjxd^Ig6{5Shz7$Bv-!>xs5GNB5LFF)p_2^iFkQ5vDScR zDbfT62oZ4|uJBE!MX|q7`9%~)E((|M6G#tTsO%WUCs=Sl8ajXylDXH@JzZfuYNiLk zF1dNXzlq5%N!SDU;=~Zbn>kxu*XD4a!rD(#4 zb^7f61L?*tmIjc0lsIL_5qQqm`3dnIQZ#AvQGO@s?p2F4lj71$ijl5aPTr1Aa~IXl zd(DnIwd9k?D6GC62yow)txbP~KpLO6HqIu5@1R`oJ@Yu#tOxBJQ&^+8mfXuqdM__& zZJvkeN~NtW$q4NrD8kTp2V&k#C?jsBwH*e~XJ}ukWNhYx8L^u-L5}#RRK07VB+LjzfMhBI&TbAG>@bs+%d`g-~u; zv2q?}h>~9?$UQX&Y>|)*%q)WINb$URZs(S9>1hudbJb71nRA6DxlsQA8a#xZhj?%7 z34mV$Jx8F^0s13C{14z@88X9o%n(^$4M7{IL-<%mI59_=OoUSiO(d$pYsNG?o&iGR z#IDb2Y0OH)wzG@DB@)rKhLv(|lrxxymtb)Q(_phU2O&2tg9eMW`4ISIeL3PVA_Y}x z8SRw#a>OBu!awNud&n22B4s~99?I(SXVLH~a2Kjkfiw>Sl;|mN!w4IRx~wk;KY#>r z|Lv$@Ekbxk7re>uZ}9s(`NA#8sYl2|APxR38sxZL7zKqi-4q2Lb$%clwFOhYhX6e4 zpFJKA_VAuU>?jKhmF)ocr_=`H8UVxb4TfWd9DN4d*fESBc}cAENYf3@gVu*%!{*l6 zV}0ah@(*sk!P$ccv~-QS)7i6Sz?;4Ah2L#3>b4w^czysu3)%14+>Vt z0cUVO@%pI_*v2|2%E4S`eX>LSl-9K-ivd`&ko--8 zRzq2k?0oWZY?f+{kx|dLK=X7A1<4m`#~Fuw&2wiwscB_D7{sX{rp#Fs+Z1_!`?&ah zMprEESzl1Y0x@F`6vn|qs@Md{WR`cEsDcoAcPf16;jMPDZ=5;>I$ts;hRT)}M!+}( zn3*vjhCpaE<7HQz6hUmG_PTD-t;k?`=U zFi8D_Z?mRG_%@HIX5Z#7RHJY6Fl`h4_^)ppPq&jQS|=^^SMiA&EKP#Vg4H7%{58qr zN9#HT_}R?^{MQEev6?23&#LN{Ch6PfDm~D(Fo-Mb^he4%Tm8AzK7aL5dh@aZ&7(a4 z_mA6{gf_=DAxLSKxAsL7PZHeoSP!q9=Rm81&Rr)2wophE<_ zaj8X$<6XQ0XfCGEu|1p6p=k+xgV>%hKcgDEkS%9@F2#+Fz%y7=nC?FgkhNL3o%~wX zPmt847$k=9+zUUGrsm-IXZ_}_h^#}u7Y=jw z(;nUrw-|8Z{#A~4pO31nlE)A1Gw|2xU2ki9Ecgs6Y2BWMO4QhaeL=iU&$3e%aI1gu zK0}#YR(3WR@S3{F&lPbfyWx6q=OtFUm08DzrdgbB4@D7TYNr#qMuXsqZj|1rt`_v|0{U}gF;`$riV4*LhPnf-(O zUZhzNNPru)Y5YU`2LPcbABFYn)UULE5biIxf9U0Z3GYd`$KW1@Ylhnb$HT3KgWmi! z^ydGn{ev)R)ZimRx47E= zq2mhV|0DYc;T!|^PuM?n+$MMyxG1<-xH!1m;by}vghRjlJM15XNxKKX)o`2O9;TE) zzx*rq4|cnvcL%#0GQYY4XF4sq-3#O}d_zx@ycgl>6-f4II4$iYNZwtYrzcd;CV%H? zBx--$sleMoVVtV2(~i)STt0FKr6M61UtEw+z=9kTaJafb*l16R6=CSmA*|IF51x2F zP+Tbg3ETdt!7kbhVV8vOVqo3~>(yy(A-SZ}<7Ysm-m2#dDifYUf%q3AAE5&v_YPb! zz^=r$a&=j!Zh7M;P*H1h8<6^Kai8c#*COLC;q#TN^=%B>2QA`%sc(fs0$ZW$aCb%D zcD;x7_jYWBZt!n~Ec#YR=#nO>G5BB*)<}f#K{^B|L>4C6TRGbCF2&I_LO@+E~qS({e_~q7^0q6@1JPw3Of5SNuM|=Ty7RU+}ii*^w#9A1<`M6j&J|#iD z$V^C=8zDm7%DBHme5!Vw`SDWg3;oRhm0EBA%PEibm*^64q*s1#W5i{jiE(I1-FTaG zD*KvDM4|F@2aXg&B`ul|Ukn}Um`}*acw;5pBxca3YdHS;VrAm*bt6`k`dd7S_b9h~ z#LmC^11B05#hzNDLtE{CPn9#~J=GlpS-89y;Z~}CtMdYvn8cB&Et43GHv2`T1BYoA zDG}pd;NY@cZD>AeDMrz8l_5Qhvivp zYu?3WkxbGU;S)K<)jY~zM|cbPi~(-K)rqN;S6p3B85rKTvmE`>ac$6worHrFM)-D6 zEHDM{IFIqK;RUd6&4AimFVpv9s+umCJcdA8X^dcIyB*0DgBu|XSa#WU<)%3c>Z=%C z%Z@KALYKb=dq!V6EXZQJF>Y8H*n>y9m5O{`5>9#wao|@Nht+Uw>N@30g>J!!u=v0( zaH}TtLMH~2EV$7azzUQ^BsRaKAfwijHOp6dNY3xCkjNg2)4P5rN<3pBD}&kNWEa(} z;_FzQ@rvZb8)3bGInn?8&~L;rcD1=p*kM?k=@YeL4qm5oal<|>;x@3j`3WWt1IhjgG=zF+pj03 zIieeS`!cD*p><5jK)M^Za-J@=^^w9x#+%mjh9W%hO)xQA8!Tr-C<#ifQNMAYH7z~Z$3g?WHIBL zsFbIUN5tgIjzR{Ku;=9PcwH>V8%hIB!95JYox3%SeaFW!;G9bKVXGKTJ<=(^aoHuz zft(%_hRp?FfvpIy$*@}uC`@sjQz<AObLt<9vZr90>Bndf>&u&;&dr){+IE679k z8op4uj~-RgS79xTBEO_0#IE`e~@Yl3-+9*oAQZ;MPn8!Y(k{WK%+wG2$6 zLgjj3!`=O?CTN>k!gZjiP~kDyaB~RL-HzUs`v>2fGBEfazWtyD#wsDvhcGjOQfYIc za^eE39oMYYh)Z&x*&q&#zWfcCuW(Op9KG`_B4LlGl!%TsUqNIU7pi!JGzU_1ru+$g z+$dgv>158rOK(N-RHayn(h&sS>ecIS^&)(xANf}0;`!#Bh3cE)7c=EfA!zUfy8y0R zndl7xMuT~9A1&iTx*RmuAj}GD=Wm`{j=O_lr}?QJifwLokZ?T`$ImUtHE?Jf@Y&a} z)50+shWyU$Q`(RAW_4n3xDVri2e+REt!Q%KE7^H zA`h{fwRgCcOhldCB^xHmA>Q=V48iItbSuBaF%rXp_BYrZsK@0HUrwq)ze2~ZuVQIw z{i>xUR$p3R!_SDq${^%I=Vw@1$krA8jt4H~#jxp*`=nC|M!g9-L4AEeUM$PAHs1u^ zbO%#DF(j=iYO8-$QAn_s;E7k%4$7sqXR0Q|l4u{Z>gb62-a}co=p1KA?4yg0-2=4= zEmF{hjahW;`l3Tms7^cI*I$;=r(;Z0^o6JCSNg)UA75Ce1xAIpnkS6Xj7fn;$f*5&{w1vL!s#nW z1Hn&%9*;*bc|2-}PE2uOH8Va?tH>Ndwk2!x*CB4@uD-S8Er$^oTfdfL#lAZ=T%}FL zd&MmI>>`ZQbBL@Kg5#0hDeogOi4jvoHl6C~I@&pymDyn&SBmAAJ}pR+cQD%ok;Cm_ zv&9O;W>jF4g7(l9B>g&)Z0_2T>Utok(2|kL`S&`=vCAvWa=is|2v$B^K;>`o&E6ne zel1}ZOL-P?%dcSvoltE6b~)$QW+e-ywdKDytDO~(Fclu=g1(=xklDsy+h!A0grS3v zbS$%^;WJdhjJD3gg5T9+4ys}P0PY*mrxq5Nr28!;EBBdTsV-iSl(1q!LIT>2&#h9^ zhw*|Mr=Gzq)<|p1L#UrViAnFUhjD>hS}-g(TI6q-sRiXe%LZ}FBPNb_6&LyLw`t2C zF_q%2vn9NpcWg=rRH5=ys8PiICgftZc#lQQD2C4-;4Yd{PW@wgL|R}$Su+YCOP>9R zx?>OO<XYcsIX9o=PJd_6bj|=7tEv^X5y-|jlaX=DRN^QlENS` zS#I#)pc19fV`4eiMDGG1UWGmW+sB<`q5M0Dg#>-(t0ZWGUxMO!Lk#2_-q{FQo;|cL zcjK#l*nwM^goO0WpnP?@XAkYo{h2nFiKprDCfDY*w_m;rHs@}B_wmA z(n@1Vs*>zf@>sIuirqnlNUBcp&vq1|dr7oWChUDb!Azk`PEWy4D;*N^8p# zs1m{pj1OATw~4@-Vz-Wib$Kln9egm`=i2XPLh%_txdoJQZtLxM6C2cUlh1W9we%oA z8BYx69*505-_{m7*W6&5dvL=qvgqpfhqXrad7?g%6?ooX;3>Vp_wbB?6)?{|X#M6U zbTljULEi%i78Vj0aFZt>lkwt;Ot^1?W*jn%c+x^AjK_X{g`mGKAT;s3PZ#t;6up5e zJ!x(JF3Re%lW=2UvCGMHLx{8s{AN1d5R3IX|45wg1*^*0y5g77GyK)z2HT0tWi-CK zq08uA=q_fGvY+9)Y)!e2lE;c}8Y23E=A|nZ2Mj*EKB3P-(fzcd+6)r7`4FUJJQys& z#Re=uf|+;jpr+@Vs)j1JU=Wtb zD(R!^G|+Ly zV406BR3<^hQhPr_ZA_hF{Z>1Ig~}JG2QRByKDIVLj0FD|dvl5li>mo2Mzs-o>k~Vrs$a(Q1nCNnf4*}tJ!sm5zY4ANiz2l!8eKOB8s zfioU`T8X|IH1@unZ@#v&({HWyRm5RKNp}tl6k2W9R3;ai) zkHb%RIpstL;cg#ll<3U7yTQ~QAmnL(n%zRAn7gjd#lTIisz4@ zT&HjT7rHl0o;D*2y#%b+{;qN08V9a%;2H<6ao`#U{;eE%=UW`t1NWK4alLSd;r7A( z3hr6BN8!E+$HUzV_sTc%5hJ)>xPCYeWhcPd;by_5!`%s23|9rm!!^S_3ik}$OK@)B z+0)8#A0a#h7lyDMZZ6z%xLUY};GTrD0Cqq8x8M}G5x5wn-2^uaE(?zS9tABA!8O3$ z3%49D7cLdl^GG7Xe+>^2JLF3-uI=a)pbQ zEF2T%a+c<2FIsXbWm!r>QffrlOuJB5e(%~!JHOsuQ&+>+l&`IMpweDlSzgc3a0EAp z;Of@%_R6~T!hO~D#`^L#mG&ysy>|V^nlS~-`I_~0m&&DlWw}Pa;vbYtrgHT{L#2H~ zO#?5KueDbRb!!N>eNFk=wX6c7YxY;-FI!(%xv7S~qnszt&QlXd=}Jtaa+lh@zP=KO z*Vi?!2OlabH`J^d1MBBe<)-?|HGE|SSoR#vcJS`eD`yYxx?!x}mbX0vuX% z|CR7x%@=>6igLajB#ia*Y zSyztHE!5p#w|-+?CdRT*m%qMlxlrk;tXN(x`w~fur=AM$WblZts{mybE)>$IEf&n|0juYiYxemqJ(n{ogF}VuCi**OWC>-dEFz z@n5#)UWi0WscNXKEL(qXEoLTXU@7-i^4t^_YFtxZ$8p~=@Kt3M>#HEz8mqY|mQ&w= zaa@I)qAXSejF1vsIEz)6*Hx^ocmn0i+R{$-Nd-tjp9~Fpv8&%VRP@aZ|VjYu7hc z=IIP;;#`okn9pl4g}A4Kmixt2Wgv+gWHbv64UjN_#C1W1mAsB&!Fq@cNC?()zQT`| zi>7#P&DzT4o9in%x1L*$HKCpumd(XcO8)u^VQnQrErHy~#y)_f7P&6TzCs>-eqUoY z_v^37@o>k2JT(=S3#!W-maoqz`8|I#*cC$fuSUd~zdYT8zTn}6568WR%C8GGSPktC`{=nRjNrcn766I%bwVR= zFQ;Q-Rn2|&DlC?EI;H%BGD(3l*cOKUcX+=FhE-c#-e_N6w|4WD#NP35`3vI+js0Kx zBMkos@Qm#-drhMqhq4ONRGShJ2P z*6zjZfTjs&0Mhr=;7IXRT)Kqk-$Bop$lNvSg|!t-*>oS~>lks{5YKUA#lj^(rp9BC zGjPV4QE_|VKicOrea)D190~4(O*0abHYG6q(@Z7{n6P$$8B{gIA`3ehd63VdhK3_lfqD0~Zi!lgWFmO^4wq|7|$R zj{#GNPxs(WC_LsH3>5PJvD83B_o@6?kjry*`~-9D?-~dGryO{ylCyo8qwzKij{GI~ zfEGELL0A5}g$w+fqw?>pZhl!!$aJqe?gd;|IEKY zc{_OVfBT;o0+hx?|52I--CMz?l|0MaP;h*J~NaLM>mD(&k#i4 zHo$s-h4Y?=Ha|3tBKZ4{_jP~A^SZz7$Gx-!b6H!x%-;q0j-Bu9wCmYd{cao4ld}B& zZ~jU6Y(M;Nz`yzI>1QW%e|=VYcI8*&-@1d9D8NEr@XqRY@KvC9YTrq^8vgi+_j)ft zd%QJ3nGRwP{_gcx0snuQfYI>;>Yts^nDDEFvk8+E^Ah>QZHeDb{88er#NQH8XX7>OHCVrPieisgI|=mHJWY z-%|}~lhW}2P)@gHI5SpeG-R}8glEQN-jErWd0S>eW=dvy=KRdO%q5x2Gw;s4Cv#_J zSLUJ2m*%}P@8G--=k?FKIFGaAjsfU0CqyUQlyFBvN`fn)Az@3x-h|GCKPJ4F(3kLO z!rv3Lgy6)m#HhqsiSrZhOmruD6CX|dBr!B8CTVt3dQweNebTn1ZzX*{>FK2BlYWu( zR#I=$M@gS0eGckvj_Vv#9XC5>I+7huM=sj(RPqbS|C#(o@{#2ClmD9h_hc?*LduMk zgp`yNXG(U;l9c;XzMirr<)M^^QyxuuHf2}JnUqK8Jeby&_GsGkX)mO8r1hj7PCJqI zUfN&M`qD0>1u7vL- z{4`-!Vs7Gi#|%fYqrvf@wXj6)fJ%y>5=GIMg~w9LfJIhmQ@ zba`e?W?kk3pyTn(A7%bI^Dmi(dDqQbIB&_kHS@kR???0Y&O18q6QV1N<5pp=Mkh>9 zSe|fqLUqEngl{K2mGEZ5`w2IJ%v6YoyEFL7;RL*gG2-%5;4Do@IBlsX=D{Lt|d zKFN5*VVzwzyJ7Z=vk%UGd-eyj&(1a`-ywx@KbyqWS=%88VdDZz8%=eXu9p5vage9nqFtLEG@r(#a^oL|k^GiUFd_Bs3K z+?JZ0>Q42fuEL0`NZpqDLh7GWPp2xWXHxr9&!-Nh4ySTycc!`1mZz;qTb1^Uv|pvY zmUcAl&uJf|4W=3APMYhUTRXP_eX@7%ALgE$YjlP=Z*)4GcRANNo1D$gC!9ZZ?sfh@ z?VayW*Hsk9DGSIE;U=_|4~)?a(RJ_r+;h+8^SQr_6(q)woaB!&Lq|nhSWR2mk7?;# zH7VzUe1NH&IA$ml-SE3=iKsPZI!&e}PD>m{*_cA31=Yd+g&uzH?&EuRU*~n++j(?* zbf3PiCv=9HZXPgTDomPmaF$w zhw4)2)nzrN?!aOP&O>)HN2MBQMP@~FYy6>o&Uy%`3N85<2+52i7K&3ED<$guQ(`D z;<)IgytpW?ieJRA7!~P3egIURdbv(+mYp(1X5Rz%QFSJ3vKBVMR%oaE_zteXAp8k; zsA*ISQ01yY^{75Ip>lBszJ}{?Gd5!hIed!94u(E@hDhFu3gR#>j!Wa(cy0Vi{8fA= z-lRX)EqWhWm}QDgXr3^YW~o_WUN((H^{DyT#J0+Au)o?ISL3$3bh53`PY!VPq}nVN zvUk`Atd*tMMK;9d^9tU;-{2c~BX8n6`F?(t|IKqnr8p%D$-zCrP%svx%UtQ@e7Q`% zAcrMD8NI6+s?`B<=_Z+U7j@@C{4Ask*8evrzAe`ik1cYWY>~TV8}?nF8Dv?hI$@0{w`dqnVcaas8z3 cCnxBxWF-U=0ttbHKtdoPkPt`+{BH#Q1r0{J0RR91 literal 0 HcmV?d00001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc new file mode 100644 index 00000000..6d38346a --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc @@ -0,0 +1,37 @@ +if test -d worknc +then +echo worknc is ready +else +mkdir worknc +echo worknc is created +fi + +ncvlog -f vlog.list -logfile ../log/ncvlog.log + +if test $? -ne 0 +then +echo compiling err occured... +exit 1 +fi + +ncelab work.tb_top -NEVERWARN -loadvpi ip_32W_gen:PLI_register -loadvpi ip_32W_check:PLI_register -snapshot work:snap -timescale 1ns/1ps -message -access +rw -logfile ../log/ncelab.log + +if test $? -ne 0 +then +echo ncelab err occured... +exit 1 +fi + +if test -f ../log/.sim_failed +then + echo "../log/.sim_failed log file has been removed!" + rm ../log/.sim_failed +fi + +if test -f ../log/sim_succeed +then + echo "../log/sim_succeed log file has been removed!" + rm ../log/sim_succeed +fi + +ncsim work:snap $1 -UNBUFFERED -logfile ../log/ncsim.log -NOKEY diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc new file mode 100644 index 00000000..feae8e7d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc @@ -0,0 +1,13 @@ +if test -f ../log/.sim_failed +then + echo "../log/.sim_failed log file has been removed!" + rm ../log/.sim_failed +fi + +if test -f ../log/sim_succeed +then + echo "../log/sim_succeed log file has been removed!" + rm ../log/sim_succeed +fi + +ncsim work:snap $1 -UNBUFFERED -logfile ../log/ncsim.log -NOKEY diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list new file mode 100644 index 00000000..a937c843 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list @@ -0,0 +1,41 @@ +../../../../rtl/verilog/header.v + +../../../../rtl/verilog/TECH/CLK_SWITCH.v +../../../../rtl/verilog/TECH/CLK_DIV2.v +../../../../rtl/verilog/TECH/duram.v + +../../../../rtl/verilog/MAC_tx/MAC_tx_FF.v +../../../../rtl/verilog/MAC_tx/Ramdon_gen.v +../../../../rtl/verilog/MAC_tx/CRC_gen.v +../../../../rtl/verilog/MAC_tx/MAC_tx_addr_add.v +../../../../rtl/verilog/MAC_tx/MAC_tx_Ctrl.v +../../../../rtl/verilog/MAC_tx/flow_ctrl.v + +../../../../rtl/verilog/MAC_rx/CRC_chk.v +../../../../rtl/verilog/MAC_rx/MAC_rx_add_chk.v +../../../../rtl/verilog/MAC_rx/MAC_rx_FF.v +../../../../rtl/verilog/MAC_rx/MAC_rx_ctrl.v +../../../../rtl/verilog/MAC_rx/Broadcast_filter.v + +../../../../rtl/verilog/miim/eth_clockgen.v +../../../../rtl/verilog/miim/eth_outputcontrol.v +../../../../rtl/verilog/miim/eth_shiftreg.v + +../../../../rtl/verilog/RMON/RMON_addr_gen.v +../../../../rtl/verilog/RMON/RMON_ctrl.v +../../../../rtl/verilog/RMON/RMON_dpram.v + +../../../../rtl/verilog/RMON.v +../../../../rtl/verilog/MAC_rx.v +../../../../rtl/verilog/MAC_tx.v +../../../../rtl/verilog/eth_miim.v +../../../../rtl/verilog/MAC_top.v +../../../../rtl/verilog/Phy_int.v +../../../../rtl/verilog/Clk_ctrl.v +../../../../rtl/verilog/Reg_int.v + +../../../../bench/verilog/altera_mf.v +../../../../bench/verilog/Phy_sim.v +../../../../bench/verilog/User_int_sim.v +../../../../bench/verilog/host_sim.v +../../../../bench/verilog/tb_top.v diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec new file mode 100644 index 00000000..36418359 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec @@ -0,0 +1 @@ +01200004 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec new file mode 100644 index 00000000..b4f602f8 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec @@ -0,0 +1 @@ +01200002 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec new file mode 100644 index 00000000..a3b94187 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec @@ -0,0 +1 @@ +01200001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini new file mode 100644 index 00000000..313b49c7 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini @@ -0,0 +1 @@ +46,50,1,0 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CPU.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CPU.vec new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries new file mode 100644 index 00000000..0df4c079 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries @@ -0,0 +1,11 @@ +/1000Mbps_duplex.vec/1.1/Thu Jan 19 14:07:57 2006// +/100Mbps_duplex.vec/1.1/Thu Jan 19 14:07:57 2006// +/10Mbps_duplex.vec/1.1/Thu Jan 19 14:07:57 2006// +/46-50.ini/1.1/Thu Jan 19 14:07:57 2006// +/CPU.vec/1.1/Thu Jan 19 14:07:57 2006// +/batch.dat/1.1/Thu Jan 19 14:07:57 2006// +/config.ini/1.2/Sun Jun 25 04:58:57 2006// +/flow_ctrl.vec/1.1/Thu Jan 19 14:07:57 2006// +/source_mac_replace.vec/1.1/Thu Jan 19 14:07:57 2006// +/target_mac_check.vec/1.1/Thu Jan 19 14:07:57 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository new file mode 100644 index 00000000..cc9effe3 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat new file mode 100644 index 00000000..a37f5040 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat @@ -0,0 +1,3 @@ +{1000Mbps mode 46-80 length packet testcase} 46-50.ini 1000Mbps_duplex.vec +{100 Mbps mode 46-50 length packet testcase} 46-50.ini 100Mbps_duplex.vec +{10 Mbps mode 46-50 length packet testcase} 46-50.ini 10Mbps_duplex.vec diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini new file mode 100644 index 00000000..cd64f169 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini @@ -0,0 +1 @@ +46,1500,1,0,0 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec new file mode 100644 index 00000000..978689ab --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec @@ -0,0 +1,4 @@ +04020001 +0403000a +040b000a +040c0001 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec new file mode 100644 index 00000000..f1f49894 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec @@ -0,0 +1,24 @@ +12070001 +12080030 +120a0001 +180a0000 +18080031 +18090001 +180a0001 +180a0000 +18080032 +18090002 +180a0001 +180a0000 +18080033 +18090003 +180a0001 +180a0000 +18080034 +18090004 +180a0001 +180a0000 +18080035 +18090005 +180a0001 +180a0000 diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec new file mode 100644 index 00000000..71401b15 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec @@ -0,0 +1,25 @@ +190e0001 +190f0010 +19100000 +19110001 +19110000 +190f0011 +19100001 +19110001 +19110000 +190f0012 +19100002 +19110001 +19110000 +190f0013 +19100003 +19110001 +19110000 +190f0014 +19100004 +19110001 +19110000 +190f0016 +19100005 +19110001 +19110000 \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries new file mode 100644 index 00000000..85b414b7 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries @@ -0,0 +1,2 @@ +/ncsim.log/1.3/Fri Nov 17 17:53:07 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository new file mode 100644 index 00000000..06099086 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log new file mode 100644 index 00000000..c2ede538 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log @@ -0,0 +1,245 @@ +ncsim: 05.00-p001: (c) Copyright 1995-2003 Cadence Design Systems, Inc. +////////////////////////////////////////////////////////////////////// +//// +//// $ip_32W_gen is used to generate stimulus with 32bit +//// width ip packet data flow. +//// The only parameter is config.ini file that is used to +//// control the generated packet data flow. +//// +////////////////////////////////////////////////////////////////////// +////////////////////////////////////////////////////////////////////// +//// StartOfSim callback printing info +////////////////////////////////////////////////////////////////////// +ncsim> run + +the NO. 0001 IP Length is:0046 CRC-32check OK! +the NO. 0002 IP Length is:0047 CRC-32check OK! +the NO. 0003 IP Length is:0048 CRC-32check OK! +the NO. 0004 IP Length is:0049 CRC-32check OK! +the NO. 0005 IP Length is:0050 CRC-32check OK! +the NO. 0006 IP Length is:0051 CRC-32check OK! +the NO. 0007 IP Length is:0052 CRC-32check OK! +the NO. 0008 IP Length is:0053 CRC-32check OK! +the NO. 0009 IP Length is:0054 CRC-32check OK! +the NO. 000a IP Length is:0055 CRC-32check OK! +the NO. 000b IP Length is:0056 CRC-32check OK! +the NO. 000c IP Length is:0057 CRC-32check OK! +the NO. 000d IP Length is:0058 CRC-32check OK! +the NO. 000e IP Length is:0059 CRC-32check OK! +the NO. 000f IP Length is:0060 CRC-32check OK! +the NO. 0010 IP Length is:0061 CRC-32check OK! +the NO. 0011 IP Length is:0062 CRC-32check OK! +the NO. 0012 IP Length is:0063 CRC-32check OK! +the NO. 0013 IP Length is:0064 CRC-32check OK! +the NO. 0014 IP Length is:0065 CRC-32check OK! +the NO. 0015 IP Length is:0066 CRC-32check OK! +the NO. 0016 IP Length is:0067 CRC-32check OK! +the NO. 0017 IP Length is:0068 CRC-32check OK! +the NO. 0018 IP Length is:0069 CRC-32check OK! +the NO. 0019 IP Length is:0070 CRC-32check OK! +the NO. 001a IP Length is:0071 CRC-32check OK! +the NO. 001b IP Length is:0072 CRC-32check OK! +the NO. 001c IP Length is:0073 CRC-32check OK! +the NO. 001d IP Length is:0074 CRC-32check OK! +the NO. 001e IP Length is:0075 CRC-32check OK! +the NO. 001f IP Length is:0076 CRC-32check OK! +the NO. 0020 IP Length is:0077 CRC-32check OK! +the NO. 0021 IP Length is:0078 CRC-32check OK! +the NO. 0022 IP Length is:0079 CRC-32check OK! +the NO. 0023 IP Length is:0080 CRC-32check OK! +the NO. 0024 IP Length is:0081 CRC-32check OK! +the NO. 0025 IP Length is:0082 CRC-32check OK! +the NO. 0026 IP Length is:0083 CRC-32check OK! +the NO. 0027 IP Length is:0084 CRC-32check OK! +the NO. 0028 IP Length is:0085 CRC-32check OK! +the NO. 0029 IP Length is:0086 CRC-32check OK! +the NO. 002a IP Length is:0087 CRC-32check OK! +the NO. 002b IP Length is:0088 CRC-32check OK! +the NO. 002c IP Length is:0089 CRC-32check OK! +the NO. 002d IP Length is:0090 CRC-32check OK! +the NO. 002e IP Length is:0091 CRC-32check OK! +the NO. 002f IP Length is:0092 CRC-32check OK! +the NO. 0030 IP Length is:0093 CRC-32check OK! +the NO. 0031 IP Length is:0094 CRC-32check OK! +the NO. 0032 IP Length is:0095 CRC-32check OK! +the NO. 0033 IP Length is:0096 CRC-32check OK! +the NO. 0034 IP Length is:0097 CRC-32check OK! +the NO. 0035 IP Length is:0098 CRC-32check OK! +the NO. 0036 IP Length is:0099 CRC-32check OK! +the NO. 0037 IP Length is:0100 CRC-32check OK! +the NO. 0038 IP Length is:0101 CRC-32check OK! +the NO. 0039 IP Length is:0102 CRC-32check OK! +the NO. 003a IP Length is:0103 CRC-32check OK! +the NO. 003b IP Length is:0104 CRC-32check OK! +the NO. 003c IP Length is:0105 CRC-32check OK! +the NO. 003d IP Length is:0106 CRC-32check OK! +the NO. 003e IP Length is:0107 CRC-32check OK! +the NO. 003f IP Length is:0108 CRC-32check OK! +the NO. 0040 IP Length is:0109 CRC-32check OK! +the NO. 0041 IP Length is:0110 CRC-32check OK! +the NO. 0042 IP Length is:0111 CRC-32check OK! +the NO. 0043 IP Length is:0112 CRC-32check OK! +the NO. 0044 IP Length is:0113 CRC-32check OK! +the NO. 0045 IP Length is:0114 CRC-32check OK! +the NO. 0046 IP Length is:0115 CRC-32check OK! +the NO. 0047 IP Length is:0116 CRC-32check OK! +the NO. 0048 IP Length is:0117 CRC-32check OK! +the NO. 0049 IP Length is:0118 CRC-32check OK! +the NO. 004a IP Length is:0119 CRC-32check OK! +the NO. 004b IP Length is:0120 CRC-32check OK! +the NO. 004c IP Length is:0121 CRC-32check OK! +the NO. 004d IP Length is:0122 CRC-32check OK! +the NO. 004e IP Length is:0123 CRC-32check OK! +the NO. 004f IP Length is:0124 CRC-32check OK! +the NO. 0050 IP Length is:0125 CRC-32check OK! +the NO. 0051 IP Length is:0126 CRC-32check OK! +the NO. 0052 IP Length is:0127 CRC-32check OK! +the NO. 0053 IP Length is:0128 CRC-32check OK! +the NO. 0054 IP Length is:0129 CRC-32check OK! +the NO. 0055 IP Length is:0130 CRC-32check OK! +the NO. 0056 IP Length is:0131 CRC-32check OK! +the NO. 0057 IP Length is:0132 CRC-32check OK! +the NO. 0058 IP Length is:0133 CRC-32check OK! +the NO. 0059 IP Length is:0134 CRC-32check OK! +the NO. 005a IP Length is:0135 CRC-32check OK! +the NO. 005b IP Length is:0136 CRC-32check OK! +the NO. 005c IP Length is:0137 CRC-32check OK! +the NO. 005d IP Length is:0138 CRC-32check OK! +the NO. 005e IP Length is:0139 CRC-32check OK! +the NO. 005f IP Length is:0140 CRC-32check OK! +the NO. 0060 IP Length is:0141 CRC-32check OK! +the NO. 0061 IP Length is:0142 CRC-32check OK! +the NO. 0062 IP Length is:0143 CRC-32check OK! +the NO. 0063 IP Length is:0144 CRC-32check OK! +the NO. 0064 IP Length is:0145 CRC-32check OK! +the NO. 0065 IP Length is:0146 CRC-32check OK! +the NO. 0066 IP Length is:0147 CRC-32check OK! +the NO. 0067 IP Length is:0148 CRC-32check OK! +the NO. 0068 IP Length is:0149 CRC-32check OK! +the NO. 0069 IP Length is:0150 CRC-32check OK! +the NO. 006a IP Length is:0151 CRC-32check OK! +the NO. 006b IP Length is:0152 CRC-32check OK! +the NO. 006c IP Length is:0153 CRC-32check OK! +the NO. 006d IP Length is:0154 CRC-32check OK! +the NO. 006e IP Length is:0155 CRC-32check OK! +the NO. 006f IP Length is:0156 CRC-32check OK! +the NO. 0070 IP Length is:0157 CRC-32check OK! +the NO. 0071 IP Length is:0158 CRC-32check OK! +the NO. 0072 IP Length is:0159 CRC-32check OK! +the NO. 0073 IP Length is:0160 CRC-32check OK! +the NO. 0074 IP Length is:0161 CRC-32check OK! +the NO. 0075 IP Length is:0162 CRC-32check OK! +the NO. 0076 IP Length is:0163 CRC-32check OK! +the NO. 0077 IP Length is:0164 CRC-32check OK! +the NO. 0078 IP Length is:0165 CRC-32check OK! +the NO. 0079 IP Length is:0166 CRC-32check OK! +the NO. 007a IP Length is:0167 CRC-32check OK! +the NO. 007b IP Length is:0168 CRC-32check OK! +the NO. 007c IP Length is:0169 CRC-32check OK! +the NO. 007d IP Length is:0170 CRC-32check OK! +the NO. 007e IP Length is:0171 CRC-32check OK! +the NO. 007f IP Length is:0172 CRC-32check OK! +the NO. 0080 IP Length is:0173 CRC-32check OK! +the NO. 0081 IP Length is:0174 CRC-32check OK! +the NO. 0082 IP Length is:0175 CRC-32check OK! +the NO. 0083 IP Length is:0176 CRC-32check OK! +the NO. 0084 IP Length is:0177 CRC-32check OK! +the NO. 0085 IP Length is:0178 CRC-32check OK! +the NO. 0086 IP Length is:0179 CRC-32check OK! +the NO. 0087 IP Length is:0180 CRC-32check OK! +the NO. 0088 IP Length is:0181 CRC-32check OK! +the NO. 0089 IP Length is:0182 CRC-32check OK! +the NO. 008a IP Length is:0183 CRC-32check OK! +the NO. 008b IP Length is:0184 CRC-32check OK! +the NO. 008c IP Length is:0185 CRC-32check OK! +the NO. 008d IP Length is:0186 CRC-32check OK! +the NO. 008e IP Length is:0187 CRC-32check OK! +the NO. 008f IP Length is:0188 CRC-32check OK! +the NO. 0090 IP Length is:0189 CRC-32check OK! +the NO. 0091 IP Length is:0190 CRC-32check OK! +the NO. 0092 IP Length is:0191 CRC-32check OK! +the NO. 0093 IP Length is:0192 CRC-32check OK! +the NO. 0094 IP Length is:0193 CRC-32check OK! +the NO. 0095 IP Length is:0194 CRC-32check OK! +the NO. 0096 IP Length is:0195 CRC-32check OK! +the NO. 0097 IP Length is:0196 CRC-32check OK! +the NO. 0098 IP Length is:0197 CRC-32check OK! +the NO. 0099 IP Length is:0198 CRC-32check OK! +the NO. 009a IP Length is:0199 CRC-32check OK! +the NO. 009b IP Length is:0200 CRC-32check OK! +the NO. 009c IP Length is:0201 CRC-32check OK! +the NO. 009d IP Length is:0202 CRC-32check OK! +the NO. 009e IP Length is:0203 CRC-32check OK! +the NO. 009f IP Length is:0204 CRC-32check OK! +the NO. 00a0 IP Length is:0205 CRC-32check OK! +the NO. 00a1 IP Length is:0206 CRC-32check OK! +the NO. 00a2 IP Length is:0207 CRC-32check OK! +the NO. 00a3 IP Length is:0208 CRC-32check OK! +the NO. 00a4 IP Length is:0209 CRC-32check OK! +the NO. 00a5 IP Length is:0210 CRC-32check OK! +the NO. 00a6 IP Length is:0211 CRC-32check OK! +the NO. 00a7 IP Length is:0212 CRC-32check OK! +the NO. 00a8 IP Length is:0213 CRC-32check OK! +the NO. 00a9 IP Length is:0214 CRC-32check OK! +the NO. 00aa IP Length is:0215 CRC-32check OK! +the NO. 00ab IP Length is:0216 CRC-32check OK! +the NO. 00ac IP Length is:0217 CRC-32check OK! +the NO. 00ad IP Length is:0218 CRC-32check OK! +the NO. 00ae IP Length is:0219 CRC-32check OK! +the NO. 00af IP Length is:0220 CRC-32check OK! +the NO. 00b0 IP Length is:0221 CRC-32check OK! +the NO. 00b1 IP Length is:0222 CRC-32check OK! +the NO. 00b2 IP Length is:0223 CRC-32check OK! +the NO. 00b3 IP Length is:0224 CRC-32check OK! +the NO. 00b4 IP Length is:0225 CRC-32check OK! +the NO. 00b5 IP Length is:0226 CRC-32check OK! +the NO. 00b6 IP Length is:0227 CRC-32check OK! +the NO. 00b7 IP Length is:0228 CRC-32check OK! +the NO. 00b8 IP Length is:0229 CRC-32check OK! +the NO. 00b9 IP Length is:0230 CRC-32check OK! +the NO. 00ba IP Length is:0231 CRC-32check OK! +the NO. 00bb IP Length is:0232 CRC-32check OK! +the NO. 00bc IP Length is:0233 CRC-32check OK! +the NO. 00bd IP Length is:0234 CRC-32check OK! +the NO. 00be IP Length is:0235 CRC-32check OK! +the NO. 00bf IP Length is:0236 CRC-32check OK! +the NO. 00c0 IP Length is:0237 CRC-32check OK! +the NO. 00c1 IP Length is:0238 CRC-32check OK! +the NO. 00c2 IP Length is:0239 CRC-32check OK! +the NO. 00c3 IP Length is:0240 CRC-32check OK! +the NO. 00c4 IP Length is:0241 CRC-32check OK! +the NO. 00c5 IP Length is:0242 CRC-32check OK! +the NO. 00c6 IP Length is:0243 CRC-32check OK! +the NO. 00c7 IP Length is:0244 CRC-32check OK! +the NO. 00c8 IP Length is:0245 CRC-32check OK! +the NO. 00c9 IP Length is:0246 CRC-32check OK! +the NO. 00ca IP Length is:0247 CRC-32check OK! +the NO. 00cb IP Length is:0248 CRC-32check OK! +the NO. 00cc IP Length is:0249 CRC-32check OK! +the NO. 00cd IP Length is:0250 CRC-32check OK! +the NO. 00ce IP Length is:0251 CRC-32check OK! +the NO. 00cf IP Length is:0252 CRC-32check OK! +the NO. 00d0 IP Length is:0253 CRC-32check OK! +the NO. 00d1 IP Length is:0254 CRC-32check OK! +the NO. 00d2 IP Length is:0255 CRC-32check OK! +the NO. 00d3 IP Length is:0256 CRC-32check OK! +the NO. 00d4 IP Length is:0257 CRC-32check OK! +the NO. 00d5 IP Length is:0258 CRC-32check OK! +the NO. 00d6 IP Length is:0259 CRC-32check OK! +the NO. 00d7 IP Length is:0260 CRC-32check OK! +the NO. 00d8 IP Length is:0261 CRC-32check OK! +the NO. 00d9 IP Length is:0262 CRC-32check OK! +the NO. 00da IP Length is:0263 CRC-32check OK! +the NO. 00db IP Length is:0264 CRC-32check OK! +the NO. 00dc IP Length is:0265 CRC-32check OK! +the NO. 00dd IP Length is:0266 CRC-32check OK! +the NO. 00de IP Length is:0267 CRC-32check OK! +the NO. 00df IP Length is:0268 CRC-32check OK! +the NO. 00e0 IP Length is:0269 CRC-32check OK! +the NO. 00e1 IP Length is:0270 CRC-32check OK! +the NO. 00e2 IP Length is:0271 CRC-32check OK! +the NO. 00e3 IP Length is:0272 CRC-32check OK! +the NO. 00e4 IP Length is:0273 CRC-32check OK! +the NO. 00e5 IP Length is:0274 CRC-32check OK! +the NO. 00e6 IP Length is:0275 CRC-32check OK!Simulation interrupted at 367372 NS + 0 +ncsim> exit diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository new file mode 100644 index 00000000..46dd9edc --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries new file mode 100644 index 00000000..17848105 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries @@ -0,0 +1 @@ +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository new file mode 100644 index 00000000..90f69920 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries new file mode 100644 index 00000000..4ca22003 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries @@ -0,0 +1,9 @@ +/batch_mode.tcl/1.2/Thu Apr 6 13:12:05 2006// +/filesel.tcl/1.1/Thu Jan 19 16:18:28 2006// +/run.tcl/1.1/Thu Jan 19 16:18:28 2006// +/run_proc.tcl/1.2/Thu Apr 6 13:12:05 2006// +/set_reg_data.tcl/1.3/Thu Apr 6 13:12:05 2006// +/set_stimulus.tcl/1.2/Thu Apr 6 13:12:05 2006// +/start_verify.tcl/1.2/Thu Apr 6 13:12:05 2006// +/user_lib.tcl/1.2/Thu Apr 6 13:12:05 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository new file mode 100644 index 00000000..7e86a150 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl new file mode 100644 index 00000000..9185aded --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl @@ -0,0 +1,148 @@ +proc batch_mode {} { +variable i +variable index empty +variable batch_data +set namelist \ +{- Description Stimulus RegVector} +############################################################################### + toplevel .b + wm title .b "Setting Reg Data" + focus .b + frame .b.f100 + + set lth1 20 + set lth2 15 + set lth3 15 + cd ../data + if {[catch {open batch.dat r} fileid]} { + break + } else { + set batch_data {} + gets $fileid line + while {[eof $fileid]==0} { + lappend batch_data $line + if {[string length [lindex $line 0]] > $lth1 } { + set lth1 [string length [lindex $line 0]] + } + if {[string length [lindex $line 1]] > $lth2 } { + set lth2 [string length [lindex $line 1]] + } + if {[string length [lindex $line 2]] > $lth3 } { + set lth3 [string length [lindex $line 2]] + } + gets $fileid line + } + close $fileid + } + + label .b.f100.l1 -text [lindex $namelist 0] -fg blue -width 5 + label .b.f100.l2 -text [lindex $namelist 1] -fg blue -width [expr $lth1 +5] + label .b.f100.l3 -text [lindex $namelist 2] -fg blue -width [expr $lth2 +5] + label .b.f100.l4 -text [lindex $namelist 3] -fg blue -width [expr $lth3 +5] + pack .b.f100 + pack .b.f100.l1 .b.f100.l2 .b.f100.l3 .b.f100.l4 -side left + + set i 0 + foreach line $batch_data { + frame .b.f$i + set tmp [expr $i+1] + checkbutton .b.f$i.c -variable check$i -text $tmp -width 5 + label .b.f$i.l1 -text [lindex $line 0] -width $lth1 + button .b.f$i.b1 -text "Change" -width 5 -command [list ChangeDescript .b.f$i.l1 $i 0] + label .b.f$i.l2 -text [lindex $line 1] -width $lth2 + button .b.f$i.b2 -text "Change" -width 5 -command [list ChangeLabel .b.f$i.l2 $i 1] + label .b.f$i.l3 -text [lindex $line 2] -width $lth3 + button .b.f$i.b3 -text "Change" -width 5 -command [list ChangeLabel .b.f$i.l3 $i 2] + pack .b.f$i + pack .b.f$i.c .b.f$i.l1 .b.f$i.b1 .b.f$i.l2 .b.f$i.b2 .b.f$i.l3 .b.f$i.b3 -side left + incr i + } + + + frame .b.f102 + button .b.f102.b1 -text "Start Verify" -width 10 + button .b.f102.b2 -text "Save" -width 10 -command {save_batch_data} + button .b.f102.b3 -text "Exit" -width 10 -command {destroy .b} + pack .b.f102 + pack .b.f102.b1 .b.f102.b2 .b.f102.b3 -side left + bind .b.f102.b1 {create_index $i} + bind .b.f102.b1 {start_verify 1 $index} +} + +proc create_index {i} { + global index + set index empty + set sim_times 0 + save_batch_data + for {set c 0} {$c < $i} {incr c} { + upvar 1 check$c tmp + if {$tmp==1 && $sim_times==0} { + set index $c + incr sim_times + } elseif {$tmp==1} { + lappend index $c + incr sim_times + } + } +} + +proc ChangeLabel {target_label y x} { + global batch_data + global fileselect + fileselect + tkwait window .fileSelectWindow + if {$fileselect(canceled)==1} { + return + } elseif {$fileselect(selectedfile)==""} { + warning_message "file have not been selected!" + } else { + $target_label config -text $fileselect(selectedfile) + set line [lindex $batch_data $y] + set line [lreplace $line $x $x $fileselect(selectedfile)] + set batch_data [lreplace $batch_data $y $y $line] + } +} + +proc save_batch_data {} { + global batch_data + if {[catch {open batch.dat w} fileid]} { + break + } else { + foreach line $batch_data { + puts $fileid $line + } + close $fileid + } +} + +proc ChangeDescript {target_label y x} { + global batch_data + variable value + variable target + variable newy + variable newx + toplevel .tmp + focus .tmp + set target $target_label + set newy $y + set newx $x + entry .tmp.en -width 20 -textvariable value + button .tmp.b -width 5 -text "Apply" -command {destroy .tmp} + button .tmp.b1 -width 5 -text "quit" + bind .tmp.b {ChangeDescriptApply $target $newy $newx $value} + + + pack .tmp.en .tmp.b +} + +proc ChangeDescriptApply {target_label y x value} { + global batch_data + if {$value==""} { + warning_message "Description can not be empty" + } else { + $target_label config -text $value + set line [lindex $batch_data $y] + set line [lreplace $line 0 0 $value] + set batch_data [lreplace $batch_data $y $y $line] + } +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl new file mode 100644 index 00000000..c300a440 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl @@ -0,0 +1,325 @@ +# +# fileselect.tcl -- +# simple file selector. +# +# Mario Jorge Silva msilva@cs.Berkeley.EDU +# University of California Berkeley Ph: +1(510)642-8248 +# Computer Science Division, 571 Evans Hall Fax: +1(510)642-5775 +# Berkeley CA 94720 +# + +# Layout: +# +# file: +----+ +# ____________________ | OK | +# +----+ +# +# +------------------+ Cancel +# | .. |S +# | file1 |c +# | file2 |r +# | |b +# | filen |a +# | |r +# +------------------+ +# currrent-directory +# +# Copyright 1993 Regents of the University of California +# Permission to use, copy, modify, and distribute this +# software and its documentation for any purpose and without +# fee is hereby granted, provided that this copyright +# notice appears in all copies. The University of California +# makes no representations about the suitability of this +# software for any purpose. It is provided "as is" without +# express or implied warranty. +# + +# Copyright 1996 + +# Slight modifications to and adoption to Tk4.0 were made to this +# fileselectionbox code by Lakshmi Sastry, Rutherford Appleton Laboratory, +# chilton, Didcot, OXON, OX11 0QX, UK. + +# You can now type in a non-existing file name as well. This file name is +# returned for the application to open a new file to write to + +# AGOCG Tcl/Tk Cookbook +# Authors + +# Lakshmi Sastry +# Computing and Information Systems Department +# Rutherford Appleton Laboratory, Chilton, Didcot. OX11 0QX +# lakshmi.sastry@rl.ac.uk + +# and + +# Venkat VSS Sastry +# Department of Applied Mathematics and Operational Research +# Cranfield University, RMCS Shrivenham, Swindon, SN6 8LA +# sastry@rmcs.cran.ac.uk + +# Permission to use, copy, modify, and distribute this +# software and its documentation for any purpose and without +# fee is hereby granted, provided that this copyright +# notice appears in all copies. + +# The authors, RAL, RMCS Shrivenham, Cranfield University and AGOCG +# make no representations about the suitability of this +# software for any purpose. It is provided "as is" without +# express or implied warranty. Likewise they accept no responsibility +# whatsoever for any public domain software modules used (which are +# hereby acknowledged) in this software + + +# names starting with "fileselect" are reserved by this module +# no other names used. + +# use the "option" command for further configuration + +option add *Listbox*font \ + "-*-helvetica-medium-r-normal-*-12-*-*-*-p-*-iso8859-1" startupFile +option add *Entry*font \ + "-*-helvetica-medium-r-normal-*-12-*-*-*-p-*-iso8859-1" startupFile +option add *Label*font \ + "-*-helvetica-medium-r-normal-*-12-*-*-*-p-*-iso8859-1" startupFile + + +# this is the default proc called when "OK" is pressed +# to indicate yours, give it as the first arg to "fileselect" + +proc fileselect.default.cmd {f} { +global fileselect +set fileselect(selectedfile) $f +set fileselect(canceled) 0 +#puts stderr "selected file $f" + +} + + +# this is the default proc called when error is detected +# indicate your own pro as an argument to fileselect + +proc fileselect.default.errorHandler {errorMessage} { + puts stdout "error: $errorMessage" + catch { cd ~ } +} + +# this is the proc that creates the file selector box + +proc fileselect { + {cmd fileselect.default.cmd} + {purpose "Open file:"} + {w .fileSelectWindow} + {errorHandler fileselect.default.errorHandler}} { + + catch {destroy $w} + + toplevel $w + grab $w + wm title $w "Select File" + + + # path independent names for the widgets + global fileselect + global selected + set fileselect(entry) $w.file.eframe.entry + set fileselect(list) $w.file.sframe.list + set fileselect(scroll) $w.file.sframe.scroll + set fileselect(ok) $w.bframe.okframe.ok + set fileselect(cancel) $w.bframe.cancel + set fileselect(dirlabel) $w.file.dirlabel + + # widgets + frame $w.file -bd 10 + frame $w.bframe -bd 10 + pack append $w \ + $w.file {left filly} \ + $w.bframe {left expand frame n} + + frame $w.file.eframe + frame $w.file.sframe + label $w.file.dirlabel -anchor e -width 24 -text [pwd] + + pack append $w.file \ + $w.file.eframe {top frame w} \ + $w.file.sframe {top fillx} \ + $w.file.dirlabel {top frame w} + + + label $w.file.eframe.label -anchor w -width 24 -text $purpose + entry $w.file.eframe.entry -relief sunken + + pack append $w.file.eframe \ + $w.file.eframe.label {top expand frame w} \ + $w.file.eframe.entry {top fillx frame w} + + + scrollbar $w.file.sframe.yscroll -relief sunken \ + -command "$w.file.sframe.list yview" + listbox $w.file.sframe.list -relief sunken -selectmode single \ + -yscroll "$w.file.sframe.yscroll set" + #$fileselect(list) configure -selectmode single + pack append $w.file.sframe \ + $w.file.sframe.yscroll {right filly} \ + $w.file.sframe.list {left expand fill} + + # buttons + frame $w.bframe.okframe -borderwidth 2 -relief sunken + + button $w.bframe.okframe.ok -text OK -relief raised -padx 10 \ + -command "fileselect.ok.cmd $w $cmd $errorHandler" + + button $w.bframe.cancel -text cancel -relief raised -padx 10 \ + -command "fileselect.cancel.cmd $w" + pack append $w.bframe.okframe $w.bframe.okframe.ok {padx 10 pady 10} + + pack append $w.bframe $w.bframe.okframe {expand padx 20 pady 20}\ + $w.bframe.cancel {top} + + # Fill the listbox with a list of the files in the directory (run + # the "/bin/ls" command to get that information). + # to not display the "." files, remove the -a option and fileselect + # will still work + + $fileselect(list) insert end ".." + foreach i [exec ls -a [pwd]] { + if {[string compare $i "."] != 0 && \ + [string compare $i ".."] != 0 } { + $fileselect(list) insert end $i + } + } + + # Set up bindings for the browser. + bind $fileselect(entry) {eval $fileselect(ok) invoke} + bind $fileselect(entry) {eval $fileselect(cancel) invoke} + + bind $fileselect(list) { + # puts stderr "button 1 release" + set x [$fileselect(list) curselection] + $fileselect(entry) delete 0 end + $fileselect(entry) insert 0 [%W get [%W nearest %y]] + } + + bind $fileselect(list) { + set x [$fileselect(list) curselection] + $fileselect(entry) delete 0 end + $fileselect(entry) insert 0 [%W get [%W nearest %y]] + } + + bind $fileselect(list) { + # puts stderr "double button 1" + set x [$fileselect(list) curselection] + $fileselect(entry) delete 0 end + $fileselect(entry) insert 0 [%W get [%W nearest %y]] + $fileselect(ok) invoke + } + + bind $fileselect(list) { + set x [$fileselect(list) curselection] + $fileselect(entry) delete 0 end + $fileselect(entry) insert 0 [%W get [%W nearest %y]] + $fileselect(ok) invoke + } + + # set kbd focus to entry widget + + focus $fileselect(entry) + +} + + +# auxiliary button procedures + +proc fileselect.cancel.cmd {w} { + # puts stderr "Cancel" + global fileselect + set fileselect(canceled) 1 + destroy $w +} + +proc fileselect.ok.cmd {w cmd errorHandler} { + global fileselect + global selected + set selected [$fileselect(entry) get] + # some nasty file names may cause "file isdirectory" to return an error + set sts [catch { + file isdirectory $selected + } errorMessage ] + + if { $sts != 0 } then { + $errorHandler $errorMessage + destroy $w + return + + } + + # clean the text entry and prepare the list + $fileselect(entry) delete 0 end + $fileselect(list) delete 0 end + $fileselect(list) insert end ".." + + # perform globbing on the selection. + # If globing returns an error (no match) check if a non-null name is + # entered. If name string is non-empty return it as a new file name + # else give an error message. + # If resulting list length > 1, put the list on the file listbox and return + # If globing expands to a list of filenames in multiple directories, + # the indicated regexp is invalid and the error handler is called instead. +set globlist 0 + + set sts [catch { + set globlist [glob [list $selected]] + } errorMessage ] + + if { $sts != 0 } then { + if { [llength $globlist] == 1 } { + destroy $w + $cmd $selected + return + } else { + + $errorHandler $errorMessage + destroy $w + return + + } + + + } + + if {[llength $globlist] > 1} { + if {[regexp "/" $globlist] != 0} { + $errorHandler [list "Invalid regular expression, " $selected, "."] + destroy $w + return + } + foreach i $globlist { + if {[string compare $i "."] != 0 && \ + [string compare $i ".."] != 0} { + $fileselect(list) insert end $i + } + } + return + } + + # selection may be a directory. Expand it. + + if {[file isdirectory $selected] != 0} { + cd $selected + set dir [pwd] + $fileselect(dirlabel) configure -text $dir + + foreach i [exec ls -a $dir] { + if {[string compare $i "."] != 0 && \ + [string compare $i ".."] != 0} { + $fileselect(list) insert end $i + } + } + return + } + + destroy $w + $cmd $selected + +} + diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl new file mode 100644 index 00000000..ac8a307d --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl @@ -0,0 +1,26 @@ +source user_lib.tcl +source set_stimulus.tcl +source set_reg_data.tcl +source start_verify.tcl +source batch_mode.tcl +source filesel.tcl + + +wm title . "main" +frame .frame +button .frame.b1 -width 20 -text "set_stimulus" +button .frame.b2 -width 20 -text "set_cpu_data" +button .frame.b3 -width 20 -text "start_verify" +button .frame.b4 -width 20 -text "batch_mode" +button .frame.b40 -width 20 -text "exit" + +bind .frame.b1 {set_stimulus} +bind .frame.b2 {set_reg_data} +bind .frame.b3 {start_verify 0 empty} +bind .frame.b4 {batch_mode} +bind .frame.b40 {exit} + + +pack .frame .frame.b1 .frame.b2 .frame.b3 .frame.b4 .frame.b40 + + \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl new file mode 100644 index 00000000..294cb2c7 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl @@ -0,0 +1,27 @@ +proc run_proc {} { +source user_lib.tcl +source set_stimulus.tcl +source set_reg_data.tcl +source start_verify.tcl +source batch_mode.tcl +source filesel.tcl + +toplevel .frame +focus .frame +wm title .frame "main" +button .frame.b1 -width 20 -text "set_stimulus" +button .frame.b2 -width 20 -text "set_cpu_data" +button .frame.b3 -width 20 -text "start_verify" +button .frame.b4 -width 20 -text "batch_mode" +button .frame.b40 -width 20 -text "exit" -command {cd ../../../../ ;destroy .frame} + +bind .frame.b1 {set_stimulus} +bind .frame.b2 {set_reg_data} +bind .frame.b3 {start_verify 0 empty} +bind .frame.b4 {batch_mode} + + + +pack .frame.b1 .frame.b2 .frame.b3 .frame.b4 .frame.b40 + +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl new file mode 100644 index 00000000..b4bfae35 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl @@ -0,0 +1,192 @@ +proc set_reg_data {} { +variable help_strings +variable RegVector +variable datalist +set help_strings { +Set Reg Data: + The verifcation system will config DUT(Design Under Test) +with those data automatically at startup time. You must read +the tri-mode thernet spec-doc before setting the REG.Only the +correct configuration can make sitmulation continue.} + +set namelist { +RegName Address default Data} +set datalist { + +{Tx_Hwmark 0 0x001e 0x001e} +{Tx_Lwmark 1 0x0019 0x0019} +{pause_frame_send_en 2 0x0000 0x0000} +{pause_quanta_set 3 0x0000 0x0000} +{IFGset 4 0x001e 0x001e} +{FullDuplex 5 0x0001 0x0001} +{MaxRetry 6 0x0002 0x0002} +{MAC_tx_add_en 7 0x0000 0x0000} +{MAC_tx_add_prom_data 8 0x0000 0x0000} +{MAC_tx_add_prom_add 9 0x0000 0x0000} +{MAC_tx_add_prom_wr 10 0x0000 0x0000} +{tx_pause_en 11 0x0000 0x0000} +{xoff_cpu 12 0x0000 0x0000} +{xon_cpu 13 0x0000 0x0000} +{MAC_rx_add_chk_en 14 0x0000 0x0000} +{MAC_rx_add_prom_data 15 0x0000 0x0000} +{MAC_rx_add_prom_add 16 0x0000 0x0000} +{MAC_rx_add_prom_wr 17 0x0000 0x0000} +{broadcast_filter_en 18 0x0000 0x0000} +{broadcast_bucket_depth 19 0x0000 0x0000} +{broadcast_bucket_interval 20 0x0000 0x0000} +{RX_APPEND_CRC 21 0x0000 0x0000} +{Rx_Hwmark 22 0x001a 0x001a} +{Rx_Lwmark 23 0x0010 0x0010} +{CRC_chk_en 24 0x0000 0x0000} +{RX_IFG_SET 25 0x001e 0x001e} +{RX_MAX_LENGTH 26 0x2710 0x2710} +{RX_MIN_LENGTH 27 0x0040 0x0040} +{CPU_rd_addr 28 0x0000 0x0000} +{CPU_rd_apply 29 0x0000 0x0000} +{Line_loop_en 33 0x0000 0x0000} +{Speed 34 0x0004 0x0004} +} + +cd ../data + + if {[catch {open CPU.vec r} fileid]} { + set RegVector {} + } else { + set RegVector {} + gets $fileid line + while {[eof $fileid]==0} { + scan $line "%02x%02x%04x" counter addr NewValue + set y [search_add $addr] + if {$y!=-1} { + set x [lindex $datalist $y] + set x [lreplace $x 3 3 [format "0x%04x" $NewValue]] + set datalist [lreplace $datalist $y $y $x] + lappend RegVector "$y $NewValue" + } + gets $fileid line + } + close $fileid + + } + +toplevel .p +wm title .p "Setting Reg Data" +focus .p +frame .p.f1 +frame .p.f2 +pack .p.f1 .p.f2 -side top + +frame .p.f1.f1 +frame .p.f1.f2 +frame .p.f1.f3 +frame .p.f1.f4 +frame .p.f1.f5 +pack .p.f1.f1 .p.f1.f2 .p.f1.f3 .p.f1.f4 .p.f1.f5 -side left + +label .p.f1.f1.l100 -text [lindex $namelist 0] -fg blue +label .p.f1.f2.l100 -text [lindex $namelist 1] -fg blue -width 10 +label .p.f1.f3.l100 -text [lindex $namelist 2] -fg blue -width 5 +label .p.f1.f4.l100 -text [lindex $namelist 3] -fg blue -width 10 + +pack .p.f1.f1.l100 .p.f1.f2.l100 .p.f1.f3.l100 .p.f1.f4.l100 + +for {set i 0} {$i < [llength $datalist]} {incr i} { + label .p.f1.f1.l$i -text [lindex [lindex $datalist $i] 0] + label .p.f1.f2.l$i -text [lindex [lindex $datalist $i] 1] + label .p.f1.f3.l$i -text [lindex [lindex $datalist $i] 2] + entry .p.f1.f4.en$i -width 10 + .p.f1.f4.en$i insert 0 [lindex [lindex $datalist $i] 3] + if {[lindex [lindex $datalist $i] 2] !=[lindex [lindex $datalist $i] 3]} { + .p.f1.f4.en$i config -fg red + } + pack .p.f1.f1.l$i .p.f1.f2.l$i .p.f1.f3.l$i .p.f1.f4.en$i + bind .p.f1.f4.en$i [list CheckModify .p.f1.f4.en$i $i ] +} + + +button .p.f2.b1 -text "Save" -width 10 -command {WriteVect CPU.vec} +button .p.f2.b2 -text "SaveAs" -width 10 -command {WriteVect [reg_save_as]} +button .p.f2.b3 -text "Exit" -width 10 -command {destroy .p} +button .p.f2.b4 -text "Help" -width 10 -command {print_help $help_strings} +pack .p.f2.b1 .p.f2.b2 .p.f2.b3 .p.f2.b4 -side left +bind .p.f2.b1 {focus .p} + + +} + +proc CheckModify {target_en index} { + global RegVector + global datalist + set data [$target_en get] + set NewValue "$index $data" + + + if {[info exists RegVector]} { + set counter [llength $RegVector] + for {set c 0} {$c<$counter} {incr c} { + if {[lindex [lindex $RegVector $c] 0]== $index} { + if {[lindex [lindex $datalist $index] 2]==$data} { + $target_en config -fg black + set RegVector [lreplace $RegVector $c $c] + } else { + set RegVector [lreplace $RegVector $c $c $NewValue] + } + return + } + } + } + if {[lindex [lindex $datalist $index] 3]==$data} { + return + } else { + $target_en config -fg red + set RegVector [lappend RegVector $NewValue] + } +} + +proc WriteVect {filename} { + global RegVector + global datalist + if {[info exists RegVector]} { + set counter [llength $RegVector] + if {[catch {open $filename w} fileid]} { + puts {failed to open vector file "$filename"} + destrop .p + } else { + for {set i 0} {$i < $counter} {incr i} { + set addr [lindex [lindex $datalist [lindex [lindex $RegVector $i] 0]] 1] + set NewValue [lindex [lindex $RegVector $i] 1] + puts $fileid [format "%02x%02x%04x" $counter $addr $NewValue] + } + close $fileid + unset RegVector + destroy .p + } + } else { + destroy .p + } +} + +proc reg_save_as {} { + global fileselect + fileselect + tkwait window .fileSelectWindow + if {$fileselect(canceled)==1} { + return + } elseif {$fileselect(selectedfile)==""} { + warning_message "file have not been selected!" + } else { + return $fileselect(selectedfile) + } +} + +proc search_add {addr} { + global datalist + set n 0 + foreach line $datalist { + if {[lindex $line 1]==$addr} { + return $n + } + incr n + } + return -1 +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl new file mode 100644 index 00000000..2bc42d7b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl @@ -0,0 +1,101 @@ +proc set_stimulus {} { + toplevel .stimulus + focus .stimulus + wm title .stimulus "Setting Stimulus Prameters" + + variable StartLength + variable EndLength + variable PacketNumber + variable Random + variable Broadcast + variable help_string + +set help_string { +Set Stimulus Help: + You can select to generate packet with sequential packet length or +random packet length. If you choise random packet length, the length of +generated packet will be a random value between the "Packet begin length" +to the "Packet end length". "Total Gen Packet number"is used to set the +number of packet will be generated as stimulus + As well, you can select "sequence" mode . The first Packet will be +generated with "Packet begin length",and the next Packet length will be +"Packet begin length" pluse one untile the packet length reach the value +of "Packet end length". Packet in each length will be generated according +to the value of "Packet number per length" } + + + if {[catch {open ../data/config.ini r} fileid]} { + set StartLength 46 + set EndLength 60 + set PacketNumber 1 + set Random 0 + set Broadcast 0 + } \ + else { + gets $fileid content + scan $content "%d,%d,%d,%d,%d" StartLength EndLength PacketNumber Random Broadcast + close $fileid + } + + frame .stimulus.f1 + label .stimulus.f1.lb -text "Packet begin length:" + entry .stimulus.f1.en -textvariable StartLength + pack .stimulus.f1 -fill x + pack .stimulus.f1.en .stimulus.f1.lb -side right + + frame .stimulus.f2 + label .stimulus.f2.lb -text "Packet end length:" + entry .stimulus.f2.en -textvariable EndLength + pack .stimulus.f2 -fill x + pack .stimulus.f2.en .stimulus.f2.lb -side right + + + frame .stimulus.f3 + label .stimulus.f3.lb -text "Packet number per length:" + entry .stimulus.f3.en -textvariable PacketNumber + pack .stimulus.f3 -fill x + pack .stimulus.f3.en .stimulus.f3.lb -side right + + frame .stimulus.f4 + radiobutton .stimulus.f4.1 -text "Sequence" -variable Random -value 0 \ + -command {.stimulus.f3.lb config -text "Packet number per length:"} + radiobutton .stimulus.f4.2 -text "Random" -variable Random -value 1 \ + -command {.stimulus.f3.lb config -text "Total Gen Packet number:"} + pack .stimulus.f4 -fill x + pack .stimulus.f4.1 .stimulus.f4.2 -side right + + frame .stimulus.f5 + checkbutton .stimulus.f5.1 -text "Broadcast" -variable Broadcast + pack .stimulus.f5 -fill x + pack .stimulus.f5.1 -side right + + frame .stimulus.f20 + button .stimulus.f20.1 -text "Save" -width 10 \ + -command { set fileid [open ../data/config.ini w 0600] + puts $fileid "$StartLength,$EndLength,$PacketNumber,$Random,$Broadcast" + close $fileid + destroy .stimulus + } + button .stimulus.f20.2 -text "Save as" -command {sti_save_as $StartLength $EndLength $PacketNumber $Random $Broadcast} -width 10 + button .stimulus.f20.3 -text "Cancel" -command {destroy .stimulus} -width 10 + button .stimulus.f20.4 -text "Help" -width 10 -command {print_help $help_string} + pack .stimulus.f20 -fill x + pack .stimulus.f20.1 .stimulus.f20.2 .stimulus.f20.3 .stimulus.f20.4 -side left +} + +proc sti_save_as {StartLength EndLength PacketNumber Random Broadcast} { + global fileselect + cd ../data + fileselect + tkwait window .fileSelectWindow + if {$fileselect(canceled)==1} { + return + } elseif {$fileselect(selectedfile)==""} { + warning_message "file have not been selected!" + } else { + set fileid [open $fileselect(selectedfile) w 0600] + puts $fileid "$StartLength,$EndLength,$PacketNumber,$Random,$Broadcast" + close $fileid + destroy .stimulus + } +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl new file mode 100644 index 00000000..3209e630 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl @@ -0,0 +1,127 @@ +proc start_verify {batch index} { +variable compiling +variable simulate_times +variable test_case_number +variable batch_data {} +variable batchmode +variable piple +############################################################################### + set batchmode $batch + toplevel .l + focus .l + wm title .l "Simulation Log" + frame .l.f1 + frame .l.f2 + pack .l.f1 .l.f2 -side top + + text .l.f1.t1 -width 80 -height 40 -yscrollcommand {.l.f1.scroll set} + + scrollbar .l.f1.scroll -command {.l.f1.t1 yview} + button .l.f2.b1 -text "Exit" -command {destroy .l} -width 10 + button .l.f2.b2 -text "Stop" -command {Stop_sim} -width 10 + pack .l.f1.scroll -side right -fill y + pack .l.f1.t1 + pack .l.f2.b1 .l.f2.b2 -side left + + set output_win .l.f1.t1 + set simulate_times 0 + set test_case_number [lindex $index 0] + cd ../bin + set compiling 1 + if {$batch==0} { + Run "bash sim.nc " $output_win + } elseif {[catch {open ../data/batch.dat r} fileid]} { + $output_win insert end "Failed open ../data/batch.dat file\n" + } elseif {[lindex $index 0] == "empty"} { + $output_win insert end "Not any test case was selected\n" + } else { + set i 0 + gets $fileid line + while {[eof $fileid]==0} { + lappend batch_data $line + gets $fileid line + incr i + } + $output_win insert end "Verifcation Started\n" + $output_win insert end "Starting Compiling Source file and libs......\n" + Run "bash com.nc" $output_win + close $fileid + } +} + +proc Run {command output_win} { + global piple + if [catch {open "|$command |& cat "} piple] { + $output_win insert end $piple\n + } else { + fileevent $piple readable [list Log $piple $output_win] + } +} + +proc Log {piple output_win} { + global compiling + global batchmode + set saparator "###################################################################\n" + if {[eof $piple]} { + if {$batchmode==0} { + $output_win insert end $saparator + $output_win insert end "end of Simulation....\n" + $output_win insert end $saparator + } elseif {$compiling==1} { + $output_win insert end $saparator + $output_win insert end "end of Compiling....\n" + $output_win insert end $saparator + $output_win insert end "\n \n \n" + set compiling 0 + sim_next_case + } else { + $output_win insert end $saparator + $output_win insert end "end of Testcase....\n" + $output_win insert end $saparator + $output_win insert end "\n \n \n" + sim_next_case + } + close $piple + } else { + gets $piple line + $output_win insert end $line\n + $output_win see end + } +} + +proc sim_next_case {} { + global test_case_number + global simulate_times + global batch_data + global index + set saparator "###################################################################\n" + set output_win .l.f1.t1 + set test_case_number [lindex $index $simulate_times] + if {$simulate_times < [llength $index]} { + set line [lindex $batch_data $test_case_number] + set source_sti [lindex $line 1] + set source_reg [lindex $line 2] + + if {$simulate_times==0 ||[file exists "../log/.sim_succeed"]} { + exec cp "../data/$source_sti" "../data/config.ini" + exec cp "../data/$source_reg" "../data/CPU.vec" + + $output_win insert end $saparator + $output_win insert end "starting test case :[lindex $line 0]....\n" + $output_win insert end $saparator + $output_win insert end "\n \n \n" + incr simulate_times + Run "bash sim_only.nc" $output_win + } else { + $output_win insert end "test_case :[lindex $line 0] simulation failed!!\n" + } + + } else { + $output_win insert end "All test_case passed simulation Successfully!!\n" + } +} + +proc Stop_sim {} { + global piple + catch {close $piple} +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl new file mode 100644 index 00000000..14b4302b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl @@ -0,0 +1,17 @@ +proc print_help {help_string} { + toplevel .help + frame .help.1 + message .help.1.1 -text $help_string -width 10c + frame .help.2 + button .help.2.1 -text "exit" -command {destroy .help} -width 10 + pack .help.1 .help.2 .help.1.1 .help.2.1 +} + +proc warning_message {warning_string} { + toplevel .warning + frame .warning.1 + message .warning.1.1 -text $warning_string -width 10c + frame .warning.2 + button .warning.2.1 -text "exit" -command {destroy .warning} -width 10 + pack .warning.1 .warning.2 .warning.1.1 .warning.2.1 +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/start.tcl b/usrp2/fpga/opencores/ethernet_tri_mode/start.tcl new file mode 100644 index 00000000..42d11c15 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/start.tcl @@ -0,0 +1,180 @@ +variable MAC_SOURCE_REPLACE_EN +variable MAC_TARGET_CHECK_EN +variable MAC_BROADCAST_FILTER_EN +variable MAC_TX_FF_DEPTH +variable MAC_RX_FF_DEPTH +variable header_data + +source sim/rtl_sim/ncsim_sim/script/run_proc.tcl + +frame .f1 +frame .f2 +text .f1.t1 -width 60 -height 20 +button .f2.b1 -text "Quit" -width 5 -command {exit} +button .f2.b2 -text "Next" -width 5 -command {module_conf} + +pack .f1 .f2 +pack .f1.t1 +pack .f2.b1 .f2.b2 -side left + +set strings \ +{Hi guys, + Thanks for your interest about this tri-speed ethernet MAC controller. +Since this project was created at 25-Nov-2005,I put almost all my free time +on this project.I am exhausted for this two month's extra work.If you think +this project is useful,let me know that and i will feel much better. + + To increase the flexibility, some optional modules can be removed from +the design to reduce area. + + Any problem or bug report please contact me by email: + gaojon@yahoo.com + + + + + + + jon + 18-Jan-2006 +} + +.f1.t1 insert end $strings + +proc module_conf {} { + global MAC_SOURCE_REPLACE_EN + global MAC_TARGET_CHECK_EN + global MAC_BROADCAST_FILTER_EN + global MAC_TX_FF_DEPTH + global MAC_RX_FF_DEPTH + global header_data + + if {[catch {open ./rtl/verilog/header.v r} fileid]} { + puts "Failed open ./rtl/verilog/header.v file\n" + } else { + gets $fileid line + if {[lindex $line 0]=="//"} { + set line [lreplace $line 0 0] + set MAC_SOURCE_REPLACE_EN 0 + } else { + set MAC_SOURCE_REPLACE_EN 1 + } + lappend header_data $line + gets $fileid line + if {[lindex $line 0]=="//"} { + set line [lreplace $line 0 0] + set MAC_TARGET_CHECK_EN 0 + } else { + set MAC_TARGET_CHECK_EN 1 + } + lappend header_data $line + gets $fileid line + if {[lindex $line 0]=="//"} { + set line [lreplace $line 0 0] + set MAC_BROADCAST_FILTER_EN 0 + } else { + set MAC_BROADCAST_FILTER_EN 1 + } + lappend header_data $line + gets $fileid line + set MAC_TX_FF_DEPTH [lindex $line 2] + lappend header_data $line + gets $fileid line + set MAC_RX_FF_DEPTH [lindex $line 2] + lappend header_data $line + close $fileid + } + + destroy .f1 .f2 + frame .f1 + frame .f2 + frame .f1.f1 + frame .f1.f2 + frame .f1.f3 + frame .f1.f4 + frame .f1.f5 + frame .f1.f6 + + pack .f1 .f2 + pack .f1.f1 .f1.f2 .f1.f3 .f1.f4 .f1.f5 .f1.f6 + label .f1.f1.lb -text "enable source MAC replace module" -width 30 + checkbutton .f1.f1.cb -variable MAC_SOURCE_REPLACE_EN + label .f1.f2.lb -text "enable target MAC check module " -width 30 + checkbutton .f1.f2.cb -variable MAC_TARGET_CHECK_EN + label .f1.f3.lb -text "enable broadcast packet filter module" -width 30 + checkbutton .f1.f3.cb -variable MAC_BROADCAST_FILTER_EN + + label .f1.f4.lb -text "MAC_TX_FF_DEPTH" -width 30 + entry .f1.f4.en -textvariable MAC_TX_FF_DEPTH -width 5 + label .f1.f5.lb -text "MAC_RX_FF_DEPTH" -width 30 + entry .f1.f5.en -textvariable MAC_RX_FF_DEPTH -width 5 + + button .f2.b1 -width 10 -text "Save" -command {save_header} + button .f2.b2 -width 10 -text "Verify" -command {run_sim} + button .f2.b4 -width 10 -text "Exit" -command {exit} + + pack .f1.f1.cb .f1.f1.lb -side right + pack .f1.f2.cb .f1.f2.lb -side right + pack .f1.f3.cb .f1.f3.lb -side right + pack .f1.f4.en .f1.f4.lb -side right + pack .f1.f5.en .f1.f5.lb -side right + + pack .f2.b1 .f2.b2 .f2.b4 -side left + +} + +proc save_header {} { + global MAC_SOURCE_REPLACE_EN + global MAC_TARGET_CHECK_EN + global MAC_BROADCAST_FILTER_EN + global MAC_TX_FF_DEPTH + global MAC_RX_FF_DEPTH + global header_data + if {[catch {open ./rtl/verilog/header.v w} fileid]} { + puts "Failed open ./rtl/verilog/header.v file\n" + } else { + set line [lindex $header_data 0] + if {$MAC_SOURCE_REPLACE_EN==0} { + set line [linsert $line 0 "//"] + } + puts $fileid $line + + set line [lindex $header_data 1] + if {$MAC_TARGET_CHECK_EN==0} { + set line [linsert $line 0 "//"] + } + puts $fileid $line + + set line [lindex $header_data 2] + if {$MAC_BROADCAST_FILTER_EN==0} { + set line [linsert $line 0 "//"] + } + puts $fileid $line + + set line [lindex $header_data 3] + set line [lreplace $line 2 2 $MAC_TX_FF_DEPTH] + puts $fileid $line + + set line [lindex $header_data 4] + set line [lreplace $line 2 2 $MAC_RX_FF_DEPTH] + puts $fileid $line + + close $fileid + } + +} + +proc start_verify {} { + cd sim/rtl_sim/ncsim_sim/script + vish run.tcl +} + +proc start_syn {} { + cd syn + synplify_pro syn.prj +} + +proc run_sim {} { + cd sim/rtl_sim/ncsim_sim/script/ + run_proc +} \ No newline at end of file diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries new file mode 100644 index 00000000..b6b97bde --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries @@ -0,0 +1,4 @@ +/syn.prj/1.2/Thu Jan 19 14:07:57 2006// +/syn_altrea.prj/1.1/Sun Jun 25 05:09:02 2006// +/syn_xilinx.prj/1.1/Sun Jun 25 05:09:02 2006// +D diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository new file mode 100644 index 00000000..300767ca --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository @@ -0,0 +1 @@ +ethernet_tri_mode/syn diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Template b/usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj new file mode 100644 index 00000000..568a7a4e --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj @@ -0,0 +1,86 @@ +#-- Synplicity, Inc. +#-- Version Synplify 8.1 +#-- Project file D:\root\home\ethernet_tri_mode\syn\syn.prj +#-- Written on Thu Jan 19 20:25:55 2006 + + +#add_file options +add_file -verilog "../rtl/verilog/header.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_FF.v" +add_file -verilog "../rtl/verilog/MAC_tx/Ramdon_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/CRC_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_addr_add.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_Ctrl.v" +add_file -verilog "../rtl/verilog/MAC_tx/flow_ctrl.v" +add_file -verilog "../rtl/verilog/MAC_rx/CRC_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_add_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_FF.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_addr_gen.v" +add_file -verilog "../rtl/verilog/RMON/RMON_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_dpram.v" +add_file -verilog "../rtl/verilog/MAC_rx/Broadcast_filter.v" +add_file -verilog "../rtl/verilog/TECH/duram.v" +add_file -verilog "../rtl/verilog/RMON.v" +add_file -verilog "../rtl/verilog/MAC_rx.v" +add_file -verilog "../rtl/verilog/MAC_tx.v" +add_file -verilog "../rtl/verilog/miim/eth_clockgen.v" +add_file -verilog "../rtl/verilog/miim/eth_outputcontrol.v" +add_file -verilog "../rtl/verilog/miim/eth_shiftreg.v" +add_file -verilog "../rtl/verilog/miim/timescale.v" +add_file -verilog "../rtl/verilog/TECH/CLK_SWITCH.v" +add_file -verilog "../rtl/verilog/TECH/CLK_DIV2.v" +add_file -verilog "../rtl/verilog/eth_miim.v" +add_file -verilog "../rtl/verilog/Clk_ctrl.v" +add_file -verilog "../rtl/verilog/Phy_int.v" +add_file -verilog "../rtl/verilog/Reg_int.v" +add_file -verilog "../rtl/verilog/MAC_top.v" + + +#implementation: "syn" +impl -add syn + +#device options +set_option -technology STRATIX +set_option -part EP1S10 +set_option -package FC780 +set_option -speed_grade -5 + +#compilation/mapping options +set_option -default_enum_encoding onehot +set_option -symbolic_fsm_compiler 0 +set_option -resource_sharing 1 +set_option -use_fsm_explorer 0 + +#map options +set_option -frequency auto +set_option -run_prop_extract 0 +set_option -fanout_limit 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -verification_mode 0 +set_option -fixgatedclocks 0 +set_option -no_sequential_opt 0 + +#simulation options +set_option -write_verilog 1 +set_option -write_vhdl 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 0 + +#set result format/file last +project -result_file "./MAC_top.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 + +#par_1 attributes +set_option -job par_1 -add par +set_option -job par_1 -option run_backannotation 0 +impl -active "syn" diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj new file mode 100644 index 00000000..e227ea67 --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj @@ -0,0 +1,91 @@ +#-- Synplicity, Inc. +#-- Version Synplify Pro 8.1 +#-- Project file D:\root\home\ethernet_tri_mode\syn\syn_altrea.prj +#-- Written on Sun Jun 25 09:40:49 2006 + + +#add_file options +add_file -verilog "../rtl/verilog/header.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_FF.v" +add_file -verilog "../rtl/verilog/MAC_tx/Ramdon_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/CRC_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_addr_add.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_Ctrl.v" +add_file -verilog "../rtl/verilog/MAC_tx/flow_ctrl.v" +add_file -verilog "../rtl/verilog/MAC_rx/CRC_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_add_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_FF.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_addr_gen.v" +add_file -verilog "../rtl/verilog/RMON/RMON_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_dpram.v" +add_file -verilog "../rtl/verilog/MAC_rx/Broadcast_filter.v" +add_file -verilog "../rtl/verilog/RMON.v" +add_file -verilog "../rtl/verilog/MAC_rx.v" +add_file -verilog "../rtl/verilog/MAC_tx.v" +add_file -verilog "../rtl/verilog/miim/eth_clockgen.v" +add_file -verilog "../rtl/verilog/miim/eth_outputcontrol.v" +add_file -verilog "../rtl/verilog/miim/eth_shiftreg.v" +add_file -verilog "../rtl/verilog/miim/timescale.v" +add_file -verilog "../rtl/verilog/TECH/altera/duram.v" +add_file -verilog "../rtl/verilog/TECH/altera/CLK_SWITCH.v" +add_file -verilog "../rtl/verilog/TECH/altera/CLK_DIV2.v" +add_file -verilog "../rtl/verilog/eth_miim.v" +add_file -verilog "../rtl/verilog/Clk_ctrl.v" +add_file -verilog "../rtl/verilog/Phy_int.v" +add_file -verilog "../rtl/verilog/Reg_int.v" +add_file -verilog "../rtl/verilog/MAC_top.v" + + +#implementation: "syn" +impl -add syn + +#device options +set_option -technology STRATIX +set_option -part EP1S30 +set_option -package FC780 +set_option -speed_grade -5 + +#compilation/mapping options +set_option -default_enum_encoding onehot +set_option -symbolic_fsm_compiler 0 +set_option -resource_sharing 1 +set_option -use_fsm_explorer 0 +set_option -top_module "MAC_top" + +#map options +set_option -frequency auto +set_option -run_prop_extract 0 +set_option -fanout_limit 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -verification_mode 0 +set_option -fixgatedclocks 0 +set_option -no_sequential_opt 0 + +#simulation options +set_option -write_verilog 1 +set_option -write_vhdl 0 + +#VIF options +set_option -write_vif 1 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 0 + +#set result format/file last +project -result_file "./MAC_top.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -dup 0 +set_option -project_relative_includes 1 + +#par_1 attributes +set_option -job par_1 -add par +set_option -job par_1 -option run_backannotation 0 +impl -active "syn" diff --git a/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj new file mode 100644 index 00000000..94435b4b --- /dev/null +++ b/usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj @@ -0,0 +1,92 @@ +#-- Synplicity, Inc. +#-- Version Synplify Pro 8.1 +#-- Project file D:\root\home\ethernet_tri_mode\syn\syn_xilinx.prj +#-- Written on Sun Jun 25 09:43:29 2006 + + +#add_file options +add_file -verilog "../rtl/verilog/header.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_FF.v" +add_file -verilog "../rtl/verilog/MAC_tx/Ramdon_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/CRC_gen.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_addr_add.v" +add_file -verilog "../rtl/verilog/MAC_tx/MAC_tx_Ctrl.v" +add_file -verilog "../rtl/verilog/MAC_tx/flow_ctrl.v" +add_file -verilog "../rtl/verilog/MAC_rx/CRC_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_add_chk.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_FF.v" +add_file -verilog "../rtl/verilog/MAC_rx/MAC_rx_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_addr_gen.v" +add_file -verilog "../rtl/verilog/RMON/RMON_ctrl.v" +add_file -verilog "../rtl/verilog/RMON/RMON_dpram.v" +add_file -verilog "../rtl/verilog/MAC_rx/Broadcast_filter.v" +add_file -verilog "../rtl/verilog/RMON.v" +add_file -verilog "../rtl/verilog/MAC_rx.v" +add_file -verilog "../rtl/verilog/MAC_tx.v" +add_file -verilog "../rtl/verilog/miim/eth_clockgen.v" +add_file -verilog "../rtl/verilog/miim/eth_outputcontrol.v" +add_file -verilog "../rtl/verilog/miim/eth_shiftreg.v" +add_file -verilog "../rtl/verilog/miim/timescale.v" +add_file -verilog "../rtl/verilog/TECH/xilinx/duram.v" +add_file -verilog "../rtl/verilog/TECH/xilinx/CLK_SWITCH.v" +add_file -verilog "../rtl/verilog/TECH/xilinx/CLK_DIV2.v" +add_file -verilog "../rtl/verilog/eth_miim.v" +add_file -verilog "../rtl/verilog/Clk_ctrl.v" +add_file -verilog "../rtl/verilog/Phy_int.v" +add_file -verilog "../rtl/verilog/Reg_int.v" +add_file -verilog "../rtl/verilog/MAC_top.v" + + +#implementation: "syn" +impl -add syn + +#device options +set_option -technology VIRTEX4 +set_option -part XC4VLX40 +set_option -package FF668 +set_option -speed_grade -10 + +#compilation/mapping options +set_option -default_enum_encoding onehot +set_option -symbolic_fsm_compiler 0 +set_option -resource_sharing 1 +set_option -use_fsm_explorer 0 +set_option -top_module "MAC_top" + +#map options +set_option -frequency auto +set_option -run_prop_extract 0 +set_option -fanout_limit 10000 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -verification_mode 0 +set_option -fixgatedclocks 0 +set_option -modular 0 +set_option -retiming 0 +set_option -no_sequential_opt 0 + +#simulation options +set_option -write_verilog 0 +set_option -write_vhdl 0 + +#VIF options +set_option -write_vif 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 0 + +#set result format/file last +project -result_file "./MAC_top.edf" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -dup 0 +set_option -project_relative_includes 1 + +#par_1 attributes +set_option -job par_1 -add par +set_option -job par_1 -option run_backannotation 0 +impl -active "syn" diff --git a/usrp2/fpga/opencores/i2c/CVS/Entries b/usrp2/fpga/opencores/i2c/CVS/Entries new file mode 100644 index 00000000..d6947544 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/CVS/Entries @@ -0,0 +1,8 @@ +D/bench//// +D/doc//// +D/documentation//// +D/rtl//// +D/sim//// +D/software//// +D/verilog//// +D/vhdl//// diff --git a/usrp2/fpga/opencores/i2c/CVS/Repository b/usrp2/fpga/opencores/i2c/CVS/Repository new file mode 100644 index 00000000..1a9fe896 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/CVS/Repository @@ -0,0 +1 @@ +i2c diff --git a/usrp2/fpga/opencores/i2c/CVS/Root b/usrp2/fpga/opencores/i2c/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/CVS/Template b/usrp2/fpga/opencores/i2c/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/bench/CVS/Entries b/usrp2/fpga/opencores/i2c/bench/CVS/Entries new file mode 100644 index 00000000..428c5622 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/CVS/Entries @@ -0,0 +1 @@ +D/verilog//// diff --git a/usrp2/fpga/opencores/i2c/bench/CVS/Repository b/usrp2/fpga/opencores/i2c/bench/CVS/Repository new file mode 100644 index 00000000..5597c8aa --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/CVS/Repository @@ -0,0 +1 @@ +i2c/bench diff --git a/usrp2/fpga/opencores/i2c/bench/CVS/Root b/usrp2/fpga/opencores/i2c/bench/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/bench/CVS/Template b/usrp2/fpga/opencores/i2c/bench/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries new file mode 100644 index 00000000..2dd77910 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries @@ -0,0 +1,5 @@ +/i2c_slave_model.v/1.7/Mon Sep 4 09:08:51 2006// +/spi_slave_model.v/1.1/Sat Feb 28 15:32:54 2004// +/tst_bench_top.v/1.8/Mon Sep 4 09:08:51 2006// +/wb_master_model.v/1.4/Sat Feb 28 15:40:42 2004// +D diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository new file mode 100644 index 00000000..b37c379e --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository @@ -0,0 +1 @@ +i2c/bench/verilog diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Template b/usrp2/fpga/opencores/i2c/bench/verilog/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v b/usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v new file mode 100644 index 00000000..0c8ecae0 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v @@ -0,0 +1,360 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE rev.B2 compliant synthesizable I2C Slave model //// +//// //// +//// //// +//// Authors: Richard Herveille (richard@asics.ws) www.asics.ws //// +//// John Sheahan (jrsheahan@optushome.com.au) //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/i2c/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001,2002 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: i2c_slave_model.v,v 1.7 2006/09/04 09:08:51 rherveille Exp $ +// +// $Date: 2006/09/04 09:08:51 $ +// $Revision: 1.7 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: i2c_slave_model.v,v $ +// Revision 1.7 2006/09/04 09:08:51 rherveille +// fixed (n)ack generation +// +// Revision 1.6 2005/02/28 11:33:48 rherveille +// Fixed Tsu:sta timing check. +// Added Thd:sta timing check. +// +// Revision 1.5 2003/12/05 11:05:19 rherveille +// Fixed slave address MSB='1' bug +// +// Revision 1.4 2003/09/11 08:25:37 rherveille +// Fixed a bug in the timing section. Changed 'tst_scl' into 'tst_sto'. +// +// Revision 1.3 2002/10/30 18:11:06 rherveille +// Added timing tests to i2c_model. +// Updated testbench. +// +// Revision 1.2 2002/03/17 10:26:38 rherveille +// Fixed some race conditions in the i2c-slave model. +// Added debug information. +// Added headers. +// + +`include "timescale.v" + +module i2c_slave_model (scl, sda); + + // + // parameters + // + parameter I2C_ADR = 7'b001_0000; + + // + // input && outpus + // + input scl; + inout sda; + + // + // Variable declaration + // + wire debug = 1'b1; + + reg [7:0] mem [3:0]; // initiate memory + reg [7:0] mem_adr; // memory address + reg [7:0] mem_do; // memory data output + + reg sta, d_sta; + reg sto, d_sto; + + reg [7:0] sr; // 8bit shift register + reg rw; // read/write direction + + wire my_adr; // my address called ?? + wire i2c_reset; // i2c-statemachine reset + reg [2:0] bit_cnt; // 3bit downcounter + wire acc_done; // 8bits transfered + reg ld; // load downcounter + + reg sda_o; // sda-drive level + wire sda_dly; // delayed version of sda + + // statemachine declaration + parameter idle = 3'b000; + parameter slave_ack = 3'b001; + parameter get_mem_adr = 3'b010; + parameter gma_ack = 3'b011; + parameter data = 3'b100; + parameter data_ack = 3'b101; + + reg [2:0] state; // synopsys enum_state + + // + // module body + // + + initial + begin + sda_o = 1'b1; + state = idle; + end + + // generate shift register + always @(posedge scl) + sr <= #1 {sr[6:0],sda}; + + //detect my_address + assign my_adr = (sr[7:1] == I2C_ADR); + // FIXME: This should not be a generic assign, but rather + // qualified on address transfer phase and probably reset by stop + + //generate bit-counter + always @(posedge scl) + if(ld) + bit_cnt <= #1 3'b111; + else + bit_cnt <= #1 bit_cnt - 3'h1; + + //generate access done signal + assign acc_done = !(|bit_cnt); + + // generate delayed version of sda + // this model assumes a hold time for sda after the falling edge of scl. + // According to the Phillips i2c spec, there s/b a 0 ns hold time for sda + // with regards to scl. If the data changes coincident with the clock, the + // acknowledge is missed + // Fix by Michael Sosnoski + assign #1 sda_dly = sda; + + + //detect start condition + always @(negedge sda) + if(scl) + begin + sta <= #1 1'b1; + d_sta <= #1 1'b0; + sto <= #1 1'b0; + + if(debug) + $display("DEBUG i2c_slave; start condition detected at %t", $time); + end + else + sta <= #1 1'b0; + + always @(posedge scl) + d_sta <= #1 sta; + + // detect stop condition + always @(posedge sda) + if(scl) + begin + sta <= #1 1'b0; + sto <= #1 1'b1; + + if(debug) + $display("DEBUG i2c_slave; stop condition detected at %t", $time); + end + else + sto <= #1 1'b0; + + //generate i2c_reset signal + assign i2c_reset = sta || sto; + + // generate statemachine + always @(negedge scl or posedge sto) + if (sto || (sta && !d_sta) ) + begin + state <= #1 idle; // reset statemachine + + sda_o <= #1 1'b1; + ld <= #1 1'b1; + end + else + begin + // initial settings + sda_o <= #1 1'b1; + ld <= #1 1'b0; + + case(state) // synopsys full_case parallel_case + idle: // idle state + if (acc_done && my_adr) + begin + state <= #1 slave_ack; + rw <= #1 sr[0]; + sda_o <= #1 1'b0; // generate i2c_ack + + #2; + if(debug && rw) + $display("DEBUG i2c_slave; command byte received (read) at %t", $time); + if(debug && !rw) + $display("DEBUG i2c_slave; command byte received (write) at %t", $time); + + if(rw) + begin + mem_do <= #1 mem[mem_adr]; + + if(debug) + begin + #2 $display("DEBUG i2c_slave; data block read %x from address %x (1)", mem_do, mem_adr); + #2 $display("DEBUG i2c_slave; memcheck [0]=%x, [1]=%x, [2]=%x", mem[4'h0], mem[4'h1], mem[4'h2]); + end + end + end + + slave_ack: + begin + if(rw) + begin + state <= #1 data; + sda_o <= #1 mem_do[7]; + end + else + state <= #1 get_mem_adr; + + ld <= #1 1'b1; + end + + get_mem_adr: // wait for memory address + if(acc_done) + begin + state <= #1 gma_ack; + mem_adr <= #1 sr; // store memory address + sda_o <= #1 !(sr <= 15); // generate i2c_ack, for valid address + + if(debug) + #1 $display("DEBUG i2c_slave; address received. adr=%x, ack=%b", sr, sda_o); + end + + gma_ack: + begin + state <= #1 data; + ld <= #1 1'b1; + end + + data: // receive or drive data + begin + if(rw) + sda_o <= #1 mem_do[7]; + + if(acc_done) + begin + state <= #1 data_ack; + mem_adr <= #2 mem_adr + 8'h1; + sda_o <= #1 (rw && (mem_adr <= 15) ); // send ack on write, receive ack on read + + if(rw) + begin + #3 mem_do <= mem[mem_adr]; + + if(debug) + #5 $display("DEBUG i2c_slave; data block read %x from address %x (2)", mem_do, mem_adr); + end + + if(!rw) + begin + mem[ mem_adr[3:0] ] <= #1 sr; // store data in memory + + if(debug) + #2 $display("DEBUG i2c_slave; data block write %x to address %x", sr, mem_adr); + end + end + end + + data_ack: + begin + ld <= #1 1'b1; + + if(rw) + if(sr[0]) // read operation && master send NACK + begin + state <= #1 idle; + sda_o <= #1 1'b1; + end + else + begin + state <= #1 data; + sda_o <= #1 mem_do[7]; + end + else + begin + state <= #1 data; + sda_o <= #1 1'b1; + end + end + + endcase + end + + // read data from memory + always @(posedge scl) + if(!acc_done && rw) + mem_do <= #1 {mem_do[6:0], 1'b1}; // insert 1'b1 for host ack generation + + // generate tri-states + assign sda = sda_o ? 1'bz : 1'b0; + + + // + // Timing checks + // + + wire tst_sto = sto; + wire tst_sta = sta; + + specify + specparam normal_scl_low = 4700, + normal_scl_high = 4000, + normal_tsu_sta = 4700, + normal_thd_sta = 4000, + normal_tsu_sto = 4000, + normal_tbuf = 4700, + + fast_scl_low = 1300, + fast_scl_high = 600, + fast_tsu_sta = 1300, + fast_thd_sta = 600, + fast_tsu_sto = 600, + fast_tbuf = 1300; + + $width(negedge scl, normal_scl_low); // scl low time + $width(posedge scl, normal_scl_high); // scl high time + + $setup(posedge scl, negedge sda &&& scl, normal_tsu_sta); // setup start + $setup(negedge sda &&& scl, negedge scl, normal_thd_sta); // hold start + $setup(posedge scl, posedge sda &&& scl, normal_tsu_sto); // setup stop + + $setup(posedge tst_sta, posedge tst_sto, normal_tbuf); // stop to start time + endspecify + +endmodule + + diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v b/usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v new file mode 100644 index 00000000..7d2f436f --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v @@ -0,0 +1,128 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// SPI Slave Model //// +//// //// +//// //// +//// Authors: Richard Herveille (richard@asics.ws) www.asics.ws //// +//// //// +//// http://www.opencores.org/projects/simple_spi/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2004 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: spi_slave_model.v,v 1.1 2004/02/28 15:32:54 rherveille Exp $ +// +// $Date: 2004/02/28 15:32:54 $ +// $Revision: 1.1 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: spi_slave_model.v,v $ +// Revision 1.1 2004/02/28 15:32:54 rherveille +// Added testbench +// +// +// + + +// Requires: Verilog2001 + +`include "timescale.v" + +module spi_slave_model ( + input wire csn; + input wire sck + input wire di; + output wire do +); + + // + // Variable declaration + // + wire debug = 1'b1; + + wire cpol = 1'b0; + wire cpha = 1'b0; + + reg [7:0] mem [7:0]; // initiate memory + reg [2:0] mem_adr; // memory address + reg [7:0] mem_do; // memory data output + + reg [7:0] sri, sro; // 8bit shift register + + reg [2:0] bit_cnt; + reg ld; + + wire clk; + + // + // module body + // + + assign clk = cpol ^ cpha ^ sck; + + // generate shift registers + always @(posedge clk) + sri <= #1 {sri[6:0],di}; + + always @(posedge clk) + if (&bit_cnt) + sro <= #1 mem[mem_adr]; + else + sro <= #1 {sro[6:0],1'bx}; + + assign do = sro[7]; + + //generate bit-counter + always @(posedge clk, posedge csn) + if(csn) + bit_cnt <= #1 3'b111; + else + bit_cnt <= #1 bit_cnt - 3'h1; + + //generate access done signal + always @(posedge clk) + ld <= #1 ~(|bit_cnt); + + always @(negedge clk) + if (ld) begin + mem[mem_adr] <= #1 sri; + mem_adr <= #1 mem_adr + 1'b1; + end + + initial + begin + bit_cnt=3'b111; + mem_adr = 0; + sro = mem[mem_adr]; + end +endmodule + + diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v b/usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v new file mode 100644 index 00000000..66284e4d --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v @@ -0,0 +1,467 @@ +///////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE rev.B2 compliant I2C Master controller Testbench //// +//// //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/i2c/ //// +//// //// +///////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer.//// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +///////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: tst_bench_top.v,v 1.8 2006/09/04 09:08:51 rherveille Exp $ +// +// $Date: 2006/09/04 09:08:51 $ +// $Revision: 1.8 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// $Log: tst_bench_top.v,v $ +// Revision 1.8 2006/09/04 09:08:51 rherveille +// fixed (n)ack generation +// +// Revision 1.7 2005/02/27 09:24:18 rherveille +// Fixed scl, sda delay. +// +// Revision 1.6 2004/02/28 15:40:42 rherveille +// *** empty log message *** +// +// Revision 1.4 2003/12/05 11:04:38 rherveille +// Added slave address configurability +// +// Revision 1.3 2002/10/30 18:11:06 rherveille +// Added timing tests to i2c_model. +// Updated testbench. +// +// Revision 1.2 2002/03/17 10:26:38 rherveille +// Fixed some race conditions in the i2c-slave model. +// Added debug information. +// Added headers. +// + +`include "timescale.v" + +module tst_bench_top(); + + // + // wires && regs + // + reg clk; + reg rstn; + + wire [31:0] adr; + wire [ 7:0] dat_i, dat_o, dat0_i, dat1_i; + wire we; + wire stb; + wire cyc; + wire ack; + wire inta; + + reg [7:0] q, qq; + + wire scl, scl0_o, scl0_oen, scl1_o, scl1_oen; + wire sda, sda0_o, sda0_oen, sda1_o, sda1_oen; + + parameter PRER_LO = 3'b000; + parameter PRER_HI = 3'b001; + parameter CTR = 3'b010; + parameter RXR = 3'b011; + parameter TXR = 3'b011; + parameter CR = 3'b100; + parameter SR = 3'b100; + + parameter TXR_R = 3'b101; // undocumented / reserved output + parameter CR_R = 3'b110; // undocumented / reserved output + + parameter RD = 1'b1; + parameter WR = 1'b0; + parameter SADR = 7'b0010_000; + + // + // Module body + // + + // generate clock + always #5 clk = ~clk; + + // hookup wishbone master model + wb_master_model #(8, 32) u0 ( + .clk(clk), + .rst(rstn), + .adr(adr), + .din(dat_i), + .dout(dat_o), + .cyc(cyc), + .stb(stb), + .we(we), + .sel(), + .ack(ack), + .err(1'b0), + .rty(1'b0) + ); + + wire stb0 = stb & ~adr[3]; + wire stb1 = stb & adr[3]; + + assign dat_i = ({{8'd8}{stb0}} & dat0_i) | ({{8'd8}{stb1}} & dat1_i); + + // hookup wishbone_i2c_master core + i2c_master_top i2c_top ( + + // wishbone interface + .wb_clk_i(clk), + .wb_rst_i(1'b0), + .arst_i(rstn), + .wb_adr_i(adr[2:0]), + .wb_dat_i(dat_o), + .wb_dat_o(dat0_i), + .wb_we_i(we), + .wb_stb_i(stb0), + .wb_cyc_i(cyc), + .wb_ack_o(ack), + .wb_inta_o(inta), + + // i2c signals + .scl_pad_i(scl), + .scl_pad_o(scl0_o), + .scl_padoen_o(scl0_oen), + .sda_pad_i(sda), + .sda_pad_o(sda0_o), + .sda_padoen_o(sda0_oen) + ), + i2c_top2 ( + + // wishbone interface + .wb_clk_i(clk), + .wb_rst_i(1'b0), + .arst_i(rstn), + .wb_adr_i(adr[2:0]), + .wb_dat_i(dat_o), + .wb_dat_o(dat1_i), + .wb_we_i(we), + .wb_stb_i(stb1), + .wb_cyc_i(cyc), + .wb_ack_o(ack), + .wb_inta_o(inta), + + // i2c signals + .scl_pad_i(scl), + .scl_pad_o(scl1_o), + .scl_padoen_o(scl1_oen), + .sda_pad_i(sda), + .sda_pad_o(sda1_o), + .sda_padoen_o(sda1_oen) + ); + + + // hookup i2c slave model + i2c_slave_model #(SADR) i2c_slave ( + .scl(scl), + .sda(sda) + ); + + // create i2c lines + delay m0_scl (scl0_oen ? 1'bz : scl0_o, scl), + m1_scl (scl1_oen ? 1'bz : scl1_o, scl), + m0_sda (sda0_oen ? 1'bz : sda0_o, sda), + m1_sda (sda1_oen ? 1'bz : sda1_o, sda); + + pullup p1(scl); // pullup scl line + pullup p2(sda); // pullup sda line + + initial + begin + `ifdef WAVES + $shm_open("waves"); + $shm_probe("AS",tst_bench_top,"AS"); + $display("INFO: Signal dump enabled ...\n\n"); + `endif + +// force i2c_slave.debug = 1'b1; // enable i2c_slave debug information + force i2c_slave.debug = 1'b0; // disable i2c_slave debug information + + $display("\nstatus: %t Testbench started\n\n", $time); + +// $dumpfile("bench.vcd"); +// $dumpvars(1, tst_bench_top); +// $dumpvars(1, tst_bench_top.i2c_slave); + + // initially values + clk = 0; + + // reset system + rstn = 1'b1; // negate reset + #2; + rstn = 1'b0; // assert reset + repeat(1) @(posedge clk); + rstn = 1'b1; // negate reset + + $display("status: %t done reset", $time); + + @(posedge clk); + + // + // program core + // + + // program internal registers + u0.wb_write(1, PRER_LO, 8'hfa); // load prescaler lo-byte + u0.wb_write(1, PRER_LO, 8'hc8); // load prescaler lo-byte + u0.wb_write(1, PRER_HI, 8'h00); // load prescaler hi-byte + $display("status: %t programmed registers", $time); + + u0.wb_cmp(0, PRER_LO, 8'hc8); // verify prescaler lo-byte + u0.wb_cmp(0, PRER_HI, 8'h00); // verify prescaler hi-byte + $display("status: %t verified registers", $time); + + u0.wb_write(1, CTR, 8'h80); // enable core + $display("status: %t core enabled", $time); + + // + // access slave (write) + // + + // drive slave address + u0.wb_write(1, TXR, {SADR,WR} ); // present slave address, set write-bit + u0.wb_write(0, CR, 8'h90 ); // set command (start, write) + $display("status: %t generate 'start', write cmd %0h (slave address+write)", $time, {SADR,WR} ); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(0, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // send memory address + u0.wb_write(1, TXR, 8'h01); // present slave's memory address + u0.wb_write(0, CR, 8'h10); // set command (write) + $display("status: %t write slave memory address 01", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(0, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // send memory contents + u0.wb_write(1, TXR, 8'ha5); // present data + u0.wb_write(0, CR, 8'h10); // set command (write) + $display("status: %t write data a5", $time); + +while (scl) #1; +force scl= 1'b0; +#100000; +release scl; + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // send memory contents for next memory address (auto_inc) + u0.wb_write(1, TXR, 8'h5a); // present data + u0.wb_write(0, CR, 8'h50); // set command (stop, write) + $display("status: %t write next data 5a, generate 'stop'", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // + // delay + // +// #100000; // wait for 100us. +// $display("status: %t wait 100us", $time); + + // + // access slave (read) + // + + // drive slave address + u0.wb_write(1, TXR,{SADR,WR} ); // present slave address, set write-bit + u0.wb_write(0, CR, 8'h90 ); // set command (start, write) + $display("status: %t generate 'start', write cmd %0h (slave address+write)", $time, {SADR,WR} ); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // send memory address + u0.wb_write(1, TXR, 8'h01); // present slave's memory address + u0.wb_write(0, CR, 8'h10); // set command (write) + $display("status: %t write slave address 01", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // drive slave address + u0.wb_write(1, TXR, {SADR,RD} ); // present slave's address, set read-bit + u0.wb_write(0, CR, 8'h90 ); // set command (start, write) + $display("status: %t generate 'repeated start', write cmd %0h (slave address+read)", $time, {SADR,RD} ); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // read data from slave + u0.wb_write(1, CR, 8'h20); // set command (read, ack_read) + $display("status: %t read + ack", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // check data just received + u0.wb_read(1, RXR, qq); + if(qq !== 8'ha5) + $display("\nERROR: Expected a5, received %x at time %t", qq, $time); + else + $display("status: %t received %x", $time, qq); + + // read data from slave + u0.wb_write(1, CR, 8'h20); // set command (read, ack_read) + $display("status: %t read + ack", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // check data just received + u0.wb_read(1, RXR, qq); + if(qq !== 8'h5a) + $display("\nERROR: Expected 5a, received %x at time %t", qq, $time); + else + $display("status: %t received %x", $time, qq); + + // read data from slave + u0.wb_write(1, CR, 8'h20); // set command (read, ack_read) + $display("status: %t read + ack", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // check data just received + u0.wb_read(1, RXR, qq); + $display("status: %t received %x from 3rd read address", $time, qq); + + // read data from slave + u0.wb_write(1, CR, 8'h28); // set command (read, nack_read) + $display("status: %t read + nack", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // check data just received + u0.wb_read(1, RXR, qq); + $display("status: %t received %x from 4th read address", $time, qq); + + // + // check invalid slave memory address + // + + // drive slave address + u0.wb_write(1, TXR, {SADR,WR} ); // present slave address, set write-bit + u0.wb_write(0, CR, 8'h90 ); // set command (start, write) + $display("status: %t generate 'start', write cmd %0h (slave address+write). Check invalid address", $time, {SADR,WR} ); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // send memory address + u0.wb_write(1, TXR, 8'h10); // present slave's memory address + u0.wb_write(0, CR, 8'h10); // set command (write) + $display("status: %t write slave memory address 10", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + // slave should have send NACK + $display("status: %t Check for nack", $time); + if(!q[7]) + $display("\nERROR: Expected NACK, received ACK\n"); + + // read data from slave + u0.wb_write(1, CR, 8'h40); // set command (stop) + $display("status: %t generate 'stop'", $time); + + // check tip bit + u0.wb_read(1, SR, q); + while(q[1]) + u0.wb_read(1, SR, q); // poll it until it is zero + $display("status: %t tip==0", $time); + + #250000; // wait 250us + $display("\n\nstatus: %t Testbench done", $time); + $finish; + end + +endmodule + +module delay (in, out); + input in; + output out; + + assign out = in; + + specify + (in => out) = (600,600); + endspecify +endmodule + + diff --git a/usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v b/usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v new file mode 100644 index 00000000..14ed6cbf --- /dev/null +++ b/usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v @@ -0,0 +1,205 @@ +/////////////////////////////////////////////////////////////////////// +//// //// +//// WISHBONE rev.B2 Wishbone Master model //// +//// //// +//// //// +//// Author: Richard Herveille //// +//// richard@asics.ws //// +//// www.asics.ws //// +//// //// +//// Downloaded from: http://www.opencores.org/projects/mem_ctrl //// +//// //// +/////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2001 Richard Herveille //// +//// richard@asics.ws //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// +//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// +//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// +//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// +//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// +//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// +//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// +//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// +//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// +//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// +//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// +//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// +//// POSSIBILITY OF SUCH DAMAGE. //// +//// //// +/////////////////////////////////////////////////////////////////////// + +// CVS Log +// +// $Id: wb_master_model.v,v 1.4 2004/02/28 15:40:42 rherveille Exp $ +// +// $Date: 2004/02/28 15:40:42 $ +// $Revision: 1.4 $ +// $Author: rherveille $ +// $Locker: $ +// $State: Exp $ +// +// Change History: +// +`include "timescale.v" + +module wb_master_model(clk, rst, adr, din, dout, cyc, stb, we, sel, ack, err, rty); + +parameter dwidth = 32; +parameter awidth = 32; + +input clk, rst; +output [awidth -1:0] adr; +input [dwidth -1:0] din; +output [dwidth -1:0] dout; +output cyc, stb; +output we; +output [dwidth/8 -1:0] sel; +input ack, err, rty; + +//////////////////////////////////////////////////////////////////// +// +// Local Wires +// + +reg [awidth -1:0] adr; +reg [dwidth -1:0] dout; +reg cyc, stb; +reg we; +reg [dwidth/8 -1:0] sel; + +reg [dwidth -1:0] q; + +//////////////////////////////////////////////////////////////////// +// +// Memory Logic +// + +initial + begin + //adr = 32'hxxxx_xxxx; + //adr = 0; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + cyc = 1'b0; + stb = 1'bx; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + #1; + $display("\nINFO: WISHBONE MASTER MODEL INSTANTIATED (%m)\n"); + end + +//////////////////////////////////////////////////////////////////// +// +// Wishbone write cycle +// + +task wb_write; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signal + #1; + adr = a; + dout = d; + cyc = 1'b1; + stb = 1'b1; + we = 1'b1; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + + end +endtask + +//////////////////////////////////////////////////////////////////// +// +// Wishbone read cycle +// + +task wb_read; + input delay; + integer delay; + + input [awidth -1:0] a; + output [dwidth -1:0] d; + + begin + + // wait initial delay + repeat(delay) @(posedge clk); + + // assert wishbone signals + #1; + adr = a; + dout = {dwidth{1'bx}}; + cyc = 1'b1; + stb = 1'b1; + we = 1'b0; + sel = {dwidth/8{1'b1}}; + @(posedge clk); + + // wait for acknowledge from slave + while(~ack) @(posedge clk); + + // negate wishbone signals + #1; + cyc = 1'b0; + stb = 1'bx; + adr = {awidth{1'bx}}; + dout = {dwidth{1'bx}}; + we = 1'hx; + sel = {dwidth/8{1'bx}}; + d = din; + + end +endtask + +//////////////////////////////////////////////////////////////////// +// +// Wishbone compare cycle (read data from location and compare with expected data) +// + +task wb_cmp; + input delay; + integer delay; + + input [awidth -1:0] a; + input [dwidth -1:0] d_exp; + + begin + wb_read (delay, a, q); + + if (d_exp !== q) + $display("Data compare error. Received %h, expected %h at time %t", q, d_exp, $time); + end +endtask + +endmodule + + diff --git a/usrp2/fpga/opencores/i2c/doc/CVS/Entries b/usrp2/fpga/opencores/i2c/doc/CVS/Entries new file mode 100644 index 00000000..ec323c90 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/doc/CVS/Entries @@ -0,0 +1,2 @@ +/i2c_specs.pdf/1.3/Thu Jul 3 15:20:47 2003/-kb/ +D/src//// diff --git a/usrp2/fpga/opencores/i2c/doc/CVS/Repository b/usrp2/fpga/opencores/i2c/doc/CVS/Repository new file mode 100644 index 00000000..2ee10951 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/doc/CVS/Repository @@ -0,0 +1 @@ +i2c/doc diff --git a/usrp2/fpga/opencores/i2c/doc/CVS/Root b/usrp2/fpga/opencores/i2c/doc/CVS/Root new file mode 100644 index 00000000..44b2aa23 --- /dev/null +++ b/usrp2/fpga/opencores/i2c/doc/CVS/Root @@ -0,0 +1 @@ +:pserver:anonymous@cvs.opencores.org:/cvsroot/anonymous diff --git a/usrp2/fpga/opencores/i2c/doc/CVS/Template b/usrp2/fpga/opencores/i2c/doc/CVS/Template new file mode 100644 index 00000000..e69de29b diff --git a/usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf b/usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf new file mode 100644 index 0000000000000000000000000000000000000000..72021df117397a4c324ee17a0515db6f04af5a93 GIT binary patch literal 211471 zcmbrk1yml((l&|(4ess`+}+*Xg1fr~g1fs0cZU$%-QC^Y-Tgwc&-wP*=Ue~3?!E7< zneMKxuCD5Os=8-@SXNM&ijJBAns{?-Yzi8Zj)4}B7SCGG44RV@51K~O)XGrT&eX-w z0FUmyDT7DHK>uEf;;G}Y(z4(&u`=Lkez4)u)6p@qe6R@NF)^{Qd@xDl(XqZ4ig@(2 ztSn6L6mD)jXhSQ558-(KpMK7EhDOjI8qzW0LDT;AqhrSVUBk12hWv}f%tZH38XW`M zyI~){|KX!!VrKX+8Z+B}$)jVVr~fA(JtM<^%|Xx1#P&}<20Hrx;$vo{W&8&p0}~xR z%RgvLObqn@)P;$Ok@=rATG|g+{L?lDX4e0qIoRo%S{T~B`xKf+;oX~fbc{^UG-6gp z)_8Ou>xU=*?kIU{YlnCLzN`DYDQjo=?YHX~Kin!NsLsj2W~8Ufs!PkLYskW6sHaEA z$jYFntIx=4$joTO#LCM3f9KGA2LZIbgRY&!Z(q_v6B7%`2>*t|yJJ75{x|d#zuOq% z(Fo`|=vr7CziS|?Yiwx$uI{^8zx9)`F|^{-cQCcKdI#eNEI-IJUscuctQ;*Y{`U9) z=*M6wYlC-zO7@1*)>ek^G;u{dI{J5q{jv6)K~h)G(BfkXra#2L;gyc@9V!3PjYa{F zk(Leb9obCpj(i6{6Z3mZ*wn(o(C)po&~-2rG}Qk+o1~$Yv4hF`a=$zLeVz}A_6~N2 zx|Yz8qQQDPIy#^Pj-V)@puHKNfj)!dwYLwpgCgyjc%7r6QK#$ctSfGP9kuQnB0Kj! zW1W+l2z}lYn!8xMD6+TOFhfo4eeID~n;E{d8CP2HBKgG)Dwbxt!Wj{b)hFFgy|=xv zYtW>+9QG1GyjA8S7RW}L5u3#9-F2UTYSbELCk@769f3=kzr!MkW6K`rX#e8@3dE_O z8dCJZA!v`lT>k;O>%<$yFfCq^9-L~)#~I1G2!ruRI-J?ToJR6;R}KpLOGrqn1Cxzu zK}5%}Y+r2B`y2(rn5+SXNMb@bjU&1!kov$q0tWd~`a1h201q)@IXS?h@_ikSYF$ z4Tu@7p-wY9yr?~J1pzCil=p;e2VDEFgbR=^m)<1KtIM|sOFeL7aQzq^3!@+Hl#tbi z#QI;NG=PoDby?ey+J70$ss^ayOrbHCR5I+-(syporFb96AVi@6*`leU*YjF|3~e*e zmfX^NDS#@p(E&5$e4Dg5J#=2GaKb?b_onl3(#L4zxt8Z z{_^Wz3GR=_Kfuh$O#AP&_Xno`fcOVCe?!#H(CR$^>E2`cHzef^?X4Z{^glq)@PWRM z7!|O#a(L(ZApI*26ipm0_3&8!!YqxHp@FF`zqRvwP<&)^W;%L27B>3dSz5r(+U9@n zk+*hu2kkri-*fbzS^ur9tev&Kf}z8^T$=Yi;~o8m&JMrD3cu@w_r6=uC@Rvw>-9&G z_Xgv8*X(azEEx9#uJOzrF)1Wa`8@P2Q_lDdDj=-xLeXc`q$0|yiPcPG&^ zeYl_YJw^Q~|Ni{wV|d5ZM@i4Z`kUv2@1HmHzX*T2K8E~V49okB%*-r!ALYO5%ye{k zEbk&eZU$C1yx$_;VffC*_MQZPv%YtIu+jc*F)=a!hY-4tE&A^l^uKKQt;{<&x4{nh!Q(!cEd5c%PEA*=WFZE9tV z_r9K1d{*|R|0Y1w@ax(e{)YVj6%+q}Dcx@8_{|nx1ALp*W!<+G) z@*CcCboB41CtCVH$F~23x3tKA!TS%Y{|n$$ALp;XApQ|!A9DTzIOB)w{%PY!7WiLm z{E+egAK-t-%Afk*;r)m5;otwh-jVg^VtZedkLv@azsrB4^AF_z&_59MKfNCa{u3YX zIQldEzn_1{)kpmUUw;oc=s%eK6NP^V;va=S0_fxZ*N~6lAG&;$ANTJ7`+tYm|Fe_- z3$cHl4gUkNA5r#~H);Ml-!Ra>r_TTN1oScTe@;AcDnnM9eela`<*X72K@kP6`Fk5m z2&6Uy?Vw zM#|Q4xh;m>Zzk(%d$}LKIXaO)o@}ffgqF9}oUDL-`8oQ0b>MI@HdK-!U856zu1DjMTR<>CxhBBvy!fTU3{Ep!z9hq>dx-&bTLz6z3OrA{9nlr;FX!y>L+HHHld02dd=@IX&|{ z_ghp@4HL%+Z_E9D#fOR}OPtC|>zW()hFW>}<+sBN3a;2DUcOr}M7c z$fLkDYW>L2qm-qkBL{#E6ZFraBCJ>H;f<)Bs_w_P4>%<r6aXPynO4{mQZ zFS>1>&ZMDb$8=BL&>GSX=}l<*Tn+c#p(>8nR%&z_6wXy+V>q?ZIIRt@mTe$jsOke_ z@mjjZ86z1h;g&Pp88*Dvk8?|J7B@19wiRq1o`7P<%Gp5CB1==2s!w+%Q*G$4HI#gW z=MvguPNe&{mAe;rBXjc)E>|Z7(7KVW?u(1JBeyGehELwt-zJx8Q%<%&InCDI9J-d6 zH~9wm6{(9L>9i#_&LP9OM|!0}X*dPd%J}WN9agB|A)BA()t%f<2YU;6zi8E=xdP_5 z#JTIKikLtnP?I4pVP4v7de{u5=yD(#^1|b}Yh(7a*oe;w=c+A{V&$?vwR@!4<09^j zRXQE9wkP;X|8m~+>u030w{Q=07gyudy^nZf-5>_3E4Uliwa)r^qATzVVBnKL)Ki|! z#yHC9jh8kQeUOz>rBwQo9JbD>&~>S$>5k7hTT4;r%RZuN(ko zxL@8#a>T#F@?V+?oN9Zeg@XDTWFPS2i6Xs?izR^212T(bp35KS(jgl7y zY5M_Fiez=YEL!q8>v57WDGa-}-S~Tl^#yw1;c}c;1FL=K2bh9}U9|wdx<{R}hA9~w z&UGA96e942_{I2?$bk(ae2cGhasaj{lmJ&R5<7*wcgtncPnK0HwEqI7!mOF}+s-cMtEzJn`eM#&N^V@d`VB-l_x-SE=|kC}@}QVgHdK5$@{FeXZ~Ct4Yn zFUlA#M5v6c{4gdhvxA(Zw?f}xMj?TWm40X(NZ==pol9^hilptX+pb)@jL$e4@N7ap zoU%W7NiTbZijq%28O90u7}!I;=y*>9k;7EPo*?4Gc(I5*v9Q!>xF(Wt8A5G<+FaFO zpg|buHyerxmVC8-yPYcAAf5hkYyR)LS`h?vfc9qK>fo*U!?Y>ny#^ye(>jv+7>o{3 zGZ znUvf_9<~E?ZGV8mV@IZy+I~jXbjz+RIH`eRHR(sy*ifKtp{0=OsZBVypKO>a)|J5c z4A4kkEcR1}b_ZS$5Q>XR2tl2`Pk3>*8jE?Op1BH;e)SplD=!9lObKmB1p21 ziWivrf(mTFhD@j}4w^QNPL4(PN2JQ? za=SOmb#nUNEjw2I8FBZJNnnYg&DYy2l&TUQ1zE#leS5@B=f+RdfFVV=Olcl%Oux(` z1gk*Kl9zIWU0vJY#7~OI=8F*dDGU4j3g! zdrJonFwI-?K-+8)x)!oJhCmTS%)jy+kB22Iwi|e)R>|H4Ga{U`79=JS4Mot>VwOg8 zb?#;*F%cFwz<x^i#U*i1NERHR68Fn@2~I&jz9JmQrYeb?{O9Br81^&;8?;7h zg|AQ8wBpes7XXW(@_xkW!6ANfsAfnW3Pg{d*`eEyt`4X^Py|H%OC<=jsOV>(M!)WAPKe3l7La77ZeZ(&Z5Et410Yw6cdugjJ*Vmxq-?etr9{#*A z?dYtn2m}kXzSq+o>43R43luJ@yyw7TcY^^zE(K!JT$QuKW^&VM^VkXDSjq?*et7DK zDgN0KA|6HZuxMQ)x3mYTSp3Y*xl8~t^@g0HW)dZASS9KJupJ-Tuh`UuYZP5vx3`dH zCajYros*p$gfZT7ZebZ`4ygy2im?QriHMm=lj*a)Z8c|u%c&mNkEGhl?-%%qy5TPU z*`6~6&$T(y^#MTK(Q2S}rCSgP6k`@j#@t{GfhYZ=-rZ!HgouDT;dq@}X!*X=0h?$x z@VNCdpJHY7=>y3Jzv_Aw&Gg4KdRiXN#tmGF%n{Oc2)Ig_0K)tcuJbqd1( zA6~#JO9({AGe+kRPM52;pXq*gM+a;eOR~K2hhou6^ItuK77y{{m5FQ}bUVP~JAX`h zhF#uA2iQ||UEVz+f7e0&BAtCb6pn+{maT>s&HK|(c%WtSiRaY?{KZG3`fheNsc8Lq zpY}kb7FKN9B}mS&GHs~Fl@4Cs@Cak!?WCOm8KeSFsog#zZ2AYC5B4nA&P?zFSMqU9 zgN_lVOvxD?Sk=lmESgA4s|agEeCKX0@1hs%Ep%KOQ_()p^3ALOTBc$e z`!5#HTt71D{g~58Bwyy%&B6T<^vP|@hzal9Wcx*x2+hm2ubN>ds3LFzRT|kuCT;nhHoZ> z!}VtSsuP=pA(Wv*coSxj^?lEDCc(c*0eg4;n;_&#r#zDjUn|;vOggc@ws1#`>uT3C z4agD)p|^mr28~*turkl)V25}gFY8RF>@Eo2-J&uyOf@(L^VRsn;-!7w#3XqB9Ezhw zW6`M2nnuqAc8?wlsL`ZkD&sASEK5DV-ho6cv(K8(OTbsf1iQnhXWQt$L1o&YdeY~> zvx$bPI!v(sry+8`l+xj>&C;W&2egC7ma?wrv!=V?pmH+jwfO6J|0d*WJdwtiv_Crjg;{y#W++jlBzy~`E8<9GqM zAwAZqwX0nFRTWX|IDC0`Dj2V?En^KVK0(4nt1MRhaN#0wXM(5UK`O&0LcfS&;37>zcDQi( z3bdvi3gy`a{13*N8iJg-LW-pQo#QHg1&Kft8;%rz6W%#}K&Z+;N%c&txn0dVgbrasplZ#;3>hI^AiSWVh5;Xblv@|qCD>F`s@S@4vwZx zi|^?q?m_yq!B{(u)^POxQ{Zmer$$*%eqk*u<cTrN9>1Qoftdr3;@NMFOs~Ufd3w@{M-(K&4$7!RKF) zFDxjW^&dZP?p8HbKYDglFZ&D#bqxaE5oETGy<)4)`@sWXF~L3;?fP?} zTfGq}WC`q3UG6-skwQwq2ZMbL)JOAW5bvTWC%{hd%Ylz`=;MVDMzeqrWL!oIV)P?G z)|SwW%Oq@#HlR%S^0|kkx*LvBkYKgP=)f9BkB)jk@HqFTFUD&YjfiX)B>;cqcn_Ud zra2Op2%PGkRCalngiEr|+7?_zC(8Tg*2qPytDJV`xX(l*>NC1wvK~Ob9^kA#RK$9E zGgTkel0i09uWm9cv0%1i)&ttb?Tni12$?-j$MhP1d885t#e!QX2i6zL?HMP#^D`qar-8Ek{x!v-$ZxCf??=;!tyaIE8vjn)gwy4pNe0mhkGc( zXd*;aGSMd<#D`l+|nN=)=Du>Z}bA#%DnIKV3spEV}4z zj)<|sNv!Z6>uBuRKzJIr#2Eh4kb}C$d7@&7bk>jV`;wrC3A33rFE4Q@3T!K8e9+s! zJ7C*TmP}SBKDXr%+%h;!R|4Omh3>1NCf$iZ+2s+p1%s0%k1<7`kl;=%r98x8Cmw$U z?^3O0O#JnzG;J2*$X|1fd!uc=TxYiA#i3ni5G|}vWA_nYhv;W;0?A}*x{CxkS9tsd zy>`ep*8=&HVMc1g)un8Df16UhTHb7N*I{|FM%vtngZjyY=d_`+pX12mM3U0Xu;=hw z_cZf~q_KhlbJ9_MWj>_T!i9Tu_i3^u_16%B=0Mn_EBVwO!`qcIjE2C1(vHYY9Tp~n z?1asPS%j{AVbR9n801RfkRAI5s=IA}pW+-f`NiU&^wq=yTS?(T9t zb-VLvUpP)$ZWa2tj1_qoLc^6+ZUDE!K~&=c1aHF zMeNdVrOdMt&xd#20~rpxv;BVdcQ0$-yxv3`hx>JRbU>(HGsNu<)a=XZXkBrLD%;&D@RcB6pqUmE~1O8AXleSrcff=No~yjS;BGH z05f~z2(_Z-dIR!OtCi*6sOXtTqh3}jNYRsQkycAhdx_-g#Wg!xtFowmTy_ibB=MAP ziDiz3%Lc=W!wQ_HnPxh=F}gF#!vV`-%__xfemkX;ZS9+p&O|>Lq9mj@4cP0hI5KWL zt+w#M06k9;ga@WS1L!X3&e|rrHjz=t-+np$d@6-P(N*xJibgf-7C2Qo{DSJirfgJR zWCj$OTGLU+JV{A-Hc22=eTHgBk$Bp<+bpcdd=mVvTB{Fnw{2OgBbB5irBcEDr~JZz zid-p-J}@((N+Y}`%tbb^Zch^Epj&S;w0x*RYYWXciPGT`D~qI6@z-fh`O}{_V~slH63kKhCnX&93~}O_>fREruPdT zm~d>}6CD_O>9&IlSvUFU6!R+n-npWWA!EcQIVowFefr21@V+sgmi|nEKF5M>@bQK; zOmYs@)wPBXk=Dri_ZiNn2u|13A6Dz!NnO+HA<^lZx;K><0ymUbem_NHHAR`9<{<>u zCk*c~qMJ3JY=uKj69-no`57GQ&O-RZJcEK&5O3g3`Hs}0TKo&H=RuQfSO`%oVqIV5YD$LTPINX*asd1xQ8NItQg_F4W)1kE!3QMI& zZS;Y?EyY73JGY8ac=AzVGQ>32n`bfv4HM#P0a?dSt^FD9-W|s#H)AfVIluw5gwY$b zNY~sU71b&njVkJ5)wvyZ0v}LnYv=U7omk1lxkOF|Yk!t00jDN2Fp`E?JK&{09Tgo* zYIFM?D0|f7m|xuTRkFIPI88`EhX`@y6-!$;Om?ot@b?aJEEIi-%#t1CH{jdERhw%&m}z+Mny4-3V87_dWujEa1+bDKG5pBK zH{(|ml%2lTU8Mb%-K~hk77|y@BkQRsY&yAKC`K;oMWiIJRJM8GbUI3Du8*uXbGc`K z#&&0W+OWoDj1?5`M@crRTdi)OsEW=<=SQH2DG~Zrt0KHLO-7*a+I#%h0?T&6tut-Q z)V{?MR!v+j+F3Muck9gT@L4m%d>LDaDua2`sOA)Bwz@G!PSGsDTX@ee z(A~lBHMUhK>Ua1-&?D^MVqyET6CBXg58V*Bl{Vef!!TyMo%WTJ?K2! zZ^1zKh9NTQ*0R``8W#|lfM!Fm2xpbkIBZ~(q@J{YV9y#y*2VdOJ|_jY!$=OqnY zV5-M3Pat$P%#6o{m3HHxJL37}IqjblnXOA2J{wD*Ct(|+1!Vm2Q&lFlGH8lN2~K&1 zoV{`zirr&zxMBbT4#S-5Ghf6CPuP14s~_MLlZXCRXZguj*_KYB8?z&=vyUeDwK8VB z75@QUzfL=l_ud3pZwaO3YtT6ukXuJzK<9Ks#LN_$)U~787<%q`r_ON9mIeAZss+r2 z$t|^*sV&eS&jc4dUltN*cpg$VGU!^2)cuJ^?qPSSAY28iCdTJ6X3U4{5tjlIY+L+V zC{69vX0JsR2cpvwQggs5L>t)HesD}UNQ`uqlZdXcZQppu^AjG_B=EGdU$8I}!_^Oo z3EcK^N(8A-VX>5jtlxX=)W5RcO-g-&DS5CHkSH;gMmH`I0;L~O6DVieGFpmSnX=mV z=;DkViwClIl3H$=z<*-tvnyR}MT4o3Dh5zDEXJVCUG4S$HNCWq!FATxrrAO@!KWHS zcu_px?lzyj=a~I#d{B()&f#elG{v);k0z}%_jMy|C5vhYm zR+kLKS_=!UvHVZPnyDAR2~8{(2=_!&-i1J7t0V5SgfZ2VxH%hRlp z^tpkv1wp=kVLY%Cf*Vb>%yTvGwo7m`F;j!z`7$}n@^PYr0O-S zd0?NIRr>~wV9bVAIVk}Cg0aU~H_rK5o}%2&jQwQ9PYpt^JEjb|&vu~X0(v=Q2A>yd zuOG&Gm#=Q@qe1MJ4025Pln8k%MqS4PxC*-J=vLAMeXK$r#2!bi2(bW+*4*j_VQfVJ zaSJ)dQxvm7_++1Y#HACkD2BeT?VAyvj%td2=WPn~oaO?_?Jj<#17#*N3ACR$c;~CH zZtDH4Kt6T(1$xiCXWlGR-6pbOT#Tw77Spcyk$c43k~=DrgLAKYV61{K;|z7LipK|c zjxHbG#K;Q5!!ZW;$$t3Zlp#+_e?8wFy0#oZyQ_iR8%*a7lobono)-5=nVpkTT->W6 z(TD%GHsaSZ{`cbvnvQ9;do1{LBu0r4T>1<4#=8ElMRKXx( z50a@0cJp{$Ib_&4y+Sdd=xe_oxxpy?ng-dbLFb#B2jWl3N8h^vYri~uf28&7rnd^KK&@ziQXweo3t_-|ns9=Fhre(8yxB7A4R)<2Jm%E&~y zjghtxPQr}Qw)lPBElS)s6&1ja-YX)E>9e10-DRV|m29^x7z~aIKx9q`Q(W>adDF(z zzD><5Gx1zU?^r_5LS&`*&J%|M*hrCu3*zFXr!C8Va1;~JjCqkB#Qo`8k#6%1ZG3T8 zKg0r(aO!2|THyQOdO=}QAv{@l0+a6`EwezVLmv9@q4fU|pl4H+j!UxQdZQSQ*3 zn8El)Ow_RPb`1;mLjs{Se?a>H&`;>pj0SF-2vB9xhr`QCFa9WKY97+d>5V=1z>K=9 z%LEM|bXzm1y;Dk$g88AN=7o_|T(&;jh;7b3woz;zIg-7*DFxOpOafT#&gJf=bSMyS zxZ|fZP9IJST!e>TQ>#a1HFw3tw_0V(r!(As%SLChu=5mSPPv;9_Bi|^f!|>DwKcet^g0=} zmh;sr(XLMZI|?u|sCvWD}R# zboH@Z>v9^Ss1C`xopQ7Mbg55l_og*Cb1D?3)(_XL6+4gl$bRA8=@`<6c;7-<;6N4e z;EdV{_LHUgTXc{mHf!S~%cq*WH2Q51F`zrUo;q!w(-!T`v%)_4a{-aWlqh-LR`NrN zC96Ty9s5h6CW+}Mc}TK0e)nf^wpYV*U1q98jzUT1B1x&Px&_pUSL%4OHV|n%P_Sb; zM-n?{rfVL+2%S}2__5FP+#Nr(y}$x#@3^bi@`ElB;=khv@xW@hL)#(UgYCL|KgR79 z$y`n8Hgs|0&l+Q)Jz@IJZGCU&SE($gCb@aoi{mb8o2h%2d}IywYz8f-*uD56?;6+t z$`F+pr5}E2%MO(qz1F>~%l?H+jA$c0_s&}Z#zU&a9}57(HV`aNO9wc!80>)20Ad@g z9oz=89$;B95g(=R0J$HP@wucuibg(;_;?Jk?bFZtTE%m%*aL;E*ZAHWuFwPB0IW_H z-5@Jm&*X&SHQ}F{e%4~V3nG|ki;RmqHxtwkG~?Et%@FP~_*{9X*-xk2V`+FV-YCIn zByg=M469W4nBhlPZ{{scpHA;|*N+HZjZM7Q$CbL*BupniJr-ZXlqpJ2U<6D>hB~L` z3!QTDtx;Sfp2BK;=QYhf7vx%uq+fpRc5P9>JxZ7G9|A^Q?YVXjaM@W~R&lpbpFwWJ zjX>=CUK?92CAP?|-_+rlyx5#8g&MxypzUB`HAS|Fsu?TRU)YWTyhzfB(qyq1SS=@! z1_Ggm_(c}fMCwexWC`w&r?xXdmY$K!R9C95)`uQXl||=8v~jIv41(CKhs5$ta`x#}~=+tA#U> z-JN^r=g}yD>%#@ks#d6{9@5!o64#coc{>_5j-kD+x9+|-=x3Fms8??g_h-*I&giVS zZRw$Uo>8uTGN*`_VU5tnGgSB5&BAIBNs@?m2Vd|LE67P`d;>e&?}Zh8JwFLf1eAAe zo1MF@!|p$Uufbz26vRZBU5ajK1h*E2pSz;^e=%J~cZ@u{81yM=c;!U@775oX}3pXoH~O|y0M zWoymwsg;jlit`EP0{5a;W^chM;^6Mhl+!)29<+oV=~T*E+wrbp6?+dc>}IPGgH-~| zHve1jI;$Qoc;Dh@ENk1_*;2h9;tw-n#O z>Slj34P(_?CF#Ly>0u>cXS;aQ?XQ=nEDJg?%F>k!-@sLvDeC`@m;vj-6>-nu8-8_wL%3ae0jzj01k7wfEC5i zvEjixv`#?009G#QJMZvJz4!jyE{02_R0|>cW?N#H{`oE8JfXx^pJ3L6BEh!lRnl5hC(9h#_MXj13UE2Y zdGTb6uN!7C(50ANf&@e51$}y#{;n(vHkElkDZkyWM zPcE@PUw-x!Rnki==6#c(CA+vhE9y`wt+XQyuUmtug0f?w{Tv1iQ(v=%z9KlQ(3=IE%Y-F#5Hqe)y8gJQYLT2OajHx%!ZEu$C;15SjRU7*R}q~ZMZd}=7xV$S z>(d-;x7TMigC6K16>nk>Fc!r{&;yW1FOPO_RMYmA_E5%tvYE{tigQVd^9MEWK50H_ zfX^o^vC29uHb|_Wkcwudy|TCh(An{;00g?fSm5SDH~^t%!uA6r^3tJi-ux)QS*7d$ zJPsQM2-6&u*RN0ZNM4^Ix%pJOmAhogJjEzB#VB!AO{f`nn>Qpeyc3#;v8yRh8B6ea zV){V5fu0)2KItbj>RrP#bwX1NYR?EXURCG9vJJt7^roJV3Zr4#f*vyxP0o(NXEZQY z^la|0@7GnJTcKg8VY5FhaWBFa1h<3T@%FtKw<3=+kJi|Tpj6ewG+&cR@@YOyny@Lw ziYPXAb7;YX)#>>6xH>&5R`wM#r`{2LtErXUUGBQIk;+qUr#6l=oNuT%99N^ddt`fl zRfQu92a;?Iqoq}22h*WBJ!L)lQ*`j!Bdfe}Pk2v&!t_z9c@K&*ICftbR1KZ@^W!Y} zYssj<(PJ^-6j3!oSV?I&{Vrp_!O@dg;ssGXvo6XHW*(dmFa>3y znm5`}pk@O8t`f)Zn6DoPBsu}6TKq^n-7%DDvQB+jPr<(HYcoWKc#=5Fsr-tlBcVb0 zWOh`UI7g;iGs8EYZL+*PyeFex$1hTWsW?NbupTSp%@!PLbtzWDyBmyR^U zYFT^pPz1-os>~2#7vjBguHmia+cfdVN|(ms9;#yH2QX%+K8x1Ai?5j|G=_E2aLJ@` zoCVvO`J}lm*pm_d)$aHw!BNb-GO+;cR*WUUiwj=21zz92WO8AS--1++09q>WKJoJg zKqB%?%=42Spb(?}Q1Upb)PAbccAY72*_^| z9CIkx%7C8uDhIEr$T@WCBrLV{*|b@TC0rJ}Rm$|1C7k|~1DG~{*Jv>gZ!9$LBh zx%j}JgtpmO4+t7Sszr(Be9X2*iIh}-)c8~v<_-!8x;zMWm$wP75ET(5#HcM^nY$y{ z($sA4C;E9z49Aaq!fTgRNExWyuX5ZD-*b6H>c?F8vUbFR1YKo|9d}e@t+R9TS1}VW zbw^ozgaR34$zzGiExm2XH z+erj=x#r2C^+V|}a9;W_BE3d1&KCT$$MqZbbt|A=_*AWv0;5TNcu9mk8tvgXD7IixYWaO3&DY*XuBXsN9V}E!vbaT5R6q@)y+O2- zp|}gknje=amRw1yezslHLNq%|`P4>L{Y>bH`n83QL$%!u{p;jXB-=FvgO|pyN~moq zheJr7YH3u=Q@!Gj%u37SdmK?o#3BQs1KpHt{Hn|hp&|nl+gjv(Il+|e>`G`MF@yjD zrh@>&FqvQcy`S3^Xf=RrvysA;d7Es4eb&d1<@uf{GSdtAhCeOm__+LlBHs@W>7wlh zgh3tz$ma^_EbjVsTL_6rR*D44Cqsx2NyZciAPdP!+V_!#nNCgdJpJcL$$pe_(^ zawI2u14nS<75x@z$ok5Iwvlu?pr5pDL(7IH2_t|_sr3U3Ih53%Tl-+1Na;RA(uCH@ zChjt8W7EKfRgM!y@?Lx;$+(a~aRpm4PL`yBMq%(vSFrhtxD!2VT>t2G`XSm|Sou}P z<00!!*r@cxD0^Y~>VjFUz+j46snO9_g=@(Oi^#ZDfGy^26HXSLMRB{KDDn(ZP zsUxR#IuJK`kn7C4;ZNidu)AW%9BI(7DVqR)?FN&kl)*myDjX_Y^2rQjS_wtK&wR`; zH6v3Gy(CAe584yTPAgyF)c|HaLo&}nIa6`FIPG&wf5zgVdAOSpTUN4S?n4dq=R5M{ zay4fiBCL=$sdLK&3WjDq5w#IZ&;zy+%P>yPk`}2S>dq9C8*0muf~wBbHPbT`oX6rc zj#+5c{-nIj)|cupvub!Yp*f`7MyJ8qLT`NBBUsBDg&sSAS0X20E<2kXBp>ql%nL8f zTA0q6C*TV}56}Wp4!%-EI;85HAF_3PNoMWXWVZJiu{0|CR+nU3zihO59YnHOdU+UZ zpQbX#S}cUPRR}Lts&sj%TC#c+j-LjMpC*Q+Z&lTG!mMstn~WhgX|{mYchTIT!>C3H zWX_y)?SiexnCy5|TypYFWca|Lfiu0BJXOG4+A68$1VBB>d zWRA|`$(mmosj1GgZ>}#_igrG?R;J>IN9pMzX}9{V^}ufnpM=~H`mm*ezCpGaFX>L3 z%S-p4Apu8-qF5}DYqfws?QOJoCN#>@wpVoU7S`lZO&;5^ z&qsIaMr?i6uUURr&N_L~ZfkTa%6b5ESH>Pwg%#Wi%!e#rDG=jlz#;4tL;7YHuje-= zub9_^1MN6V!3p;oz?_fT5#BZ<6G1Z)bK-n_H*NMdxOO3914WZF*lQ~nSxDA>m<{)vbfIz*F;edg()K}$IQo0lPplqq4fG`4KG%)W- zVo-fOoqSW-sa!sL3b8#M_-}wG7$}a8pE|%`^bpif*@8W@xGpbpZxO& z8#F6i>^GNo&mJ<@vrQabvzhlmvq$u4Zeq&VbbSSENO;Q@v)wi$Jj^qgAIeaGN_X2HHEeAv0(%N;T_Y&iux=lS zd333aIwa?BIu`MCp@m!IjJLxvW)JM@!H?ie1k3w>fiQgaMQ5h8j)G9vhu)SA0O^R#i%=8*xCh)kZ%x=1mt3rk2S*~(T+WU6-e0z5* z1NF$U)Klot1dH2_6I0W;yOMoxFbBmxxl+DSuH_R; zhz@eJa~61d4OOvYwUi)nz#?=2AHK|8kI{%!r1T(FY8kn9f3+joIgReZ9?vSHy$1Ih z>(O`i`g*0I?Eq}(&0r5NQt{}vl!lY*f@|W%7e#0k176ANkG}&<_Q{t_vF;2V}#$ulGKL11o)# zWG^``?WjVr@c0;8I1-YKxIGe?oQ(LHJi|C&h*IhZPh6zQH(mg*8oUmQvD?NDljUqS zLw5&L9p#RyUj}3GCcG|j*khR+=)qdreAb?h6_Bbap=}*E@?zO88&c% zm{r~Mnq|J220lK>IhD6?r9&xrGhPdW*4GCEFB%dWcMJf0b?R5jJukLNVMmye4gEEs zX-tXG2mPJ&{ zr+$IF1EDf452ub}WaER4Qf0LzHqRGxZ-Kzb(uc`V>^y-n%_>h7Gs-$is1WNicZWVl zkbLOx4~X4U5o; zwa8OE;6WyE@mPoDFFx;efHmm`tIiE3ekZ>$O}{J_L=z-}FJ;@OEgr4hjc{NOq0rZD zTnOIQ6_gimp%Q9EnPal(97pMt?1jven&r2=_Jm!F5IYuKr4^;~ELLZqHz zr8giU;cuf2+@~G0fM{&rl5)lrBkU7to-knSk+OAckcLc^0VowCYt`zlNe7GHt6wB@ zn@L*9^jVlzC?J|hDQp8C$!MlnPv2mZfiN`~VM}rv zvkz&Iu8cjT$`#A@vR`evBQiJ!7qQ5`r|j5;a?{B}UPG~FK5`f|jZ4uOc4k4q@m6-F z+p@9f-pn;Lv==DRFOJm}+jg7uphBl+1{kBD;ej=WB%`HEeAwSPJdsVxsSBy`;$p(H zx+8naRlD+})i*R>ngV5)s>M^I@qCBr*29&EP3(vMQj2$8>(y!g7_$sEOCBp1Lyqb# z&&&A)z6FEaRysJCO!sV0VV&L6nvr5~HH&@2etC|DD$;dhWA!>+Hct-f zFPY=s&QtGJ!~lFm{BS-`4VZm_S4g)>nd$sSNG?x)`6+UxBpY}5TLOaMUJe={$3usR zl+v-xmpbL0!(UxOy`rRH>M`G~S&egJZp04dFZl@1W}33_bHBxg`8la(Oh6CVG^UsU zYV@b+P%)#jSd*X_1%H~rbCgNuB(a`Nl)V&((U=I52bME>7);~1*r==8IxLV{yLweX zowaDJeHCz?;imakZL+0~M6mFA_i4D4Sfz;`-|9%=%Ru#r%8r^4nyjf6~dqT81 zP2LcLz3y9l-Ct3hV4mDkB{_ut8fOWHuW)w?K2e5Vy~0ICL`Es^Di2 zvgeV{Oz$^bmtIv;L)sh;!YXFR($v+=UnE3S-pbz;!gL9+dhQCMu_S4=XACpsJ@~aq z%g1g9mnyBnqz6yufL{P18z_lgm`lFlrbuc7y20Uo=;&)$6%g)L&Lc(@E;%yc#CjPTRCSQ-KtU)CwaU zE>9HuCvh`-6_@fv=tZpDNJF{G6|v0ABP}3dbkiYOmujP^T9U^f25EeHu&}H-ec4Rl z;8;mZGim8Z5!4nC792ON@Y8eejEb0P{RMd%mEUf=+*PYAUPGiZf9FJ%4&e#iRZ!J? zq#&X~j62w^!C14|i9CPVd96oA7W&Y9cJy@r#Le?;q@dQnr*GHg+3<65o@A86V=V1# z)XAgiYG|Rt{dQ*+>&c=oSd70NE)l>m6OLYuud=>$$r;+;uaeiRNHrxOpa}X?E{I^? zEHXGhc^Mkfc=2a(?P`ZDfhEVZd>C#@OnE9xGvOvBI@fgj$qKc`x2u?W+}F0Jmgf~5 zqXE?QE_q049?nz5w7bhSbq87Uz6c-MKBTq=6 zXM&InE!6$8vTCC?g;t(?%STQ$sZ62YLnPgqH%6{yr|zR#(~BJ1d;WEBr?hZH8ephr zQ0NFapu&Yc@IJ(3sri*YVRzEGamNb(+NCjX9;OO4>`DWki!wUm_$&Ab zn|r zo5R`AvebslWIyBJ$w0?8t_kEz5jIMPHK-n1Y+DlfA^Aw0Wb{6$);On(tN-zFFj{MC zqwAaK!L8(x{z%sNB#_7cY53{;f8aLT*z|M(i|`7(B>Pg#X0%)9U9P!a+($k#8ot70 zyNcwLmT_s`ci1|4IEnPdveDXCPRpyWou7BqU<%uPQK(yK6ZlEkgh$gu@x!L=?f(H# zK(D_d!_Es&lviqYb-*>>4mb876RP*L1P6tm1&2dJVQUxPb>Jd^QQez|+sbpi z+evN{3FkH+12$CifDd--KzD09u7FxIRyVKDGcGpD;%rMr#WVu?VtH3=U(FTn6_6W2 z$%5qid>Rs|I9i!(t0KHH-<88YqLA zKv0504+fJD!WHlen*1n4MK?mF!G|PVH9Z`~b96F>&w%h zmM)A>g7zE3r#dU7YMSgSB_?hj=^eRpx%Bbz?x7#=>3S6`pSS@!f3sMv&LXW0LH_la z{4;1@m&=jF<4%!O~=Z6ywDnHSTRw3Q}q4gd3{ z4MDr*{@0&9qO-1DC%{rbp4^v=LWaaK%<>cFg~Y_Y?n= z|4Tp9$L{tWNDmQ5yyOr&N{q5cePhHJOG(~kzI=8~wvQlLf@Se63f#vmCz)3)uQF%6 zq#a;%o83NX6=_Kl1F~$i)zsWciU!pNz$7VBm68~j6+mHj+JX5vAMO(juTLQSv|qUB zP6ukL;vj9e2jY0ZO3^O5mByQ38Ky7Lx9Gpnl#AX;<8*EIf^p5jtBoe3)!1O{G@des zjZ=ozc!m?Yg~I|N1oK&t#axc419vR0lqzaxUk~%;m?c-C2Wn=aXIC{E+RFKeD;9IJ ze%DywO<^@4@jl16nb~FTNO0t=r5Qa1_`!Mrj{wc`N*Y%nfI`+h5@laAfj09Hgc@-V z?(lTzr@J{_x3_G1+%s=M+gpFF)fRodJ+)8`I&CBy(wC$xJ9TmE_vfFmlxF_;^3P`$ z>^_z)J>6X^z4q%;o91)Mflb7LPM-o_y3)?0{Si0BHx2PU1My9Q%6toLVb~-g+g930 zilkUb212*!tZviot;BM+)wYT4Wd~X3zWCwvCCerDUCX=dlx2#&N8V#ur)h7ZB>H4o zZ%Za8@T&Z_n5es~z=}X-L@NfV*M{Shlt@P;QI!=dP3yS5)q%GH{h9`};A?4+#(=}+ zj5=}WV$p>~p(ZSjM8t}e*O#nP@hVVdcQ{n9Q*1;R8djyLxX+qOzX@;{uoeLtYNdf< zIl?D5okOmdE1CyjBFYsDD68bkxWe-kH)a0QELQ(2d}~EqM_O2h%)|_Fund~-0l@VC zgDV^B*wt!R6wkS?m>-gHW?>mBL`=)xxbw*;axX)mKCJJ5VrJd-{iVkd9p_L&`_lfQ zfe@Tju(kJZQ!2R~%YyBBf#pb*(46tT(dVKA>VWoq{J8|H%zBmmTi%sG-qj2@Tj(ve zJ+?jS72+++1U0UX>*EP_sj@ttPaKR7CdlLZNa8H@D|*cKjy4&mS2_b|jOh-5$opcT zQ}$25%;v{CV;z}uMkETjsKXx!$Yh;CIO=2u<2fGZ7lTN!4t<}o zbMxxlRTcB<-UMqQRy)9L%uF#Ty||btgEMA&VN63a?&VrggejWCNY35Z2;hTpbKYhk zU4#!L6%yiQNQi5t1m&{9_G>W}iZHF&6-L9HmD~~_MO_?~fG0NQ&@h+eSb|yOrr$xi zMG^L!X%+OCf{Wl*bQPK&KIeIJ2t)6KM#xhZI0rze>o^R_aoGc()tXDwr$&GKNaydK zui0ELEUQuQqpKEj%+sa6jaG!{UyY#0+J}(Ha zsn#`(jH;fMrKtrAx1R4hbRN`#Gn{o*yb&c9m`^D$^3Qy66tBJ3F{o*ObeKWywW%(1J3By=8fa7Hfx=WXs7Gn+7ZmYs{y# zPFX6dnyNIfMKp9vAgEofEiKU~Pr8dmx7+2`&>D6PS<}0U>BR8e2E03p%G=AtEDw~Y z%BRZqvdrKlCb&0IZh^oeAiBnZUmOz#JWGSd>T#yj#jx$11 zAVROtOHqqHqLr?BafjFR4tg(m?c1Os-k7Y=NkNdht|@p>xuB2^#ZhMj#njD z%&6Ab$Pi4F9OFqNoz;on>wUwAeO0{kOq&Gv9q&HF^&2mqx9EkPyHU)t!1LC7gLDHj zv0i-TYf>$n&UNKGS6Ow5Rd06QDNV3O{?gWyiZQ+o7mvL4-p1aCzwENFj;9|s3Ot;{ zvHbE2dw>7-$l0$yvS~w6Z1g@BjEBUw$`$fHJrbNL4aznEXVTG&W_~9g!Ov-fP4)?G zB6T8Vy9;EWx&$FGk4?~0h#qlJAJeCFhhD|!O;%Q#3E+#}#I0XOuY*%gnvuIu$I=~5 zX46!Yjh2WTDs>GrJG%fm5h7%vXSUJP+Oq$cHasl-+RbH zGOES44?Q#SbQXVDOWg<2slCMpPv7yWrTgpJ3m(Yheseg%`nZxWfjx=m5~QP0&JPrR zjxHgGF6QwF8WBgN=h3)0E*+D8A^l7GkK`XLTq}?ymkcGtY>L$#49A3+FiIialXtER zgiN=TR_k6&c1yadWo0R+LXJFau0@h4V}zPTAuNgl%I6Vkml9z~N+6t-)$@eDQ*n%fv*N^+E-jVLgqJu0kT&oXya=Af3xx%a9A1 znGDIqRu`)HD`ymlq$+OvAx(G{E092d7{dmYAOKK|N{}c-7X8qcYD$on?(vM_7-grS zk6MNiHH>=fRVu@@L_+)x1tL-9f3`i zT1P|shGrjuNrSZFKij?os4CH~&3}IdgWb4o*x#8i@8VaLbR8$Vvc4y6PweSz(&??2 z7C&}O0_F-HI?ZvwHMdR&inKFF{6$t28CH}eCeTe&m_SpEO1dSNO4CiG53(Dp5Ct@) z!iqd*B&iqSFl;LcDhe_U7)!hYS;d72A8|7tOqv^*0NxhBfzbhoTQ=A%A^{Hw7zy8i z@EBF=X=JEEGY8Egb4wN#jLZ4MlJl0BA8*JQM1P`Mu=#C3kA{l3UMyJQwX-rXA zyZJmqi>FK@ltT>5tVYA~pfVlsE3*Ee(M@#Sr}b~YJ!yY>{%7C%5&-044BSX6IgZZ|JD^Dt9&ZLHq;ps&t+6xT3BEPojQ4&WlZKQ>ZE29QP*1K$7yb zX{-xXrrrnYy_<&BE~;edDoh)062C%@Ighzt@lOU$kQ4rkj*Ff@N>}mKK!Dg?Zl}lT zja)bj-N-KpSs!dJia|^gfZ!AsS*kTFQFLY2T`WTg>JvhPY zQaYUW=#F$O9*q+x6X104lc3{9H(0zAtf0R^#{rQ*i$mUsj^cJ`45J7BEFfDgG{1)- z$}4ak&bpmk(NSHTHGN!>M7g3Lex$ni&(pyoi2r{>=b)oN(F3Em14U%iKU?s!1y>8F zK%2XWA~&UjEOG#I1X-H$R_D1K3soPF*WsT`UaGxZ`|+ip;#YCu*E{+TK7MRZdGpR) zuR6B*Yx`@LYqjax{HKtcoK95>P%77i42LqJ=nQeh<#e+O zR{p*{G8TCwVvD?xP2;q%Bo*5O$j|!8fPcH6_(%2h=W0Ppx67Jwu42^`J(diBns8YV zLKuJBOeD2%Jj%y-;?%-Q7AND-sEw&Cmia^$AzCRItyYOpp#zI)A)M|Ag`6UTegG1- z1*v?lM^aHZHc6fhL)pf0~-!@3Cnb!{e zMvaoA3+Kp7M<Q+VQhy>GAk*{Ai=itwpsj#@CDM)g9tjRTqaG$jLfb zr@bS)M;H-C)Wh04!k@I6tovBxO8m3v?bz+OBkT58$@^z5A6o`>GZoCJ{0HUMEp~6%thD%wlM-jVX|f{+voUn^1*Q| zS3s=*bW*5zFkhS~@Ws1a&reji#Yuq^#T?kOH~o?}lj+Dng=9$KD#`K8`{ zhlqjFj;~k0>(r+GkLT4=+wy?wiVy?D8^vSR&NT0$}t=_m6^)9hq+bkOg*GtS^vdq?8jEf^8M${Z& zR&y^f-{xL4cABqqN16ATkC>0R8dMlu?x5`;ux-5#x&;Qef3*==+U&Qbks#6&A0b$*lDfWG5+S# z>+j9Vr*=(Irqy`XlyXNtUN<+Pr;?#1&#hYZ;*pz5V?)d!(8Vh+EK2t;n)%qapR9QE zD$mZ;9(1bO1KrI+cWdbAI0~1~oRpN-u)~m@d|j&+wxQ+DgxzUa5cm>F-hmoQT96Ni zX)VYB-Ck0ZJJW(Hsl<>*@EnCUV5i7&I}9|W(gdBCc?oM$pR`wUNgA(WP3=>+s(V$p zdK$-(L>xOAa)uy(3*udlhrH?s1(18;_Y%1)a{$H?Jfl%G= zVH>rDUC|X!inBWs#g1y`dwh3(sTlRlFYVj9K2&%6ANLT_9q3rJAFp?IBwfDj`5Ej; z61(g}5+{cV$=4K)>znjfYhTmf(SN6xbsC-{kc-DW!Mip9!fp+06~}8Y#4g7E9;@}} zLMv>JO7=LpOEet=iFA!lFpXkm0bZ1*LE}t|fyg+~{|hQ

  • *L4yKOvW4wxVoY@8=FSeO79#g*-~e|?+_m)`NCEB%1( zg3ll7iWUF7p!olu0zPDmO5#7ETyshKR^(v^6=)QOUv^0abNf6GHSZFn_P>hGwF2c0 zs4Ba>e{zEgoAB3b8YiunVHMyBg`s!6DD)v&&|ryq+?#W5MlX{;^co)q7=B&yo*cd3 z(!=pC%6}MQk&D4B(dd(^a< ziDpgM_(r+AhJmdpbY8 zI|wN;Wn~V$eLQ$E-n~9UWkh+{OF0w_20ADlRn$KxpJ#gC?wUT0`tIO$PS97Yt-s7= z?n^zs>F0E=KX1-tSo5ytyi((pf$D?^X=(z28WDFXgO37pWHx%5dhpG_dDo#CHNy&k z0sWl-MZ&aN;0)&v77q&<#%P;l<=M&+5;32?-`?S*(G;l9LfUUa1*)*|4DnL*}gCCen zD2)h!Yq5-tcwk{FK~4+!2{xn2T_kAPJN@rM3{$;NAQ5K$w1~062XyFW^GU?C^|lq_b2aZOt^)@BuPIAGM#l#t zpUQ$323N>~Cx+z&1gSANXe;7#ijn4kw8T!v7lV)(tEZ4De8kb*bH z!Eud)XlXwyt}T-DZ$wFAZQ*x%e0zfA3OY$zl>78?#X{0pVbl&RrDD{Bi~6YpDfKaY zMx_E{Zwj%7b0F$E|gsFz-h3ptn#We*+ z47=Gj8S~Qund^1;X_JF-pPh_b;Qs`^DG1_G1^m)OM%y|mNOgR6)}A)UJ?G5{0DNqVnn3_vvgvb zLDe&~B9JeQXT^LSOZfz>%A?k#4{6Xz)-s77DyE1R9`94lh-wK&nbIshl4DRM7_(GE z&{75zq-YP6kbu2Xyn{8zyQ*c9W52~_NDKK=H`8J(vb$tD|!_oE8sw`kdV3w zX_a5m-B*!Xvc4Z>PqTz}an^mLSH7^@j(*1Cc@+{sL-b5!dC(8OF9DYJ*ifWe^l*n) zUC@zMCD?)>$yz>Zz*??1B@n`a9C(!J>;hT|owIMw$v%j}9nui#m4R7Gu!yCMU>QRR z(K3P(Y$>{{=Nn21vE)?WSH@bryMU!6?J`kk{?`SH4>mRol#ewx475Tuh4N$(I@e;h zA{B@B#zKXM=B8qaXMrn_*ab}P;FP83NkDkFYo7V| z&cB3jJ)7-;HezI^Wy+}ohUhKq2vLLc?@9uTFisaZJ%8PR0O?8>6!WOX>}z45a(AN* zC4vJduT;u9)4u8Ip3k`~`6mXA&;iD*VZw@rZJk;rAOc*T9d5nuf1t0IgVXW0kTAs&5-~(&-J{aEI?FsG!7@=dp6=)WK6NTX zK_WbNN?OlK2klxnp4bX~>i;E#ZblMV+)ztg4@4^L4cH0?bVZ*^7%J?1${dQ4JBs(< zJZ9l?3XUX#t7>2)v~7`3OUeam>0B;Ulka6CjEoB?Y(nXrPXduYpUZ1&P7~Eurg>;h zbhHlQf+3h9t%dFF-RY5^Zww&;oX!j~^ip%oi3?RJQ6dP{AAJ%l2Q3?Kj*e}OPdD;c z93*>>k$>J>SQU||1u~dk!AcrNH6g*s?SFor_U{!%k6g;eSaV+i)8j}d%eZ2InK zr4l_Uc(GPxN0SQD6Wy_xHOd0<(~Uc`-bhgOB7%ZM99czbvj#7J&DYV$P-2=-_sVsy zsg(V2!Bea}Ng56$Wyz}P^ZkR1$;^vnN6BCkKcHd8x04ed_zsPP9yO?v@T*l4684VN z7q)QxVjic>8q)N%1Ky^ZiP_dRx~0p!q^iKtS{FA!6=7ShauiD;v?NJ2Rr2PC0N-C` z09VmQkIoe==WU{#EhB{!rpp8EAi7VY!bpF50JAt2Bsh`M`A+B_@nG ziL#ITE9Mt~BYxD5)5z7-r#2H47%7VO#8~M;=uuZB0XR%kmA>vu>YJDQE>$-H%rZqdnNcUvC!)KAO!Hc*jzS%=1D;v z)gXHY4KU)uVc7t;5y6qfRCDQ`S!}__BJn%qf4b}3VDw{fCyvbrBDQ8^^2+ixF)*YglD-5TWyR)2mpdR zxEq{i5V(5fqp**Z%4mxasNk*%r{p=(lk0>9%qM>+k)gH}vx&MW3&AlH`_doHjHf#- zp8SkNj!BM%XhU)i8;Yw@TSQLq732!Ga0(SBM{K3M30cS;JIPtvluZD_Py?$M0lEu2 zez)Yo!*6UH`|inlxm)&rxNqYEd<&h8ZXNIa?Fvb6?eNf| zscGK$+hy7S(%IU>#bew0u9$7K3aCuwOJc37Ca`wG2nGb^_ma0AvfvHo19Y9bcTYth zN5oh@T~=}@U|X+ZW^F1iUIqZJatqZ*ChUTDbmCc|s|I-aW_#aLG50~+2Y`RL(DuKS zrP%&WUlH4X_=?#6@fH1uPyd*KevbdRh<=X$0or2!pTe*IN7;(~|1|uX{l5;s{%2JG zNj3VvFI)ZhWECY7BWGEFAx$ZLu-4(XsxUsnkEp75jhXJo=~Q z|7o(!%nSsqtSoe_96xj|mLGA9fR&Bo-woRSDa6U~U%<8gS=0Za5DOFgzi3|nQHbS- z8OHc;nyLP`mHxXZ`cM0qIoN){WQ^?0|C$sFGwV;^2pBn7>Hh8RF?0S`#IS!J%>Ohg zmLC)v3mX9|C)2;?#lpf)_miE?#_&`B-=7!9e-%~!&m;Taiv9l#PxjBZ^S_P!KSV|r z4u=2l0C8QXjS*CzzjdqP1jItCb_70-$rA^QvD#6#c-b`p9E<=R?>9O@l_)EeOhvJ`+1Zs+3?@XacVE7+?6P2;<-*; zn1;GNP@%X`u>NLNRmAdmoicRwwMbTr%F>*|vO0PN8A8v}gxvGcOfHGAY#4C7<(MoS zNB=D(7q$7ii~hypWvqh;qZVZ+s^Nw770=7ZcMN!CHeBYqWqaQ-dS7O^WOJ8?wlnqB znVu0|BbA{rlyBec2(hB7aBJe1GiQ8NF_Zb@5F>5lH%_ag+o{BoxAuL1$B@$6r4W#l;eK7L8u6?4XOrEMjtQ%AK%;c=>=9eQXsdDZEEf(Om4UJ(pDce1QWMH6gF#5M?Y0*K0jnBQ3b5Xd#D(s5nT2w`eoeR92Yq+N{?w7xV@aD2N zsnueMRwX1p2BOUIVQY#APe)|k2a#Q3bX-kbQ#)=eoS z87f{P&jwb)fD<6b?m~<7MpnCIVn9y08I5hcsyaKM0lg5Tz|k!8P&?Z%$0qjcT%&zA zZwfTxo8sMVQ8*8_V~asYNNf&Ew9!oxAiwvWrK@K z+(ZTY*`Rz$XD(rjssI-aR%fB_ogf|Pv0e3a?BID5jXlq5sbTQB@PPbNGXGiX1li%+3%u%0(S2D0h6(pcS ztztFos~PK2K2@QJzbzPKp@?z*Wv8trZ^23@oeakr$B!RptFN-$kk{funKsx& zSIP8Yto|T_n0KAWh|=M#A+%*PLC#t%K zgb6#A2@(&^{X=P(KaU7B;MKxl14I7-lSPd(R)3lQ1_fd$&6yyiCS|NH#e}B-Uisof zPLQn`mJFjbV(tnYHuyN|80BMsHopRWId7j2vp|y{v~F*=V)#-G>90OuJTE`0A0kP+ z#OHp~eCn9tvr~6F)eUPHGuyZdcv_-}D;P0uPQiOVOFOoGZMbyxwtaP2Gu*sg^L(Am z#K&?8`UUR8f^%(-XXxNEUia(BDe6=L%}$cMxw^9kqNT0-yFQ4SOZ#f$Q1zR&!~3-! z4Lj1TGSO@m3EdHE`GKK|(;La2%yxNlBR7zcmL=`9+V07626L8$+=;4n<-rN~(J~%D zI|*b>ur-G9(STy{Ao^4w$vV8{M^yyMyEoTFvlL_|fDc7I!h>!{T1)67YBZrSK~M8} z+5kQ+Z&I7K$-L}UzrcedN;0}rbz{C7F9Y!4!q?%I{drq0Qa04w)0rCm*J)PGHR)h* z@W5=^AxR$N(m+p_{dtNlXSjXCrlN54biZ3Em3UlV)yW<|?L17Z=8B7R);j6{9`TQU zOMC_oV)I;RyBC;w+7gG4vcqdvShb#G!ZxnVC-W$+eq+g>U1PM@xeqPUqF)zeL5!2J zXrJ+v(S_DGsGyrqD(Fe^6DD9PnB(wWCEo9sx5FlltsA+pn4QVUb&i(V&F<2eu`t|$ zq^R4)16?Za)`cgQ3%1$`YdqGAOddCyt*yanR0mhEAe^#Uy7TjYL)ZB*>p|EOf`j^M?t z-QUn2jd-03Km8{(;4@^Q+kk6JM0kPWN>*+A|j%{_igy}xZQg1OmVo7FF+ut4FmsrR(54_4+*DYcYN z^gK57hC*O1%XQfN^cUdvaFHb~nHh&m-`K1}1oqOmPr>L7)_)T&y-Jb+|AbU25;MhE z9Uv(f8z0XChxOdWQ5pE z6O7mG_4~{`PHMOdAHX=ZN zszvt73ot6FsymY7fC>l=G0%hFyT0D;^|o9%kXmsdG8o-licrJw2lfi3=`Y;J-WcC7 z$-9cc?UQzI1MeQaNdL-`r?rJT#;qW#bki9M5JB!*+6SwC6OtH!Kqrx^6hQhkD@4wL z^gh8E3_H^ex+)*`CrKGHHX64FC}4noN(WmmH1?qW-DAm~<*j%7D5X{>nN3f`*J`&s zUM*#JsKF$?cr3@WMVjTEHrYVi6JSecn;m|Io2au;FMxH~OIkHj#>yW7EWj{^&*PLy{UAt`VhNA;zn-Cax_T{#0-x3J+Ao67SX{L8}05#QrOmfaV-M=ooeK z>U_)m?VG)&2Jw@=alElj-_fRpMS%iR-MyWxl{`qN8DJi2w^)_VXV{bFh7Go4;q^VL zHwk(|+ZuXekDV9}d|=FIz$J+Wfk5ACBuO-l2Q6pD%#KrKXGK-cR{up3MPt{M%UN=_ z{a}bUgX-+Ls`6&7GcJW%8+0wW4f>^8r3rQ)6hl%6M}Mk$57ba~5D9-HAK)d(5HXvr zmBmKBa5x{ikK`dxXY}{Ucthx8rKNVeqtZvK4m>jILj(}Kd%~nbhIVN92xYM{8LylR zN_14%5j<^8!$64)p>}MgR?#049*VrbkJmlZwH|LQV%a?!xywj-X-G3%IVZabB_i>L z0!6EA@3;i=lxQ^7d`nIK?^`miLS=o+<9aKpuOMiHb{%im$a0!xnl;RFYI5#CNpQ{c zJ`C6XHLb@KS!ng`8Pj$eT5bFRwv}2$OX{T`T1&0jW+SI-ro>!2X_x$oKv{s}Ew>bK z*m>$wvBApmxR|TKYue@W%V`dxqiH&|#sm)6FwP2?52KZPWXnnRgTGZ%2r%Y|Q|X(M z$(-0$r=jm7hkti3)qm>gslyOtaNcGwDJOaT>Alk#1uAH7sfOrILQgvU`y~;L zEg)aqlg0z2R#!GwkG@X^liVsuKwb=!C|#mJoe;+d-H%=bvc}!b1~~m_-FPxw(V=sS zR3@NTgFo<_ag01@Iu9XBVHy+RCcx?Q60@W=TmQl%;?$V8&O%*msBkxS)_N5$AVLkO==+#VhG z?-A1028vdae*qYpEAA-_=FUMo5v1Pf4A%GeCrMn>=q;~#RIdp(+k8mu8V0|k1n=1B z?Y?d4C|NZW0j1|lHUl6){PVrX7k?c@8L1gg2Rl;qONtp?y-3FOmEnR=K}Xw7Wt)TI%ykMR88il z#xSABFPa7DJ`HmwWEOFyYu?C@kU!UN=UrDruG(J{LKcCs<#vldT?|7A@-4J_Mx|wt>DXiqoU`{Qotj&^@5I+*-yS{P`7x)=xM3{B!;wI$pU1KPIRIb$ujlPXaVlIz?^w=Ewh_V=g} zNOPVo7i-Wlq}}PuDi65cLHT>_#~WgL<;dE#C&FILtP}(W9P=IOeYJNTSyf4CY;@rq zH(z}}ucAtnfEniXdGkPV>Z2tgrfr(cLzls!jFF?xqPGy?=VgBuJ757*ZLLsP1Jow` z%f>U+MvD|p=B;O%qVe|*W4-R58cds20P{si#xo>;u{xe!tJO2&cvH41!IQNc>^(bsyX{Vtt3w%LCE^xEIQ$0-8?9fQ6YX){rUkx@Yf z8m?REE1&`ho5u%>^hIbupVyTVOzLmJMO==oYfSBb?I~=dHrO3%zd|YbJ`Z_}Z>PvP z9vL>GhM;4Q0mEuXqtZBH9m`P;D}atjfFeY#BeVc*f<>PZ655=#F1Vr3o2Q|^U%ZtH zFoZ1qHu~FqSU-aXt`-cev={pz!o=l#`Z97-^khr5+@{rFN4E`4wys^|YFrR|WJS#D(uylQMg#ij?j3{pI~C z9QEc@(gv`IC{w$=#m`<9JXwkro1g|WU$*gh!CmD=qfZh#XNz&XSZ#eNl}>G}lh;dOG1p!f-0c#ot)4u>n#{Ce~q|j8jnl{o|pM(oWq2 z(DA}XDZh}3HW<(m(Ii^M>x38SI5yTxAZ)ev%XEvJ<(Utp)NCZv1p zK3pj>9?gL$BHotmSkw^m%7+Mu+0j&OCml|^mAkw|WqjoGUpW&M;E{?E2s{}cZH??#xj{~_-GvTNhdtpCI`|BvJEKimDM1u(L6(lLL5Z%zif zFNn;<^u@owsPtFn1M9yR`M}1^!ol*NQ0YI<;s1T6%Ew2q;AC&CZ0e#-uOK2uuVU)q zqDwF8;Ucc=V(4PZ!$U8w{Dr7F{|>GH`tVo8#ox*Gd|yAXrgkP@i-cuk|DTe}nVA?E z{tLIQ3-wK>O)(_jWA*RE^`wK&eHU35)w(lU=US0^{3I19!h%T15iTB)7ay;@+rfYW zl9H85ep^Z@5G-C^a_)Ior;2-Sk9*T8NNsN!kFqgD?Q^seG`!TeqO`wdqjsW>i z85h_ZE8af5mlY$$2}Hr%93CFNL2RXZ=eb?O{;>7)#kpzYN7K4L4T48Rp+Hy=xrc zr?yVyjaXb^m#J_SLQZ)8< zjmyhbJ5LXmzt#?^e)q_SrgiL~DVb}I~KGfqF9O_Muy2)m$Mkh#CjEo(M;iJ(s3bkL&UJj zKi+<1UM0rbpbo2~u7VsuQ|H7BNp?Tvasdlvag@)kG(fuPEX5|xg96)~nv=@0>oH{y z+$u{p$h>WiYVw9+2#hO76f`)++2-Tc|pH4pgq3<|Kr>w$i0s}13IOs%OB0{mbU z^vyQBk`D846ix$(R@y^BBv^1Jj_tI<6@xe`(=cH|g^c#OyL5HHuiBNZQ}Rdzh#OL6 zS2b)AAPnnrtx>+Qjdx&c`mxD>|GG|eI4FP|QINDEeXUan=-L?< zGzy}G|1Iop9ziNT!bzlh7;(Rh0(K!ZIE-|?joeMoPjyLa499jPnLK7!T7WoZ{vM;~ zp}I)+!JRgsh68^Mk+iS}{OCg&7qXa=jY%u-=qwz4oZgDl8CDC8z%icpxjd{FEdg zj3YCNP$M6{gyK&9E8xLTY0r@LIv)Jc8UI$%iLENkDS}QX*<{`cV|$R{_c#YkMRNjp zzVT}0>h`T0J>BL8Tg65j_)Q+yiI_22P;FhOF?B1u(5?Bryv|WaWOUmwr1?~wS9-e% z|76d0#L#wU>oQ&wTB%&ah8=@$$ysUcxtQubq;Yy}97fcssrHnWbC@V?tPxNBPj~gI zeqa`au(MTSOSE-70ZTvRCz9>z>-Z<5j^B-%+c#o8Sex5;-iHHtu&XFR@?&;+JG5BzQ0u=)~9p|zWP00PqXOw^!~%MPp)CPP82$#pCPvkU4$!d;#v?Ih&qea(q{15 zp97@3%By%dM9@pdZhc+lZ)31FJ4{pNf z_&NzQ@WMgl*1u%c!<(v;fYGpOOmKkBM!D1J?>~QC;d-|WllXsL?R_loiKlM6d_Ow0 zJ?hpl>KlJ67&!LOX`AA;1B(qsC~|1wZeE4ut0E-g4UiPEa6Z(*M+$Y$HOyW`;giQ1 zn@6bv?i0U->q2rqRiDoZ7Q-7GNvuCcf4@a-DBU({+0$3vez@+U<&S|n{21ph9zZao z=-pfsRC=V-81CFTcY&;M`AEC{#4t_NzeB6w+IJ`EU88e|E~M~7X$S7a(bC~nxp#E( z#kH0`>;2Z87r534F5|NitFWy|>{yOkymVt~7k3lZk3|O{v{n`M0obcB+7zo6KwgZF z5a$Q@-GVjq^r6mL=#3N@fqgT_Bma6>`vR}ZwQtDFIm7N|Fd9A)iGB zn1=gSk~W~reLk(!@9Q4Z99r*Av=_t@7*JBWM4MaEOiIu5O8w!(mywKTvmXA775>9_ zd}lF*o+b5PyzcNM)N2fk=V0ZPZQi54yJvR3&iMyKzG=rOI@-GTTOI~bh3-Efxg_6u z12kDH?>V~IVj6ytqN#x3K5$f0iFWtYG{D$A49`xb`p+|2Z=iuUm9^7m)Zhvo{lq(6 zgv?QeX!J_X3K0Y!o6uQ&1~Bnw<-Yp8{sOh02m?aQKFZU~C9pa;)OkOsfV@O>lOAq; z4SOUnBWXk%Wt4yh9&IR_kLJ8E(gQPzKVrs|K=r*o&aY+AHN`JSmM4JS7cTFM`5Vs;_lHv#m#JfsKS`1Y>CokAb zq!c}-N_BAoyR1r-iAuvb$5k0}iXKztE>S{tZsmQF;7DNBgd8tbl3)q`^25F&uM*51 zXo3Gydm;wbvM9Q?`!Dl(C$M$thOi6 zGhM^pw$;g#E+SpLu$L%;5SrRH%mzKu!3>oQB-t0+z1PK&vc-neF$m{L015ErNg120 z5-^?zxq@+v6wF6uNi#2=PpL=_5{K7hMk7f~bLQiSxqpfX`GuZR**DIJBr%1HOA^TM zFUi4ZnkSRU9)CGTNM)P^4@=$NiwL3fC&9SUTs5xbLJ(Q-=o-(J%Eiomz#@;T0I^Fl zX*p7+Em7RGDoV<$gJxKtxs$56JG{H7F0Id4a3c0os%C>gN-|X!?!}l{g;yf0zQ`~l zy`joc#(xs$$f?9EA6N+-7Bb|9{%+8_8h0FKK$!N03RN0CG#}>-6xLQcQRY~9*nUPh zS*J=-Hx;k6Viin)2C{!9{P6mGc~qLZi@!d)0sBm`^Y$fqqopIz98sJLc$Z>$9pw~G zEE?xlK8H2ts!{DsZBEgA1m?6!5BXKQX+1~DF270BGu=FiOJo^GHbKi%0=!!Tv0M;)I2v1@TcEnN$%oR9a0 zAPaXkc^D?0W0G=kl&pnmw>=T+kcVTtKM~5BG-biv5oHh6EF)bj;p`Ba6x`CJnuN`@ zTB_~tM7TvRzUkp)7<*uGQ-uT-wk0dr?|0Pti>vZ;(jpyQ$x%FyW9T9eEy!q$czmAm zH+nm3qA;7dJw3yKv)g1(%Ikh| zL+QACV6kKj5y>xx^E;Foj|TWM8ee_}TGiRM1exCD-In0@H1tOy2K_ywtLiNf^^_F} z%6_PzV+=Z+S~j{x${i5p40Lri*9&Fb9T4>>bhDJFp>Fb>o}*qq#|(5a2mKt?ai|I# zeZpRMf@3zihJs!`>nJn{2YtO!w}4_cx`d)$d$kjn!N^GfN*Qp}zDvSnX|Rr|OTV|} z#Ki0>6pE-yMy*mvtwf+?Re+LWudzRh42mBWV%dIIgEIy)h16I#@57AakP@nP1cnFM zap%Dvl;}W2&SjD`1-BfxBHi&%$4QL>jcm2z7Tk>sW;rT$?N)8PN5Z2|i~^j{qRurJ{FBibTh z&*3G82mH_QJ9%Y;8?_)ilt zvoij*4Ysenu@SKRWzX<6@z)Oj{khmVnf{Y1|Igw6J=g!wlv98HkN;lze<-JzS^tZI zzgpee`OBW+qgFpKem5tA3=gE=&pMmqn;i1f=%mY4aSj}@Vyl*vlPKj^D9LT! zGZh|Jg>BIOQM3ze?pXFs=r{FLr@z2oe*H?|OsBt_t#WIbC?(D1Kfb%`|judRj9ZA=@8FSjRtDKCiM)6m0fcw#n4(*|{1f&%(ax zwKj;}GNocy>gK9OgT-cPw{X6WMO03)=JhC%rQaa~z1FAHH&Yf@(wNQiV(&xV7;P~Z zLcghi=ov!Sm$b5UGMU^=%BH%ltgf_5UUGU43n6MMlE)#+6B5bekh(XZx>y(#Zguo6 z9`}=je^XJL|2DTB4^`ZMthk)zZvCZo1g5=gyLxDxgT`hGRs!RG7=qG!k?y0F_ zL`ueYFu5PI*fDbLwRdB{y9FlW(3s;<%$QB{+zk-)N_^Q7gVxzS!O9>hO$sT?9mkZQ z4szRo#y6LnYaR%Qy$=Yl@>An<7gwnIn>QeW(sI2qFXX)g&jK8DaL&L%tA|y=QU|rf z4Z;1q5Iss1@0`V0si)A+cys0WG)2g{VIayT0A&m-yPiXb=*08F(PYN_pn>(F^Z6t> zT3EW;3EVQr*_@FylGebqRrgokW=ryx@HA*;$6B>i9<{VqV81{`Xdo8_&29*(@Kgc~ zxD1bIgj>F}B8;?4hFbz)c4pG|eogy#3qYnyBD{v&!7G_z97s?uPw{$HmGdMRby&BS zE1Z?nH8m7UA)6D=-Z;hfZb1|~x}Cygzy8~63)a%x zJwc5dF`wraglI@1Y@9(ug}K193KvJgA*WX0utCHcKzKN?-l9Ga)Lm}xRFZx!Wh9i{ zz&mni2z1f#I1H!`=LondmlXMi`Z!mr8Rkd;7VoMJXXZ^w_80Qc&#u25wv7O6Q3(MC zQIlH8-eL+dLbk-=6{anMC=fsr3Il45n?-$wj>ett@$H{S+RI!Q#58KNg8wkhhTHeNYj?HYY3r^i3g(Sca-s4i%TKkLn5||9(q>b280s#E!xD zTiOwIZ@ImANzyBM5CANS*CXj>X)UENmzjp5oC>GMxV6w1XGb@#`aAg;XeD_2Qr?p% z1O`!X(6byk0yy)JKu^ue#DGuVxjFiKvU?yeWO?88-(3$!5d8++14LAyt{S2^@D##z zA8zDCIZ~p;v>KycPQbCeAErOPJ!6TfqB`T{p{N6AN>UiLM&f1$mgdV5pRd=hZcc-a z$0gOVM<4Y9zBM4pXZ5t(wB5-RtsUU+L>_~GHd;L?|5}p>|E`=J&C&(MxKRAWs7m%h zr~>@TH?El@3tSrdo-WJSoosUhJtjCIAADv&Dkzp>gC)i~ZxSVg}~K(;I+w=!cw5n#>XoBilxOtp4NEDLXJP zoYI!P`|wrsMPcQ$Yg+Tx9yc9eacE1ha}#m?E7Mi?EX(KJy2Sukv(8lLZq1Df`t({f zU1jCIJaKCN{cKUT>J;UMJSW#83RdAS&}Rv#IS0`>My{&ZcA<2_bJlO$Rp)eBM)eao z{0vlPT=#82x+z{FK9>!V-R*w-(QU;b(6S&Sxa`K=BR&^Qw&_Olr)Ep6-ZvF?>p8$Q z1tuIFXGHuzY<}MU%oWd>5hgG}>hUK_`LKdPq~pvj^ue>;4%aS;7VJTEeKKY48}jUA zur=)1vVq494c+^ZK%G0}akYy7@J;H{u|+r{;u`Z>GgiEKA1m6XQUapZsO1)l2kfZ5jWe=aD$rP*)t~6o!-y; zl^5>r(-ERt5WDMmPbFoCw8wm%M2U^BN+56M*ahWHlzD>f>8cpD0pGhTqWZ1pr zi<(RXqikpC&eJDZtKqdjfg;+L9e%*3cLh!HWF%ER@qa#Fy7dE;xDXR`-&Ba)<>vj+ z?R&jU6cCZ5=C}HIU3*n_MwV_C(cIb7znGTTEi6bb$inK_6u&HM2jgX(@kd^z-+5(?3Tlk}o{D6i4xUbM0U*!GeE7;ey#<;s<$4u}sQPc8iJ7#W@I`EG}^0mkm)w zN&%6d0m5rcRMLK_M@(zWsq}9+=z?}161xE_s+X7@MU#ZO3hf<3KpXi-xB6aAdMvx< zT<96tBoE=fH)i_?uplycHTba6!Tq;5_xN2#m=&VKKrOQP&LwIfbyQiBsEAST9{bm$ zt#}@4V^TQtvk~;%8~7y?srxU)&4$h{yAy|hL*03s>=q)*T&Sf^O?RMu?*oUMe3E2j zS+*71jGd0UT`t2av{9ru>c*OfDIr)};f&$A!)Br6{!q5;mgaeu;2D(#Y7Luv&%;^d<}M z8=-qAho$Bg_!NofGHG!dzzP@j!N;ex7&?x;s0iq6!QpHdsc0&7%6CKSy@;xE5{Ncg z7Sdx~k;>M*Ob;6g3AQEg?=blJ_YCoT@`-?VEUj1%@9^oT<&aU^6S_kR?!UPx@?_#f zuV10;Jzm`34>**&@ft%wl6|@RKSTg$k1zAf!E$pb#J5Lw6}iO#ZZ15?ipjB>LfoV2 zM(>FhoQ9flOdd1u8vz=4=EQVVHq04sn-S|U50TwtDW7@`-;|^7P?kR*BalxY$K@yI zvJpGyJWpTGjTs%Lh#aG_GjSbb=0Mh&Vtt>`FPB@l*qlUm9#B0|jZ7I+U*}F1g z!4)!fTep6skEus+OHG*#jeAq=4tV@=7e=ns`!-zJb^Z7#q6-%h-LDcU zEv&;r+p^yPi}0OEwk)gVsXw_Zjhh9ns^n$t{;Fa zUf+Dx7784Hd(zf*aU!3^rBQt|)Yp>is3(L(8hLLNiYpwI&#%`F>$LrCO))HT9M0zx z99FrL#e?HncFB^@?6D_fhGo;I{OS!Ggm{X2GTPNqQpe=wrn<|C_AKaBir>^#i+|=5 z9C>~C^>y%~@4{&W|Im!mi@WYp z=`3outK+({;K`YMyJbffqyOc@%Xz6W=4k{;_^$sWe8;i${(oTK+yL#GPsGA72@HWv20jfztqS%8Q9&%(clp~Ap z9OP`^4^A1UU92R+GxKrRa=g#RAY|3NMCI6ler7fEiN3wsBP^1^C#iM-;RAeS{h$2m6B? zqZ{j@as59z9;tFLJ#X5Ug9Fls9i4aLNub+j%^qct4f?p@Odfk$ljxVD*i+yyHcX9( z@^&+8mZ|eOS|T;hISXLwEtrVnsHcivT8GQolTy&p9k`qVs?5RS`9Q#am;@j}`_&_0 z!9L2@`3b&yfrMcHo}^q|CCP1NH@wkE$GBa|B-?Ln;eGD&oortK<^sO3)wG)n@t=K} z&~8O3YNQYa>kNZeYtSBH_pwL;p4UP8G$y(_4TPuEPb4K#!&m82=v0oLwt2pP)9EYl zc%oVqVHK>*I}SE475%t5l7%`XHN{J@UQ9Afim6L3+`(Ce&oKfk#WUnwlandjFU4Bp zZaBG2NOK)!1pY?dpzN!$lL$m&nEkz6ek34pqCBqE#W4{xw^PFd;uy=(wpZd;Ir0u# zsPlJPVLhKDOi^ip6#d@Mmum?Iz;kE>n;%uSpr1}jElvgTOd?winI=Y|8KYAjpQ?5< z4UOR>)=o*VJ*1D!G^s4D7PLdI>02uL2zS*+b|Pg*)_aFY*`1u;gjq15(5RhS`tqHy z9<8y5HXI9aI_1E_+}pKi5p5fq)oy5lhVpZMgBLTnlUCukNr{sZPee1@kVV6$W$-x* zZACV~GcSVoY9M6%-WtUCClB(g=4jKDB~Y|ZkxRpzz{~?|?O6)GXESsC*v*1g#im)P z4l7_OS1N$uM;n3zZ3N&YLNOA(M$y#_c8NI=ioTYCA`kf#SinEE#L8}1g}Po-2z+bx zAqI=0CCVFxBrs6=tUA;!zPCMIv7YFD=)w+uy4yatW^5!F$gz+_>9YZFQB3ZDw zr>)$%C@q$A^3fPs!r$}dG|lxkg)nw!ogbyDA{o<^Ry`XJcXEmhkpONF1#3CmTAoy= zPewf&qw}#ok|!||$}Wl|l~w&KN|WpchkxjPDw;hX;u+-Rx$huzqQmS>=G&GN2AoXn z8fc+Zp2|cVk_oCCYs=VGZQ7CqBzGc$feDfZ)zSDFJDwX^{ZP#)gs1-QAchDTnVBa7O}~tQ(LHr5QG%!DFTOWC3P;NY5wH zGLWoHq^X(+33Z<$N>wu!Wui7(?o^Hy^*FGl0P3S0T3}@{j2kIL-wnW1fbJ=-qg3Oq z{B;gmYX;^Wglj<|n`fHF1w+P5n_=b;2x*~FU?2}7MxYa<*!-FM156c+0F^cg3uxpTq;*fz7zTPUjA)#AC^&>S48J9~rgIjTmVgu!rn+J9p$`r- zK_EkviND#(_Hnb%0;yU?panynw~!Y8+M(dv*Di;av|syqgo)0Y{Z4^N8_(5aS{QbA zpDI3K&@sSZKEePn@^Brxu4h=E8Dj+_<~?A5%#pq^SlWxu<{dgf_Nr+moz=5@cCiBl z17K}BSp6@VGRI#csedp&Cwm7Wdk^ius4*KO6Tuf4)TI}+v$J<`)@I}QN3Su*AIa4J zk|F=0&-DKdhWw{(`Cp2v{>=JMwDbQwL;fGip+8Nre{o$_wl8G-A7Gc2o#jhk_3sg6 zRwnlUv?rG1pWwc|jlGkygQ4+X3MXM_wl7f=>)(CX!pPQdZ^A<~O|ec7M$SNh*2wBq<{=KlwG zWo2Ofues}H++RYgRrPyfObwQ|A3iQVLm(2_v4;=Ah%^>aw-i%}WJHHI?;p99c6uz# zkqyx!0K|>-s%2Gjs`yejrVsCz-ju!f)Q3?BA#J_&a>=18rWH3;E~=_~OSNv)hhvt; zmIH?ucWFJyvhjjd#SewU`a3vkD-iZJilBzl#3a1Gykf9FJTNpYCQ(zUNoOk*lBwiA z+ckOpi$YMcwkwU`Q|h~cHrd&$kg}!jm_nO#NpZzW59~=%y$#0fXcuMYCW`@Iid1JX}x{dk{^Voj--XSMUMA$@ue3Fa_ zWDFAO7~N47CZz(TB5rJN>s}$WR6n;~KZg6*-n`dX#If5vK7a*|DIkm|xC2QC3nt6v zJAcY*Ah4MnGjUwtdWJlG-}Jc~i}A9RMWm`3(L$4&Rsa?r`38r09p0A20EU6Uzgl*r zMR6w+Kt~vAC=!Gs#&9pdQr|fjSAY=3DanQ9HxDBrI6fmGYuMO{Y+w7M8@X;{lf#d9 zG?+7J$XgkeAj0)tU(0FehJv^TbzbYs{&rZey1Fs6y7`wJ>L|8jQ)44ljB`IJba)T0 zm{5Uq7mncBBte<(HZeD@qh~P{8PVJGt`yjkT;J~P|gY{ zp>%i2H!awiGX`41)q-DUKbEG6?+{r|igIxpDji6NGHCemFloR2<$jxrz{}wdIgehN zUF5?YpWuzW#v);L;RMn%fH90)oh(N$ZZ{7~ajLDg0Wx*`Jv%K6Gd}eMG5(g;mw)6p_zT}crt@}B4&$cj`QDqd^*g^L_#DV)%%LDL z6nMn03YBO{KMrRq=4)>mQv=^kx;tQTYa&}^`TYpQg-Slq^+Glng9`Ojs_x+!YkSXl zmkfwP`T?3vm#Y z_s|@rld(<*bq9%WY~nB8pZ!H^R3he6WjqHVdOcVcm`*|%F~+QuA5U>eUD2=@?FJ(j zrNiwQjf!(^1#gfrHJdH=p-`7Z1(Dt9#0bQI;3_7jq=1|6m0hCT}jlO__F;op-^)gSOr9Y7xNbdXhh?Ls81PgKEBs*^PswD zf?q2`Pdr^|AmWgP!LWyCRG9TemyYYO>UfC(LP}C*4GHI8+A6;?`N06T4L}dB7iTm>Fr8BF5tD29T`s(>AD?ia6;8NOG+AW35 z7J^viPpXY{g+T#$tE&(~iJ*%li8)>D&?IRDOj~7>P%{ZPFX3(J!_IM__^cO$>RjDN zPaMM|(U`5aJbB>Tjs>^y7&T1G3c^X5GkBTNB2gA|SOEovkP)K&rUm5QhR`)StmQjM z#yDVeZhffFhElVmvS~`!Q(1DRUfsr54`NGS3y#`a5$b;Uu0go3E4O9U#0 zi^=kXg#sQVB?z~g^S+pob76zT!8Ji#aFT0oNBL%kZ#r6LcTTVJeFj9W->@Xqv(C?` zUBr{dR@@&U_ z90NAJ%a5N}~Kd-7n>7KtN@=DXv{wWoSFVffRJ3rJu z5ru=SN>-arwTB~qQ_;kmq%KNWv=uqzi11;%dEx#fl2#pO$$dE28YdUe7X!nLWTN;D zD0c>4ffytMNFXE15JWXEk~a|$9BI={J@1q=-)(N73GjliajJ1QZ<=+HXa5@53?mIa z)A8}xlOOJ$xd>w_IDZ^6(eZS#l+2h9Yly6{s-4%}R~nNOuhSdFXAw8c{|la<_G<%7 zVBSTT$?=o4#EgY+&sUfCt-RHK%8a>jBE6M1yk<@97)sK_mk>XP2np;Zr5vHr4Yo~zQd~7CmpgNCpFWm9x z+N8Td^`Y}O8v%zUHM+2<)asEJ00$R!~4!qcSSecj$X{n|V zIZ8VbTueRlp$>;czFsRMQsBJgJL&r!m1~C0&5{M1MA+;mNRS6qU6-l`KoY8#@V<1( z?reokGt96uCVeKWTg1O>wj^YHHaC$t%hAH~wYg|~!6|IW;x#o>Csd`Ha}05E3nWf| zv)xt-!hZiH9rK64X+eQFWst+;h6-g6;@#LzzkHOg4kx(%`i!yLGv&MKmtgku^uWjG zBj+NE^34T4UQ-ANp#j570y0wC3)744(+Z??fj3gY=@)`DNlGrRKH+I3RQj`BqxJBN zqF>}OSJ$9vaOq=QojenP+g6J0itDV=fpLq{x5xFHIJFELz0c%*B2m>6jCDne5pwGg z5xHvW!_XzF>LfNQAtW7~CrSa+@BPtc9Kk@}XVfogPda0+jYY{#Gf;3P>DgE+a1Ot1 zd9@kuv6S)Vq(o3O-LHu(1EhUl&(b~t@16B=>o;sFl{G0nBzr*2OmoD;C6$HEhCQR5 zQ<-lk2n>ud(B-Ak+eqxEd%y_cvv`R}$4PW+3sze9x5a0W)%-~K@}so60AE_A-%=<_ zlzt6gm!5X)a{$C^uhi1{Y=Y;1T@&jWUu7e~uq3RU`-;Omj1ajkf%+7kSP|Fa6F`IF zMW2Cz(mDh}gv(D<)=Mqnl0{p|q*Hl%7K-)yoMW?H3eTh8s zIC=7|WaP4Qlb~1et_ISi<>yfQwK3zi?b0kyAgAXz?gU?}s}H0Unu)DN6$fH*L0lZP z3HN;$4Sq)UOY}&l$=vsW5PH4LocXcErkK4})Z-f;&w6HB~U^jN?SiF=R?Q__jAX;tc#V{lJSS{ zhU%5ZiFSf}S=P;o72+c(d0^MlufFQMg*ngG$4W}PUC&FC8canS zzEdGiq|k?E-7Gi9onZT3+X_69(=}ry0!+rsiAuT`mK5aZ|v&ozqa`_=qQ}-o;H?QgBU>yuN)*9G7L^At0#g@ z_JLOBn}x1ldY5-CQOYBb4^Q$lVA_W^4sVDYDQ>?HQ|fMcxOaMtbqHRI52xskREaou ze7(2Unk+~;m_yGka!^gub*{{5j;nl!l^M}9T+x^f>HOhumV)sSbkuLa3c<4CK+fW} z3O`VhF&CQH%;H?iud;$rGyn7DOwl~*cBWYI7~RWJIQi4wwYjsiW5n`aXU8PQD2MsM`R`cxGv+^%8XtF`4}sL~ zSKuMigHz9@8OPIX_2`mBho`z6-Mp6JP;g~>uC#M?l4Xo=HvxdXOS#_19$20zu=j`E zO6e*}5YHMANTN{4qEG}lfbA<~$q6%R-8VINl=~5|$8@CaT^u8m#A)BNRFp`ou)UOz zj(WaZGVRY_eybj(UFJ>SzaT!>$~rM1h0FTR@5q-YeONE zL`V1D3zdH903a5CSFEE$2wib(N-W*bvNHQU&;|&MWcY2ggF?qBn3-q2k&p2zvu|1p zYfG1FkkwFDb$j>+|mU6?_hzqqlNDWYwTP0Fz*Ww zIB(NUY2o3OMIdJ+V|Oq|w>C)1;M?3hR*`awdhpXe1@*XX5z~ZB{`IM!Qjc!us6!(` zZ@j!*Kd0!mtVsL`Y`!B`Yf`CsdmHS)3M(#&Sr+A*Ymzs!(@NYYzOX&WXm8nw zpcjHDraKv6i3Nb~Lyk)ZtbFcA>vEY~_iiU&y8N+YQ06|$mV+ZWxsKFm_~9Zo=HkW0 z&fBmYsxBuNpVvnm?{!mt$|Kv*B7UcgMO_4H@9jn6Rxr>%37R6~R*e@_()=N);-cu1 zjn|Eg=P6LPzZ*m0MU;};bMN^3`S6-aO|dL;#`Jvjjbr}l^MCP}vp@;Ux$NX`-tw{AG-JDkz zLZAz0_%l4j+B$i2W@?c2Ckg=jn`c6^Iczva3Ez)$DEo8aUUk8b;~U`!@73@Vr~rwN zD>7>c$LHYi-E~+lFesZ7o{w}uN2{edc{=m(tJwy{O$(lnDC3)R#*3LJ|L|P>#1{X= z#bx!wr9`iN3fUN@`=aH%oY(fdgO{yxd3WxE2j~{zYjXuc<8Yp_4E1jzHaEI;bnZu@ zJP!jRvwehfH@+9|{SBgT43g!$l!G@q%`uhYWe=yN3em8bLg9hDUJzlr)+g1>2Siu% zH@q;q2e4T^BP*QIzkZNHB-S#{sDj%72RGuSAZremk5h;gEn2VGef(j#5Bbd8L!Lf*1&1!6#`wMG0osu zcyrmHgE@CBy^J6);!24G7%bwxl@?4j5(%-`M19Ilps!J&)IUb!Ay~p+e??R!fe`Rx zFg*wsRDi8RK0wq+Bmkun^{cSK{u*Jjiuu*pFjq??#C(01QY+}!2q=Z>hYpt=nf z@Lk4)Sgt!BBd#anhf;xhS6XNL8ZlYHylbtSX(ZxfvV!@Q*g$`cK&e3g8m;-nKJX4d z+n~D{H-nB~lVbbBZsa|32*T{DeElOZJJt=%%c zbWZ~8qKdo=n(*Q|y(uA4_;@_5Wq=@)COU;IDK1-uD9IgwDowZ#%5dw5yB}66JS}Y@ zk?@f7$}aGJC;G}cJhZ7C5TGaHj;Pdhuz!cnOUCXSobKpYDZ*_eEH-=XtzaceYi zMHAJvROL{fxobPu7o(*~dvVND5KF&X(^n2ch{A`Pxv>thzna`_phfjr=Am=>_%x*R z@~(dM$1Yc!#kQ1tfVR@RH34NEi|V0lM2)fuxY;s`BGhYb3KRhkKkE;(~fN$ znX1=RZGH*v?&+DP*-CrCzihp1^b9@bzg_lA`{;gle5@Oh;g0B@V{=zCs9@))y-~QS z?-QeDdTN=C(j66+wDV6muAAA3fT=tbU1SY6?cbP1P{_m;Uqeg z5e=uh?W{caLRq5n6&65TpC=C+7mYYUE)sz^jaAj+IH9`?KzW({`IA}Im1ANZ?9;oW z`-)j35w;Jv5y%|rC>%mAMmUAqUKfIWUy0nc1pZ8q4U-^w>`{8vO@G*SbANkMHcVA5 z$`830I-hvLlka>9!I{N;6!>PyGI9i(yrqx}NNGc^P^=ub{T7X+?>TW~s?O7;Zv@lq> z>cQ5@J(mEDv3*YkiDk2Y;;B1)<)^Tg> zPBnD5P9Q(&Ajj)(<_2||j02}(l}1&`)LU^Nlj>TgVr#AtNo1^5!o6a+s?(#AS2)ag z(TY)(<`mHlqa8uIXn~I-rGfZ-SJ!w6-3)7?ZNn1{NW2xxYHXC#0FB3E+>$#@MYSM}lw zosl8UrV5U^5}*~Fp6zvKM-Hy}SKwKjp@9nL0Kkfs5kN9Eh_(1&h+fJ$Y#_Xaw0x1lAk%jZ&0R7X#<>l^u6Z`pgUUx3~ml_ zZWK4Kik>y+I-8QC1uSUBfIpnB&lHVzmW6cGKnaVKLS?PVypnszl&UL~ zVK?WXDPhX1LI{dkEy|$6lMGPQVa2l#)zU|^+E}*pU*7pAR3oAtr79#nl7)c5qH&Lk z7ZeTEqpakRDAK8DdWzZ#eX@Vp#+6r_kpmtA$FW?vcRX1TO$d0D!S)y6)Cu%eFG&pi z%B6t`8VD5}*xkE_srt>jLxYI17{@M9k`Gm7F$z4TVEwxjDN(j0=o^^wsGS>R^w9H^ zv%KfdRuKpKZrx9bMH7p#dD(S_0s|049{kaHhAiXcRBsu6Exh3oZZG%SW#mlNVW;IC z6KCQy?HEs|ZJj6VhCU>o7J{*Es;Nbe5H2*^f|5AfL@Da8dt{jvyo=pMgNb1aA%IKi ze5ei|KIho2y=o8PZGKzzZHH~YX(w?T5gS)pIWXtrtbDWE*s*gy$9l9QJH0rblC0sD7JnxB@wZLw2E>Y^T21 z!rOzne$^%D{*KqmKF?Hj`b5+zlFmRR*!#06)%ct37@rzBewwciDyc4C&K2rTW_s;= zu>*G_T=qTaYFN0Lix|Bw5EOd9cf)oalY#AF-QMNhs{HWO;~KsJW+ahzrib-Q2AYTG zkOV<{vjR<5OZ!%{I&U8$X8imtxTdWlR9TVFPo&Mg5);6|0A-v|rCJCe(zmQiMc~5&^CeV!$Fj-A5FLO8h}C;AA`I+1IN945z-*>HM=17Inh;c_ztJE;iLkynL9R z7DI8f?23j8__ja$17ToD9)Dejx3DXgz$-p^~)4yI%Wa<2N0U$ym z&d%sJPjR_ZXw(Mn{V9|88^Bj; zdZCO?N>pN{@=xWP(zcz;$DB2Mrov#4<$`S1=Tk4o(ZpOWybC4Mf{r8m4R}Gw5i%}^ zb!6M-gK=eBO`_H)h8l!7ieUfjt>bG;N7`BR^{vEr+a{PK)?*}kFWmO-S*g^fprf~3 z;g=9&lhwn#F5N}^4b(lkAD_+FM`MCypR%auFE>~tn%$zj8)He?*%AXJy<|sd4Fr86 zGVQ3Y)nfa9KUwM1z)?e0~M!r1k+#MLs(G z6BM2qZ&jpG521(O9NW-b!A`v?PAFJ5oa7;ib zxO+OL(az@_Zk=}gc_C^udh?4CkLobAvaCIM-veXD>r4<4ar)+0nMX1XycT;@xJR|T z88`|a%pia@0E(ZmOwA17hq+H&cz?Y0w;pfn1$I$F*cMS7D`7_Ql|t~5s9Z!G94J4p z6w9+0+CCQ@j}cQb*~VE5tdc^V`3nKxbZJmS?bwBrPX$y;%a+_i^RkK}P8Bl<)sccq zxq?PU*LxBbXM$g@^49Xp$J@1h6r_@evx|A zk60-Va#BlAu-1uk#lBx@M<$sJf(m_cK}#);+>q`t!lsz9IZbg1_YIz@mOjQ|sXQ*f zo>=91f;K63h!Bd8K9MRaLEKrxvb4uINEP!u=8T3RI$@uf0X`F%L)F6IVOM9u*}f_l zZoGmcRs1G~Pky{aBcG9Z)4{jkcZvsMyKotE88>#^@%p|?FmWao2@AKlBnsqAEQvEOfj zUGXbp<3$fHJL1}69hP^!#WXXsJ=(cr?I36K9({#<3cYs@v_=da_)_KPB$8{cGYHHiq5ZdIiuN;>c5kaCY z*}O+#zZAW8vxpr&p7UKWr94-=yJ68Y?o1hs(4&5PGKjbzXn<+mloot!dvhj_Kj|~P z&gW%sqry9dUWn8o@Ij+7&bJLh)V8%6F~Wqx3y|oH5X2#1$YDZeP>`3rCXFbz)qb8= zxD!Q0eY1j{2>VWENGFMy9#lf6XDkRf5Nd@7r8OcmF~CQD3KmUUgF4I%i{0bdRFfn7 zX#;a9rQQ}ri!GOth64SjH2e;F(`Y2uLA#1TgIw4Be^K|AL2+&C)-dkw?(W_Y+@0WV zA-F^EpuyeU-8~T83GQwoSg_y@0p1ST=k9!`&OUda`tEzH-am9TQ2op~*IcV>j5(e$ zOsq?aYCkC68v3e^jc1ew!FR)JcXpd#d&8V03blg>MI=V3-3C9LALO^DsNJ;@LmO&h zy2iLdgZ00aH+h1L8+b!zG;n%zzjv2T=d868G$4&bLB6JCf}fOCz;3k?nt`2X!GU_l z`B7vCW*~1X61fDI;`nhFxq9`mh~}_+NCMVi2LZdHYiJ{3@dI&}EKX>5&gdi~FIc>D z0n|-aWkOB4hEXkO#Nk|Iq%3h`{7OZam*cyH&!O|2p|XLma!nICSic*LKSFSpP5LK0 zd$UW?00RimGbb2cxgi&F%4on>osWC?PQlm&XTFNn1Su_J!T2Va$_l@t z^I;SEddv699H0CX>F0Sj5l)5|M0m7oIlj4EY$Ac;JuzM_X+)54o6|Rn!AgEuanw1@ z5>&2^YTygZr$ zN}j0!dMA2e-VeAMo{E-CB6HF~rvYSzbcL9U)kP zrtC2Is9+1ctC~W3R=*^ilhS~LDrOA|D71i@2LaSTcZ%4rJwB78kKOMwKLmS+?|%|& zr`kk*gmUje>$Nwsvw6?BxfCVsy_Lp_%Jv zlQA31&ywv$nJWjif$%*eiLukTeoZ$MXHC}nBaR)tP`=-^#zC!iL$g! zX7?Nw>w~l*1^f>4`*XT>$e8UNIB*g6VS^%Uq3y0LupEmg>T{)|nfH`TdfB4y5qOmV zslCsQT9E)*fjVV?z^(=p{;Nv;>U1^Nw=$8_SUciRLJ6$rj{tygYrkJ3^vF48sWk$&c`EYK|-&N$7@w~s$d>?2i25PpXPr^ zjc0UO`8_UQ=#vbsrSkLG&$p24nY6HXl8Y9AS%1FB3?cYxN<(h~R}oj-4O&oj5FK+s z7wzq8(Xq1B{M8rx{fR=2yH*WP`}S6*0^9zL`>D%%A508k8qPa|?|E&DM=>4-wdOg~ z!HD~Uk)!-*#~q>ziB4Q&j=$Js|hko5PA z?n9wMZn*IjO9M_tU>ut7mquvIzI5Eh_HWNX)8?}k;Ne}1Uw-qmJZl*|{3=U-v=Y1J@ zC~AKgAyPm&H6X=)^pjx$JQ#lZ!m%N=qot6a9ghMy%7L}vkO^TcJB~)d8U=_ZLThH= z1$p|e|JB#*+lQ7AH;_{jvJwiFIfgY7KlsvoDWLK#+%CmEs)7MkDJq1Sg=^T0o^U#F zqnmegB{pN=S*^UXl43cC^feb4Giv0=65YDmbIR;mCMrR=1BQ=VBVQ!k>|~t6$0ZJy zKv9@uV%xEfMpo6Yb8=vLp?M97G27Oyz+JcPi_Zremz?*G2mNri4jxpU2|Wz@bfGHy zVSY;AYIX9%A)FWt?7QdPDOfIQ3Q-^8s2IL2>|Q99)IVCX1xuH(Op|BYbBtfVs<`0w8L0)MAgQH1A1|CJwKS*Hri`iNA9bs~~7$@V*m>VCH z(-jpE1%$W{Z{3KamJ_E?^YGZ5hqds=^O&m4MOjk?Io0VhBrz(9d$CP)JzhGV2fyMM z^vX4@^k~5Sqy-xVAgpE!3(hiaB1(-sNFH+=MxIDg*+^t)q0s&u7O#C--!~#R{VL14 zacOc_J$e`WQgMI9+WcL}%Wntx7fkPkz?2~Fd0;O+q{?#;D)Cg0k~`>W&n!r z!*3sO8m5eirevzC10=5Zaq-GTVgeswE?3Xir4;$@@tV?e>|=6f0L5*9VU~_(BlQ-c zrQQs;A?cWiCSF`pch0HOS6Yn8l=Ax1+c(obo?tIQ@70)I7`@44wSTo zHja*VwQZ{Du+>q`6vJu`?6)8UmLYk|lClp|8*#{weShlf1Xc&G~> z(~rZB(OdJD#B^dG{YA#c%OCj6gMYnKZ~eG8pts1CFn|l8(6tW{(95xf61s zCCfAuI>j;Y9eMT+cPzo}hAcR@vbpMw86oM(3C*e}Am20a7z*4_TDoSpQN5XP%mU-| z-5nZ~EL$7?w6gihl=80FNs$hxFucNW!M!%OOI^2=K>5D=F7z^q70}qgaM~U8eydbh zj=DHi{z~+0ABKXD3h%QyLUq_3a>n4R1(1%8kH`;9LhD=n`{zmoSqJUmbB?shB@g2nG}?U zNf39k13a>Vb7-asCQsfj9;*)#fcr2U7f$i4M>g_oIM%8FrLXqFKHCMz?XMp1zMmYN zxp1u`Oz7AuxXN6)*+MGfrt0)nX=*GD%!JRQ=ELr9nevi$X}2#GT@fs|2XXnq;%%x9 zmkY;`QQAm>wrSJPf|Fk)Ge|X0ij&-KO@`AJ(buH0Kiu)u_+ z*`Ifpmukn!!&z z9#?kgj^D^@JAR6~S6qAVyc7{%V`Dabuj5R=<49R-8c)yJETeQ}+jH*ZP-zN(ys0)l z$1qvB!kS9pP`v?uvJv9xvx5RCH6U#; zXB(>qV^hEO$=jhME@DWy_rIk2a7~@$@!HJro9Z=sk_X??lfTCWTfTs(+V5Z_gV}CEoXKHwXGEuo+N#(-4D%Qlbea9A0<4;=C?j8G2U3 z>-71UEXnm)TyaaRV0ydYxh~E^@;>WtPdLFbIlJ7 zGe-G(Cr>($PHn=+Y@)Hxmy~2XquBu(BepA2C~Xh)ZUtZ?Ur*)N2`Ka-Y5?8KpNY7H zgu)9LgkmA$#pZoF=jQk^OcvAQ``n#wMx8X&G@kIH6Aeg9!diAN>Th02ch$R%E+ zG8RccMVX@U`;_wGR6&Y5l?>^?_rM>=&b$^|;}t2NcDumfQ^?1oSIqqjz_&Nq33du1bGj{VqfNMgb^{DWX4+UT!eSK-oybWjCPO zxs^Mh9xw9N{Gu-QT3Y&31fBKo1|k&c$bNf8VNMlZVf`+tk`gDJaDTxK zjHO`{Etd)n z28YiW5|UhI+g6c>(XlYK6yp%wx_)n$qnzrN#_Z~Br+A7eomOA?nODIv(O!8wA<-V2 zudqN=E3Q~rda%4s%)F$NZn~!gt@4Q?Rz}9AI}TlX?>jGBmwt93wnfj1_f4ws#oFhK zMWY_0$;T&mm=>G%7Sy)%B7B%Uklj6F4n~^tL=BU_|oxOW;>XnFzE)BM<$06*oJ-pC<_}J4N!Hq*YkfDpY zpO2_499!sSpBuitb*|c<`}F#pF4MwKv+_CeZTJ=FypE&esZICCwXJ!X4Mb`Tk*yp0S~Q_L>Rine zYF+eFcpbaAsg^-e!-P(so{RTeLt5^{75K>k3|3Ha-EWSff%oEKD{SK*qjP($3eOE&WUZTfhpE`f0psX)3Mr zJ^$wE%H-QV@8k;sNU}#Tl((o8->08LcL&?gBl2EpGJ{hJUXLnbNp-S=g%&+ zf9InIV0lqokN{YI`R4&G+vxeoaHiy{KB{C5-)faO1=hyW~qNfG@T z-ER!d|29SRYgv99z)y;ZgX<*&l?|BP3FL@409=1JE)=*ltbbvy_iNpME5ykHj0^oo zDNbNo=+DCcQ7O*9u)O2q;%GjaUg3{*~b*1xRuC;#|g zouzER$kmrhzZf>2zh4Qse1AQsf94W_iMT)?M0PfwpUJL2g8|sNNZ5f9tbdcu0|0o~ z|8iD8Z-L*|kBy!EXNWA&r10mw*a1wyzG7A`&c7Y(3arKX7a6?2?u}oy{_olo@{n-< zlB)d=v4y`L1HVT854Mnv^=|~bs^Dt?+hnVoqS;I5SZ*H1J9rFrrEAWX$*L+Tc9eyD z>qKhe+5&t!cP}$>BOxlVZ?yF) zCBM*`l~d6g@D|?HrbRMIYHlf)q$yfyv6q&~>;Ld(d&SKqQ$Dm)f*KlmUI#uv4amm} z9&lD$peh>zCjLAqp{nrQf$usAxK8c|3Nqs1YC!r=ZPO6XX9fGv9-o|yfLw(iOxziD zFboi_`>5}J0_@nu6H78vlcTdHCcvs8!0E*MH!}(MUEIIQcVBy(*-#RJEZg8i8|A|- zphhW%U2QtDWZBZJSNhyETvmUD(1KB}tkI}gEilGxnx|-&S8nuCJ<{1k&MvVw({n-J z{#r)TAPT&2kiWXP{KCpaH|rst&H1TJ#q6}3UE!+nLvh{(M_Co#I>%U7X@`No=*Cia zoDhaiND~FkSufWjFx%A{|5ZUrXOpD$xqRdHDc*gtk;f-m2U*tmsj`YU@s2)r-4>5u z=`%$}kFj*q^fTnOR)O~I0sS7{4H?YUvyYzs4m4G=-{At6b$6X1ox*xo<|;&sBiHuu z@;3Snk1WDdWFAo;gQlwK4FHx^60B;kHsx3ndr!t_HhaZWB3ZhJ`e}M1EzHQ&Imt?R z>lTx1yY!~ip;(7#U*{f0RU+JC3JG+GBQdy4gm(o*-U*4RZloaBAfcqsw*{a~%Xe;> zCfwHY*X>bz@W)r`KF$UJ)JohPm@lWqs4=i5#q#mXuyDP==h9m0z+vp}VfDYG6-ZSC zZitl-C{uZ8>>EO(w^zwm=$^ioyG-FS3^omUW$Tb7f}gU4Kz&cxnl{b1t!p%HQg>cE z9rcL}3|$W%Qhqw63szFx%aT(vMU1SE(2&+>ubVAgA8K>fqs*^<>T@@QG0-)9lk5#L z*8o8v*((!CNBIt}k8C0{hYgDw2}wV6>cCNUW^rzs&o+1)`shM?A>%E?FUSmgPcG6U zdN$tYoIaf*D(_3mJ%g6j%B$WCmo6M6uUk5^f_@QWLxKhYf(BU=wKhfF7gjs!z-3|3 zcjHsq62Z7xjypZcB;tjLC(lJcaNFNf%X)~_3XA<}$BnE@CDXA$XUuVC544?2-lRw2 zvmf5!284uK*ceIeO(9E?v%Im>M9pIsAW*HL{Q;s5ixjz+_i# zO>#EVLX7L9F9Bum+QKgbEYCo!1TqK1{H!@lK9)FmRkT z1xKMms$R=$w-7e_qi0jHFQv{v)1r|k-1dP;y$5=)Xs@!9slmXnq!Uv!(JN|=bhMn! zl9_TN4q#f?oyl)!k@Of&!{1MVM}mSZlPuWcjC^3^z*=lK-`7r|@TG9?JPNU1M+sa- zmd=0IT_uB^{O(eqRC9cO8rnf7X)2QH<3N3!j2!dq+L2{G6Ly`uM}vCyF;h``sH3955!WZnvTI7mvuh@Up)cc@GxF-+u*gePcO*_&4J-ZL4zi;X zS?FwH^}WKsQ)SGw2A7Uy`nkjB%>4in+?`Yg@{YYNo@UR$D z#m4;xnZUrz9&h2pjIq73^^QbPMyO#uYl7_5JwLH0{Eus`Tj%HPsWXdPP^@gYCUAy#J31@hL3n}PR}4A(>G6x9jzy&$U4GX0>QC3ooC=d*Wt#C1v@=m zJssg#KU;D<%D>O?3FyWvfSZ;9H2Uxzd?X%>kE^gX)J9CU5cSC|6d^gklcHvo`}pna z6nw>!L;M(a-9RBVU^i~}2se2NwX4a#1aOQm}eLU5-D4qftaD`$x^S}z5^ zB1LK~tf8Q4(U{23r^1AxKE?`zCePC|t*H?gqRL|97uSVo;RxEW6Dd__yhq~%?VQv) z7evTI7DLvW6}c_&p-tIxfF6A`Pdr*Z%d!U&{JHA>jiJ|_NsNv*xj6Y}rFz314efSQ zTQ=a^JBOcgq~M`9U^RZA>z{SUqGrJ&q;GBZ4_Wcpr$zb*-obc#5BV{l59=K4hrx=^ z!}4okTg9I^1~kNd>EQJO0#Ylg=mFBYg#h97MB&+7+2itwF0>-0$blf3JKWexlU>-- z;Fup>$P(SCeE}`PK9{)Z<6j7_x}zj&kNw-{G(WAryUdGlx-5u5*uYjL9CCK^Nb39| zN0Wm@lq{IaXl~~;y`IJR4Zg0wS)gsQ)L21t3^i#qSR01@Bdw^5v5hswTkh5+tnXWF z%J8r@<^lcmqb%=Fdm-S+WZ5>1&6*erjqqugB(r7GK(pT7pGLtqD;;xVHXIupHPVrL zYfDQNzzDyMrzw)9&OD`Ak}YmTL6w^+RFQtID~ue_zj@j-Hmb9-xxU3xPf9jcJMpzz+odiRGT2*hkwJbZ8z zY$yGAK}@#c5ZiKO((%dC;=DfxuK=&#l~Po0C!&|Zf<%)I`Hx;+>5b^PNV!T~jwW_> zd2hSHU{L4{NRzXWnj#+yAsQlF=z&*TXf58HPLE|fD~y9rdLlQBkOyINX|xZZwSyf< zCN&g{v`C^3{E1WNMqd1n3!b$8zFd0(*sx;St>U#`lav8P?v^Xa{A(e+lSNcmMZF)Ij~ZWB1E85h;6LD0qio$+ z@FcI=^!Ly6*WBSb3@=ameS&4Xp)D<)Lj>uD69>ZV|Vglq1Qad~l5GA%wc2dn+T8an1%ZLrAQ+mD$(M8zwgf?b?FPB$bb$d=5gAL zAJ14I(y?!)$K_w?=z>5rX;;lp2F-?}VW2~N*^%6hAQFeg^M{qhvUs&XLC>rqZZ1m9 zVX@lxx#XEmU!Z02`=NOonM+&9`482NRo4g&*K#Ghwmx;92EC3Fg7RSpB_3AO(ljPi z{sukkM%fOX?NmJGyWDx%%p~T|=<`05T!*WkEEo7%T9J5J*;ApJVZFB7lv)`bx>omEv!ez&Nr4d2B5c%muA-uUZ8o7q57jT~Vp-@js304#r#Sz-NySz-NySplNL7iNX^4`zk+g<1JG zVjBSK3)k}Z#Wn!e7n0^*xl93GM)Nyp1#rF~V<34!!p;u-$wmT1uAE%|Bz6%7dM~Ld zOVgM;IoZErW_ERTWpcBywyh_> z{&6FH)%)Fd8Y!!R=0@EuGDa}*IKo1YrZ~^F9}n+M2iFqGc%{R;eESLG*n@l7k?9;( z-aj2Ehpx!KexQ>;)h)@uu2a^Q@i(wJXu&$PI4LR?Ww#+@T+H_JZaXt-^P9BT`4Drv z5|PYrCSFrjmTx}|Cl0QU+{_tlWSC29FsDzKHB%e+O1=ZDd-o~u5WLza8-1Z@HBgI1PYk#q+5dpuAN z9B)fS*IXOJ8d&qH=_+|kVsnj*je6c+$)JALgrFXmI6RZgJz@uK>H8i81zW}9mkT=8 zl{)Me6gSr(z)jd4+p(x{XU4;n*mJV`8B`7~gKMz`j69?Gc{o?h9kTD7tBr+l_cqPZPZ_pYG&?e68ba*O4&(DLyyqd2+IvyDdU$KaO5YB2v;j4El<)6tc>N$TGcA|>c(TZMA6toqyx$JgF9#VeXGnUJ|a|X z&OdVv+0TxVX{km9#i3asR=75V(IUYq>|X`{%r&&^K?oI4OlgX7A8iGhZW6=Sn=^e4 z#?M*O)rw#Mj$`@p?N|rW7+wN>T@@QBN0?r)y|=IXmzB#W|4yG>RCusscZk>mqp@X7 zCi~b;ni$Ew`4f$bPqC;j*3jCNa z?ThM~Z01b%Ooy`YOb!O*IB`8R`uQ-mBhiAo$TdW?$-}vg8R&To59v@+n-`Ts+jHQ; z@2{>NVm9Y&IZ7X8LukzX_7Jq86>d?3hIX_z^WGdl!;u)r9ItG78m%5e^!b3;VnT?4 z;?>NH-4_uW5>1P?lFh2A=FUud1E{ox{d1Kj4Oa#GQ+f~6Ij+Q1K1xjW(8rxuHw$jwV)ab$f({m2R5MTeNyhb1Z@j60M9TBAox;v#h)j3F?aMlLR;ICssT4 zk*Dy7CC`;?#{REYUp+6_(}r762Fm-58ZcHTXoewYbw2SYjmjUK?OW_q7)R^6RPS)q1Z;)PDEP=!8Nj54? zJJu!$Y(ixiw$v)1cGiwy^O+dKX3)LSlr_n?HW1!G~}P2?6V=8 zgjDB@$e5y}a>DA(`Z^)9ICQDbB(=?{9|&*NHdneurFsl#>z+BT z4Ls$hd7CE3nthBQKA+bYImY<0_G&_x!0v}w-M~;Tj{0yY(oREmLk?GU@~acUHyh|} zbVI8p3BX`Pe8_wUWU!9YZ5Y*hk+Xq`2Rp5gdhe@*iop{r2m=$m95UZQ8-25ZMLslA zL!+IiR3b7{a1TsCmgxi0(uj%Jt2`8SBSaRW)SrM39-etTp=8D@u1q!I%eD?X zbjCg99ZB!I)B6DB>L_h^R#7U2cLL$m>x;g>F`Y5xVm69< zU1*-gZY!t7TwvyB&N6=3->+{ZX$c9Q!}R7^VTpuCYZG|fpH@b~yZ7%nQAvMmpb1FG92UX_ETSQlX_mTF4i(5sz3N)WOVKgsZ zd{9dYHIk&`4_f~S*aEtwh%%^Pi;Z{!B-J0CO}h9l+&|90GeRHM6p)=8=!Rb;{kXzF zL}v||{kXxGi1p3+jE#qUtWCa{I8FxF-*za8wrmV3+aRmZ<0xdCOtVK z3_TiY#pz-A+a|&4qE#(Ebq17`bpwV;kgjgV)+eplQ-#KKWVRcPVKI4NtV4j13JVjr z+xj~KqC(FQ58?MB7f3sPMbtFj6dq2DY949AkO&BZ&ea<0d^?^O3E)45PaWA>d&e^# zRmC%j#OYfCy!)6rnG#|lyzV!*LOPS;#|Ead>nVL!zcr72=aP^5hR>?~y5ExLnZY!? zb&C525B<#VToBX8a_3g9A5+Bn2*%ldb}=ug>a6Bsoao3*J5bwfJIP7yx)8134f0%PKY3#P7ps`=xt#cN&4 z9&eHDI>m;k6c4PPQ6oUAFH;bOLu}U^Eq0Mxs#(dTbr;SEp9pJGijHy-W+T=G!Zy}T zdYcck5!HI1H#fuh}&nX>0VFtyV@2~P{8NytCF6fXXG2xe+;1?k`2x)={1i<=YCrkoh`vbJI{Q=s62mC`)_ zvVa%s?EjJlZ~_an{!Jro05=QkU-1Did+1lK{}(X&%RTaIxPM@DHlW-0e~-~s@FlT; z7=4QPy>j`R`?X9v9E2T+2y8K39hc!kDR3H8H2P4=yPNmNN2yaV0r6ofs=50MUKt(H zGtiP&%v4rNi3;@CVu77k#;n}j?aG@j{PyaaDn&H9CV_d;YWz*OhLK60sh)*y@&k3# z?q_4>_V#&!X!+^FMxoN&U;MW6AA|#Yd&?^52>Z-GZ0uQ(yj6?v?&nFIApGdE@w!%g zIZ6L5$E)$+#Qfgu?U@;sjW-<(KG|bt;YNF>kMDW3zF-SRd*iebB06=cVk{?j!&^sU z9WTS6RMe%P3vKDLk~5u=84xB_}>HIk^-q+&5OctPe;IfKL=4Bl{+8R1OZZ4y9ly2X0iAj4L(2-&uDB>W* zvD{(dmsf43T_!W*f7+2w($6IVH;{Jn`gWSke`aGUUa71u%<~H`@aF_D+x(RZ(Ba6TQ1Gt7$la`BD@W+}PRPReeq% z=K0VBP_Trw*%=e)cuDnyEErMdk*9_%HBt9yssSh8wa7u|aV2v>kUbYuL39I<2w5ST zZ-jzP?lJHfD$Fv&PEy54ICRRtO^jtA&-?pqot(TeOkC;)Sw<5F382@V=U+0zc!M$$ z!~dJ5%3GK3XSoHMMGh|e%^`s2l4cB@fa<)MAZO5T^a)eubq*MvnhR9MDQIEz2vnpQkC8J}Lk$Rn#A0BZhI5nQOCUb2Zg5N%LFaR*sfp^Dl|y<>l8B zStiA&xdQP$#fWZOws$z)us$QQr?1Fu7aGGJ>r&CJAQTbMq@4y;CGk>0J6!Il^o1k+N9*F}2BuBV$iDes-T#X%R zRGUWH+5&N^F$uLainc5UHa2A*P%qw2bpT!_H5-qEl6R4&i@v5$NQk)xjU zlK2~{TFXv%S`x8^#1J%@ij2ZQy7p+gUb+YCov0kKRjtUpT?R0hulr(z+iAUbzGMi&(C^8N~$NHO;7+XcaM&&o)_Hdc0u)lBy=55L;@7F zUl@z7L?eB|5$PovR_HEaD5X=JlyN)q?;MqLG9d5LahQuXE&ZRj=2Ug(K~6k*D(lI6 z)p6{_FXS=@7k3`0?~b3|=n^f7r&m6K0B+Y|I_Y=saJ$B#dGIn1un z^+z|QM%yje4@;ljvh+(9zzDG>xz7;Pf_d9fNWxYNPPZhrMWo=uCk>{HMPY=+MU0P# zSt1bSMIZVquka<}DdIIf3$X29AsogiRg?7MVmSr{>IVtiCBIE`WT2KVKsek<9=|Jm zxWl~J)kt`M#p*G}%EuAE3brJ$p#6h^;B4-?u++%)WbDCORS|wtQ4>@`JP4Vy6#a6Z z;;Z67AsjHrNmrJ4(hub01m{Wtb{q`gc>5zsbbm0|r@WmwNqX+>H~jnvN?8gZfj#d) z?WYd3bp=64^~+&d0={TrK>@R3IMCd~=gFQ?1`zNk&U#O_EI(KG$a`bXdxmK+xjp%D z&X$wKY-tTJWE<3ky|wHxXqXUC;6{T#h}Z^Zo<3XL{}^Juyu|1wrf}90po)uIBb$>vwzd{obAa{p3%(7>h{3 zCG$~XxmFQMKQJs5)V*GpM5?;w*TiHa~kK zqFu3R8@9knSe}O2js}{upMsvgb6&IpvPj7{#?}Gs#?!}MN(xbUgztl!UBuww&43;A z>v1N5>3O}|24PZ6Bo>pp8Bw3kCzO@UfLDNJL3R;N!sFW-7?NO^GNHm<7L7?}N$iEY z!^e=GQ8gq(RbVGWLtb$fbRm>--vfilXWtYZvUvcw{{VRiLkjg#-cHyeZJVRJ|GTEf zCkG>FFW5*hVqvE|7FNHE$bZrT#cu~Hede&KfILC>`Opg!W+#FA2!4I$ZOB&;^Rq2Lnw zvlQNY&F{4o0E&SK-&qIIusF)R!V8P3OLDPJpD)p~jq8@{hmxyd5m&P=CG&N1r|=j! zk_}QSfFBHk`rb?HF}fn2eAU=qUz{Ds5Ka(!8UXeHE{kTB$9K?m_OWR!pNOsbNX;uL zU_s||o8lv-h_|xB_boKqC7c|+peDhHXJ{>poZG9^IMsH@8)zt*o0oieMpqB_Bs1!` zl#y57vcJW>xC_rtTjrkKiyZ9LsH~6dk#(HPOOMqkvDm&T3E>--rLj;QRXxw*&h+@a ztSAK$QT0)6U14e3AlKSbcBwHU(&K_-9lcQYD^tJdF!rW7K9cX~5{;bQg`@1U^#j-r z!W(z7<-Pe7q(VlEcR#`i(6$-~PM&w$tR2ar-q3hvEva^Mp(G`?RC8eOl|7W*7+fwv z;84YI)XPHLGJBsv6J@`>{c+cLvz}fzVoD5Gy4e+I4QA*p*eW2g^aZ->ieIig;`BXosN7IU1_R(49`sBPG0kk-Q|O$8j$d_MN`jCfmL z+sV^eA!wbJL>P)v)GNBGcDwL0GB0IkVOiGCGF6BOi@B<~ets2@2J$l|DW{+zHFI`WUf2mv3O2dO2ENlL1zlx$8U*tW=c&-7gajNd*QLgVc9 z!MCzAYY$xw2KgiAq2}SPa_i6bqfBXP5RJdaK~$mH71qE-tp6H0+&vXcg3?wf8JTqt zHi_o3`+8}s%oH<&A^57VBi_keFxk%d>uX<75N`Wf*MEtv+5Xg8|J&9AwwL2;Af|rN z_XA)5z}jqoU~Tq4ur~W2SeyNi19J914#^ z0;7UVY@OZ#|MR87e}Ku^fAuW@#_Np zR_TvZ=6^ugUn2Vd5W=zn{t7Pt6V<>86d?f5vboq_Wb~XIEI@?L#ql4g=(&Jq6n|0c zWvBf%v47NJWdj!ZqZIIsF_>%+pE3UsgDF7GeUp1$^+>!sH6tG7HSn-cqtU!gu z-<{UKtMyMkBfn@A_MiO}|7fmY2LS1tzdJ2%7S6w7Y+fELzfJ4swPIuEU;_3y{lN{f z0XHD`-{ot7JyL(UfIlByzg7BU19EcyG@JRg0XeyVSM2Xj>fi14Kbg-L3h$3?!47Qj z`LAom&IzRS{wJ>0&&TGkY5l)IL%n=0{2J~*q$1n^p8uAHT5-e&pn2_Te04BwCqO<< zX|CEg9FRqekG#L&%>^L)w42RkBG)_r@JcAp1*% zjHfOZr9j5Gs5P&u>QPY)R0Hno0JO?ijIX4k)O&HGG|a90eXC-Ncp3_=noGZ#9~ zZ!XZ~yNq^K|5sxu61_%)^9heE+0b=nL=ZVRu|s(^U)1?29}5dTsGJBs^}e@Si*;!9 ztaZa!b<;h(YB+X%V}rwGEaTm%6;XCpn!w1ml}}$QhDlbc5B!}i$*RF_=zFhLN z4oNf%k*^*1)9<_S9Modu@t!_!A)FRE3lE~%(JGeV@{04ZoJ1zVdlIc+)x}EWROmlvv;dUfxqG97^0a`EU`yJ?z7y>I z0ZkWM)z*Y9kr9U6nP}AgBOb$->XUptk+BjC$*W>zIy%U2gH&URd;Eo=SD^zxFf(Sk zrX?^Fi8N~zAn8su(xO2T=7!IQtIo#=KGHAiQGwBLw2t@QckOz7#D9eM5ey0e1%(bF zi8n5Ba}EV>3w7UyMSb;zgYS_=#Y~7|A|@BwxN)yjec1Lxr(K;+>?5U0 zmM4S(ADjiQ(>XA*?Onn(ZB!f`yjFyjm)PZWt~Q5&@>pYzTPXT|;!9*3Np2`FIQ*=n z&}BQ@sUcyNDpWCJE8LnKE(5vq)uOt5;4!n(fJFIZPmCJ`Wd&wghJ_RUmhmO!*}+p$?Z0g;H1=!KZsxgVTPkj_HyO4w1J zH|$$|C9#Bj8+7_y@4-ez-ObHz%V4Hm=|7%~VO8dy2&lS9lXw7-_A>MpICZLTR)eMRF`AT#kc* zM|wqtFAT+1@21TlST#SAx#*)EwrX;-GM7aRY&LGc)tsnuck=?4-tl9eN9NQ=mny*n+|>K#NdO`5Gc(u&!`}N zE@RBR4wBVut&|nUjVY+ZZ$I!TtT4lx)iE4z!jKXSi19UtFxbrIu7o%Z0jQu-vrFF4 zRqr=aL3?`H!@g~~P2#3K_>_KiyR%mIC0fIZ)t#MZqBwo5Yh2kSr@shA!7|m>du%W-1~J( zdq(p=_}!H1A{akKCP(TqxB!4`up81?dLgzz>x`MT^& zoIF;D9u8%S7`hrhl@V`-Kd_2iUrY*k#8Tj6P+>sfd0{;J@?yZBX?6z$0xVyUx#N89( z?(PY3cQ@khM%>*E;_gaZh#T>Q2=Rn(6FA*`?>(G;&+U7=>Q?bjps2al+Ut2>{`Qz- z01-0;i~@{^rYGAA4zH(F8s%0@r$MJj z6jN7_3UaJ4=K&o~6JL)y>C%_*X3B#zE*&g^x69!$EDV+^ifnaU^pQ1w6Gyeyex4VW881wocYkCfD~2h&G@Z#`=WQ6_m?r3 zC1;%F%Bt;+3Q+=U;_&%zn6&`w_3_uV3ObluHyOAi?=!eK8l|Wb6E)0XTxH^`(!!Zi zJ>UUzQkEftRRsDt%Q}Y;w%MJb_?)G9E4P!N&5>%>*lOB%b@lH$xw11dbWoBJ<%=z2 zH?&`PXmm?T{EV_Ed7?VN`WbGL5%lpbVvqbAP-!-XH%Y+rrPW6K<(>%6xxmSO9e3Za zVjdBmW=LBV?476aXK67M&||Osq@$cQjpI@ZqU9keN~Wb}jtYo!T*Z$U-8##}kG^U) zQEfDmfwJ0NPLXD&Ha-5*%P08 zx8e(XGS{S(HcDwuO%_RRui_X9Dn905h~(gFQ^dq*o27&8tZG?9dvEq9MC$_Y{`WjK zyK$UCxsE zSmp-IO6U;7c@swp*=!dz%Op9bvuv=LcUenISSVeyaTSbFIFC^nj}=*@MxmRaGMk`+ z6UnN>VxAyg6jy))SCD-O(i!PX;xSbYuI1$$(LOrI-9~^qTpr!1;ks^(#)nW-p&a~j z<>?%6k4cv}f?se!GlOZ{%l1vCBf*Bk`8dd1;Wk3)xySV_!z;P7HEIZfS!%a*uAJNVCXg>DPK5QTMp0no9Mi)WPSaJhLoHSI_+lgztMmcBcsKUcmV7|-Q zBsnKjyl&mFxDKZK0QiPYS_9meJ$u+p-XYJfJ|687lMjh+0hN-$`LjP?Ys*-@XWR~v z@Kcz#-qp76Ce}*EZnk{z@$q6591l!uoR}is^P8#QM~bLh_&V%8wPezShoX-7L!_xO z`fBaHZ%)0K*hJf<8aJ>qE%52rgj|h%-o6PmTi7A7pAu`M0^`|>a8a_pJ%t=;Ol+^r zS7;kMgvu!qaEeR2BV;pVl`^NWfq$;@dg5P|TJn=_qoFdu@PZUm*Be0#oq!}K@3D_B zMiZJb*OFyTy>!mTNX06tK^_g|niq8CBiF8h?~-ki5#qIgmwJzgU}kBks2Md3%k>Vy z$m?9R#OAX@j)J|++goU{0rN8ikHf)gYJOz`^va7{N>4QX`xPwU;=cXh(8nSKuo9-$ zH*6gpOiuje#HvxraE~3=T7C&fm(=F)L*S}-r836y$oDp`z(uu{TU11X^VLK&as88c zNSM_j5$kl?zByeLSoX<;>p*V>_Jp9c-_wdgAo8%__U)9u(>`eorGzWTvB!uYtX|u) z_#WJ?FH^E3)pnBIn#9O3IW9SKs$G@E1^elqXSap5W^Uz6rAX?n>i`K^LF*@)og&bP zGVR5}ux)JE5EF%^(ldG6To;bje)lUtfN!F^TExQS0 zS}80myH^0(ToWO++e3%&4z|^-tH|rIjhoWZS2$5bwWafWL|g4Tv2C3!W||<3yTarH z@){yIufiso0tbyF8ixXytTL~IQjO$up~%;`3tx{A!$j^2{&CdJC=ZbM;&<-J2kmru zhjFfwge)Cl33i<^Ot&$35csje{5*9v!c$UF7tkBPq`Udxil>%_lKI%G@Ju%L^~>`|s5&=8Yufw1p}JK>{5rEo zk-J+Rf!cdJ2^fO~wN8Vio3N*z3#^nf^!*5%Q!WY6ZUCN|1zW>HPhLkGsQ&sPq2q*KBp zs1SjD2$Hw@mZ-;ON1H5khTA*Cny}kq&x07wDXrRs%c;E2==wkz!Z?Tj6ce-jz`MWT zz`v4UvHZfnfC;1*yvyo1)AGe(|>o%Mf_ zZv7P#v%XaLH!v~lpQT$byZDXp{Es`;yd2+e3;1thVgO<<0e5tzY+@ znH_Ly|G0$A8~}fzzgz2HT*8;P2ydT{3OW#4VP--xtq8ZahU^0hIQ22#wnDzRx5E(3i&QHB;E zR@~C(#r49|kJ0L|@+#cQsv4Cn9zPQe$XB9G#PY^tQ7|CY<^gz@w+aM}{zI*Juy0Rf zsRK|e@+O_)e{k896NzY`Z6C05il?I<9DOr9I5^nT>!tC`r#JS#fAZ}kK&`ln{-RbS z0H_reX&dMRFgY}}nk+3tZw);wRh4g6OweTpx+agacMfifG4p)Q?o2*rR1PlBX}-u6 zZB1%Ks$f-cUa#?t71x`|@8!`7F^IyclsfMvu~mAdqic66bfXK&y;k^i=f-<1xxu{PH{AgcUg{{gwZ7sjW1`#p*{fV_ms9OUKn39g}cKe^!0*+S0`Fw97>e-l=UD; zBjb-QoO)~FgLfE?^l#8Pb_*AMBp*QbhqmWD=JOH^*%yP&n)YiPuE<*?ACRBYrm7{? zSPkePa_LmM@T;XF)>{R-x*(bWwngLpL>t&g?LqRYp*hzhTk-=SE&i`r4dSJu1uw%g z;o7Kuy{gD{)sNR>KrHd3F+uWR7BWHrvreWr7J;!AEvT*mX#uNAB8`(v8tK!j^t2p0 z_u=8(xt}mJbLH`nqCVPc>R)}6wk(^zB5FIrC|R73MKK(|!SphZW9nW~bf3}Mx3UH( z7CqnQ_BIGOo86ZPML45rm5d1Q+I3 zO(c^_gCK7~D+7Fsh;rdGLSwLL_pxeQR%*velA$m?_!Z~3?oCZ)oSjTH-8{Zby zTiTnw;=QvSL*P$TG+f*rc(Cn5X{EuNKT=jjLkGrgy?H;q9gH%z_Una&M8dscPC*6LGZEO@Kqdk16Oz&6Y= zK!5!Kdidico%%Y?AT9y@9L}Ia`JxTZ9Cfvfl11lx`&Usa?||#;5M=0U5dqFcDk4V8 zYHwSaJslE#1eB^uA5bt#F)y&?)xwBWaRW2UKqUQDYAwJ9tgM3Y+ndQ+1upNXhgHJZ zpAr>4Kjow0FywLgQl@tGRH0eQHs<7%U1a>ofII%u(N``F(F*=_lsq!t?#G5n~Aw^0VP?_LM*<4e+gg>B#97Xkvp zF}n17yTYKj*Bn+6Bw-+BDZZ>J8kjRKtzaxjR#YEzxoai#L$G)#(E){D66nB#epCMK zBUYYDyu?V%q>e?3!A?r~hqivZ-b%=c4{el5(+*r~6MZDvOB2Znl#JdfVJG2YmlqND zsy9S^cQ|Htq(1G4@^X{{(-DcFdd@Mn zXdW&pJX4`Afo2dcTw3EH>7Cm%cC7lkYrZBpEspb#4s#-%$pxPT`##6Cg>^&NWNWkn zQDz8Crm%RREYicXD+=cL;11>;5Qx7uQ)t0&{oMp?RgJVnT5N5(It@cG-mwSEuvoTs zJAbe@kg`WC9IK2a>ARsYI0Q8X?s+T;*Fc$M?MQ2c7fnC48dkjmK9Z~_NxQaSa=6R> zM?!Ae5(x5fKVB!j>~C-7BVjP);V-H5%Tv^a#+{HJ6-m=d{1tI!v%HU4JWH)-5vs`^ z9oR$*SR5qjfrnaq82DAqTOcg-7vc%|#ru_R5m<2f(Hhye?g^Uu#-SK4zS9hYa)tI2 zQG$oMk!JTvNFO(0bW09yeVq)Bc+kkWgq;|sA%qIw&k$7suMPZ|_V{tUa8)gLxJqa9 z!Mbg+i_Q@DFscYU1>;@v6btAK-;lq^LAk8#~h5y z2Pxl$y|b^I=3jLye7<*+a^|alDbu#t-YsgiQiOiz>_R}VU6AI++9FWvH6E5=zK5Ut z!9h4KiCLI549`2k>r?!ij&57CyZ1z_OH^ApVH zNxpWTUf&8)pHIMCwLH0U-OX>l9o(M`AC1n`N8B{!Bo*;`VyF>kw(>OR`cX&*M^uN$ zRt)TwBvfB~Iq(0b70t8ihfBN>$t6zNMQ#Uim;dZRw?scgZrK{@*nHil_X!rKjkZkv zhKGeQUwuba1YO9Zq^X70%PLvkQ4>s4q2B>vgSC#jZ?*@qepE~&5}r)$T?>tY&pFIx zc@A#v2yayW#ms{P5^&mt zv49HZ;fv>F$cl{J%LIS?#{+lO+H5?qI2e53;jS#*Y(|`OfQFe4O(bmyF19|pyZ2Fl z&EZ5Vw&up3w8|@Xy^N&h_!z^{Bcx73n;RkH{Bfd28r8e24jp%rPtFm82dUVZFEed+ zs#LP$?z+ZSA`{q8uApi(5sjCMvsCh0D2fs%*H&h}eB7j?4LzaXLeVVmF+HW~bik3v z^U5bQhND@%f9b?49`lO`XlQa^0e9j;QT>CPTd)F3-D-l*2ql8JYpj{OM=kQ@E?e{h za^E=R&AMbD zuk0RymYpk2iYZH%FmxhF)Tk^d#2}3DOqUymFnF=)DG*_UdDF$U>29{a#`<~&2RLuM z>hPvBZcQ0uYs(vFT#I{i@wi1bE*3_&vJ*R}8dqPI2yQ)86h0VbDiBfWv#8nJ__);N z+`)QV@3(v(B3cJ4w#)Th`-0vjVaC#yIIB%e&VrV{6MqQSJErT&^hJcFWd_dYbMQi(b}o6zRk?Suvu{QZ%d+R%8zulF za}6$Lh;Ry&0`L(?`NX2f#r7Gr#p!a}>jo~K?&&vdm&*;%B%}kD@*}%j5@TnlgQ!Im zheyhfihao!%CSnMn*^wqLN2?caUt=yxXH$J-}d(-UhDG+Y7i2o;pm=1+Q#VitG-vf z{@(U}-FBG`X>k2PZGWl}^*vhV?=o6hv=LCX0G3TwF-*_ooh5vG7FN zW$fUS{$$|`@wHE%a;ZLXD|dtPOlJ_8*uD|L@})p@s#=1Sfhyb!pP-a8@~8G!luTJU4Ga<0Gmt)U& z$YV}8Fdg&#=_Appx_6KQ*R1d&Q@#f)3i4BnFgW63o8&r2iwa02ON(-`#cN`bp-+KH z5Uh6W0(AxHP{Q0sA$jRgrRg*iWT3vzXHZ5yVTjQH%ilhB3+0yO_T0%av6}=~lgI0>TWyP6tu!2`lyDPe^vq7c_Gmc;%P{7S%hxIq{@)%vId+6rvlWO?5Wx zW1I<{NebN-`|&Fuha`jIQ=A+KhYt{*9+2#NATj2RCJ;M3?$EbxxgzQK-=W+1{qV)u zNhuI%Zkvg4rcZpv4JEeNr@6J|*RsSyl5F*Mmu;L>kn3WK*F$4L-kNO|?%Ls39=rwB6$KtU`)KhZo84rRs4h%D46R4pV`?bRP?xbTu!?e%|KAz!f6 z;k&b>dXp5Fcv(am{?-JlTyvCl7<)e$VmRVHJZz)xyNuld9pSACyOjY8iZ)v&`o}wA zo&c}yl(967#``dWA=hNo*7A)#ezVnEk_LK|Xb`O35*x<>SJEDZCe~e_3qzJH(ABRY ztTXU$P_`z&^NtgDoI`pk&G<0q;t{O$?MRm7(?<0}*y*(6+1`#3hPr`}KWUPjhKFAV zMCuq2-ioWb`5{^5gRr$Jhz<3APJQyI=LzE(7|XRF9H8SH=W#+&F0scW6p-T+Q&3g} zD<}gPvMMq-G&<8OBa!GzF*I&WszL3pjtTmU}Z@9H$6HSYS#;PcSkdun$L zaZ<6tXX~vMTAF<%qItQ@W$o}%(Imz~2Wb({R4gU4SDk!U=#AAii_tir)i3TXvi9qSk-?y_ZfWGJTSSe%Lk&qZ!?+6zL?&9iUEw#V-<}rqbc&uqrI}! zdx`JZeJ7Vf&BLcG>ue;IDfFQ6F-IiIK2~KyQTR+*_w@%ljrnjfj7J&|(`E;EC0(D^ z?b3HS)0aXqeG2g0Hy3E{pTfFZd9ZLXh^nTKX=JuTYRsP)BihS(1^rum0srOnPyvbx zM;-q5sk5!}H3XlRcE7vcSEbeS!NIf~5ARP>_nO!xo_qrbL^hdqZ6L23=|Vj6Bib(E zB9df-0x?dUSzR1a<(@%Tup!+#6GF9Zsg3k~5{<@UI#J&d!@lX4h~X{Xpq6Y}7Y5pU zOR##JUqM?4PG%@lsxHCWcP6z4LtD(#iB#8%p87G(QtY43eldrTWO|+$s~KW%GSBK} z#~J1{dtF(d^gN^uR6(%UWA^=Gm4Ik{BU^*!bU6o?*q=Y}7WgHn2)!G0~^~o%v7Kmh? z`9cK~VTbU*PV#mgFlLOco%v5)*4bHqAmv|Z<6kjufco==ZL|Jj*8o)d^XFgen(c*M z|0_u|00060#=pU;*-7{aYCj^kW#F|W9X@R=P z*oW5QhpSWq@+z@U(Gy8cYmp7~Ws+c+Fnb~zuXK_je!78~II&LWrhkmaIR3=Pz39fc zs{g|c?A7_Q^n21DZeS(Uo08OMtZuvmmF^sHT4p1dAL!9P9hALt&hX+S;N_kb3_G7I zF@gVJ*9NjY7@-h0ZmeLi?Z!1y3NAF@njdq);20EVTc=(4_I>{Av*05uV1zSp_!IjlT@UhNO>YD z=&0G})m5D@o2(jMnT?mm$=nT{7&CMJ{T&3o=U8Q6BtP81MtVj+<~jNd(%RwX)t}+b zM{@|aR!FlwJJ)czek`#t6t04)gjAwtL#I^|@uZJNTT&n1=vyB4xYsayzyxkm9)`~( zhkDBri~|O03bVbGNLF>zeGV3V<*@wKlyf|>=cdCEC;+4w{v(|))ZT#}XZ$F_E7EAz zO?C9Dihk|L440s?C@5V_HuYL8P8G~~Pb`pw#btv6D(M3kF{JW3#iy!kzl`LrazHfU zMGEQgY8pN#M+FF+khDO0bOdo!V zzT}Ued_s$VJU13+yuq&@gpj2p`PCZoHBg&pW8FRq#mrO;IKX5r&+Udl(Bmw2vFTrDcM6|LIOP^Z*`f$Tz z0NlkJLzJMbQtuQ5L}x$%egPHqCJWv*i$5A==C3g4tIJcq*%t;~*b6u0G@w|*9voWQISRQJ^xb&J))No;9Refgg820)! zS`Iz)B18*sC>7ogIWRig+g`37-)KgJ5ZqGJub|0`&wKn|Y#|Mi{O@$&s*( z_B}J?q{VuHUV;fh>VUx2t4XfSA!8vu_SFJgN|dq4uAXE?-b}s(69Qbp5@(KF-yT92 zy^5lOtxoK#50@nLg^GOOCIgG25Js)6+H7<*I}X>WN>SX*Z-OxfZ9R|xXaVVGS)wE~LMxjw#cb|fdQifBUyPoG4->_R_{voqu- z8$2}Uo?f`vFI7w-l~dc-XVHIIzIz{Rc?ZvlSoqxurBRx>CM-jqJjQGs%!}YjBcIFB zL(H4CjY{z~kEPAW?C+AcAk9xaAsljBQcOl%8sVc5*~uZB4(_<=s8tS@ocT$9>qHk9 zS;bAf5&j)xN`~&4AbD{@pB;pq!t!1(6)NvxB=L*OauflG9XHAOq`=#h_T+VH$+y z9$U28cC=FduupN;(^r01x8x+yuJx&LrAec*ajPs-TL~-^0P&bvkc3A>P`gpwB-Lo<8yt)`i!iVf3wm@@OsLP){K3H1tJCB@r6;9LWI83R$$vqdfn@|9u6htxb7>I31u*_4jY`eVa^U);N%~Q zCoa8FAhk|7C)50(ofDtm^6s@Q33?>Nk)6|R-&UmP*;8+6LtKXKBGi^p;HG%LMf|9J zxhSf=Vh766cSw<66S18+5no(vKhy5!JnAjFPwEo;QHh}Kt&$x**mQFgb-uS0ALEWY z53Ea5%!HZMJ8dpb{2MQy_5vd_&UmLnb-gWM%9sOwCv-tJkoLehCzW+E#XB;@=y&&t z=qP?6;#k#@YM)z^e|04UN-Ryj>^}LE;$3(+(96j~TmnnNxG0NcQaA%ebDikwQZbeY+NH z*Nqdqwj=4{I!T$_@KwAk2#FNZ@yR-TaH&;p@wf*9>s|>_TM~kj zwF*+(c_s-EtKP0OH)5{{4c>yBDNqwiTEn?{Fb}5DfmQ@;poIO~o5vJ4l+p>}{F_{V z-xI-av0qh2BitJC#2S#HxuQn8hU6~5SpCOTAnXMw@bT@k4CL`8;~g)+&RyU<2JX&b zL7zmtiv9S_<@U2uS0=A|`Udk&Y74{9h&>=mZy_XOl-Ap`y>5J_fm)}`2l?ijuV@s( z=lF4;Z-ARhzZNpF_d$vVecFS*Nva5?(o;ekz zP~C%6#{_QRArgkJDnFIaFT5d8syhd1mrC;oL&3gecJODa(I)U~ieM zOR=M8euR1-xb59$AkyO5j0G%30s}MybsnMQO>PLAs)wN--x@*LIJL3m^Jz=no>`ly z?NoFnLuuws}~Otn72OpgFXl5oIHa7nM0Fj z$mmx!!a1gQ6^2KErfPGmrm9YTPU!_>qGbaus6XQQp2{EmI+wtm%`fnK3m5K$cM5@j zY1`0gs5qM+el2Q90NH@(2WYN`Rw(JxIj+b@9_+pi{@?N^fx z2(tS5=Pyn6ziO`8fA-3MJJp_@{iR?3r_J@ts($a!{-@`KUykFq1^hRKU~H^^6f(UW z_+Kiqu)QdzehI-?*a041f3xXjV*}`S{?J{&e58M=#QbC6^hadbi+T8uq_4j_(g>*Z zM@#RQOY@gXjDTJIXC+1smVakYEPtA|X8Q{<_~jP{F!BDu41Ow$*cjOVz6y+y<4?!; za$SFJ>fh|+m6bV(IDcjY(u)E@&NzRnFX=@A5oeq~m6!CQ%tRbNpGA66b|Marm&fn_ zl)d-Mqxo~`|CrfiWnlj=din}LPmkODqo?1n9~h2=_|?-#(Q>l21A6+TyrH;09bL5e zx{A(E2M{J4i95<{t%X#`0aAK^kxiE9=tf!T#=O$X`1uHkcgqJBPEPj<;pHboIB%Ws z18Sm>;~~;roH%=MXq&lOL@&}{ob#u*M$h;6g`r;$yw4v($**Yx9UL502tWB8G;?B03S)6^qNUBIWY*%bau&wi#E1q7ia{!o! zwWV7XEeNKv+^FTIu~-Q1eVsz|w{VUUcQ+g^EsACv;D9PSSwNB(cB$z@x`RWeWt3!@ zL~c#|8e6Fog0}Q&^KG`3bQYdo@3XwLjSG1L8tpsl5ePo$OP+v)ZGB7Z47p7cZ3BAQ z5VBJhEs!1q?oQ2dHMK39j^0LUK!TV<(4tPUGq6+m_P3&w$>HWB88Q|cZW`LVo~rn# zAs>+?ddp>DModydiv#NoEKPFh>dX+9L-qnfsA<4w=Dt?&SDsd#xyyjN1DWx z<|FZwZHDxq_TaHGAHMqaZ@Ob()iC0J9iqakM_2WU8V4_H7FL~Kn2uF7($AUf;YTN< zuT~m7JxFvULt7f=_79V& z9A(lzKGEc1N#wa>SA!&yEL8aR;ruRD{cTccFGrG@NIZ$Y(DngSuH7T@D=!{Cq1R7= z2YLdmTpsq6k#3Y7kJF(`P?Hdl94s(g^1K@FDbQ$4x%FS+DZm_b>+9n`ZW;RmoWqiV zjv5M;eIX|ZrGe-KL!!<6S2%NenRKqOVYk(bcQ_KD3QSDrwsKP=rd+NNAD`Y~VU;2> z_A<3gl|~hPtUL-eT7NB>jYGm{NxxU_B2|f~ zDPqA}+Ut+7S~CpXBWG zhw_8=l+}+0jYXC2X~C}PchM@Wn)ZsSF!?v+n|6}XM_b_ULf<#+4C{cu z*^AI&)q}peI_PtU<}fRX*pq1BDBAw0UN2RG1ZlHx7@xLgBm9x}{ZSH!ZbdP<8xvY* z*sukKEMInHe+UM$dt=rI=uE0ne+=!R+V@x<2zQEY8f!G4ja&0XF$I=^mn%7r(+Md{ z!zd`t7gE_XIQy487K+%_WbJ}N#6ttJmcOl~7+4!cVNx5DN zSCs>O0T%!(~3q?Ye->2|2Vl_xqiU0Jaz&;p5_yukuuH^Hq~4hUz{ z;SG?@j7gD9D6)EAma=&ccg%vu3}@VM1+D;!ABX;eMEu7=Nj$XUZG-n$1}unXSEbws zh@izjUX1-6d^=|nG;`$1GHT(%2IMAPpyRBdCb7I$byBN|z6a{C*qrcpIJhQ6>tTGW zVOghro5mvST^lVI(7TeX{sfh&AZ4<)2-;tK?IoTP{14!}62oH)(hJdp#fwOAVuQl3 z&L3mz1!N(C@;pyN5xtqE295ogYBy?v?L72I*kIlxd2e0>$RWSauC#B=-pEQO@T_)o zrsh)sxzLn?3%&PF4T3?qSc%cZk!vDsmD`(V%D@x|VSU(reJ1Ym zorQ~36wW6NS*MWZ?}cvPHy^L`$iy7i1@L>DDrf@Zhk~^%L&tia}hqTq#^0XG=!XT-=?%*D{M=t-f8>vU6PR(No0pVGq738;5`h(fg{MhV@2=t}`Ji^I_@G z+jEAX_>j$>UoJ zBO4(e$|St@svaZ_8@ob4V!cALwm@P?(}<|R(ur<>-%kvJ7YFq?#wG-o0V|@iu9=^7 zd&gzH4{mgD`es&b)CP?a=`o4(bZsD}mRAhy^NgfGXqO*oI7uHlOx#dVXK~r*wbZ4h zVbCTbFr#Ca*45I}GP)F9Nz5)Ap9|86N`Zn*=>RnUOs8>r&RPv&IDJeVcF-KF?F*AB z%;%dxk?}4gg^~s1+;di2S%|4m78}mO826Y+OBL_o*4h#j_n(m0I!_LUhbltHB+2W2 zpUNf>JspaOZ2*|X9n9p+MXaC$gC@>Vs0_$Zf^w$B3GkF zYiKsyju|g3Ru;4wG}@OQh}-5-DkGbxUIo)5Ylq(&=d@ZrookT`gd8ePVuSaQqi72n zl6I?DPA`)?Nb$j!C$p+~g;+{llD_IMhPn-!iL@Iq?jCPCw)38488ieIy*(G!gy&^> z9z$_yMO1{)TTglP7&T*@#o)2BUqZ`=_tbMy%eK(#XT1egdrHL-VsvTB-!r;ybf9T6 zmA9huo;EyD8~G@~H-J@+iP9%PG*2mioGA~djc;`lor)Y7KvdD6{Uum@-qqRFa5Uyy z^66qSz6vAW$>GEk?c_!z!`qvxgVp;dv(6|&Ps+)yO7?qK^yegSwR~T^D&XVNQ0w!8 zeF(G2dUF-Yci=D~V!PYA|3y3O}Wi5_9#5mX}diacuZc&}gO zEL#}T<}%kRIhgu;4$Gqyj#mbbR|>nEVCTZCWZBD+5)7_+j8F5P7Of%PY(_?w=f3c& zvm5mvT<@lj9kspkiZ!dR<^ez7q(>{Vmgc#cx_;Bo<+m#-9tF?I{H~|1<@EeOi=tfU7 zVJ0uJzn@+be|9^$JS9E(Bw}}#%SC$|S6_Yk`(-l=$<^JH`-@6zo>46>ZvNLr?$ZqX zI|r4ZRE%^EY?;O*CV(*k#_w+@GUz|;jD6c)s-M`@pVDKxrR@T;>t_M|r}KmCKNJtY zn$~}Xf!Ke|3$p*hLF~V95c@A2#QxHc{!9Gx0*L+%Z3X)aS^B5==Vdj&@q_ZN*PhwO^=&4G@F=x1kI>2iu>fuwD-OFO`^oIII5$lwtW{t^W7%58Iz64qraX z-zqUNGSC4&12)c|k?VlOH4cC!-oN1$$HB<*$67zG%kQ;b(%o4Zf5zqg#4@Y^*z~uD z^B7tGB;|OyMn6man^@-GLNWBB8~`fw@>KjEqcZ==uKqz~7}?nVODdydyWE80eN(-W zKijH!M_YDNDwCemYCV%)08jT>BzMswuhPoYx_IeF>YDhlOT3F;zld)NPJHj?SSqs+ zIUS{3jBHYqwp;_f5K>aPQu6eMT6#-We_5ti=DtPBPoV{`x+kNK(0+qMQtYjk z944x%h_Q|a!z4?*5wtvhWA}5At?}4H1b3HhJ z*t5hmI}j?Eq-iSyZ$%|aA?*1E?{yucQ4*?c`rMVI*+T@7wxC0=KC~cKR4#CLSL(DI z8+j?N_tzpnQtyxEcczTvfZ(;&4Il_`8?B`lFp_@+uSIgq2O6qyX++3MXSfuWMU}MC zSsV9zZ7J($p1p-?FtkOKcopT;iqaMNtMrbG;}c;JO3xkbG?i|sobXb+8#KQdy&{!MH68V15`Dn>GcuHDE{bR3a9 zz=Uf(dE!t9mD<;8y|1jn;b`|xRd8^*tISXG$c3B;)N<3cOWwVndI`sxR^OH&!K&Tr zEg*VrgGMXPM3``LG0AG-czH!k5H@juF{oR(CPLe6l*(?bkZKs?xW=m5sYZ=iY$P1i z5548$Skd3Ma}IO;bv%owo`2Ae5 z5kQe%ve&ADSzoC+wMYU@zH-rmeSl=UN%*$&=JvsqpcZH&ODtA`YPLd$*!MC;4Bb7j zhwv;o&2&f-F{VzeLU`$Gt13<)Y<~dxxeek~+ij<2rE}<-Dx7;AvRf&C0aJ>RVGTfM zp;YK8Zh#T5{^oP&GBx5yEGx1J7vCmfVV75*?-e22-%kd7y(D_?xF&PDZD$=&>x_SzF5GoCqI84^b#BaAE zW%;BuaN*KJR+{o(19N5LJK-DI5z+a(PP4Lhfew8;qLcPFZHZGa|JJ(v1@yHy1NTnOz3E3wG`nsp8J_I{|%UIuuCS6Vavs! zO^}e$M`CW?Di{wTr3}y}jm^Hzhy1;*ewhW^a2sL-Jwk%&?63tZM1&jtPg9xG@TZ9q zHwN>%jkk@9p#eT&s;HCW9hD;WDPc44&+O%?9Oj#9s_JA>b|33}uXEI5Jeuhz*62(t z-FQ1smpPT$aXHNJagW6w-u9#xDjK^I(oILo*k9X(xul?zd+goTcpDcM1M{>t-?O*J)s3q_I%mxSbRM5+e(;v8emhSW)DU>rCug?&B=pYV zx(M#9t#cZx`?bREEhcc$0HucAA+s$A)b&nZAVd|U8ggfbxc<{KuFAPb8Ry=>&y%LIBz z`L+oAeUW6|Q-K*xx%z8!-!h_GGagTjwnmh0M8hC{Yhrkr<$ zx#b}=bccrisgVbnd$wi-r#a@a-lXcJ^eAy#-MUkZ>goyZkGcinXi+S!x*TtYB~~$I zOZUK7GVz7Gl!X*F{3v1|H;))D2tG)J6V=GY%wIh8EeMep3DJTwd){1eTECJ<<#+=F z!f*{&RH~j4^QMD~)63B-x^4C|a_{6od)jUY^OO2LzVwVDyckYBd9yHOSpkwFIhR;m zUW;%?If+hCJhFsd#L|7)-8}8>Hq&@z>nO+470_`R`l!e|%9cB4=GCt6$yhi3hpiK* z4n44tkaQy3A{D(-9HN}U5Ie(pjM{RD<%BM*uZ-OEHVkTKgON?bq$XmKh3{qP{7eg> z$HuIBP!KVNL#UX8`LYUU6s;YR$w=|V55zhZWDF_H4X5)O><~%T_JV=xBeRk@$C=H(n?0?xMR&N(CMA?=Q9w%KvsP24oMm3)mAs6#JB zf^%<3*sNab*!v6{3Uu~82qT}WEQBL4t?$!PtDyHpb{Ek5gLkD)fo<98warh7?Qb~w z?k^6lY4Xmd=I7+cT|;bCAeCyT1uCN}_e*Y$p_}E0M?{_~^ODyRSUNCmcD(N3O)1 zD_)`Q{Nno0Z`LRwMEAv^e7z#$BzKp!CxKyaG*%w?Aw5P6S(E38umX%+g+J?+%F@cO z)~1vog&pvt=N0NXlNR*2%6x?FacSr^a-yv0a}3m*{}3aN`BACI#cq#`^OJxK$+{(z zzz1xvpq@!N=G_qG0XE^eJ23Z=^b8Ga#9B0QNOKMwKi3UZIo@M^l}#I+U53VPaKQ`W zM}5e)N@_P|v;2NdeW(Sydi1m&d7>i>l86eD)PP~eH`b@Px0{l#Um)5-UafC(jRIlv z==3y)s`sWsjUGYOf(pZP)!4PIUEy~bL~^}~U+QLjLl}c#0+W&M=%`@^|4e86(OvIz z7hd!OPJPQfl&r=iLPSM4 zvjxhWshn{);N}6J8q35{-HiZ2U!Mm1+W_GuBla=697m}y#n3?$gPezo8uXbD9`u)8 z5b4y#Q)cR{Gb5QgA70&fbe102rxbCyy@fxO?a3en4ah#=|2&s4IXg;QC`l1uX)K*{ zV>v>DE*sfuqs8TC(kB;=mR~egN#gruN{37?Pm7zk@BOhObjt@u*!}s*W5$-{&4bI8 z_;gC%Eb=$3-NXlpdcY7s8^}9D{}do_{19pUYOnqkK;ZagK*aGfVnM{t@e3$$`~nI; zTPMKp{{jj>zu^8?K!M}m1qvL01`02$`@J9ipVpJS9LsMD`0wdS0NM-oKlQ^e2mhB! ztbnPB{{#xG085g;4-}Xg|J3Bae6)Y5#KQ2y4gMd(6+pkw_BUI6KtMdxAGh?M14921 zsK>|(u;TuYN{l~zzyCHP0mxkbAdz{woxg4A*IdXiv!q{xA^(nB5(gvepQdVFo`BzL zy)Y7{AJ#PgP_Fz))%)A#F&qHfnLlmo$CL51)W69{{F8;ww*f<#e zOI`w)OyNNBUQpYJ8w-XzCAwg%B~>%Y9Q}OJR1{yDNHWDnnYa_T!*Tb(3-3ESJ~3vZ zR8<$M<+^=!0GowhNt0fIMIVJWz!=>*XT;~`;y}0f%|f4LrtAuxS({maaMZ(&wF`%K zXAD zqOd-o>7AF{ZfWrk9Xy#+jBOE07h%9A3?K8!hS!5%ufxl$Pw>#CA3W-h_ns;=z%0l4 zXf&#wNM%UrI^F#^Ow?of8Hup#f}V)>eX2SvC6O+F8Cw^oV?a%sdxJnpHR zcT;v?Fdc8T>zN97y~@#S_>e*K3!baNy*miyU3X$$9bF6e#+IjjS@>4;5gw9B`4^A1 zvFau7`hi6gc21Y2$V%HSE>NzeDFY4HeX>)o6KHr;IvTiDa_yvJ$OrOTy~JS8`5M1+ z$xXX~5yfp+HoMzNgars0yE=T1rrOV`cI1!&Eu<$Mkr*u*ROB2IO2L6e__)|#seO57 zQ4kth_X4_LaJPXWRX0;=oA8N}4?NIckpU(k*-G{e9ScqW z{y*a0Dmbnz+twCY%q&^V%uE(DqXiZ-Gc&VfF*7qWGcz-j#Y~p2%C2*|{uAAOt0PX_ z|49$2h`Dm*&b4!YbIdWOAp&&4SWYZHGPkTonI_Slh!QPeg6t z#d-GMW{NV8a5_#hxs7kUcQ1eB-%&!bAp^DKI5V(Fi+;s9_0Dbzj>{4O0RAyVE3Me% z)}$H(@FNbNVheQP?6-`C%y&&K3rSz?0ugluG@alvS^* zUnkw~3CN^QewuBEb|K$(nq^VScojp0l!|K}L*!Ulw)skzvfWinycNktG{W5tD7VJe zld|d|A3pIDslH&Q&I>oEt-m|3!bou|;>uU3VVI#(e>_8OW6~x8@hJj*7?CuX{3a{JbcQOu`mI&r9JJ5S5aksSu%H z8pWI!BPIL@$0&XD*Z{DmZeS<*2u7 znb$z|0{YaR*=XFdfB;jV$937@P!tL#=KPENd^r;3MHW9e2%=dWLJ61!&DFG+Z(N1` zl(A^}!|L~#a~U*-?Q_t|QWVFIRb;is(FqyorIgp0xmOq;M-DKw<|~UE&R(?`TCCJo z9L8FiyQ$|k}6cNKNgSF!Ec}3#fB@++z$-+DL@yL~aA`!Xrn#s~5Rb-+l*9MV7XD;sYfgAGA zny@0L;j$j>NefrTK87N4lwYSTj`2~2vS?STw&qV8%8jPdk#d1N#q8d(ILACAkR-Kd-8q2Zq|uML)6rXwRuj#GlZvS;swap0?o8nh`t~n%*Gb$vCOD(Q)9Xf zg`Od0g=aI$7Y~ttDbQ|PzeqmFaruCeAtcf| zh!}TAfRdF{+EyHxm&QI)yc4C59!Q4YgD`zF#R)U5;RBa}&Ob`&$cN|OFZ=WzR3?|p+c(-t z)Dno><~{-&%)LiL6xc@najkLt%mRC0H0?w=297K@wyTqung}~`<0WgsI@#T8Lt}D~ zdPif6TD;p$yeT_`C}_WmT;Chc`5IYY348?Z$r2oeW&pnKNmebF<*dQ^_4=+g%~wB8 zA@!Lv4*e{+fNPow>;9)sv2N|p^V758!Nf{E3Kz7PSF!_(82o^RWN<`bnIm1YArhR| zGqg1^S>eHt2swH;AzbHm{lUBqiTX-UJ+~RptAoe=<;&YM;^P<`pA^_z#rz7NZk#=u z>BD^7g2JC*w{xZnrt*O;g7tJCEqK{*A_Sq*=fOTsK+fP0 z+8d^@^Svq4zdx(kzk?yx_%aDEoN|m8I9jn4BCfB33~8TEclnMM?3w=Yg$awsX8Xc3_wMaE~?r@2dvb)QWL?L=d8m^cU5%ZkSFm?X%FO>7+7 zW2WzIo!Xz9H%7K7D$f>FOc0us%Fg)+SH~}OJ?t8v-{=zkI;lDke5qm6!^zwU@nG)a zr2O3uk_hI86;~%t_K#+x2ZwhH9%oFcAJI8O_xJ8TFBtg1^2g0@Nvi?|EFUL^lhI)# zulnjE;0aP|s-GS!`u>Px&(Be<0|>D9%|n7Kt%0QlE53$^7XPMc4(o9oC*;CMDR;%A zhfKR=fw|*fq%G8@cO{UrPP73id^Mn%Km5&BuqejHu}ORuj$PWOYM|{2(ox!0 z%ff#gsjiu#w4t{zmH-Qd z{Y7`0$?MXDpZuxz(_A`2;>L+|uIF-V@h5n%m3qvqr6>@UFoXU~Zq#O=a-n|MA~U|7 zWE$=0T;qmh&!3kr9j(cpB6m=p#hKow?@~X3LV8aoik}1W=Vd-WFUaKjp9&{d9;pge zesUiZq3FiJO^|z41hg?~Hr9IpeJ(<|Ei-I&dj{pOS4_CV_Hw<-EW%?9jz3Q;$?82` zTDszXb{$kmimX6o`@~)-;<1M)soKVECM1Om0^S397P-@@wQPW zEuBN0`4|3gTp|Ovib$9wCq#~hENYtD%eGX|`l;YC1(O`8+kF-dfjlf(sFr5QKrm6m zY+#ovc%v6}Ah1kMjCeAeZu#RIbsLtG9TB~gom}#!7_Ao(y7_f4I9d8U^=~2{$&Nw? zy)<|B9QAN-1ine9K}SB(G4Dfrih|Bp({fAFjSmfkRbh+_T& zQuZ%JKEFQF|51tYLqPP8N{k;NcK<=8e`)Ic>!SHfrT?v_e=fD*{fd{?C(pi{l?F-9EUr z_%zGr(YF4Oj}JBhh!B1_o`s>V5Ru93T-b4CWXP5l6T~&c^)IQH8`)W0DlqAD1D(W zHN`q1%M?J|Z>xFiOsOnfRoARReRpJ*SvED#Z(15#Jd2cR)3q7?a&yu+JXs}aG|KUz z@u`IiF9lvBCK+6&I2-1Tmul_otORN!`O%3w+Fyd{7XDsh)W}+PzYG!?L;Rh?$+lyktjqkA+vs}wEgjRW|LfwwZ2<`j3SnwZJK7}ka+3b- zPQN|(3tsq3D}aW)jer>hf6_0F&*};&OMcN0cWaISLcg_%CnM(4x`7LUAAe|kK7UgH zM&Oz9IuoPiO`4V(^SrP>KXtfc%}075rE z=G>9`;g$-b4Rpy0Yn02xauND=t7hOl9c3hApAcJ>va105A|x2l@j2RG`H_P44i&Gy zSe;*_kfX(OwkGjbrK&58o$RUrHgx=$=|Vzn+`glLzRG-Rh^x8J2%mB`>A%v#`i5MG%>~;IAdtypDEu`6O*S9^KGPc z=nzf`&{{Z1BAaKJKde+D&y;ZPF%Lvh*x|qG=xQe-x+k`y0xY{W2;qOtn#%_1GOWl~ zx5eAi93V1rtS%Gt2F=9b;HGf6r+%O+;QX09OZFHeuuBuN!G%C6S=w?%lO(yBB7KuE zSetqpox5l~^dmQMs5uk=4Omh?mFzqKiMDTbGp z%WYT|!tZ^X?*|BA0O!si$$1LBE6hO7u{UHfB4n>mmPIZJWzd&oZhho&5p;Dk1R7*G zRKrfIvp2g=^(#qn?d2HP`h=H=e0dbhx_Y)gi|C(6xsmCi#59h~`_3Ey)azIfjof%O z@CkrJ9kW@6Pl=dxj6{6T3AgWoF`e^*l1K1YT8xeyha)j9QUyfnp}7^S#{w3z{PC}b z;-gu#5#R=FLy;Pa34M~osN|g-FCyP{Un%Fi3_l?-I#^*B92utpewl7D+FL%15z}Hz#YSNXgD0QM&$LENC zqMBWwg${&9$sY=ZYYLs;kj8fbd1o(I6}war(to6Jr46YhX**dAi)c9@DCx=0I5~cQ z<61HYYk2%rm5=pG2cQne%;5jVNI>8NgUh{UADD{MLyZ%t9>>Wy?3r83u_?!N1XM)! zs$P*D=;_9NzhkFYtDhXlg!{?vu^gw>EY;U>k7&Yde+jf zCmA0kMMX#%BKu$OqcrA)Yfig0%`T?0cDW>Q3k(3%ut{WQu>B^_XeldP;u+tKAhK-`I=|ObEIIitYno@~PnGI`~!wNw3kwlkDM_-1GnL^~- zVh;x|qNKfCRN~p|B4M^k7Ji~J?a*g)%$@d*878%J zd~thwTZS6Jqeb9EXTe9)#}>|>zah3G{eTo|+1}R+T7ahI!?rEo30Qv$0wbHbNP-dp zOrBv*scTJiI=ifGuNl{YU(ro)JJ>zH4>T)ib+dCWIP%?oI6FH!?$xm^2z(Pk+C0;T|=d`eMb?HQucts#{-Zs21C3O$m$pT&cnuJ^wx&~%n&INLDiZwIPF%+=k!EMF5|U2aY( zx3iO)3yZ1RqA$L9KoP#~5hPB{jwC?$#Rdi+r;qjfQD?akK3%rN%6!~yGRVG-Pa&&I zUbT~pMsZK-BINJ7O;Cy_Y5*I%l%=k9-gFr&<&xWgv*T!eJFFFd>?L-Oi}tODX0 zarRDQts((V*T#-D9RW2hO)GS>nC`Q;4+Zy@i%c@FWE+`8lT@x_pCJg$$dQq&R?tHf znly7cC0SC%O^N%{Etu^ndL@9R0*($f+Ej<9?lGa3!o!7s{s9r!^&P$h)k@mN@N&#T za7O;+wSzef!$8SJm*R6Og{_uVu-r>%nz-0(J^^bp!4LWGFpXmtQVY!_gBr0?6~i2h zdvgo=)L}gpO0=_u$SgptB7ew)BzL72})B)n6Ul;H7sDsuI5e->N! z)wgT2GR8cBKhad)GqJ{}4$uMDB20!9tHQsaL%2RH%h~BH3kKk^%~UUIAjovlKbU{%yuBP#`XXQb5Qi$LiYvB!Uo3OFCxTR=)hLms%ekTz>_DLhd1|~`%>qy!9xlaC zQ-M2`c3hb+iJM^Ov`i&BS#LaFMuM+i9Rc3!y;=R&)J(g4(9Q67rb2=${cei;0Ry6! zK*Q*7mcnwYO}DtOUiQjC^}G?e9kZouca@dv0fSTTCNlyKs`R$;!L6SpAd*puox^sM zUu(1y=v+zxsl~yMsw1E?r9F%%xOrU7+~YhiCKIP?dpk|RWElI-QZDH2GTe$n)2EJZ zR)E&K1_esjdPv8dR8`sbd?ZCcdZGEju$!T__jvfx4X?;)q}i{Gt>FUwk?-k=5>?Ps zp3WOqS3IhY#$ceKKso8Zep^77h9}XqPlX4SY>I!lqp>G3fl(Z62IEVuB_PXKgs&@} zT?t(JvErM2(b0fc3Lc3HWxb{g_3aH!8(;=WK;fSQihs-<2>csK58H3Yihlzh*yw(t zi@!hf!$$Wn{ZxNf`|H!>|6}m*du{)_&zS$)MjpR6{QvVbNl(wn{C|6zRF<;(%nILr zQMqN)SY!g*8F)%BZNbE=R;N5A5bc*u*5DhzCT!8t0MX=ekdBaZjHLf+>xlB#YyYnW%g!`%Qj^!=SdM`E#08;p_ zGm34zt}Q&xZ6=?2JDl#g`9QS?@~%v zRCOXMX42s~9HS9?b`GDxKGVxIJs0v4$81VCdFQNP8Yx)4K1ZzVDuM<@HAJEyifjol z{P|xGp5t`MTogI6t5Uix_)3ya53!+Os zk{j(~H-=W=za*jW_bJPcPaF_IP!pUtv5JsFnk)+86gidtrYj(-pERB;3?l9zxWo&x z)+%y*^6@7pELZangq23518ZP z5S4dIjbiHU#JoJ8NWe@w;n>oxJWm@-Y!!1ZNO!F65MjXrVQU6Uv5Zv7+N+e>2AgRc z^aw+mE@{$<+5t~fA=Bh=I)PdL^mD4yXpin$I)y~k=Vw%LOgfbSK2gSzNN7DGX9@+s ze8W)%Hjj;00xec&_csYU3q^tD3ub`aY$I`@jtqpQcuNrjSxz2Ni zl^4cyd7lCCBc96?dI%ZVi`?V@;4D3XFATDheQdtCpnoFtpY8&;!RUR?yjPC{8d#LR z*6ttjCw>|bh}T(o`$>eDDTtCcs4`H|960#VJOMC96%zetHyk#)zvo59M)#Y1v(fz` z-~aZ;^BZ9QZQ{-LAr<_?`CHM&+JIJ8$H;((2gbls|KlWu`Caw*nfgmz_+P`O%rqZU zpp1+xG#{amA25^df9A#UadFWq$%~O0+uK`n(9$|NIng+qn44HS)96`Qkkcys@!|(X zJeh|Cp1+V`TX-qh`Qk`f#KE$eCkg{cza+ z8wy7$cA5_b-;>D_W1P7%njC*twuAX4_F<)ILj!>)tok;!NAlJ zhn7(&r*m*InYKFL?@Sb(RbA#ow!j9+b&$nJv{ao`e``~9Z&%}Ltsg0xPZcVMe4QV; z3Fj!8|C!_cogeLMlNxQp?H*;lt6JNLrCsH>dy5XH<0~*%#V3X%3OAqc6lQio??Ly~ z?Dt&za_yANUbas&1}BBt9#|@A&ccn`nJn)Hci04sh|9Hy0$J#ts%U zSh(7@X^bmH_8~x8&fv4RKkr-_R6p-t>~;d}O#F2fN~2xv_(y%~UUaBx~dO?jmRP>Q*7 z)Lfo%QB8^6J`g~jfcnnJSe9!iO9&MO#w^MN1@+f6N{22Lrmx>n49J-TEwsQUX@9s4 z_uO#mZ=XMDO$l%6JgS^5igOpsdsJ%vlrMs5j$r0J@x9-ol8%Z3UsJVkx#<&5nAvss zCho}9Xd01Whsd5vhc~iiU{-x;f;Y6$o`^ytZkvNn)#gs)hme?$0xUX*Jom;i@>BQg zYjEc~%O|pPE--v!q&`;ww{=<|wNPN7VkBY8J}pRaj9MiCzQtN=iYg;XK+cNu_<+jy z%4s!F!kW=(f)=`(^lW<-l(a;y-Z|x-+Btvps+g-JLq$CH)E&YS=E%r&SK;!&7Vjmy zQ`T3^FJ4i;QFbC)%i0~)(y6w>m9u%n(80d)&S!=MbCgIgr3%wJ$jHLOeR=`sOroB0 z^e*y*er`{}SW)|@#MqH+qi=;QlyhOKQds}i-=v_@}9?~ z(=MPOcrk_Ked)f)g@yn63IZ-b;m1UA3koqu+~N)*h%Zl!i~=h`BFQC!s0bnkHQ&rwVb*0*avKv?&pdP-Vwxm$+6>_#-D+ERz$y=K?cqdONV?-#ZyaZl#^2HlvcwnaW~19aY56c zHfm?%hCYFT@weHMOTQ+zmtu+Txqwwqu83?^UiXw>XdJQhlBHE^M&%-ak6gL7z&GR| ze-~uTnu;TI;aBCtPuQD9gVdXFLhTC0D8gRZMWD|&w)A?GXAi!m9nt9}PAbMM9}y5< zIC^NSewnpatb8JL1lP>wM`>Dvrq=R_yv=us75J$?2Ro>LR7v|5XE(y(PC*_8YF4bx z(71a2cuXI3>Ng!>3j0~@sl{V9%5O*P4i3UObXM(BDbM>rvYsb5Y$)L2re)nRh;O!@ zN`0R>*(C=afHM9v9w0~`vSkifxybN!L?YEms?iD@65M=qqv)3FGX3(Q*Ok>5Ww>fN zn{+9!(tPWX3Ln1!G+?Ju$zhqUntt*N!zWI4f?7 zwv9Gyr zO$t9eRwav$VZGt&Su+;nBu?^y<)O@{C5VOWmUrnaUD6!f(Q$1hk^ueFc!LkDS^*Ov z;L;-IDZW`^i2Ep_3$f?#zztcv?sB0VP*`Q%+puan+JzaK6ac}0=Z)3Z00|W$AcwGI zMN{X#Z*wDwHcfNLr5P0_Sc-ewb!eqobtq#K748!ZQSxz}{FQzvrdN5QcZk8%5hsIvCjSi> zIXO3S-J`>QgV%a)fbHuu>xt<34|CEya`UG1Wk;fZ%t|-DBUa{KtWncVtJXWIo!O4n zsp)t;kZ&i}pqdIoH8ty_!??9~73myr9a4T$6R#fTU1MxHj^nMcA9Ad4?8(jI$;4vP zrqwgAwKH7Z=Y;RmA7>Jws~Fyd5~(rd(*a|VtsRW-lpg>656AU1kmWN`A6%_2Vv)md zfH4?f2JhrnOwKh{$i73}jQ56&Ta(seh#iT@DE^?`-=aLBVaTj6mXKKC^;){odE-=S z$$3a)hClKhOlD+59Elt4!r_wE&=$g!q#djU%D(l6h2;+UqPYi9K z`lcDD76XM3{3vJ@9B{|=>LRe4W5*K&XAx%dS=`Q>X}X8fcbPqArkNh!->qw~Hg6>+ zawm-mIWgb^F2)nUP6!gOJE*$d&7Cz%zs!kL=0hFYuVC*}t0q3BkC74t(dA}Q_cMPP zDjbDQAJNbxftM#`{Fa9(5PHyjAcm2@i+VX9I?*ZR=C6rq7^Z1w^DJ}X0`C#Sr7+3{KG(Tcdb8dun?T~ z==7C<34FfUr z1Slp^KP{*539^lghr^0{nwnCKXVq3Td$t)DPRVPs1$Fkw$bR(737bpscnHc#c@_AuVu&t4|{liKLc-U_E0bC{pzrk zGGL(ITZO;Y^Q+tKI0KN;0KieLIe#XRkRkIJ!~64;k{@0Gvd|l0qVa345t!8W^&;QE zP%Vm%190Jx=Ip)#N=4!Go_c_vcN)!mb|%GUVE%=P6>Bw<4>30m_4~-zuh=;GjGUkB z<^^|l#Z9;ITjkKlp=6jlf0`&?$0j{NA6ZOjTuULg#fs>cuo5M@EBuIrS$4T*vT;T* z)!L9ewG5KT(Ci$_av<{ie9yb?i^cE?`18oG)ZSD#M`3Bw%>P7?U+zsu$9Ctm!>U0v zL2AF9yN+7r(D8*0t5U9nUa+{PhIl?zE;hpSdT^2%3)j1Ljz|Lk7(uk8)n_P7iY}S` zZE)6x^FYwycHdWf29Jjx!I>B{u_|+sl*3G2Wlz%Cmo zW+R1Z==zA?Y4gq1$!SKz{RnvU#iZ7 z$B#o{v%{}qs*aRQ;FO-Ox}s#-InrJpBms6Oajs=bq$`OW^s8ayNq`3U!jFW^xX&7e zaRBzNwg4Q%*D4L`#b8gzW+!rcXbUb7Wavo8O&W14`?3*nvx)o!z5G??ll;DhH>Gc%|HRJ|9k{*IvKRbU~DT$#P%Rrf$*a?p_j7CS2@K(q_NNX+W!suE)YxlLfu=R<4A_JyICI_$bkBeAbv>@rbU4r-A-54juKRCiU1kVitf*xVN` zl4KQ?yHhEjM~?$^`6zGT{ck^oIEd?}>tLut|IApuC1?sRORUKp&DK#iCQtPgvzxMrT==T|lMk`?B& zQ%PM}EuUacJnqkCF50BGx%pj2d7y;_bQodyC-`?*o_OLy@EEeVnX9{#v@wj z$|Y?BY25bXITq?%L&Ls`kJF@9%`pDJYJv!uY?*^*-_o>Y*;s(5+q%w+?8Wg`KDXP=hJ&j(i3JmKvctfmQqJBs;W*7XsZP zQqR#k;%DKIJXx-U#12x<)NIEdlYra8RTvzLR=l7_)1=0dPbRCfYRMtqqan@cc7|yb z-=_1muubbhHTw^*>8L%bGY4FONR(=CN1aRZmuS^&>wP}n0( zhd81>*i9-OsIPA!6;{hIN{ zW265?k3RnX*2J>W|7tA#I|4}mixvI{tta|l^4fn+0RPbt`~Qgm{(Ap^Wso1!%^&RV z!=(LVnwsfjjGB%OkD3010R9avXzY_4Nu_b({m zuMgla3!(qOj*Ltnxq=^)+<1&kY&48`EX*I{<9~M@EcA>YeLMkU9cz07TSXK5kMe>B zb|yxaA7GNk$;956#@^U~MnqVaM!?F};9o83kE`K-EQ;Ynq|5X%9gW9G_n|QT7zAhj z2ub+6tNPHvekgnYn~w_W*z0`Mcd)eom)r6ef^B7PWvgJVqi68Je+BGVJ}ms1e|I$n z>^>~we&ue+e{f%6D@*&2|M*Yg=wFEFe|Hc60!L|u|F;#YA3O2iyZa|6WoBSv_`mfO z{}K2{7|k%4)UDyoRbgK2s8^Ye@~xQK%?t_%AMtavBKSzn_2*%*L;Fbp5OVT(b9r|> zy2Oq&9*^!HVH+VWXvuYIs}?5Zu2 zR~a<=1-%DOVaq=xsN=q_O^7Fag&ZZd_NY!aXyTgGPcWfHW!6N4tIZpm>9=Ys>}g;0 z`}RoQAo}^3X#>@&$H3tD`fzW18{dKM%lFNdiuD4!utTew4T~8q)GuQjG=?jB#qcX) z7a)_-q6GN`W9P?7T0@8ST34oNql6X4?KPz#6P*s6=uatR(<0)&nmWi63@ z#%lhm;zZs@8Wi|`-}6RKfY{wAk1`R2&e-P}r=tOH>#;aTF4R>1Scznn!b6qEJ3~|& zSG81-JlAD*9uu~nkoat$aYcVgrh3iO)2{+ox4*MsxeCW7oZzN_Xqk{Lm(XS?JEXK1 zQotoQW0-H;KZYjnvSy=(`D$f|0~ZJnlxH^Znrh3T$yckHi-W(3w;!eZ7Bq5RD zKY%2HVU581WxfZkW%h-ts;k?&+s~`X5W8*k5_O%DmqjU(l%>`eCsL)wMyIZJD1SIZ zy-KYm965?VlM0$Yd&#fS&@_cKLt2uOF zAwd(_{jwBGOp0s}Is{s;`H*aK;{;|(%snGvB1*GmuGl_cOD?=CrC8?#)Pd<);a=ktPNeL~iso_lHW%I(^abGM2UH^cQa$J*rcv;)HPak?@m6_&*a)sA6c^}# zb3hq4O#nB5;8k5|hiiV*>ucj@W8aG}?`NZEiNahUFEwJODt;!-0Gm&rO0y+}+L1C%v>-retR-%*yDDXk9w~8)_4jf&Q>n{6E=4KqhhaLZVQ$EV%On4htT4M6!z*zRZ-U^;Ry>yw#u6}V#-izO(k+rg;0KsNeZa6C~VTvVM} zpDS}b%UTNtuX=&a1jALgr&q7+Xu=j)Z*6=ZL&dpHR|TArS~;KV%m68m*LAB!6HW>F zen7antH@*a20n`Ugm7kmmZ8gpGkjG!ovI=GNi-fMqz|AOW8*Ep21qpmf}T0YD@<%b zMeDyLRcqw`!E4C?t88%F4ySTgD9r z1gyrUF5cq>^NXM{NwDxJvgE*XnUo`D_rVSorm%~gfhPm%+$iKIoV!RqY?9J$B@*;% zURAW1S_?C6%}al?QLhYAU%kJ;FIu;pUx=AE%$uAZ6MSYvU4((om6d(z+L}lY2yz;W zFy0%Ce*E6_bd~x5&^Ata1?OJWP)fc0G=;MunGuGaig?2Fruma`#anDJy*neK#{qdl zPK_lC)1y%tyiR5%GB{|Y0r~7cAwxi?Z^jeYsMk^h!d&_=X*QZK7{>7&&Ri8O5pKM} zljt&-v_HB@@tTb2Fyy80J=O4=hlNudQs_;L{^o|$x1n4EGMJr)Qswq=)f_#oS^+c| znWR8061wpFuyK0iM|njSCO1g%9}B==f;{gL$S8Ljo=B30pW3qTm3z~@ZhGH5u z@_Zp^?`^{1Ry2Y_EF2PGl9lx85rNym;@7wC*NC-ZYGEU}u9`hMK)qg%d*>??GdJ2f z$w5((f>RVN!k2-sS@fwLc2l}i#T=-+%?1wA%qu4Lj^gJ>K9TKEGCQQm7fFL)I59;rJA_I0L^EKi zPmg8W*mB#)k2RAU5&~1hc&sTm_=Wgjy!m4YzAp`0kfminl76xtrlzh?+7>ka_@#&u zP+%Ix?Lc?X))@YZ&Msc#Ek89enN!hF-FQFF$COr2-CR<eDPY3Sx}hWKYXvDBE7a{Sm=J4hmV!SDroxFLKK)2&4&Z3Y*7;7c#bG}b zsDQ>UIj^j6wPnk8$bed6nr3&9?Kj}3&Hn!2eqT^b`P^yb=@K&n&0pQv!FEA(ga2K( z(+&FBc!*8A&#Vuv$EH?KI6?{pK&Bv5=iZvWGks1|>RNGC%u}Ti-C3gst+2V=KsZxm zId}=A$}TR)K~MTnQEFl+Nk$Q8D&k2!cbhL?#*az&rD8Xsy~5bn%vodkI*_ede*TBy zFx7XH0mx6{^B|ia?=lKbx_B zywLfsfE;DRj9ejyw#N~N-*B0?g5$h^i{}=p5u0h0K{w$ermHMHYlH5VvcOEAl&ou` z&Pxt3+)!vseHV3wr)8RXI@M)}uk;ztolbDgrO%fk0nI~Zcob>EBR z+0ll91!#;{iG70CNbTLxy3qcH?f5cP$4<&@#(u!SH4hpdYCbexAf>-TP@CEzn|1vx znJ(gyU#wESq@{KDCqC&KHRXt$z`6kqyqgV02&c7uN?pA0i?~PL`75wB0G<)B^&*sM zcqkvWdD1|RPtw%_f_YSJiDX8iKFI}MKopr@JX{_OpAJUVzj}TgIM;|OF~bDmB4cJO z*6&1ENIJasTIJL3G4TBr$p>wNp?!uGb$H-elaC>Gj8^4yljXGw8vuey+lfUU49P-r z*9^WMu0|Ol>bq**D(I<%=3k-767DtSq=0(iH@bp@=eXSNwPo~&c$uN6BuDZz9HfH6 zv%oyYQO)tkAA8S>rC$cqu;=N;p^Mq;#vSZLJnYo#ChGgleBgzGWd&qw9NnGYFTZy- z;Hi`ptOrA=@myW5(G{VV*4o3Xz08mx;mP{eRy<&YK75!79(bqhJ5zcv>=n8Pb)(AO zNT&f3E{gGJ4s13OH4oYE#D8?dUHRwC+Go#Y;VULb+(%5!r1WVag=lXNi&u-Z2;`bG z%4`rj%31?)oa^Nk{TyfOcr-KV0JErE5eJ3Te84pu?@HTI?jbV8d$@H@x@0wto1y1U zcv7SeAVf?1oajHZ5n8+ft@dvY;AmUcC{?}|@YtM~c>RpCApg-pkLLvhu3}AR_&IUK z09qi|P^hf~wS)}wfbWa0%nZs|x2GIZk0akUL#BoVXsOg)iKPLLGLLoYqq!}dEB}JB zr*~)Vxt3)rs~@-|e9&ivrP^#0tOxMMXjK|QMi3u!Ixc(OO>Llrd~OZV#@fXTU<9** zz!7m27&d;U&wj3zyoJKv`A|4peQw6Zb8e4h9^y;j)vFkdeb~e znoT?r@Mz)A$yd|;33AO@a;mz9?(;}`$c1u82>mH?Qo$diI@H8%?4h;Y=<|0n$kxs9 zFoQU;dVa#d&)vLMsCizK4++ZAfDEwLYbdEaq&yk9RBs-3IFeU*-5#c>x^bv19nA z**J??;mVomDziGReDyzV#;D2Et1Nl$C8Fp#5Uk7yvsHSeQUy_!%DAIyOepreB|l`a zJyR-Z;A|%uwNLUvBWyoR^y%&u4w&|mX=a0@fb|#>Tz^uGKG!&RT(Zg^tr1QXLn|9S zh}H@G(mL42?UWVmuj8tkp6Jgqng27K2DPO0I>UV3THij^vOh%E^CmmLk~T`-+f>TdTWh99OHa>GR~$No`;xPzhJ2gGLIlYo=LD?F|umJ!Q#?1YCGt@asi} zb~8TDfuY}CB}r(ZPv5ijG#Bfqx@J0YAenRZTN@Pgyj}V<4Jzd31f@Y5g#I7m-Z8qf zHr@J-ZL{K3Y}>YN+cqk;ZQHDhqRRZETg?Klu7Y@y;>^cBQzkH+CJ}GPEPX2P@#ig9%v=Tw`@_&xu(wi zO4L%)uwzsaXUZ#GH;^?<^_Zgwe0;bKa~c2g8K}|}{~^Hy5K76L3)zc62Ugvcw|yO#kni?z3z&%;+;#H<~dN4TcZYQ6{EHu?IN ziS;V1I%utia)4aO;E_88tSc;jRfKNAhxi!N3+e$RJnS6UJ8;fJ>p0w_+i^Sd7urdWwMdc21$-0Qf>HY5|d)+Tfgum-K)v?mr@5{2O!;1qo|QUhMIs` zq=k)7(5$iGwg<6v@6tp)gVX{fWC@My=TwU0fY}pPq}IbNawMm#g|E%=hhN~`+ngs` zrTeDb%b3aECe1>|56E$d?Tl4kIsyg_Nfjt$gEN3ag^*Z&)aCs4z^jq~BY9c5Q zXb0=3PY~2B>Xk7dCw&D7RfZl_YoFua0K6$@sW&@lCpxJbbrB#NsbJ zQ}|{X3aADl4Q^T~(Kc(#!=y8Z3pzt#tGVFU7XQ@5SfCY7tVwxZ5_*q(CP6|O4nh;^ zzJHrNAv72^8_OY&pxr*C@W7PrG{UBOljnZL zB^R|fvvmJP7oI_bxV0h~G-jzsnFxvBZU8ngn;&$svf_1_kXL4~n3(sf**K7x&X}NK z18oB)i5sxfXk-q$y<~NuImna1bQXnou%F~d8LRyzr96>z8*dq}>qVw~PilFn*d7N{ zFsFRt`qfy*>c*jlE^GwBLw(g7`tA$a2#xgsBDo8xgEQAJXd<<`miDOoG6OyHf*?jwIgmI zHXLz2EX>dwH@XPrn`xHnoBZE3qEg7#LeOOH2_pMS{x!={BVz1TcL z8ofj1(La|ACA@h>E}xRTZVs=$+jS}nlgFqingj)m>c-(Bi}BH2r1o@WU`(>N+Lh59 z`ZA5NnlAZTKx`7#r-))K-uiT9L8|?|fu(W#>U7{X%Xk4!fGF5La?e)P+C+r0L|Wd% zq}3$gOP|MZ69*bFw0FI*c^kx5A#H0UUboITO;?gs?Z84M3}@na5UQMRr76y6=eC@d zQUg0T;P9@^1IG&sYw&2-)FC1Fd)60qo|fP-9f93?4%MgbvX(J#^kl0QPwgOCikynb zx5kQ=?}Ht+`Rc(r93Sr2z_DSWR#zbJLRbFt!NDENyBVPp7(l~BjU62w>wT8$_FIh; zq&e7JTjjY5v_81nfi;p;MT%17BJ1#1Q%*zI`Esjig9~l^b41 zAW|0jk#f(oWOvb_+1wvE#X|Xj8{B7dPVpN1*dW|cz0#dtt$mSUgZ#7ObFPW!Hd@IT zP?;gs8~Qf@5ZUY0t9T?Gly51O`iw-<1QG+8Nx?4ZRi+||!Odu)!uok@0=v)y6G{QO z4zyrZ55qE&>NN7iMQ{v)$uG>voLAvWqMj>-b3C<(RfLqma2#;G0mXIMkkKtp3I(wf zqwtbumbz@*6io$2Tmb?GBQX+@R8;VGU}Hn^n+)eQ&;|P_`GPE81e}5o3R0E|f|{3j zQbnFE7?W}>&0xEUbc2-@M<6sT=R=-9`y=YXyzKFNbynkyh49MglOiqQ=v(S;-OC@< zPR-PNglU9mUJx8M)GjMO_C2Z@;bE?jDVy!q8|3rLwhQzyqUmwh`BM0|T;Z0H zh=iJ2;NbZAiv-8v*Q@CKIy}Nhcyf`%&_$|A&GKmuyJqk!vJ-%Ct+VbI;y5-wkgtm5 z5@F+r-f8-TY!t|Q3SYpf{gg7icq9X_Leoo3atSW$ zY>Zrr8+1bbx-1Q!78!Jn5UjUgfhvXY^Pt<7gUYr1fp(q66zg+@_ybB6O{aCH?`qSQ z9>N3WyZ@KH9rAKH!<=zt$u=m$edf--vK1&oiDBZ#4Ou6`vr?^~Qe((&ZG)j~EO4J9 zo(8z47=IQ$*M^uTb8yS0d--=QnZ zP43^Uwa+bgm9~32Jm3dG_r|2-*PX0AR*{!Hwj8TUr}}(u8wu)!qkA)(_kpz&kMAnH zXu{_Afm%=BapYTx3CSDY;?H@e!Sl4z}N2Y?x8P646KeNaaZ#tt~Dw^ZpZd-0N~bj>(Df{#)zY*VV%;UnS8|b}^E=W2s^NSc6Z?bz;v)+xO$3KhH2hZ`te<_Z;=Z)dX{h@?*_7 zJr;#pMzE`Yq1)X@ut%&)fHvS#jx2$pS!R;Idtt~_3-N2-a88^}(^jct6_3lrU;q>k z6g2GyWyObX?nz0eTJdn-s+>z<&I)u^oe^g#ZyGbQMF*Cv6^5DS$mxjnSNf(%v{M@~ zwTmkZpMug@k~-;ZQ&(NoS6D&diSB!fsvfLM-f>zX#{-MXUQI7=9NaLY>Yl?{ZiYXA zJ&LZ46kKO12z+!gjX;&8zTl!PleI(LAzg1&tBHDCz7J39-REe+9JK&mus?(jEixC3 zMiDDxC$tXJ%VAHAjjCg+I-$HICoxOn+E%tZ(acIqJ+TfP9C3QCtfpmELsMPxLfENv zzV;H)aZbCl@6xdK?x5{G@?%Z)Y@y-9>vq?6XMe?aMHdr7)otbM9SONg2)d=NLF|=q z>dO%PB7$wJ>;SJk8(=pZIB%YB7QKql58)i`m>dY?{l-u!6d5*o_mi+AxQC$7RE10c zAGJ4#oAVRd;Ud|+hgYUOe!$r&x~RQSsqx%%cENlk^V}mkN3)v&0?Aw2xcFn@;=01HvLbG?@m&AQ zS&hh@?8ZX<%1-(OeJ(vT2ZqR7zvwxWw{2}^e@u#1e!UPVL5@KvWwY1*LR_g6d!WmX zYFOZJc8HXOCcWvW(&*#iW-72waEV&}S-hziKbM&Rqi~{-mDM&k!6a+FJFJsm(l=-x zSvd&{TfI!iytR@Rr0*vM2X;Xeg3>Tn1b_PXvR(Ih??Or658%o2XeXCQ zcggRB8PhAX8QxHL`MPh0&TVF~>=r|rMz+QPb6X~xdlGh}Qe@JPMd$I_o0(V1@~_nJ z7@ED+x#EGB${2wv1~V4V8LoIdV9f5KSkP7S7{b6_=~9_|d_voZ>^Rtee#re>M&+L# zav6RnY5qPr^Z!2NekLdWAhrJM>>R^ChPHoS?N1K5pU~Xjp>xbE+O&$!22Ov#cT(my zmbCvqbnf@s{(qfu|6wuMf5tBUO%-5lzaRMjahRp2|JR4vPiT9c1>yUt>J=HPcKVMR z0?$ZAY}i<|GS;Ks;a)XcB=A+|_S3~*zq|z{>Ww)0r_nss9EQ`}Zw~@F*ttcT*#86C zUO&r7xP?~0i!wq#|F5!dp`Vmk~4iOz|SGuBb{H1(@SSQr2s36z(0H8#zgE$R%l!IvFcx@4 zw(C#GPC+%&7hI`MFV(?@q`ZxuFCmo&=J0%d;s}0wzR~=OtMp{9XN1pdCJ|>D4L!iM za#e@b_SCuI6u*;EakKO}qSX-9NpH3Vk7LJR3)8xHiK4lr<%N*Lg;KFPFM9RVA=(Lb zfs;M_$ISUV+YOr%`wLZPumCJ*SbHpCUcPMQ9ptfIeY-sDS813mv!-8dO8 z5vy~R-X-YurDZ-Gu=Ce)VA*qFdJ|$q_0bpDyy0L;W=-ZA7i>iKZ4e_2@$^cFoUgK> z3!Tqs;dB-snFK&unw%TDiO|`n%Tu{ea&$i7i!k<$JN7ymhAH(4x{@AGax4N_-t408 z;fq@UPLl=zVCndMW5O3rtED4mrJD-+;paXt%OY1|CJK=|W|j>OXl10R%msZuvyhHl zP&(;A^h;}FuvcUpx%!=6F6`&jEN*UT^Pt5^6t9n*XXa;D$IK$2&@ROKut!4$Ri=(# zaKxPMj6@Codr;m_h6>O91xx2&lclF%^TiMxIK_PNK2UW~RI%td0O5RYfVYP3iq-apdn~^dBbb+yl3| z_j(dE?Eq}mDF6l`M^+(uMEm$>wTSpw4IT3N`EqOh%J$IQ2rbKuSC(SGWVl^-_a&_& z`G`wk9bsHS8Zxy($(*1cF4_+a7W51uW||2ZS%7@qOa7@p%7k6RZi$VK8!}^d0CeWG z%Vl{sq*9nX0>Q{6y?i{`2e)F%1x;-TnOl>j1k0Ca5hXQ5>UdxtY*>NnLZaX zeR+c$G<4X_lp=q4o18D~5qhOk5RR#<6m49KJTjgJ0-{Pf`URe0aOek*g^}?b7epZ* z!ffdj2MHHpLSQ>O=+*WC>y-{zIwrgl zemP9T!&-uv7m{-9rpc8HV9W;u9YEr}jPRdsKn#Buf%|8XPKMvX%zwH8{bfh`Z)`xn zdGJ4tZvMX7pKL(?d+;{H->Faky=dS++<_QBV}yT+w~8=*0@8m8u8RCGVbh;k%Kr#k z{s%TqNB^&<(yqm?4I+9Us!DAFq_FjA0sf?prxLCv!SJxH__;A;o=~#xsjn+M_d9G>Nu= zra={hyn)p9soNIr)No1??j&%DYyYNbY#v_F5yN%;I^1Q9SNeVIgcF}J;OzL8pnRg| zDH4n2CHS60qq9l}f&v*rk6`-Z^72;gDE2tUdg(-2-J`K8kW#Lh_{mGZYAADT=i0kJ zy7`7UQdC$bECxX&?4{3$lyT#Dxd>z?yT&{wjI8=aC^YL;1@i)P?KmF~X`<&=)XyPh zhMza3fwi0K4eFR1o|%GCEyK9wMENk#VHG8OZ@!0Y){7Wg?$Kb5Z_U`Ur=t;YfpyYY zYlu@24J{R7LTTc}P20v&w0t@C++9d5)y9?Cgtn##xfki!wKCGO|CVq8X01{v4LL4% zJR9#XAsT8Ao@Q_#%-@dGOe~_F$ALGbzlaZa*c|J5g0vK2&jPwNUPFW zL`4moc%?}#BB&83b3YgciR2Zqz&$9A{wqqbZvh1+G<+Wg&G$p(oIV1eVyw?oToC2K z8RoTq1rmB{%Rkt4>LZ-)8SY27LTB=cj{CjH_m3huO^)Vr0tIwuzDWZQ0nqJ9i?Ngv zE2FUNTua(B5V!>_`a_UUT3RfTet4mru+X2(6`udI;(WNQUk}k}Rg_57gA$By9;Dne zvR)G=;_~`&O99|8+X10~MZQwhsAhwZun+?wp2NHm#D|v9Xd)RAvYp3YkuU;jZq4t0Ohueuq+sEg?rMc{yCH(pH19Q>^l8>FL* ztl7ef+Z~)8RjXZnoG28Q_{_fB5rUdIEk#x1VkK{mi_Ed4W9V_k2P+MM7?`Ms@ipUv z?ShaTbG3@AS>DI_8%MgD!S*R+ShQ0S+l0ud*rna>GHd-%f!q4%@e{wX$c+->01{%| zs&^gtj!#IkSht`oLf>u1{W)TkZYGF+=~(U;)Hg8ZQc0m-WT65YNot8?$(eN5TJnOz z@i5b8Tm!Uo>yI%H@t@J&SMe0|*>j1L*r$M3p*1OCoww1qCk1a#l4{IL5EdeCVbHLz`UQ8v$w8-69=zqSZH;PDq~3 zvr>F@B}{9uY+7gJcIBM1od>C17U3G_O-~+Tu`O6$;H#`QXJaPP?HR zHF4YWrWQ|18k;4%Ut&(kq&doA;u~^2?ZJx}yCx>OE}tz|<&5EP8iM2#=uuKOX3+&3 z%xn5hI^~0MazN}SbK@g1lovGY=*u3a)hr{8w?sThK^hvZU=}O{q@$kxY`3# zHLwzl<6RpWo}P&0rh_BiNT>T}3N(&?$@O8%GgrB_zU&z%Kl#qtX4pJ^ce{CFV~hF9 z8FGH~mK5ulrvP#9z`dJVSlg&~`q0da1Mb{;xo{1L%+p~FwxH|(OB{(apx^OKkci%? z?MrA010=@jHq4jp#~h87zUP2tUI8`!;%wc{Ht>M_wdg?3hb6W}Z>#nZ-4`BV7QW=&leSoXJi-px|Hk5@wY6kloOZFe3B_ z<4!pyZ3l;iu)}MJ2P7xNK_5YMr30ln8qyz7L}QdYd{g?mJ(ZC2p>X5)g|01z{!n~T zdg9m1*?ly_n#YtAUYSkm8T<)XjRR-!R1k(~H4hQ}=+~6|tT>ZA;l39a6u6{zYYC_vlw`)Zx!q!h<6I=SxPE66V5@FJm|Z0gB26m(@=$vV;w~50N|B^#P}ib z8|tAhNCqUWSWTBP7Pqy>>H8iH`sebQ)3!uo=!?A{y~z1EppL{H#?u*vU^V~0>8 zZD5qL+PqI)Ir}$?PZ86!&@VNO&Ln+&UrJbI=g|m!&Fn)+XC$|(6={c6PG>5IR8cdE zvdZ9h&9K;JZ5qqNvAYNj3o|Drd zjHdvx51Ad2;Ex}==cCB(p)95yI_{_W5~lY`98I)5M!wR?PC!fN-V__M%stX;3Rg`U zRZ?*ctF>6mTD|74sWv^1E?q;_!k_FU_BPQyQj@&V97WcCscI(<6yLieNZb;p2x8_3 z@GTA{)ZdRqlmR*%g_xqe{xs*4bA};bnJgZ&v69~%UvG=KHDk+wp9#>#QqQgqk|-+l zSt3DX*w}c8`h+U9^m1dsIiujv;_I0q7q5q_Fr?$u#g=6tltCqgcb}EN$#9ts4Fn0! zfPg!*Vn<`1Y}3)<)eZy0Y}Cqs_fy0BRg`n{JrFk;TQaz!nuoHFTBv9qJdKSTzKIhO zr>Q_Y`o~QalCe{;ZJr0!goJ0D?PFg41kpWm)i* zlY@881`l^y-CdZu&NaJ`im?Y2QObjAvt+}+4#k_jCpVIoc*^am5yu5tAv-;bQ-t4z zN@nOgg&&mD)vAg!_yk2oUqznCsY>_hR}K*sYN^_`dc=F#Q6luoNmdaN5_PCHrNpl~ za>o&mMEVsH1#c*8&OkB`CJaKBS+{gd8uY6d#u)f9!A-WKpGPHiSr@5iscN+|n(j#* z7AZ3*etncz(WmZr8O$~eOhlDJ7^CX#<^G6$IppR|8Shxm0hMNd;dbi}ack~vu-Qg? z?P0{MRe^W-s%s0Q8CQfdlkA%+VC81$B1Haz?tR@eg-QTwVPjg#8Q+ za#`%X1;drx^Q%)AWO4|EX>FdHCYZ*~jbn8r2=6-Q`6-!5cI0scdP&o8KqBuWAA%-i zLs_gk)IVY&7@>8oee75L+X%h#yUPXI66fp0$Bg?WyJwEOH`?a)e#KQ_N8c%%RVOzi zh51UHkyU5zj#;XZFR4W+Se-0uZwKZ|X%pG4S8?d>Xobfu)c8RrYo!426#}!1HeLt) z@^OnrPtQB20HPQ;kD95tY)7%kCGqZA0M-&PJx~c^G6jwdHSa@i4AZ)s#ZOx7Jhyr| zCqiUhxl0$^1SB&Dqm`+p7?pLjx?0zRl@7n~-C#zzni~TNuLI;7y;s>rs#KV6kqV$w z|9-TU=6pAM8zJxB#ik3H`?OoOP&&BBa#0lqoq3Eb&82reMKS&yH^r}0VqIaU9V;a!+2<4GJ=9X=a>QQ+eJ{&R?G3cWRwZWH~bOO9uqSPCv0~m+x=EuI4-n%ix=2T<}LzK zU58;T?q1)wc3g-x*~5w2dsB!QH6VgdO@wejQe~|~#pm=6w?6JwAD4vw{Yo>|C&p!d zuIikYRzHvy?|A1SK})CPkW~6+Y|%Yp^5++)tXj?1QJ*j=t?+98Yz;WtBq_wDPBoTm zec4MtKew+;Vu{2yctf5%&Faq57bC>o_z6h&QEjcs-;KT5mfy5mbs&yH?7Yv&zQ_o; zD;m4AJ4#EGI)ej>ox~Kr>%%xj%|Vjlu)KJ5RN-;1fFtVi#kH!Y87<(bu-2_@xJ5^b zPp?7up&p^(ux_Jlp8j~V{eYqa$fo1({BwEdZ*6J+++X+|k@;ux4&z^%;Qkx(&Tk0# z&*h!Jam4?Z$XtfM^IiV^^3H#H4gUjN=kNFMf7lKTEKKbGGDEZFxWs_?4(K=dHudaYbAzL+jXJ@9;Lg`f?OGB<*{wsq#etvk! zwpw*c7qe*L{=D2Ms%X?5v|0C3g`A~kj#xTMb%|N0=2BJOeD4%~xx{$uc7^7ZNz=$` z7iP0schn?n?%;9e?alj4&nMB#qN6!xi9MT_qciHMb*LtO}q zY?9E#7IyX|Qe&OueRa9pee6%TGuzuB)XOiFhhE|?Hi?BiG2~=?f{&gHNAqEXv7P$x&QIDWLC)rS64KN1*f_pynA;~6;6g{vZ5S{8d%_1lcZ#*HMGwSg~0gDc{ z*b8TAQ{1y^#DXDVO#X=p%r#N%{tlP~I`;Y@!~(GRO3?H4jtvK{+z zn!opxcjk4hPeDObCBlAT53z0wbOo3Kd4wWPrAa~UQ09j(q23@=JEN-aFP4RLNN7!j z(@FFpO-i_vO2EOsBAV4NFe8kxjjrv~C!Mw?b3)Q#dqZ|x`4^s+%duV?HvuslXS zz4;0PT}uWR zB0`USwjWubk&qVS2M%|srW3wwL*{soPE0;0WNv|!am4*6-AQ~XMqZzwiqf2Ds6D+i zI#7L4m-ll7PYc&iOv3Q{{2*_a0(X~!X5FON+>8@KZQh~BSF+AlAShMZ&HTi!kSAa) zc@+=mno5s?&5z{r38-l_I6EV<$AA-ZS3f}m*jkz~ za@1}ATXdiv;iKu~NPJhgqgol&XTM-)ui^u?1gOFTE9J-KaU14ppZ?1leLZIve?S>Z za^PGoA~nu>+PNV9IJawng-EzCpg-p5w#&Ha$J|2hGklMbj8XQw^<_?Rh`3rvoUNVw z;T6TAVPt@_PurC-9%1c+rpAgb%85hBj4gVuGDk;oukJ}b*54WtE@&w=1v-BNt(%Sd zU`U-f#zA6qH>;n*jPB9szFRo0NXzWRKu!*G^f^hlkYrY-D44i?0QVBu9s*8XfA_RgM$p?Ahd!!Q=~UElQk`eHj};Hc#GYKNU{DHjXEkXU z1odw^FFBvXh{~EU%%vgMtL=8BqSH`~W$Os#SS;j>>h{%;ZAVPO0ttp;idW7{LQ-Gv zW*ABNE#SMpOh0W^f2~h-%i{w|L8gJN%V&l)=KGLL)Mv{}f zr|*lc^~}|iRBVYVcO$+jX7Vqwkftw|da(6>OVt4OkB;Yil>m&tOUrbxBLc&?vp7hY zS<|=Z>S`q)kJL^fW6=_aB5mt=jg*}uLey_Q>ChBj0@)47FxeVb>`ucYRd&5z6&1v_ zyemC=l=q+A-@WL`$Io|V@tSGV0Jz}jRh>fOy+n2@dO%|R@f9v=9Y>>;+Hkvh{ zM^v96ZFYz_ws$2X8c>aNQ8Jth>(qDWX3j800v*4W%!;?%brEB!L&#XLw}P{9#rf)t zG9xCH+9g+C+vjX@tkUO-9M((3q!`Pr!YKoOHaHDKvot3k%SWi#N(q+W7&;(L#a>9^ zju#v}He=bowH_p9Md^QI$oYyHrT=IGu_n3@;+>El*WJnYyibA7037CSc2ef)=OBQ! z3gIBS1kvRY7iaXvoA8z?(s08}o2DZapm?y78ze~?i-uNd$F72mkl1{lO?l2ME?gu{r?u7wus(B+~UsStTrN2_70=!w)1Cqsz%tQW< zpi5L#iN;^h>4G^DG`7yGVlji120QifQ1_Bc%4N}KH=F3%(ji3gXL8Obu+H`J?Y(o> zsc`&A!K66V#fj~Xy}`S!n+gbIOrSSx1yso?5;qc}>mY}mmZGd;KXbhVUW6IRcAksl z;Mch#j;d{0EU;oZOmsTnEkk0?N$0W792q(fDlSI2aIwgd;#t9S z?(Xei>LtIlx~?;=K`A@QDU$`?dyyKehIc5iVa_>&X-;VeJ~L~8GDV-m9ScNtRFFA3 zGc_HBDRx*Q%|wWs;D|hppmvONwct}@g!OVsn6SC$W)TU6R)n|HXrO(T`FK*%VNyTM zUr;my6hz{<$iKYL!uh3FFBvY?#9&-nkS<{1U3$UE;R~P~5zs4l{){?^>*=J;6{}m>+jeJN9!OXgxT;8P2 z*@pPIGRd{2pCrYT#pjn#4No3#3-R6e@pG9YM`EyAYz*IM@&jSbQWEEQ#6mQF?mbC8 zGqFXsXSKZATX`6XNPVXx)1MD4SaXqejswN6(3FDk`i`1(?{tGP6GydMnKkuA^vPjI zglxD6imzl*M27k5u-5XW4WSnT|1wniBv_H2ol?P;2ioo6U=Tf}QPHoMproLqI3?Gv zQ(dSk?!ADSbQY&VhAw9=N<7E}Ekf&yFn@@Cd37zg%^t1%*pP-$H-d020NnzfP>_vq zr4ksI=^TH(9|n1i-qo<{$biUO1FGa?!+ydid0t|hQ(XScByF8KQ?v8pugQlfP3J!w zP`q;Gua!r@cE&y*BUXX0t)RINs)fdl}xs#*SGEw?sCzK86R z`yh19M61F`G(Qd@sUMUnTi%XTm9i%sK6SDu304hFZOu>01$GDM(@;*wF8=waUmT2o#ESkJ-T7yRGUM;O z5NN*nW3{Gki9sGqL~I z3c*F`8E6=oS?SpS!5Bxj-w)xh@8RG7h4bgG^?!c%{JSz%jDK_C|I@W*``5t0nge#* zAFlN_V#B^axU1fl5vM~V>X1&vB+v2-Ujk8>r49-lx%t~YJFMO}!BzXB_{8#lOsRsG zyYpOvmGhs!PAx}lI>MO8!;Y{rwJ`?(=ucb8|cm5 z7y8GGFfFrX$y#{SXG`OK#rtJ!9DJavOg*-z>0zXN9&}h!x9hHH{<_uJvEq{<hbZ{oPbn%QELR_a+nA801{R|yD5_$G(Y_iThYUkrY0!F9KSS^pG1?rjfRL z{bWH^u`iDN(qbq=lSvbb1a96p5L8(XqfR^>$v%wMma_>f)^5X5F2izjff!c^zgh`G z;Gobxy(T`MC{n(tP!^!7lL_;Gn7e=tJ~GP$<8oA`_b1eJj1o z2-YE2XAu}cWT{AZvdY4RcsJ27y2yPB`USsPim8*W-*isk(0^*sIDH3tUY4U z_cRRwQ4269VeZBOTi!a@uKeD7+sKj6VsE@GY1&)o=)N&ap`GXbtr?MSyM=8wm2nnvl^llllyS{VYk89I}_iRicjAv*Ny@A_*N^xll7T zQ?;ITG`WYsv9l3ST3NkYWsZ|ZjY1)m0?wb{>|4xA`wVRPVgnJo;s758IjMI5dscmg zqfP?wpDz>PzRJ)FDl_>G%s+&P1OEU6S}U`{J$JSH5w22XAmoIsk?Y94CJxo|NM{ot z6iM+zaXv^*j(s;z%q=#P;wjXksMzppbg8leI)dlJ+c#bFp-Y0Lp}K-8C*m%74Kc?2 zC4MtG;QU;K>!X=0q+VMuLRkwVJ6jII7sIsfk{^hsD_88xB3eJ%T_3N>EW5dezbj4(|zCzk#owg-|3n%#jC!5f|UD{A!y7|iw znroA;ZaR6ymhxKat!Bc!7Q0HIoqktUhK=92_nX*Xd30c6JOiLD#E|`t#)g9uA-a zH;TGWQ^9SRZezdNZcFn^ua346dyP$#ydTlASQ`Fn0?LoIW`4GGWvF&TkUHfs(S-JM zlMn2it=&$Qma6AoTQtkNwVF0ID_#+D$4)K&9P5b(AvWFGv<)ACqV>_DA4ND){Z_k{ z-%22|@zIN~ewuU;-o@8YjP^am0RhBKSnHU)tQ->Z$2z!OP^?RWsV5^HFjVqk5;L|| z$QvkTC8?o=9@b$Xkx1sl71V2uKQts>PXLKfo1U)BIe4ozyvRq~p>7kBHWL8#qu%Br zi(UV)ayILz1kbRS7lNHw{sGe_+cN0=a5pt-*ZjS#^hAwSbF1dY)WzL7Om;te^(&h? zx1&UqZ9muvp+&3kIblhrhfzHY#hR(j`rM z+h`6wUwQD+eXwO^RH#tcCybfr#_Y=rS%DN`&Sq53ATUM3>Z zzL}2=LkS}(y{NT%k}gI})I-y(6%^Heju}-bqmt+HzkE1X^mZPY7wq#xB;N+WBk6%f zse%?i`PRwMX`UTdE#$?XE1ou@tNzOyUn#pLyO0{sLS4O_@>k@D+FyGeD^9ZHlbk+#;;us6h~LG($z0s# znHTMcC+Ky`y!&oJ$#HZ+86Z00IF#*hU=A{(u$xY-QlgQRIg=Fi$PqmCE1B}< z2b^-Co*edce*k^dApubo#+~9MI81{ff@u2tFz+=hD&nDTw+dqLQ`snIe8fq=3E*2- zc^Ia-nmlaI;Zb9mi$kBKlt}t`83Aj7mhVsB7l7yk#r$bKprU7n5@y?!GOSm!2J;rG z4&BP;TM5K-pX0gGpKXqV=#}VVT_GXtv0$w-!0JD%F9FEq(PKA^4EX6i=|>Gi58bI6 zU?!4DF`!F|ogkkoj78I}dF=TUC7F1gPYEbjT7KQ;Ph~-FDVdM*c6usa z0OXoh7MW}BEusQLr;@?K4i3qG$J3MKUFCD&81`|P*mEGSI_0&;I3t@z8=82SHfEa3 zf$~FY_3CmzS@fEnB|hyMx(?3cxt&$(x)t~NF zwR)XWX6KP+tcM=~mERAgT0vNWfH)Rb5-{@hxl<4>WgY$^(`rnN=zQa5)1Cb`7U!D_ z*1&g{R;_PUZ|+MjUX`9WP{ZZD;sD|Q_wlnit&$)1$qD%!yndCn8 zR?8{*!^U9{j;^HmjMZHO)HdxR`l=Ka^F8uGC0~_3m+ze1wsz~w81PL{4wx*6>>Svv z!{6gsmI|mv(akHqa5Scg7gC4Bn^_VnuST9v52hnoD>uqwONuu#D{!y2RwG$QDa5d- z#G7+YQ#4*jua>}i_l2=U)qIPR0p(b+^_(`QBy?Jk1n0mNK5`&~Y$u&Xovtee(MCNN z98y4Xic*5-ps4}TE|?FDPBJ=zYeX28a%n+TcgCNW)Y|edb*8*K$byh9*b3V=X-pNd z7NTiF1_Y#+Lq_7LK#uxgHtl85w4L!WBHCTmns|&@jlq%7$dE2etz-u#YSD1J$#=J z@y+75_NY*#t35X$pA0*OKw&jKyQ+22x+6$@n>!AsC23NbKn)C|q!`{ECxz4T{6idS4n!NRQ}2-|lX%e(he_e zn^>{z#bZXqG+QmXurS7_5yU5n7afLKZMUUjBtTZp=T0Q{m2lt-TKPlkc(o3ONb+bs z*n4I$B$Fa9hh{_tC8B0k;X~U)9N!MBhc)CS;?)p(vue(&CD}a8(+z5`en2*cE}|Mz zn6B8^P>=@`h(0_=8Z%?$Q*$Fa^pcB2JFM-BbTlxZI2EJFyRf$qy~!A-mX5mJ{ECQ` z%+e)jaV!gy$D7TpwO;D%f@9r7Z&fb=tDw%-@~9#jSX!LC#$;jrb*GbsoO6NAUs8g5 zWZ*v@P0C;TmBl!@heVMyBR#xqe?b!4d*Jg2+Re7O+R=YL$6Z;nr>uX1hnIbUH>vN& zVR(zD!!lf4wq!{E2@23;h58zr*gx5Yc>jR$WO`r>uW1H zG{*t%A;PP>*2(fFnenj4{Mkmc7e!-jC1hc;n6S~-7^Q&sBQJ=jI7;a;%YMVb4qB1v zg*sAaWhlPs+GX*DHy(dSU1oYIM=N}0A{1lv-gRarjs0$U7&bur$~vWcVBUY}$gtLTeOCGnn1uCm>p_TAHJ zv3&5QqqQL~p$DZP?t3I^O9zhZt}Sl0z)=ZY5ET`Fs{#*x94HAAYXB}z+Z9r@W!sko zs;`VI$RJ$E#~42~dr_k5u&Cu0^A)#@d1AF;{4nZEMS)0S0A^0?g6wyWm=X1he;_)& zIZQx^&SMtlM&=qwXOKl84uA9=s03!iGjqXZvZz}*$*4?{aM);Vbvh{RGL%ow17Ip$ z3sKV2Y<*l^uxDOmUl*~mtt-IhTxP2+iM+NS1;oE%4`e`*8qPTXXoH>8l58kNtclA4 z#OaN`>(bbwI3f#@d!`FYJ7W;a;-=g_X_Z@sv)khc(g~uoXN3N)G>x4w251%tCwMdi zO;F0p4yVtWruA(Y%Xws$5pjIZFV&8rJ5e16>>~3-?NO2>hBj)={ET$c=0@J^*9xA zKP!ocvfu7KY4{X~h10EBR*u<_Qr`?BS$IxAGmu$SdWzl!Muo=;@TQvEx%&SYw4JttRJqfVl}6yMu!$WnkJwF z4~HD=TSj~{KA2u!yhw4=xe}V4lJhE-QmY^QFb*qZ@ewg{vhH zy~EY>!S_KO0aTi06s2S`MKa@(&A*uDI4Fjn%`v=N)u_gto!Kgjl>a1RiCG>NN!o=H z3I@56tQIO`G(uAsw4q*nCPhz7=G`ax$%&l%lf;qfI5Iq$eG z&E10yPMsANR<=fBA_Oavin^o+_DEb1HoOOJcHY_|x^Ouk&8e4PmvMa?r8WLR<4 zC6Jo=A%?LXMWqP~tGYL7HQoOkX$fEvVPiuy*(!or50hX=-tmmF#M}?CyGB68eTHh3 z^n8OMPYn%(#71i>5i>#yuK^qe`$U2h|9D|`CNlO@FklYlZ)SRxiq#7Mkv($==Va$9 zBol~a^O&A)xC&~T`peT-8joSKQt!B~;0lS&A~R=EzTQL4PZG*PnrRl1xqQR0+_Ws@ z&{MiZ&^0+9kyUrd>As>H^1XH7#VgPc53G~breaksVj+DpkBvEz(y&P5MDPd$LT0pM zsW4um-|hPhFYHZFftJYy6?$MQPnqzw6LGQf$@UisIT7c;RQCneFckZpNg>1!e0ML$9CCwCegez@kppZ4MKlrkNXWr?9nZqlW>BNy45dOPf-2!I>=n72dN8C_~&FUTU>E=dyBn`*;Tx(OLw6?YLuchNe)vA@H<0NVFo5~_o-Czlj zTbmB$G2OTTZ%SMWSQ+ng}WCXYkYZ%0*aYWx{fns0B^%K z86@&{)6ytdF&Ne8DVJe>wS*FJ0EIAao&n#s2C?p;Zw91{0GYTJ9VObZ$h=7QJEc3u zr65D)ZAIr5+5bb`I|fPKwfVYTwr$(CU0uks(Pi7VUDajVHoI)ww(YLn&-2bc=RIf7 z?0M(JiHX=BbA8B#ToIZ7E3f-^FRi|J{#qrfXY)Zy(S}0?yj{S;ansC9_8yL$sF+#m zLj}P}_zs9zqe~ea#IBU!wGm})dh6;OgGFdHZ_L+F+^4D#AW532-1B{ujV^_D&b-u{ zauE85bl{o_HX9y4l-G}E!Y;gyqc82*;Jlw%JrX&$*X&k|ES&q}&yqcIZq!eHwtI-{ zXH@aWyMy_YDWohsR|l-?ShK4c9g98T=ODDedFmwgkP(C-ol_euYS*XM=Z|5yi@}Ei zjNvRw>&dOg);?UMw6_^rBDJ8)MkR@X_}^P&6V-=ih+gGTD4xvQI@;E)lb0VHVwtE~ z$5hu579Pksl6qAP8hL05BFDdz3siu{4t_`2{`2%j{M|Emlw{7(KV$j$k)+&`$U&r7 zMVZ7wlgg#3>k46zFtM2bi(Yo72nzcfmae4B@9{w_u$$FxY2-1)CzNWNQ{hk+q+|s7 z7d4)*BX|r3k>tvHtBlWsd3R{%wyvr0!vx+Ik@V))=eXVC4P5o2L$}jjb5$JgRZef^ z{;+%A63-3nc9_*o;*#hadjj8;S7W(I``^q64{IS-E+Kqv+?|0DSLg(*6)c1m7lR$0 z0={`N8i(J^n?$G((<^5I@WR)9iRSHNy!oukgWci6p7;tgRqZw}iHr#(TAz%dHZnZR zwz>2qgp0r!jFEUMsi|%v%e@jGbJ>De;b%;rr+c`?{V`$cB7@#xVMV~)tlRq$Wfp^| z$yb8{d-b0s1Tg%CGLGWF_P-H=6ieHFlQs>DDA~=A7xr~_%9e%{GY6dwoPsj{a7XyQ zZxp)+jt*Zb6oP#ym8Cz*pYSyKCY<1bI6BC;B*MK5x?g}yVa3P8DIh}evv1QJxI#5+ zVZL0+K;79_(auB0ZikVo6+V;!16P=js1*?5Q&_MSfE|zXLvFMR)%lc&E^A!&KEQ_bJ3ou#bTq&x-S_~`VOeYO@ zUP!|BXkia|oCCNt@w<@O5eeHc+g>TqdFMl?8M{0g+*~G+=r5R!2;e*Py^hdR<=ZS+ z%i4v+`50DEQYHw6O+fARxeBKZwh9*-aRD*b&yf?!5U=hdG_1uqd(_N6%Jy)s%uiHp z%AWxe*z|M1Qe-4^KKM85@Z6EBRfNaBSGS<3!S0u=3A=TT1zMTwTr zo8p9sm3QT__c~g{z0riLfX<^=C@OfBlauC|FwrhZN|FnAlP`2ZT2z(L2ds7MM(Se` zgngZ_FUo4SfOs~2)J8aLC+402O4?a-?^3^=YL@esG~i_s?eF5?#N+kUfpI^`k46}_ zJIlB#2|-jvJ$cP>xdwU_ykjG!F*AdFceF5SJU|f-5thYF(=9BBXZqw-6(=`{!NF1i zUj>M=7TSd%#^c1BuP!K-pJZo z4*XEG!Gkw!VdJ3U2ue@T;+P|d`^Tl^rsoLwGk{?sW7AlzBiUOfK8l78w_{3VJ zX`?Kj(_Ljw@|&TBEuXop9X_RQJw2_xN}-f$(V3*l#`05Ushniu&kMm}6$!wf`zZY9 zJ|_Qt9~Bmn78=j8i-Y?$du`?0V2ipF#{JAcfo+9Qhr7VaTQ3!4syP;Lo2;~Jh#Jsz z82DBb{TAs5m}SsE6T`Oq%=|_U@OgEz{Kuv#XauBey%73}vLSa{p@QESE>-5&n~_AX zKhb#UVI+5b61&Kl7C=j937ywP9PN#`kPWm#$O_DTytgkxU)r?MWaW}ZHaQwtY)!V{ zHLxpYkhqnC`IL(#l=zg1gbvGV5q_2nOWU?X3zZTj^jv6c8-vk{IYnaDQK*vl9@9AD zjvoL@&v^0d=Rd2lLHUi+R_=+|;FMjkm7TN0y_M)t$d;kVku74v`4;MO!(~WIaZ%m@ zROE^#jxxB$PXnT?tiz)52E@I`X`C^}5#iM+)8ie+ELT*6P@Qiuu5ZA+5H z4ner7XNdLEjpCu{L`DQ`Qj!oqi4ML|3rVKzz!D8(@n^)r^M4-+SNHJMtF-EktXL;V zH#YpBe*@CcZz~j;LvIblU>YZfOsYsAfsfEhaiLlnRy4Cahvyb+kiB*AZ^^vP$y{ep z?bDk5zDSAL)_$WHngjR=;oSrz*pI(NHY~LI^!sfzJa;-Y=O)i&@a(dj)coGE4YAU# z%eQsY+rz~+dn`g`8`bwZ#^%!H>%techyRU$XRXn-f(=>pCM zUd*oRwi>2GS(RhU{h0Y$x*FlaxlIuN8^S4>pPsvnh$;=H?>C$N>`&8jg^v#V3jU-| z%E1T!I#!a-WKm+TTsdJT@_+^WMV^IhPjt1SvK0X*+DW&whJa2pryR3E=xbEyIp zko0W6+uUvlZ0aR0Bb>wWbz(5iI`#>f#oqesB(ovE9a)B-i%sCWN}%#(^qxK+_5}bu z0pFFaE(=8DC|zz$#b>3MDdgHt58fD_#;*_af2culH{_#tYrZzVUGlOy z?8H+0#`fOWpLIlqUGZLQ1o<*}B{-4}D{1AimbVU&;`2n@h}byJNaaz9RX@9no!1GW zk9I#bkrCSm22v1}3MXB&BgxM|uI8$@F(XpVjm?h_U?yhOOy60&fQDUan`VW%^|S#4 z$HBEwqvQ@3JddR@(_JK?=|a<&nVw_<|I8koS$pb7~UfD$r+RWX!qi#UNJm3#^cYnoB9Q3KQubdeG?S zpfI+eF($MaFeAo~JNB<`ZIn7asolFC=EUmdf8WnPettHwyw^R1#@WowX9SS1E-v-k z!e4E=_`0Hq%A#Tp1$Y#}iD+gT1!HB+ z5X2h|X4D{}q{=U-nd`{Q+963C_gaqdAx}xw7&JRJZ-LVF?z<6$K|yy;m%~%N3`Wju zdt-NX`c1IO>CU8pfc4E}sFB+A)^&Y-%jT$kO-o&=4H&YR5tN2=+#V%V?!S6cpFs-m z+oziROxgm~@N*uK5IABQMg)^U2F~2@1n&oP`qRIK6in`zKUkN@FSsK|Y!Sd<-VuFq z?y%8PE0g=(!4k_)qhS z;_&6DSb$>=lD*n`ijbGfR4B~^u5hjpUlJu67YB*w zl42zK$vPusmOe#I{=)O0Um%TFOD_b<`$|D>-(A?zesa1-5- zctKB6H4#7$x(LbLI^Xufw@cRLM2)QEQ5$k7?dMx?tTNcsI4yHM9 z2^~ zZSXO@X9|z+4$)X+KP@AN2mXu}YPY$>6_2w48;?1IQ`FZS9oHfl?t4Q2+!2d$!($i{ zF5=H{+ft8l3nY0~aWs$B#L(gLY#loXK>Q$Js^1YZZdTs7m6LcL%KWgKssifi(I*VVa}1(C+x?V|0KFgy;{KY!1%> z|9)+yf^*qI6ydyIuknFx&vO}d`$}kp@(R(e*T+aT<(5-E8|chsiK3QHz|=#`bnvGs z2Zi{eWNQm!CKBhzoyX#>2?W)DY5%dlU8mYpU)Ap4IbYTqr*tYfM0d(R45Z?+sF?YgFNLb+JViyrnkD=T54L3M8KVZFSe@WT5vIE8oICVU z%r8fcma>at$f)!W+!=d`{^h01S)YVpmK)a2`I`j|mK%$; zt{07!sbh8%pe+h(>XW}p$LQ3ji~e1P&iXHkoUH#Qa7<)&dkR3SA)mD7&I|0^L+*w(?w*g@;B{}LE=82+?ObfWwR zL+4~+Wc?2q`rn7}eNF& z`cQW#R4R>AMC%h>b*^c3lsJuovOYaEAM|^@<@lR<=%^7K@ zgYZ1a^l)oK{}25<`MmPLxsS<0>9mjA(9?s{U?fc$0eOq9JoIc+{nrPR{91JtXqb`) zDJl7H%v~8?aTvihm7TQGo4nn{5(-Iq5qD(b`I#8#Uo}S=6`ScLRs$Srw-j1Qsu8a1 zuf;m{<1FYoFQ&R5yq`!TPU1^qz`p5*`r2rS^i1CI3g+_PR6<-_UDZHEWmTUe4-Hh3 z@TZ>_t-Sk9zWBvw^u!4+Gy)WoJx+2fG#u zGE1+zOp9-5b88U+{BGU5-8ASzR;$2u8VmX;t8Jf?{N}yoMITj`scmah zt;%Z}Lb~7I2}ha;qYznL{fn01#P<@407CK5gHahlz0{%(5yjT4$dbmu@AhP1a^Sq( zf#?^J4p^m*0jY}WYd;caZo-u+9fV7=GAKBkG7tW}QCpUyK70IQCkur{XIX#&XV&4aq-ENZ#84(z z5T?))|A0DIQ|#TOPf)XKxNP9&6hTaG8E0r~;1u^te>|VrF@XPRVT6AS{>IrB)U!=8 zIo=zvZ)YS>66>CZW0>y}rv=1~ZKnXKgNAafeHu}#A8gn;22!)DTR}_#%pwDetC)Z7 zU<)SNoLxsr3RCrJ2Rd++EvxH5jHr7=s^L=bKJ*jTK1i*X1DiDKHz%1x>oP~l@5dvUq7EvSnHz+X*64g}L8 z+H^cG<`Ngzv$4YlQs2((3W**fH6`)#K=0-Ki+E4)m#!#4ihI#Yhs2+wErwVuXfDUQ zf7KkySWuLUSZ?$(zWo%ItpKfiR;g&+4v|#3o6W^3qgk zD;?QDK5B|-S3l4Q>29R43;+_OJq4HsOGQWzsx}F6*ES>fSVJ#F$Di8*s>t4wgVu;O zv_MzA(&t;_dA+oL8Mkeqku_FqL`-7_-RPJWkrv~5qc-kmoFPk&AQ?gl2xAxo9I@OQxT#Os`rM0!$ zf9cMf#4WJ;LObG?)kC3BX@fP*fWpXzh&b`-O?Gc-Tlull`R%v7 z7Q>Amk-w{cw_m5#sq8kA*^A)qfaG?vwoHKTt)~Ue{VYd{uq>`JpfX&jyBAH#^GBW;QAnA~d*OM2ey6ii9Up&WHu%s-r`m_!S*MZ249P$Ph` zu>A8#f@(*P>nAwb;u?GONBiC7Blage@k`a(2+1U^whQ9Yq0^}>0VkB=m^;9=z)z)XbVs^fN!CJ`PrRA=v|Q{3W_$wI z@hxGmpAZM16C5i}dLJi_D9{O(nbZP!2`JXtKU>x%3(+hejVW#vK-W#CT~Q z%QwgdaRr5_v$N2eZ@pZ8Fm8vdtjc_cE5x+O5+rH2%?A}Ld%eFuJY)zic@J~==fpe| z9ADJ&lg)ZbOO3aPt%cV`G@t$pS#{`w)=^ukPeu*Df2e~B;>#vfyCbTMD@JgkG0$|b zNh~<5l>;A5>1IB|3_?0A%KM(P!u+|t&H-fTRQ@hvfoOHg`DzVtrY-#4k~N%;`Ksdy zsP=(eYxV4BKh^RxD@!K~^a1|x)Ga*HuOF%MdP(Us=B;3IxSqJW4!_>}U%Da0^aH10 zNt^a`uedg{9eiW;446D{PvojDDTl#{;VDRj!p#su@pnerbr6r*k z@~%znG4I3KhMZ^eoVEma6U;>fmi^?0E)?Th;EF>`JuT!z#2fz27(+d?f*&(2xOoCQ|%{CwIae)s1JxOB4qdU3*f}+gG#{}Gh#1(gT)$sfW-1l zCg>HEp1}kO&EpyuWab$9mEGnDODaMF>$M^`E-3CC;}2D8F87&*85Wpy74pK@FUtKe zl)56qqMu(EYeJmGVkm>#x@Bl!J;fL=6U$g<`idk&I`C&OV7^T zKL$oHjmBGKUbz!m7eB1O9zfw}2)51QTD>zmBiSopRdSdIzt7A1G>tAG$Ryp1AAz!W zJc~MDQh>_46-8+lyYBW~h?!HkR|t|oUTACv6D+h&s8U`jZX#Nt*7OP&Y;*<^jG%cQ z5T|)v`leud<-e`-c|*l1F7~*iGIKJl7%!j2M2&Adq|EDxW)w)Gj2m<}t9&36tzeIt z;izonXsE0l+X`KuheVxSD>5(xG?n5BcAGNCvWA=aL~s~6h<+5cVU50AyL)(PGsVu2 zH11?*_(tlv$N6BJ-9@ZMv`u^h^%N4-vN8SRB-#y14z*D03M}cnc|h~cZ*TvdC1hvu zq^*mi=OPB_bJ>C7>dOxHM81HFqAEH%88DUHUQefThqzwEJVdc($}F`;O@+yjr%}>$ z<|7nA8yD?~c53PlBeL!T;qOUB^`dQ(W$deZJ=c%0lE9#hYgL?~+N6jl?wj+U z#qZJThKc+`OFIPGSY~A6lH-^^o`YEEn+=R=`C?_6HNnGVpZoYmuOx}L^9VFrg&Bur zP3x0o-V$Zr!evzJrExTpi5IRW)JUx4Ki-ZNx=nI5#ynd;Na}StZ9!0Y$K83xUI{N2 ziw(p?DizDIeREs5DaZ|rm}f`EBjVr%l@KtCo_jkAK=ch#t9`#=8=p~!U>T&^5EK_1 z$p5g|wFl6V#Kn=ybeZ~)TwA!8(Cq)F?iSIJGi6%wMz1;$9R^LirImKOLe%{-v9uo9 zu(IBa*|IX`uxBB#y|y7$kRKd;8_&x8iMJ}byNmcag0!j!`<$e!==%%PRQ~~g3}AYd zM>lLIu#)n3~abhLzx+@u6M;j_a zrgAxqmMSPu%@~#X<1=6M?h=t+n!XGm?(ldk$Ev8P6~J26>_jV!FbZE&r(gp$*SRqk zv@jbx#xK#6+jD=Is9=<~9J%*!x9Yscd%r_l@*K%hzdMUWd(9{+L%> zDqe`Y_$K+oO+r$cL@~tTp2%5QL~l}uxvIf;o=gc`F~*_GOpYP#_h8b#l+fBPB+1X!>L!hy;nRW-{nsF^#gJOx2OgFdmb}hZ!BRnEs z*+M0t?aVP;*J6>+C|K=$?-AIxiCI88PmfOaVQ(5&Iv}F!b2pa>6pdL5uw4oE!%^i7 z`pcF;Rci#Op!>>a0HP$~u)|nN{(2m&3qyd<#^$P=Sv?Qua)F>ppAkR-|(E4iqO!Xt)JRMvNt;ef)Nf#3y0Q0de;Y=m;0ZO)s%Bf zYpraV7$x4`jcs9Xe)|#(_7D_`^~Yniz47;F|O9sqSWBO zU^E3`Y#O}saU9i79!%o8M~*EHJ`zZe>o&wZt!F4Mzr3~{UTgAIva6kAg3qDJxnQ=0 z^<{mX3zVWvMGP^or5Un7s@l5%*$_G!C5!#eZXsLqS52E5C(hsaDr=kG~atfHpZ%^AM@@ouC3UI0Bd zxl^`-?v9(Ds4V%3$^h5OU}YZa@!+_%_(CVs?3$BymYdz{T99pruavHXpe31Q;eFd~ zq%hUdhR8$0?h^t^;v9pYX@Ai-x8rs_(>TM*tDXy52Ii1&!D8BrMRDmn7hq_vum1*) z$vjzyvfgaAo%-kr6BVN`#~4G)iMW9a>e*>-LdSUL`5J*P@frbDRbjVepsXBYP|(1$ zW?_bnjTxkz(cN2-)yuWsw*cXsfXl6g7l~p(3?LSiVE*wSJNWwq2pb z_;hmLYaLL-`xOnAz4Ts;ue0raRGJg?tb4pul@+;VDe%VC*U>!nr$-BK=au6O%Pfzn zvjYUOxapaJ^|oXI`!Z2u9W7 zjq}A4futuKKJO=`ZNkFqCHy_oYqh(*;PBAP!AAceP?soOr`ym>J0hKOg@hcpbd}AV zGd6fov3gDEsv$Z?;5R47IHp#sQe)iQ>+e?$VM^E$;^Vc$HLBkIv=Xu}FD_ZSL~a_Y zA4qzrIWIhd^oU2?J_EY64dKcvI~Eo6V_*~u9zS6t?uTFO@W2w|NBz=9(>5o|4QD~@ zX9+pjoP+IC-=a6#DBE($ESusn)DR2V&1+N2VNcv)O4Gd5zwT%rL5?7tnH~Zo?|Os5byMxMO%^&{@KrX+s@FyqgW)>s=|gs1pyS+MUXG8> zR0rS_YTdoI(7vnA?}<~3T_J;FE58^8H9wCw_r!YnRFuQ)zOHBH^Yqmh{j`4i($(YxhPTI_6@ut zqf$EivXw6J1P?Y#J#zA8DDEx&-66dqP_#FN{?d{_bi$0UohFzU8kZhkTB~!RDYDi1 z9C=B%*t{951p|ORUnqyS7EV3G{U)w37Fi}TX~S3kTj+I_R~mRN(Txj_^-dfm z-^Z5f`!rp`Ji;$rZ@Ip++J4ZU?H}08c5^Y~<(N6W6qS~}gZ{mpm2eBTfsJ_i@dyeVY<(x+}kJs}jYZWriI2`w$cetWEWpN=e_Pljrod z9lu>TlxeZl&7YcyZ+xP2Zi7J(z{Bg>WT{(;t*et&VbJ|)?GH!NbT#zl!1#&O*D96g^Xf=${zjeVRf)@@W6b%vFgsC z`Pcb{iQ*t&+GmhA?HKRDepkLYEXh2xZ~dZ!S3^_IM{jduV*!3wZSvBgNqbo-@_60E z-d*8~`Q7KPWmVKYH2_e3E2nS9D7g$O$cta2kZ^T1Osh2FHUsJr%jn??Tc1EDQ|s(!tZ9JP-RoAxTQ|M$UZxSDG_NAYO4;Yyf{&!j%Og-D^Vun zKOqc;sCDs9Q?ysarXneDz@HXaZjN6(rvI#zPifhEZBUF?^m?&DVJ}yZ))sV{Ahflh z!%@nsFU7VvuU#^2GSZ;;KQEsg^y)epxaIE3T9hu5S3(Beu1v zO<9fx7Ba5~r6X~{R6kha-yxG#znqr$0|L1RMTq#3?mSXGs3paaYsU;vLQn3G&_Pwv z%Q%4LWVjXztIAegv=8)UCp#?36N$0Mil09YqbvP6j`;~155Ju` zQtn=}ed-U)QaCb)nSlmjT>s=jY|X~ZML(cyi*ZKAWlI6(ZzRp`5x2A*^J$KtU_A3- z`UWoN&>@i`+7%uC%!$55oBqg$RZMtKLmfR~Y~A&dm?aLe@lHW&H}3ZF6*qUFDxB`G zAkA~nj9(Gm1qB!!ePL>QpA1!;S-Fk9dAQg-e0BmFDR9v7C7g(q$h*3#WtcB9KKsUk zS5yrFekYA&XmS4cp5RH5i@T_k2|S@^q%s)ZWx@8NB{JtSkbs6*3ulgrMI)Y9ir>H0 z;i48i+5B20!M|x6)X`(+Bv%TVc};!mSpCs!A9XrHoqK-L<(6qXV%&Y4bS2#RQoNg> zRarw?gp?)mf^5xtf{Xhac`f~pn{f>{^w?`3(l7nkTem5l&8HBvW*HUj;K>7DRfmUj zvjj>Yf%8re-A1dWDh`k5g4qyCvIvqbEx#$Dei#@(?$+EyV+q`OC32n z_3P6w>Fu=}3Mh1Bhp@*H_$+sr#7^?c4C@weZC2rO^B zs7(k!pcSd{Zbz9dN#c?$AU<;ej_pF1BbF2(4Iq6AnALCGlipsgUSK2|pj9`$_rRX1 ztU!1~H4Uv)eF@ep$41SCT6DyeVH;#b_-UA+y1VoXSrWtbt+c62eE=!zA^run>$H5F zVO+^iWfT1i2xwQm2*J+(6M%Nl)=0IXx2ObCqh&Ad7^E#cSP{*6P*D?O3GV*l5!BSG zT~e2)=`#^n&Ej=8h6Hw>AUsJi&PIn+jdqdM%6&Z`-z1C4*t@Ob%EPA7?dWy;n|#>m zLEDQGa-4tXlo>%IHY7#=e%#XJ`!(%OqjKz6U9j$nWvL5s1LY#lR5EO&IiM;VMKZtL zu{-q4!hQ>~^vWWH_T@cKi(!gkY+086u0)xUROzN27Y^F^R%vVC*kerW%3=~blZiz` z$A(^!D)9*7!`WZ6TERvse2)?X(FEJ|u^-|-xK@2#%RJINJsfWz87(SmP(2sX3X%c0cBGM5v;%^j)?!x>sqAhR&DW>4Vd%Gs}d z!b2+)Yj$IWLY%{#FM)|=k8RhD_G>qS3vU~!sKVYyH75=rNUb`27UYVJ5b$Q9d(S`U zHBy=>U)s1g1|e22RZK#Kc~=nY#Y&db>t0>x%a{Q)oybSOyDLP&s#IHCTE`^f>=K2A zbmZn8@jF2i3FT#@O1>kVgE_>BOm}a&j*OZWLDv$)m}4>BIx;r)#=IroeW&;&mZb{? z%D0+-qGfzA=R48*73Bgvs-m7y9)A3QIRtdsmw4XhQNY?67pgdHfbKXh^8w-+Ec&jm zoODW(WP5ghL}#$4O6K|yZ(r_q3&94+=m$ZqWQ?J}6yLoriip*AWpr8QdCp*;?fl4u z+3rHE-J9=-@NxqqDtd^(lyJ*;a`@2|9$H?`2LvfTY8PsyL{`3!Uo#Y^&|IcX%7rVP zN3*~4RJ9*eWEryD_^aHR&rJs-$8_T)S$WJ$CI~OR;$0Zpovnq8R)J9s4DZWK9M=^M zg3mN$izE8?`50kTDTT#~>imAtQhUkd6Tb*4M|q7iZn?(`!()g; zautto`teraeSQtKgk>R^7i#kRETf^g5|o6mTOnS*T;(Q0I;IQg8gqR&U-q~V-54WG zn^8D2_H%PiBbd=h{Z%>-5Zi$O{anDO=f&Y}#JZ*%1afhhL;8lf>oi+jGR1NMWEQg{XtG4MMRxNsn6?*7+t zrf0?WrHrtuT+3q1)q5rim<%QH;E-AqPdljoc2=Lmfq{DxN9fWh60<}dpZlz0aJ za>E;S%Zgp_FJgKmhHLg0LJ;_Xe1G)~YzJ1Bt(0ISM(+fcZ{WEB8_+z-Ney}f!Bfr^ zpWUm79zJ*rh7$rpxx>IZ8*Tj;duN09zrzorl8&Dgh%RR?wxLrdDp-cDgw_DsvAxbJ zu@NX{3KM)JX<*&zW3`_&IpOpS@?W5o)(o`eFGP%OT^jp{+6pPpIKurMH!{HO z-IspkFlxgSFZh^?p1IM#wh>T=Yr=W97UE0$TY@pH4CgfA9L-^xb=Yk~myalltaW=( z>V*Vn%E&ZLLuSVY>o~u}z|S&t^I^O<;6C*|uw=;O(ZfPvv-I5YcnJ^Lnc8QE$sa2P zl3zV-vk^=u$E7WaMJtyxA$jZeVbW4ay5}evi&n>-!OA4ETFQbl;#&E&H?%Dej$K_< zKS2hGr_N1wTyx-3FKsY^bBlSH>2D4}hM1}tl8!gD3u?h<4wt&Y@t)d*NFIqe@hYCfJjV7|eK*^oW&V6eVQ-AIH->wfA5+^5u?+45Krb2MMQD@_O{vuyJWu1ye+d&9VzJS_sE`u@sWG zu*a%`!mHn@uZr`L{C=akUrGrPlhMu~!lszoUyQpU;b0wCfPHE7i^*To+@*j;1KuAS z*7l>v#YEF!d0yKnv0Ls_Xe*qo1dnYrHPo>?0aAFa?>+b%FQx?FvtE<~HaU7PYQYhx zRe@%+Ita8WdfH3hKvfZ}&bxVEVA(2(0q@OnfW6{oD*w&$8{;j9-vIa{M*ju+C!E<9I?11g=3Rk!73C+0AO}K&&q1Ab&DEGuoHXb8z;RZ%dZ=X}jB9%3oNH zBiM{rVuZ(?HD`bYxY@#eXLBfB8xPhU0z>=uWDAkG9Rhy3{IC;#*g64?K#y6|C%d@t zizlmwh||6{Nr9DPG+dko31fq8Q0Qd*6Qw|;R~CI^o_;0=x;L6dLKYbuaNpJU39~sG zQevYtZ@5|J{)DQ{8FnQ1-Qo{$r{h^xjR-hT1)Bue3Z)Cfe<109bI=ZJ`FCjr+rMbA zvHhFD#`d?tM##zbw|4fwizH?f_MfI=W@V)3 zU?${Zqi13#WMN~b=VB&gVq~Rf`xiKgEEhc&Jrffb2Q$5-@ed<&8&hU_fP<~6!w+lg z9|l&&^x{@OOdbEDh5X-cn#ljL@rZw4!~Z8u;o|xiXo~GRC%Vsb%}xPpsq(Gdlvbim zBrM~l%>ETE02X#x6-A@UoO7=HG+Yjx6|%hShW6KYFn z8e8AuESuuW5G2}}c(^xDN1D!r>9igd(cqs-bg{r5N?N4>!lQK!5OUegojotM3Nz!b zRDnr;)Ea56^S$y)Bi-(zB1#&y_dPZjui0emFV{<-)oqqhT`zVvg46oBU^id0=l*Dk z;+4wR5<1_UFVIP&xr^7s-ta~L$HcwbwaKKitiI(B<2h+f#agLyK0dym)n(QSzN=0d zIvN_4Jqs1@bPb>5Ud6o40f4v*P{}|fS!>|cS3LD^88Nr0xXIPLQVa&P$G*5t)sAGWOde$&g6O5E9IAcwTKRuvkxw zt1=R^{P#rV>*4CKDA|<^)&QPju)>#!QCdM7)~M!27MCD4gqxW}6C$eEsN5FdjI|T~ z5K&D2-<+CV6p!HY?EuqY-Fsd6a0oqv0}Cb*w2P8i>VRLSP3?|+qj5cs(kGImewC#| zjW3rFb^TPxv~NSH$|01O1q~l{Yvic(HU52%hd70^@+-ds?-nB|ehf_S^79fLsW20WovRs{nZ_vAa&Jq50EXQP5olI}53%Y-$^@QleCc3D7L>*{3@zgwTEc)58&Ha#x5fhe>zPZf#Y%Fg)s8) z@O`k&M^9hzjrfHLV-e^fHqMDO**n_2O%n{XF z-sim5&h3=e9mY=JSK*KPKk10p+gAwgsgr4e<#Av|XrLO(`c<5IaweDL2w^qVx?N~J zNvv+@-;c62QZR{(m>_4|_3>Wrg^4>WfqWD1>{D~41O>%7V>na&zM)Ug_ z_R#)#1>r~$Fjo52)YORiNoWaRC?k^ImUn_v>;t`!fpfnu#7-leJ=HJgbM;iA1qjvy zPp)f0RzNe_h%sl6rKq=15J)1XrD5xWS1wfXBEjNIXnDq*3MPPBhaXK`VSuV$)(^Gp z8%{NE-Bp;kgq|yG(vZF-+HTOUEL;K(kF+?M!KmSf>I1crlz(94UT(=|oWRo_oVif({BiQe ze6CEuHyfF_NHseX1G3+mYmjnjEE^f<5bfxNw7N&p88Wvk#x1k!4Q`*msT(?{oV=Gp z431fwcm*17hGE7LgaTU%VMOjM_`+kyJ>96#*F)cRq@Ef(@TF=gX*@E!HR@qTxiNUr zVr28V5oejJ)GmqX;y|S^(nX@8L0gN96M)nV`|KMN9xz(XBF~ZUrS09F$=;GoN(s7O z<|0L;MK=jq)Ck*xhFy_<5dGOkl})xBY;8{(A1o@rTu*y6`+QeKZ;|&GJ@Lzd#X18lrq#yGzt6uMg7 zTe<_I@q5gTs5GP)x99WT@pVWkmlRy`fd+D#s}z4Y>1YG)nx{rzQK(PP>qy?mO3S&z zh|~b1C)>l1BP*0+BYzq6paCo{A?$5yo43J^Cl`@4Lax&A+mBEw2%Ob2*l>ARSpzc` zQhuSmh+)}fl4$EX!b*w=IeW>d8W&oZP38y$<%l_lmJmJkl+Dkx`CZiOUYN4M!Uoq` zGSqG0!)@f-gz*8$W?nGQUjxzQI4iK-%3%14BPFqpQ{+?x5Jo3kNEt+AaopK+yd?uC zQd54H?4MWYDHF9c#`f~9C=jqf(J*C)-jww1%JynfMLEn2H)I9bx2h(JQQ1 zE6axf8*O?HwIuXk2fK9`3@OS~2D8U{3W{tmRgG?CinDpR8qcG2uCybw2a;I<7!T$B zkpQg}ilfmE$vIT7HT4_N-urI{kW?%#$%g*11tBpY&&^`yMcr+y;hza0-mdHU#*d(( zIOQpODBoYPp+mWG^T}WiYx^OiS1T+!k^7fXbP5~;-QQOz?A{XIj+vW~fz)LJiip&u z??iLz-`7`e?5a=2PJZ*UW0&pxCaA^Q1HWche6<)(ZA3V+1^k{^5|3X>-|8eXS>%iH+8^=oz ziZj}aLqbD}0TN>M$CcD{5QXx;#~FnvDfbjF#D60Gr7IJenO0qWD&cI8DM?7TFX+fF z<1i2^8`1fpM~$?>vIkhYb%j{xyHD|Ed7$GTWn?2A#>%)1kh6egAhpMIr&{Ul}8 zsW+`hY=R^IBueDxIJB2u+r`$wtdEPlH)`LiDvY#c!?2`=UZ98|%DC^BpZnHNyv2y! zpZ_zzc~*n6cs!2Qr}bG*wOR$6Zc00OP*97aS^Tq{YZ`wenU8GsEksS_9x z%PFfkR87AEC#M5D*@y;SuE~$yYxg{s_n(x|-Or8rEk7y>u9a$%E6BsDc4n{}l5aem2;o0~J}DH(F+ySaF&( zZ*k=?I-*FQ0PcD=jR^rJ$FM7gC^oe{ja)A(e7K(71EDqKNh#dc`ZEliBr^K0YLp=Y zW0=p0xKk4zSeIl}tL<0$gwV)veJ zx?qZ7Zk2fl@VyIkHG%%@ie8D#o>lv$@1@Ij(X90)LIEWhhJAqMvA#IP(f#%=e>$`k z7*MY{A=oyZ9KdR2@m@4V^)Oca$DwrUIwKn`bZ{trNO(e_--hSj&{92&18$OD%fM4+ zJYq;N)CdIo^JPuW4~Fs;Zxknl;O8Xs6AyF%no_~)$8|bIS?m`6PQ|m)#rE6K5KpojGx$ihg~BjOtk7FR{2}6_xch}A$&lgbaHsJ8 z58mDatd3w?7sMgBySs1PCAb84_l>&;4esu4!6mr6JHee`Az0Ah5Z)#^=bm%#cjvt~ z^Ja#RmH)ePrn+DUK7EdMD+owueJ-TQ|xhjqJ6$GgXdEX8QE9N z9Fl`v6GM#X<^49~Yy5Qv9p91M$SqDW@ZExFF;&@`@i2p}YB!icOPJTZT26T(o1x>Q zM9gU&_y$`DWsIfO{pBk2FBvX&3GRKg2)gqulii7@eD*fq@0H-Wjprk8ap3v5x^g;w zBA4Iiy&J&1yyT`u9TFfN<~nGmJ`q@Gh0pkjdf^;7 zrSkUNf27k@I|ecMJO~_G3Bn+#=euWy&$lEWZ5=fZvMjX|Ay~YaRZ?4Vo5g zlCBjMm!LxcTXlrp*I>dr+dQhVI4cJP*zaehux(X|b$GOu7D;q#w+OX(LM@fi;(S?P z5kMALMRj>@t;op8+#V|f}jOV|{uijWRfB(JzpRQua z`G;i#!ma*LV@P?p{t!I=XZRHe;Q7CYUva%bX)1p)o&Snoy^a3wkPbH|Ge{%0{~z(I ze+BdV8&2>qsKmwcM-g_APbM!1DHkg!_rKwf`G15e{h9fHpNqemaPshg#s=YAe>CCb z1?B$NG@$=8Mg9%?{C^+b`VU&r{|5^%{xQw}glTcGvH!1~M%SI!CDH$c{E*IIIS9m? z?0z6FU25h^OoG*vmH2=<#VBnpGw$3?@zm$7zzr5qpS`wbYiD;Eke-{7J6{TzzrJ4; zGQ)YQqPMX*PFKJG3E*lN`Jy1-yfI^)_ffNix{@Ie&+l|?brI1e8y*OslEAy3oU%Ap zYSAzPursf3sMJP}p{oQ!H|JGbU~$b<)>qh0PBXIzbmV}-bwoB8*3BLck3h0KN58M{ zM#L^^_|d+;u<=6H)78ZUaq;7OntIC`<~9B|!||w}?f2hL!&ajjGGb6UGmwCYc&S{( zw~sLN-AF6C1AE;tc2Mj>giijWhTVP-%nbQ_{)_f&o4d7}z&zih`nxA=tt)+F*))qU z_8Mu`VEFRCfYH-H7gZg89pGmEw>#KQf1ru}BxKVluLE{Rlw!sWy?64eY)^$b%l##H zr%nfYO=Ne8vURCq>ic!FtK*qP>z!q6k5g@aX@9ePA;!c**%+pmFUkYj$N z`EfIc7)Uik)T$UYT4LehjuUX)K>cFdlqnO{%y7ZJRN~hY?ZL3PTEv7;Ih&0As#6K1 zsaSz#D|4I6vpZFXvQf1~WhHZdLpjK;H5DX05?rn$PZGvKA?;*=^tP3dRge_T++ReJ z(>ZFiX7K$s?2aV+!W*25zYwD@G$Uf65U2Q2;U@2Hhkv?OwM+o#3P`P(lc&{-gCIU7 zu#|!0BPa<}@-maC^0f-gf|IPGOBGr`fs<6gfPbG^AFJvkU;NIzq})i)DLVOptQx;0 zWvPfSb@*Cs-$`s4BHs=XYGvnLqrKNYT#|HXtEe+{w>a66BvH7kCI-x{Bn}P4p>&G< zWkMrtDXUa*xPtcV{pXQT11V{Wnlm9Kohy(VgVN`6RuY%JALsQ*=Gp{4wK}|rC=lGw z-C7I?GYWnEM-45~#U!M^u@(o5(>Kk9ypw$Ii^rKQ@|)k~L$>K~PL}eO^+L35gDK&f zF!hU#_6o>Kb0FE`On4!^|6v^p!3cLL4c0!2+`^+m46%ZiLpGF)K(a4K;a375eDJBF z1EnGBr-lE(x$s^ufyW@Y!iQ)uT5iXs%=>IISb4lf2s4*Y15Uhc;@C=V8)&(xABNdR ztmk~O6kjVH@pSi>Sw06aLkP+GEb>5)+z)lSDc22&Y!86N@{L$7NM25s zC5UB1F0RXjXQ9tZr;hM7L@>q6flOyL4Ix@4JYu~KNP5ilsw$X2iM4;PPZbnT9h_56 zE*r?L!bxtbVc$^~@{rJi&zvWmq^EvsovCs#Dmc6yD}gE1D9T)4OE8eh4v!dH0Wsoc zr6hDVToA0uZ0-SZ04axmJ5q1u7!eJ7m`>0elvUH~tDO}QXe^M+0tW*Z6bQashlKNL z-wh4Jf}c4YloE-xR2w6fT(I=piE1}vm{!^W!;^m~LM)1*I%ZyjQr0v$&u3xo8G7SN zS#K(I5Lq7SjMqwD!5z9HW};<6AML|L4OH3H4SttS4nW9VBIvc5<>M`cVD!}T(8m5U ztWW84%W2g%Fy&QarGD(o>^B~^m3@bT$^CVRrTrf?bIBG6%oHKS>kKKsEpyA)8& z=WExnzw+{Y`|0^l?Vu-f*+|criw#Yhy<6d9EFGz%?b1oap2Ymx`^i`htvxo!@&&_w z=5wK;mwd*<_#6xt{a!Lyyt!Kl4*LwoSdz9J%=QbL5wCyq<|(V=gE3h;H*A2%ZBBEN z%=!yA_olJi=Ge|s{B1~X+tO=XdSV=oO~GE~RNWW~G`#Qws)0~}+n^FhqiZ?_DI}uL z&o*+1@q>JQAl0+h=ht4vqEGLU#$~#-uZ)rfBjCg|;FzQt4_`-&hY;Fh708JH){{d+UO!I|6G87W@+iy9>gq&9dv0qMYpIKb+BV0L3Wm#O|c9nE;m z2?MW&wqQ^=c*RwqAge*1`IWICzeA@d0h7^QLp-#G*9w2!sHPHyzcAhhB%*1RAo}^H zjD^o>nVV3iZJwHPzEt z1Gg!n$QY3XseIfp{(?IsN>YAHGZTb zj5P+u4vJkZeXi}GQybgx6kAh7a7wD$Fpd}y<#TMngnEfSTPuSE3|&`eKT8=@Vmv*( zLbhyzKVbMZI8}kE<9{lmz{V2BISt$)=dgb`{%WoWbpTDi{@wqZtwYDH^J+z!r6lWJ zYwi12k(Q0dxcW*7nzUhqd4kYYd|#LagFY;3Ls#$Td5?MiV&Z~yG}*+H#8X;KYOaFM zPf7~98=Ax{S}G>*qw?-5Q7UJCKHT;0U1Q-5|GYn%O`z8%OWQOwmhbM8kmgi3q4S?} zFk})&l6Yah_#xJ5cxbWs^qZ*B=-eWv&b@S?(tZLZOI45^;?hI4{fz{VV8i?;|7XqKVyJz{v=K7Mfe!Id197g^%4VzO0jiC4jS0Va2w zcmaV_td{zTO_eiXi_(IR9movtpo{?Z(UjDnj$mG9(@A{ob9Tl-Y$FetoCdg#RaP+**mHgs23IFWlq1B&QYELCQ2tH6cg5Q7Z6nPTKwynBIyEYQnXdnAhIKJ54LR z#j3mEG^aJm`jS-oJ`-OxD+r2VmkBB6d2fjzC&(vn40Lg*G>yA$4 zhGhzr3lp~w`$|C&@mRH28?5Wc`_H?!TO<~Tcq8ke5UxU-`a4yd_nnlAm7Ion2_yCA z@Au7S)@^dXrc2fsWLusV`Cl4Pb=m2P+~*oz#!?#5`4FUSsqoVya=2~tNTYy5$QA;* z(5)P0vVKSZ-kp|IiNwBJNE#g3ne5c=eh8I}gka2vq?w_1{*^t>{xoEv6chiOwgjz) zf{*=7u0s(i+4J_ido;uO3-50ZyaGnHOl*$hR)Gf*{bhm7NBB6RA=Zdm4c2(1IOnDC zE@F0>K6`G`7}Zgj0dJVsW*!5KHl6v|A0H(50c? z7hA^m_Cx}eN}0cZ?VJdMg51Xy0}Bb@+fD|p zeZYk8B*tzUCm6ai7r)6qzovlQrR8H74A=LbvR327JS`0MNxk4KmtVmf&bF2uB7%@h zJ^`IcbYB`cpgtUEC=`MhQ$x)WnhtMri?bDmz3n24x6p^9MXH=Lx+o|=ibP_7R-BgQ zXEeo|WmsfWA0KfgLFYFBt8;?;8b?wmH;qil*L1gurxjbuR||Q_T0IVH5QVAq5}8X5 zkA7nch23X;L-eU%XTT>k7A7KQltb<{*ef~kiD zLE@0@g}n0Jf_L_3nCBoc(vgL=*6Hpo6O-yQ1io*{cA6Yom&2t{UU<&*Cd`dF$oW6;er-T`rM{0_3uMZn4zoXw z(eg5#br^__T-q*_dcBrM5Nc}V2YZG16_x`*#)FdJYTRfNRJU;91FZ#VPf1rTkzKNk zfYf?>0VgR4!PIKp87HMA4a0p}8*$8AC|LhHP7C_|u+=vP{H9~wY$%Z~hSyN7>f;in zpQ@V<%M}%{EX==kur3lw+DijJ5Fb`uTR|Ypju9}l)I4sYyDrCNC)}3%oZdUAO5@io z)B{>J5x;slbr@B3AUF{=X@1tH@m_=VVpv9Jw{EM}G#sja;y$lYo7$)g2o^1Q^?$@zFFwgo`pEf2(6Jw>0&Q;7qv8}3HBek0LZn^{Ku62c!YJAf#^KG{>?)_x# zgggr^64#2AnT5VJmCbso>-A5_14_Qt$HFpbofS_oM4?()xa6$Rqa?;S+q|1BIP#|) zfYhRst5)Xjlu8rkp-jg*h=+ZV5B{C1?;81=FJeLN-R2`ES7ZyJC1_LOK+AXJE~SywiQ=YB#vR{gbDxAb@EoXH!8slj;B#T97s|chlG5{eAz>NO z^f08+4FGIh@JSesqKY}aF1+|9ubkv&q3rR)0%Ua(@0WwqS=mK=LdYh-M`dUY z4E4$$pbThjhgxEUg?@rJ$pJGf5)TX{HEv*pj6hVB>F?7>98RMYcyChy zu*6HTw=~vo+WNxDc{cEF76H3Tu+fq7)A|uK0`mki6XCnh2UkRdwRKV0dXIt7m4>xo zY8Q#nSyWQWY_JekuB(ADKY<9$eti(63qS;=^EAfvNyAw5+NcR^xhfRK=flDP!K)Ge zLrCzmAytUCWz=$pBmVn%xLj|fG7z4j3s40*8ao@iS~=JQltdKN6cr>W*jPXx(6^Wd zDJ$59jY9UuBLjs&b+C5@ts!RWY~|?c;0$W` zmt-bq?BXhBY3xkO%FO}Lv@&zGbkSw|<9Nl+{RRuMkn;SAZo$UFL&^>cNBd{v;U(o_ z0r{zc>b>RP_HTL6f?fYx+gtsAws~v!w!MwS&CLTs-dIUNfoehNHx!N)L?+`RZJxItIR5UrK=d;(Un`@a+R&+j)a{_E%Or2KPw z*g#YBw*7O8-;Tef|C}5PDKALnza9K-_IG~&YnT7bzbU}Y!L1JvHFg2M*#$sKOkQ4E zfVg=bg=ny+-64*fIzy>-Yk-rtWK^UNzv7lncai)A~o6gNyes zF8!Zx2Y`&Lv8|P0Gt8tfR6w(fGNNYU zXbH+F0$i*-0U`hmfEPdvU~dKL!3Ah%WeUP=?E&Hd8-P5(8E9eU;tF&IngOH$3IG)V z=t%;!w*{KJ{!KZ*%?fCow-$1M4*)lN&@zKArVh?PfD=F(0D2&Rly|WKXak(w99)5B zCboJ=e_H=D`?rHXxxYzUpoLr< z2kO4vOrUoGqE@ahN?HXk?;V-LHCH2J*bow1kYP}0YQ&V5KymTY;W<_KydVlA7Z~J<8>uP7~YJ21I+xq3^+U3UT z#rpEa+S2*z;@Qf=+4B6^(%jkN?9YXnpYzkFb5p0YlP5D1Co|*6Q=`X|qel}XN8>|> zV?&3d13yOke+>5@4D}ui_I@Ae{@&lU-`Ba{+p*W(zSrHh+u8Ca9nCxKO*?Ik+pP`T zE%n>Yb>Et5zcqgO)=;xmSG`qNwfUuT^Gn4>b@@hh*?MK^dS%I4dGT8L=hd>J)zZS1 z;)0dp{NBjG$>#+@Y86Z|44w}$S1#Hf1hDLpCMoGAs??npO1sy9|ycV2RuFcJ>B~~-1^*I z``lc6U0r%zoO_*}dO+gj*yHHX?O@++ZwHbtJKHW>n@$^$Sa(=ifu!BivfaX>&D>+CIiDJeS=0leULQh>NV)-)@y@Ar(R2^PE)&1 zL#tL@6C_{MG`^^6d{I&VqO4Y<1QOM1MU`p=l`457oT0whHO;zj)8g?wU#yrP9XqJ`X| z1zaKpT<`Nah4VRt^Vo&**o1Of1#?*ha{vN4%mO(~{5gz#*$jNy^nBTLyjiq7Su{ME z)ZCd=+!>Ty85CUUp}qW5PSe1XzZ6Xoh%5`gjQXcrZGU#DUR*1hj~N6>%5wfBRbV&+mf& z=Iy?uFxx%wOl^P1)WAg_5Nkupl{!o-qM{egWpneqLYAmvX4 z)holR<_+;Qe3vKPhH0oSET{L%F85x1zh*2mf2!C;WNdqW=*ZJc$e`X#EJNoX;*G-j z$mrscF&J0!)f8P&D7$}1l_%v+iz|fKB+v7q1j@;?L?wk(HBz&9aBLp)iX(!a%&$P} zG%1@AIqS3vGmMHBV{R_v^t>ptShh~QN;YYbW|Y!O#^k~L5}&$yT#d37hMFZrh+!x( z*^~QYrq=iZLyMh?>|SvqhgG2Sj=wL?Kt`_??rOic~ie#uzbWFmEbyb}41kTJ*$Au>K67?B18Z}$ehpLZNi=#xoPc^CL49lGI6#FJVaMc1LsEp7u2^yMRr|ThaINKig<~ zX_jd|KV>^TTPa=XaWBi@_TS=^vKV0;d5JAjFjuHkkS%2^MJsjsfpCq4Q6g}VzkBIs zJyAJuX5>gxVqLzK7o*btt}xuBo_e#^PPd&;tc)y62@{;a;>9=@w!X04?&zzgM*;Hj z38MAH!TPo@K^1jE`wGnL9(d!mHAxzkzDV4NJ<{`q+2WLN1iYH7;ZS`z+>KP;qlK`w z!xy|`EIf+YmDjsOl@|GMWfD6MyfieY>~~2XY=L3J!^>q^3#mUylOtcoT2o4_*S)8= z?0kBQO*}Lxzf%JpHi1PCJV1%b@o_yHVm@}_3ZXB}okDU$qWqDc(QwR%gJ3-`%XKbn zVfeQLL*gT+ctlC1%+6x4i{1;t|CE~O!&B~m<@khJGJusV9>lKnQcEcMfO?1&l|Ye> zP`(ze2GKfNh__0nD0CGmMI0Vw&i0*u1ag7rxiT5rVAvU7Tf*{6K^zU3-J)2LV7Ho^ zOCgTehVDtKmUc$dO>^BNP7VZ2(v~6CjIAd{p6U&U>nTkB@OF8(;(pdgbF<_KZe45^sSd8OB;(F zC6MU+xu?5$Z(1Zgp~cyqg1jT=_zekTnOETE(&?Dz_Y~>^aviCnyjV6k$2Lq~9(c&9 zayH^nK{4$Y2XMG+3EO&$^KKcBIp4W>i*>|^@{T!$sLGSHheT9R%1bvwH66cs{$fT3<$DZzB9<%}OK@1cJLkssgOG^FwW9wxbYLaqxEnpgp`?{Z|S$YDFI z5Oc^Itisz71ISjhv^NGwD67N$8r=i{*SBJv2pQDX{RPhu$%!uKE6~625M|EKM2|k^Rz* z?7j~?*D}&1#lgRdP^BR_=7TcL0XOMr`W?PeU(!h@)_7UKR>^mu^s5gytH)=vyV3yc zc6*d%7v|u@6Pm}S7x0)m^pzU74O!m$`H-E5WfB6ZAwTttK>+HC$Q|msX8T9g;4&Bu zL4U~Zw6>?QsW3Q9q6orx9N9gJ-<)PhHT^0SB0W4uJ9T=;2i95eRbu|?+LksCR zkdSnJ$?&ZS{YrIQEHPIoZdbT4SrDK)C*q@7|K+(53^99(0X>K-%<E18aiO_DO zpxO?aV`7Sa@L~7zj_~j3;X)UJB!eG zc4nk2!;ZWsB~MRLX;liQ3~tKbK6$l@0yrUt-a;!Y?j0e@jR(9$FN^I5$iI7s=3gLx z6g~&;nHD962bv$;uo3A=MQ%rt!aaeaDSKhsBK>ng?Og){8yaQB3Cn76n{uPUGr zQB~@>8$H(r*FPwMDw0jM$v93bDy_`7jVy3P`ISbnU1CdBfLcMV{UL=MAoc4oAjywL ze??{)@4K@tB;KIYDLBc+A~|Nj$l+<9iGWRVQ^fD`y@_6&hxT6!L{23(Au0@t7jYli z-7j&E2{5;FjpgJ%%+^&*sW>%XRreP>YBYbKpPs@dUrMyERy!|mYpqPI>5%R<{s>DR zL9Xw#`(!OvK^IcAEMq?MvGnW0nORPvcC-Wl_e0Egda>d$eegK6k5dYW_M>8s_;C}x z9J8=jQ->Vqiz4A$RBChP2Gl@9!w>?@8U~5ZUbBFU8t?d~BQog3?&IFE6CK$dU0T0N zUai#R7Akl-tPnnZz~@-wKJe%<$7a@jWg}mt#X2Y$Gvf2^={&k?#ObtjkG#Nq=QJj^ z!A*s{Ds!amdg?w|fB|Vg@@XAY==;^yJe7JWF7DG#+66>sNvKgLNjz=xbi9;f!0B9Z zKtK9qzF@2^!Stuc#&eM0+a~Mpe3-Q1g`04xOeGSIVL|W!VwWR>MAb+$?&`TNeX+3PA^kel$Q& z;1W5FIF&*lfL5XEN=ApX%`l(u(SwOj>A< za+qI@2(KkwW+Zw%R;m#{kywO3l(zg063p}HW6qKZgT7(1ro6cGJr35G6ur;x=#0EL zl5ToNu$vveTyUQ9fzSSB!wB9*FCMDzqkz8guGF|j!LWuL4rYqhPoFeslpfAgK4t+| zq8>@-TTFb+_s*eE%%VY#o0J!w0Rdpn;>42hIIfh2Dii#i@njxL&U){HTq)#G_&7fG z72`&3VVFqaKZbvcg#GrBK0AQ>B55bGeiY_geh)@Wr+%&G>Zd5^wm2$LN$4z3Ii6LE^VKeJVh!mWJu-DOV3wCiX_cwj3!=jdN@A*qI>E1t#qlv zL{Tb5)!LFM)|Fu`LvC|#y|djGx1#&)wxu7%4hKOYdUhPi#zocHgsnkseLi7XDegK@ z5vJo*zX&mEjhk}3LF`$TWbv&1*JtJ^eMjl`W@jCZ%9xau0yYnnHHKT%x|dh05G|QX z7taa*L^S(RH1r~_Zh1?48)DogH0&IsT0~ZKu}eMqAecM1Lebv=re7MKS)jrVwu+lN zPR6ZA;G8QqiTEag(fjo`u)5>JeM%0uVLHN?$A`#utwGi!9mf}KmwRm7(rgmdPixgb zp)~tbQ_F^m_LCa3(W~K@Gh-rS(F=1WsMqA$TO#}^er4w_?q!kZIwj$BW*$41KWctD z4$Ixu>I@qWBH0paszsWDf?f-B-=3K^+%-stVxPqfH@edQE$jJ2ZJ;bRTmN85uJcLM zFbuej^5rHFr*p`&7^%755EuAV(BXD35YT0)dPlm%i57SK`0PIQB3zZn<)FR&yl)o8 zltC9seML{d0*~Ywbc%h}QB*>lF_ykhriV&^Nyx}Jl=Ny%xN2Wx9_3=k6D#-O+52?} z*At6w+@6OVrK7X>9ayt9DzQq}uG+E=(0|b7YX>cn&jmBa6lSfnse#UPXti;cde!YO zzkX|mMr@mk)3yv)+;rlz(G`cayrOo$#4^h3xjC&aYFGWE?i9pDA`M~#A{7=%QsXA1 zgT61Mvhp{`iN!4r_t^Vco~!;JAn@*?MsG#p10=OsIfRkwU3o?s@`gZvyiJH<6X3eY z(q~nSO>T`@u=0j>(S5h_PE@Z&{K>eOR-9H+UUBa$s;-WuOHnubZfuDN%hQGb*!RnG zCBk;WZ=MRAsltYR5!}Z}ERp_W@WZg4o?AckUwGvT%h$1&wm2qP@{#n(TI4x_dsbZI9hj{pJHj0#W zL#cZ#^6s6(XgvF|so#II9t}`Kblu=qphU|EX9iFkfn_Mui#AIp9#&HzxvM7xzL!J^Jf3M}> z)i~uU)}}rV%Q|ne4nA^Lg8Lh;6u9BE^)WX}{?pW?&N^M?K+O;DKyTF}4tgpo`8@m$ z{t^kDqmpsG03BVOzT2;5M{>a<&-Yf0<`S)Vwt-o{eW6M|VcKSAKs;UER!?hAs;pr3ci<%4Aqo6oZ{IFqp%z9g$V38CoHB(InaN9>)BtxBGt z<N9-1P5lTnQ)rYxy zSwc8Cwie@Fn&b>K!JPCHxy=`vSm>GQBH;UWcy&?3w~{@STIIC-i@VwwyLm_OQCcg8 zi~WV`otgTZE8}LkXY_BxLRw(+#*7>|2iW6m96lc%^X-;6PjoZ0$`9HSjIhdV9XVDB zem>(#f19#a3ZZSs+%aN2B(2Z*;#k};sA2h|8JkWwPMJgg9a(13s8UO_K7S@#b0o?^ zAL5{zhQ12rrznFtu3cL1WiOlnc|@P-X{{dQjUQY!QdG)T{7LST{oE9uj;Lp+Iqh4O zjiHJ#wGm@5*=xmykf z9vk$R-!0s{d*Xqi=&0%smbRi}N|1F!*Vyn{9A9&_F80HDJ<{4vvt@bD`jcm=vgiF~ z^-uE;8g>$trwZlb6{hmC642yQNGZ}Jr87k)udm0Ln+DB9i*;1ZnpvXzN*NVq#%xlm zW6bR8q>LIlGbhEqE)+J^$M!G!#}EWgzojj)ijdZMyoHO1L_S`8pjHO2f{!Ej!5yr9 zcF0`|KHp4jB{R)Y$J=T0Jn=Qh4!=~ryD6yDt7=&ZypWwCIW-}l4)%5!>3IJei4kW% zfXhc>2OUowdZo9$K}J*8)+;Ham#w#RJ*7u9Fm-xyOQ8uC!&%(0P?dldA+Mq+D-((o z9y(#{eBeRFsZCFOP$uD9L5)YXK6Pc?me~QfE&*atKmQ0yr}Em$|FuBx(*p4zw| zeZqkSTvB3(r1v`P3(|g>U3yc5-d5_|Y_^a21F*KVK@-idu3G>s+oM+1Xf+vEGwY6F zcfslVbCpY3(W4eKZ%(9%1pcT*EqZ%8eZhy;6=_uoe-!5h;VOLe_Gfiul!u#<(fDQm zlSr`1*hkoBw16D+pA3_!NlxCYh8{m`&!5t2{CO`9@LL_%r`=$=o=%}q>{X*#@3qQo zt~%mh1(f4h%voE5Q8E;=1jXlPlb5s##8pZrDH~+x5u6d)b|-_&zC+yO!)YTJJnV~= z7Khe)Pv9qrYO9osx7sdJUBGC0Zu0NEvT)CcE{Qj28_i#QHdU>NPl`J~>4AiSOi$Dv zJMGn#K(`63Wzp45mZ^!Ax}Csism3%aa@vSJ=xxc4<>4IoPmdtRZFGgue*~p$lG-mU5S_hz&?CKNujS8wVpsx>__&uu z?Cku6%=BuN4yygKG3RMh$il0Wfu~44$|kNHIMf0($jn!&Qf?%JQEsrS&?0pqB%r5a zIq&2Qi+>LEC}!&;FcgOc{sf16ai=_4qldVT*v3~d@9du0Y2xIFca=xdr|@z3+-4Jg zdVYv2z+k!9oZ5nFJ33W)X{jtKw-B&1#?W0S?0fJsWe(B7;c)cJ$>$}uZ{1(Oqq8A% zusu4|*gxe1T>Nx2ZsJR~-yRoF)OLgg4?ra(TDW5P>lm04Y(F*0wqjBSD&_oZu$+JV zWU$2;l+|JH5c{5{B6YV!uR){yk0lTHc9N*Dd+!f-g`~f>fjl(j`Gur$E0`fl+sr@O zoWJ+!vTr-9kukEBLKu-UK6An868HX&-UMfrW2@o%jQNv3ynNf@rqDgAc|#n3;^w0Y z_@wukN$bb(2Lk3KiwQI4w)M>&=Z*$`GfIa{6z^wM;g5uV;Bb{H!FiCz3=k`vr{_jD zDB!I@%<6V@)8uADyi^kXiEKID(N&6)!?4dU26G<$?%%0Texb15Ikr>|{7mgItG8{F zTT1vz{c+u5cSm`Q;^TqIW*qF0#VWNDBY5L`ia@*jGy*Fz z_Idu(2TDZP>ubV~$RSB67Y^}UiY?czUk|m%(V|{!X%rfHsRJYY4MczEC22#)Iio+a zpx%mw8;IXTHAcWzDbna(8ctUV(pFqY26>(rN7N)t}S9=Ok&lr~?^c0Z?g;zQ z%Uz#hvJ{^&mEPyyimVCC8_w;quW|MF%GwC26WJRor4}PhJYEwBjcoAGeHa4w^B%u_ z_oJ{MW<(L(F?a?4O>wksKKtMn100gvjsA`UL2VUo>d6M4)hS= z{dlJ9B!z;g~ z+YWE)(8GeZcZB&+UL#rxdS9EmHJ~~8jnMEYu9ouy1h>RuJ36k&p$A#sK?$Zln&=zYUiq!`Wa3Mw+hSYW@$1`cB|p8>Gm;euZ5s+@b~X`qo$@F$41Oz21JvB z#m?oO>rqTc=(DLz{9*%QnbUK=&xAg553u(Q>V6wP&UjYkW4FHh&@M1-|9d|8}7@r7X% z3R7$8=+NcIlX*KLgs>}Bmoa}tUdgg!qVu_%E2wFxxi_MA!TV}i*|jOtR4iJ7LX#EY zPl^myVyxWXd&+k{9g8eg)J#`1qxO$WUDuknKIC_g%>88gG)RN`i!JXs!jA)~kip`xiL{u%Y$t%d@JV7&Ar5=&#;dW1ij~h zoi*#@-q`&!v)^hdJm+~&hEf~0_D89-Rs{5x=RTvYZ7SS1ManCrS|;WN4xCyi(SJ}I zthl8=M463#)_RETBog(TrONO5YG4Vl#xmax#QWwxKch~}wBZAbvOX*`MtK?+l2oLDX@BGw zL2Gr_ZzI!_lny_$lb)};_`n!ZL&ipRE=zpdXHGvCIcthrweL2`p(a6J1=S6*QJWrd zjnQ-ln+oM?BW5=12rxfJ!EVN;XlWH0n6cYbr?}2Eye3@y@?U6(scI`@7J)k#$jobF zrO`phHJ~=T43rB}9;3a8PSJ`es9PZ?KIglYfrVt%QPx+m$iiR}8xHpe++>bI0$s7YcN*vLqO9E^1tY5c!Q@eC7g;7fr;&!c< z=u53L&FA&F=6k&58FU#|mb|i8A3n%!rX;E{U7u7xYt291K;E9vxxoAiS_9|9n$Zsh z{@hd|W|TC^&bu@w?O@G{ihuZY$VL}JwEe+X!26~u@*+6|BYp|Q|q zm-J_T^anI7#;A8p`QZF!u);8@m$878b%53pi;c*Sj~+(p-ECIHzCxu!v2g>isogdk zLYWha=Y6~qvlHThQaw5MN(a2o0|<`xINyWe0eQIT;JeAnLmezfVrc1YdC&4 z(BnNV2_;Dh!+_uwrrNj+UfzjKd&++v3AF!4Owi%WZ#nr*n07P|TP}D!TT$6IOh%7Q zf-AdozkSVbDr=*LMFyT~eBWeeE5GqOtBno9i_vR98Ub8uxuTN5d4PavFu6~wuDD~q^$IZxl9HT2kRkiLJi{w#!?{5 zq9mmT-QB9hJ{4AWCFORt6tNZ(G6D~ZTu|)Z*!ResMp>Aiy7G&h@6G zk2Yp?!OY1dxR{F!U#4Z~FUx%@1W>wt1K+J2MfT}DUEoCZ3?<3NDE<}~4&3{^*+)J)^8jT8a#X%aE8 zIW@j;H5`$1h)aJMzy130^iP@zUTZd50iMw&WBWmGlbLL=j3 z(QUVzPe4<>7+{txDd8PK)uYRIm9V5Mmc8Xa_fg^3ck)eqFB@ESu@#Oc_jW9jggN16-4U< zkwZb8+JE)Q^F|f@Lw)&M=Z)|B&(eQS|2_7HU<%^Kz7bg8(r^1Wj^`h;C@BAzaQc^k z`quNG`k>=)`@bXqX#vvUpCzDL|Ct@CAR+oMcBrU>t=V6~qM8Qr^ z!Ah-MBNsiTb;ZRrZ1SltgXdtdZxHuZ2<3X;Xu|1~0`Yp!IDn@)C#>46BE*S>89Vs9 ziBzr7De**>x()a8bT9QP@RWv7GZrsyI4oR#LtWtA`6>&#IJ9(H%=YfHw^tB%gj&YUDy=|T;0PC#ES&d!pMfmtBZ2Np0p3PWIuS#z#cg4z@-(#9^nOFioG^;8{ zv}IGxT02x|vzVZZ12d1`y6)=YYUYN?j+`1t2Ru!isuuL7Zwll0PThBr5iNt9>ze1U zzLyfkWHR9m6P4~g4KI9f(EJ)izZ#fWC>fQ{Vwl4#8Qnt%f(weL^?VD<#xrDw%S_yY za0m#uiiZt!ayfG|rsxB8ZKd(!(8w22GVUoL)b=u3Um66WZfZi@VD2ZCeYh54I}nt` z>ET6tB%`dA!S-T=Al}s?Vwd$2*ipL-gm~C&v=EGqBR#r-lZ%&`f%`p;JfcWP+FLtp zV&?4n0YCAgP~FaOV5~rJav7(U4A*O;zMHmPG4CS(Y}p=-PEvSvm-t1rHA2=cQDgT@ z-(D+A4BPwx0`*VR?y8)pI%37bltl{(mVW5&%Ytqx6{YVv;h%T>J~C5nWM5TPARfeb zij+NK1pl5uV}^VZOK0+&gEQzK-{f3JMC;6+t_jlL)%6#!Z=t*;uR zC)-+wsaw9!i}m?vltMFb6jm2lb)VF!LGlhgbs)D47 zV4>#j;YF_Bp_NR^&B<`B$M@urcN$Tf5`$>0M>(n#fnVI|edE z6ILd-NebyY_j4s8X#5nnr9>@g(nP}%0&~VZHJY9emzs0CY8@3sXVMo^5_DeMWt(#= z1!~!Hq#i|^*%8G;eC6Dc4w7=b*!p(=MRkLOz=of_ci~w&wF$bUfswjd-F%bOwtLpA zXl(Fg_n4f#5zXW-byoL2 z``q)~`#?xC=ggmfCjS>;jBmU{7y}P!2OX`*AsR{T(n=Qn;ptxUgi7s_;c9T_(QJlH zT{`Roi&NMkEn7xo})abK=r(Hzfm&;RJByS#@&&VaDeA)<(Q zN2d<6I;uxRC~Gju@dm>P(3PfdYkW{p`+N-@2wu`>^-hB2C?Is`HXq`1b?0Pf8+XLb zPf}2^yW0tIMlrKXxX%+1MLeIJZ}vu~6+-F?sEFmDI<;ibjeSp&V-|~i{CN|CK`11s zzg}}#+M2Ac5{bxCmu=R`rPUM`2rcy{C?F4~=-g6WmYqK}MaFpP`=2hbj3AD+AptL4 z-pPtU|5Vce^t=(rY>lF+4&ZQMDbe#Ofvqt1o-vVYmJX}zXL;gNmi8&|EggVC$+0L~ zhfC2}z!VQat1sX2zmA5mdg9cfRaz}Ir7UzjJ)hUULd)T=L9>y;r|^#(fVMqdd7Wk) zz8a8X(8hBwMt8HW9o0TOM>}sveF4kKILxDp*DUp;;o$$m>FWg*{Kd~OEQ`d}Wucrg zMY9;)zSriPA|h$R(OlQuj!$X;w=ra}aud>td~Q6JtcdFfQfiH-qqgqmOKfo0;T+d} zkNQfhE6Amco_9YcE>^#9&7gQvueVhNjb+^Kl>Uy8GtT>lFg*WTvCszXcT;2qvaS~w z_H4SOycoEd*TXV02fCes$)ZM%J?-N+;hGMPrX2V5D?tnfrJFA_AKf8MzL)qLIKyRK z^n}!!1v>^26Ms~dp zDuHB0LeDT{jAnwEo;+wMSwUht3^F}7Z{zRY+E}D^ju|R9E&+F?Hf$C7P1GDzlL`E( z-5JAfr>Q0yM8u@BiBSWmz$SdxQ5N)ShX%67-Ax6Og*QX8cu0&=L)6q>f)v?2P50Q9 zMSF-ud*Ge+JkFQmwQnZnv)@Ta8F76F*S#dDrjFl>jD-?rW;fTGmS)x|pD7^6i3*%L zjU&DDL!ySRPsE}p0fRz@3M9hh*^aiBYmx8$xn_lW=HaSe zpLD1Gc#}4J-wfq!S*}NY{yADK<5H}+v*aNB_ogPv6&eukf%!f}Z%%`$ z)$fuR^y`1y56gth6sFp1UI~anDYr|Ey)Aga9k{g=0F+ zUPuUXp|j7(qFJNWt+eXGW?{{szlWC)FAkH$zeY4@owh#TY^}0&g)mrUThgwwVnU@{ z1+kgnnB7g!sQmH63nbPc;p|X2F!C!~?$|nRge-r~`dAJxSd62;TG#Lf%jL2Iwl95@ z(4wz6IlJVbGevr6L`2S(7U4U63%8&R5>?rU7*{Y9QC?9^qCzf{#HcBRxF`Tkk{wTGGP?5B~Dnej$` z4-X3zUd6eOVMOP%&p2Oz#urMbfB(iD$d6a7>UESY8l&Eh`O(TX20U0VOg`*Mt4k5n0@ORB;pzCrSVY!8&EtM*|N6`3b%V{({hLskfM| z`#1n15t_5i6Y&%*&E4oTa3iU{&C2Yo<^~2=!RhAw$;%YhAZhk6BAuO-@u*_m`VR{I zwFiZVTB3b;4UmoH+fm482guGcqL`d0hAPmHGF^>gM7w^U*ryZxG2BDunY)E_C6$ZT zQ=Mp4*xHz>BC0((j}adctwxOU=@hvW2P{mfKaL|~=jBV6j#E3GZB8bLKK_V6H(TK< z{Fqxm_HJ=%rdU~}EsrtvMR~k;2BGje*)~j3I?IgO1jQ>TNA}b#c~-!2ie2ZYvLBu& zadV*!1m40PJvYDLQ|H$T(`|I+FrU>qdo?$^)SfeTp9eO-ON z+&i1Ina{)iQyq-Q==<6a_u%lha;+7-S~8`5kfKqJjGl|)eO!l!VACb+B}GAn(NJGD z_K_~g%CZ#JnhIvHa6oKAs}3`>^1aY&<|X}Pq4iBoTd15-$GOpDrDo6#p{s4T3?^8w zd|!Fyt-oRWF;A7=>D5Z#oS5qe44J|~Gl6&6r9@Vb|-X7*9lxe})fPG!?aE5K+J z0U_mlCQN8#Lig!4Hf$fC|KR%YeJGv8J^Hn-NpBsosFln;LgCL=XC2jFUKI7gAo*gkz~i#lB*vh zLQ!>R#Fjp{8k&qV50YDg; zQ#4wK#tASSTk4tetzF->nNU{YGNCcS5uznCTa@k(`KZMsD>J1Yk;L_zV}$e^2oB{* zJ`b8^*TZZKzF?-=(E4nwRoWsf>fb6_H!-YOg5pO4v6LW?aEGpA#8WNAWdi@-?>unMy49~W^DHBF&c5E8l{p5>q(F3pEceQE~rXxJP_AXQ-lWu}!| zxb{Z&25!4?R?!lWit1d6Oe_*Z>kU#+(IwKy0h!q8J&K2Z@8N=-IJ2ZQGahtyLiwHl zqGu_jJ|t6YI^s4Uw3`JnZ9szRgZ+_m)7qnx`H(icrkj76AKs@za|t4>6R`tc{A87I z`QU2%!R&2$fl*0a5lobK^?ET8NW}rD)VyTpI9qMeMO>^m8&dVLz;%-Xi=s+P=9PXt z9Lc;!<9@0Nd0!Mnux^$le~$j-V-D`#&3%5nxIQdzJF3k6z`SWbrw$w<39?z^1O9|Q z44O#H3O{+zwO2D!5Qs{ic=tkR|E>US_qd~*WZ*FcE#%qP`bQXBhtYV662@U)VI(Z^(wEMsG6e;PucU#^*8m?iBaT`-Eu>79;(>&%$`JNz9Dm$pxj20N@jy2=IT1 zk1Wh~69{6@=x9Tv{Q!Z@9OUhTHaNk5JX>>q-8Hk4p&q$0-|Cr{LFjU^G6|>Cl~r=X zo7&p<4K_MXt$~scN@a2L8<5pH1K93U!bMSp>0wJZVe_qS{~+7QwIzv7Q2Lhop7m^b z=~SJWE5b}!X{ke<9LYv?B%-XjUnH$CA<3>k7>GziVsuA@_oBlss98Pe(ka zLw%u5!-@!|um|UE(ntnCav~3KNiD)qrSDE=U{_ z6mlA)sdtp|nz|2>`b`ha*}UjCr5V#P_n8k`bTeifo$8U$^7|bJxW_}#8`!|0>X3~- zk?nwP`nX8qMCZbmBsyKIuhddRv-+6>)#ZR?@J#j|Ca;7r8xuG5>O(mB*NF?Optu_!EqoxU zo_sl!+b52o$&6%mave7o8&E@SeG6&#<2*+RcIRw@Z!2X#XXwtAGC3KLpzw|lzB;i^ z_1>v-%dkSU`_T9#@@kSK6oU`y>Yj4jgyagio}yi0Qy8w33apr==Jj}clD@JrpwSdI zQzajGS;2Jf@mJs9SV2c{I(a`urlEsH$1=wQ|$sYdp-J2loY>i*8o_`>~e@3tL~> z8tbJnEi?iVZ-$WP}J5gVpK z7Kd!60-$#<1pR7dug^{JWg{MSIy+yX5$k$Ak8cg)1|dJET;B|G$&ydUDkWQ8uGO|}m)B;T1 zUnD4aD8xVaVuPLgEfHsRJ0>#YWjFwh!vU|gD@*uR`Ao*bpnAR)Z_D6_8>HZQ(diyl zxPAhtx5jIQa0C%u-NpXZPQ}o|b@BT+$&ZK2pS_Y(3TKW1fO> zOju-9N~5w)^pp{YPkHr1j}^y=g?u86%|(>hG7pf z-x2dQwyC!(Rp1}@hI+(AAb(vR*~b^2p9pZ7bsvVeG2tI zYjnXC^?H}*w!o{=)5>>@{JH^sZcjSkd#0UWO}ZQIs=Lp82jaZAYVTCD1oo`1-KfAb zv;)(e3hS2Gr)<{TsA^o7yboam-E$?t)LWYeLJ!lcjl1M$m~*;M`mhUpS%i2^D~GH5R0NukRrKv( zth1=+HDvq~pFr|E6fjLZcJwsH+E!u8J!|5zSmX;w4z_`fpm(k3akKH;ZRXw&mVP+a zz4*$BChlcnl8LRJb?6N*9uR4eS|WkFflpZd!#GLYG{FWjaQs9uBAyD_(?JgLWXWqs z@nddpc9r|F7e$axJ2PzY5dssK0E?g(;c1*_s{Z?_snt$qXkPV31>=G5@)XU7%ovIN zKa#I_QJb1WH{-^=-YfQX%+$*k$l>4bGS8>E#RemR+qdOuKl|s`Vo_obqm%dOI?Ew( z%y!Z05uF1e5CmKYFQb?g%4ZTHED0VHC$wdD*3&Dp;?8fx|BUx-Kw>Gbz`K4}Tp_xX zOD(uuUEcPCfH1dmE5L#*ai^FTG=5^Key$jVU%s&)KS=+&RH?jN+iQ_Js{Awy>UnFS z20;TIPx9@{vydk+5I(Kw)PKz7{7TXM$(Epd>j@2@Li`Pv_#bl>{}3jAp-uiKOwa?G zN;A^`L78Cr7s>?S`Kagt51^xEVE|AjnE#rRWpNa!AS8TmPC&Dr4~RTHo`KUa;pHk$YA4-wi!-yQFIjt& zM{uq|O%_HEQhTFMqiJhNb^w?0{fh_f_5pAwZK%IR(0nbGy+N)tk$hlvUNK)@^fVq! z7Jn*z`!lmwR}adVY(C^q2)mE>PE{v+gBdJ@R=m+DX;>*1$v>xNEn?|gGbV+6d7DB3iBc$(}DI1 z0S=r;njAFv+&3H97z-RRn+IwdD9SoSh(1hEZnDhT(3P7v*8bZARXIty6sACE2D&{p zW6RB{nVz^8OTTM$ZK{K-%yjmc)>`|#t4)klndb*>WVm0mNgfIskW_)o>e32B zW|d5{j6d5UiX-vmA{q+{aL#ldYGZ-|JBz<$0lGK%L6CHtP1|>Jva<(A&_6e|On%Z8 zlc{d&zU9gu9QUusUUXAgFZ-H&cS!GscOEn%xmW@z({YfkMuttztgg#?+j2G^7LBI= zE{WfCFpw;zBOrnRu7SH7*GTll<%96o9%>VE(TkkVkm^YEFbLk;t0^CTNR}v?(M+9N z)1V^@7itO?ra$UxUgz-KMsV!#ae})!LL???^w6>48bZQ&XKY7B=^S2HS%+S+V&Vyu z3CNMmQXuzni&Qa4qkg$?sFE}?^n2n%8Ar+c3N`B0(*YK!f(zs;E@V>ErCLX+lofNcy0 z2RAa}{#?~Z-;8L}mH}!=K_Xg99d?OkBTP@0ND=C}T21;LWED+zUpc(bLYVS1f$d?cA8;cbyfex= z>dDE7(-^Z)>4oB|m12UThc>@~iKVl3{@`GkPs+k^(A%F5ZECoFB|Ufze$u@!D-A~+ zk0n{zkPltP;Her!(?^e325s@-!8~`Kx7*Zm;{%=4F07xvOd(Kmnx1ttzp-FZN)tp0 zgLc*>0{1*97)$MnLhXZi=G?GNC0hOoLI=DIYfdsd)d9arSMU(JvdM_3(h#R1Tw+6m ze<`!sB7%lR-EH#}IGcMx)pa=}cdwRSP8~v%5ukADA7{L-s86_+Pgfn{?GSgMw16hk zNq=NYuAJ|p{E0}2i&c!k_e}bWBQST^KsuUrM+zU#K(GF|P5t*)_W!S#n?nPP_|_o)wv+=4;_!tUpl=bFSj#jsknw4;H5HE8N5wL zz8zMRdK8GfBwAjB{p|J~8`+u-AlyB8Pxi?Co=6;*?3s5&UxKDx7GtR%K?4=NAXcxc zWqtsjb8<`Z#wp3|=2i>ukP2b9SJ)9IKCe+#^xny~B~~Aah>b&mIq2mv`m#&lc>8D6 z5sOQQ-Bv#^p4-g{;!@Yr=Pd~>tR`kVW~syN>Mz$~U+`U-#=#SvXGMtX$?ry`d$hR zUOvUbJ@;y;th>@pe-9f_gn@g-RPMi{R9iChWzGDdl6B3<4c(9f3SW3vo>?Wi2nXv} z>LFtp95|xy$ULl0u=*P3v~FC%=0KVEJ1r|iq}LR~7o;xkLYqR3DrA33q3IXoq8Uue ztHkUtiSH?E^ldGePIj^FTh^rHLmWN{;fe_PQfNk1&Pxx|z|Hg=y(T){I(`g7ROdGG zBI5{H0p%@V`|vRPQ1&2oqLJ9WlgP@{V_ardUz=rthdn|ko{%fT^+`fA&V%p(h%YHa zOFh(E(Yv>BD0(_S2YjKS4oFO<98PwR0ih>9#*EJ;$pLcg31hq?zuCu)A)SX>hyn(l z0-WaPd%ok-?EE?TYXw6)(nrNTbUeXYb~BB%+QtiVW-$6<)soU#!L^6ZE>&Ofy5fK! zKL+i+pbfXXPv=0QUkbcLO}mOzDb$L>;}#p64b+&H#D?;g-#`33l#QEJ_BX2--&2bW zR&S+GxILA>nJN61dWgI6xp@j+yCRmQBz>{@IL$Ni*=;%0(=!0KfJzlj^E}-gF}_4J zJWxna9<LpL!Gp6Cim&SkJA&=iw+Csq6;C#r9v|IhR#6 z8jZd=7RBmo=_=Vd2{;q1v^p3(aq;5ugMqtVR-M=TZWN9}Smgw$8#iuZA8Zc?kosHc zGBjpw&7iSU37j7giIR)$h}fFm*vR(=g9<1|2VSrI$V@lCg*6Igwm!dM`5h^IR()l=nMG;Y@{<}jXzDnI`m;v<#DK_m?IHokQ}=<$;RZ3$Qu;s z7t8y7XyEUcu#o^ zh*nP9{qy(ae8IWYma_+3^ZOx6ZLEiWgMA~4_3+joX{I#mc)7Eu!$=ts{&-s>JhtDN zj>Fc^2m0Cxwz(n3B7AZ~?%n+~wr^bc4RX>Xhdkr8#(oAl4?A~X4{gWGw-SOCSb3T%=rf4N zRLTf^bW&=lv}4i93>4iY;06@j$TR3!f#kZy)LA*rm6g&n6O=AOgKSSEVrc3a92W#k zGpZ#u+!OB;SHOcpA(U4si?qQU(VRo9Ow%hI-tzTuUyWbH#>B%xM}zNTW!|5(y!KMf z{%K5;l6kJ8nA|bxP?$S~y4e1EG)Kwh&6n1D+qu0La!75KRlJ+6v};+HIOBqJq6uh~ zWNovF=wtB}t^FiIMlV$+qVn*e@X>MoOJ?U!Y5LIv;=TI1kx*@rDqxeHruNA5x|x(8*((uKODu9=7~5Y&XA=T%hj3}PIi^n2b3e( zSBTz(iAoCf&0&Ywq#k@fAozJJ0A*jPwoOB}DZzPff?Ew<5v>7TvJZMS zR^LflR>!aQ)$L%ju|B6d#5X%cEA0EWme#V-iP=S`LjKEVN9I5c4P1^y`FPUqd$_iV z=bngkAhdPvxoe#2rLe{;Y7r(W*=X3Di|}VE?@q$WT?1^3hF;wwG)l(_G7*8VBVyB8 z<%G90EMLvHs3uNn%2sjlcAPo!b`?`A$AjHelG$(7j0(~c7IRKRI`>_SKb>q%(5{+K z6c!ehva=Ewl;!(Ms9;C$Jq5^B!-Ab)3`Q=R>YT7-rGP*phs+({>S`_$b5>C?wMP!@ zpcw^)^BnrN1AhCcX+h5B{k+i9W~pe_q7FKGoYPmILNAWEL@I^xiD=})@7dA8K5nR$ zDKCj^%^rP!u+^3E+`RS0J!cHxBz-$GYj<2`dm^8vz1)Otb||!yQ8|X22rzkCh9g)OHrvS{VdOrlLWCwM9GHb zuz_{ZySRr`dq8b>Gzm^vDS-yQAA6I&!`vnj5_ei-A@1%#Ps~&0{+8w4s|d*)H&@T| z-R}4@=fYe!n0ij?F0kQOB-d1z>qfkC3|uz;*w{iaTl@g(e1_nP+99bjM$*7xM>|b|&u;G;EcoaOLeU&pcakJsH z2}5%|)YY4%Y=g=YZ$*$1xpX11^?HkyB?DwB$c*@|C5hzL7>r?*NdD{Y*bv+mQj%z8@K|| z3$*#G{XHlAD^nGshd^)LtK9}dkq-pgO4eprkal5eDJ048JCtAxViY2WS8i(2tIkmmDiwZ z=5Gcu1-pRQ7SXV))<>)yF#7>{XEr|77x{K>ed1(g&SI0vNymJeI@`jy9Osj*4|JzX z&*Hr5S$7V`C>s$8oVee>OY6bGu~Ws$vx!p&a|JRZQ1o4b@1FS>SK{jY{LBP7nOi<< zdv;B(scBNr_F(m6fk=63vYh_LH3tt}!^^s)%Ld!p0)o3yqnlirBYyFDa{O*dJpDmc z2Q(Mfg@QR6n#KuQ<|M{Ou2IJ^-p#J-4*Z5)*HQci0J-E48;~Bmr(2*VMeuE}p#=gn zu;iro#LrLGgED*6gXOO5vh}e!JN2B+moMZpghI?LKS5YLnXVdgQ`~HBZP4^*Eyvth z+N=E{_kCfr;c0SZu}3h!2cNCGsH>5RkcgVgPbeFu7?>^E5|#R-BEd1wq+M6Z${~Ax@`#10JuV~xftkyRh?;nvgIu-yK^S^kl{~AdH zG_R+k2S8hYL2KFQ0Zh%md9D9*Bn>dae*tFy!59BWUh98|qX_|GWhQ`#nE@b%X7yJT z%@Gha(*?xQYyo6cJwU{a9}r0s03cYc0eDv(K+H`J5M46^#MGPskv9VL7C-)_HM{`RxKxz&HY-+%A; zZC`(T*xRi)ll$9HZ%qR`E`zOK6qN%e{;M4_Z9#DjY0-+tp8PB|As=Al$8F*S^u}I{*OrY zFTVF*EM&HS(H8Ts)$kV!`FE81O%r~faV`6um~XD{T2&X07S#}0m_vF=-3({LT3Qy7=T~?7YV)b&HqE>`@c)*?G5^~ z6=09zFliHtO|E_?)7v}F0k^dU~SBb{o3VAyQP(A|C z%-@Uq*PdTRC4Y|n`}Uh$7y;;XMq0q}e@W&~hWOtf4!^J8Mtpn3+mXK|^Cq#kdA#lU zz4h<&`tQ&6|FY#x=l`a+|D=Kcf5rWSd;XUX&wnaQnCaR6mz;;Wyoo%j3VIn(oM+$n zkHznU@tf0AUN0zAr&xMn)DuM`Z>_;{ajj zt@jLG_a^#5&K{1B_UK1lkmhZ-J2sr^G^50{nwNQHd}D)5;;?cSn3=;3@tH$dmCjNo6_%3 z6G%kf^$A-?%+uQNrn2%xlEZ2FZIw+-G@Myxy9mvrNgA1fRg(gO#uo{CfUghJInGOthF#DgO`YOqmd&D} zldNNCN=j$u?_NmD6#EcmNGnyPY%W7P^mTUrxVD;LGGtGAq);1-0b;jrj3EVsZOzt$ z*^Z&=olBectGA}SPuo3d|KzN!k%8WIu)-+9_VC_vFBU5w2nh>08BflE2q6y-c&LXEBTatz=Jk)+wqY+ckq^n1*I>Y4{RpHV0w5EqlyS(+x* zDE??cSS3jO}W4siOP&c9pVK=cp$ju=!$mP8x&GI6mt-O?=893Dhl_* zjR~e#!I{+Nr;PAvp3x{eJ(V7(aY z83TM)1kv^I(fm%G8?qOP6t2hc9;il5uvU7!(}~);TierG-v+kF^5vtjpLmK(mW$fy z{6|V_ir2TVMaKn~KOn;Qy88tlcX$!_W`Q&hc>1Ueg}@u`23+8<%1eZZ%P}X*Z90f5 z&Oz6or>YGk*z)t=qdvp);g!$RsH?U;(#evdS-YD{<);^Ur_iKQoNZ!K3*MDGEgi&0 zm&oR6i#*yzbln#eUlg+qY~R%)xw=Yq#rEHFe^$??mch`A#!ZY;fMj;Wa?dl$9Y)c^ zyvXz|(O?|N6S0`&lbzD5Qa+jz)@z!cQ_#?WFqo^ML-4DRPfNA)*2pUxHrqwVT<0-$ zN#a!rk8?EK?dSoap#M3&pAuEg1TOiEKSN%JtfiyNqQJvVHiebN4DhUKMp7AQmH;XB zM<#eeq-WMLH6>@T0m^j3#hj4gymxEPCbhE;eVd@fh6kY}mp+7O`_($(wGJlT3GM9* zlw9bVEGgVrJ>hExN>%LxDP^4knOMsyR%aLH@U8Idz30QQ#HI0!N(lA@5oqIiJ(nrXmOZmWgQkyo~49Q({mSp z$rn|h9NS&gjcevHPKs!rBSG6qq-<`6hp-zOy4IGnr&yb2e54%_a8Rj^&ASR9R9|I{%#XxGamct`Ucvjfe#X;}wEBcDa+aheW#waKdq8XphNW`T ziusnT1q9~!;Riybkvz15j!UxMeQH&u?q?2k{^BL@^-e0obw^8=AhaEyfP5Oqz-ra5 z)%BlVX0puA<_Fg0%1JS(={K(Zyc`7-#Fpo&U0KYn(Q7|rw$a(Qr-#6q28>r6g6?t5 zJE=YrT&W`+U!AWYBXt`LlzE08t@GgQoYG3erOX1$)v!`%DO-0u?H^qBkJ{jWepu=l zo8KC{)|ZSw9vm3ck5gf-^!#F8Zt*;B)v!DTpDfsq#l8O?>Fwy3hI zvW~rw9)XX@%G2ppj3G3(q+1T*4u#MS+Y@dQ3qv&Nv&6}l#n3E3eaLnJ4Z79~ z{w8&l*v_XyT){J}ax3MeS+Kr)*&!37$ zWAuLF!nuLRK~h6bt>Am!rR2xrcX6tvMS-KBq-Y(RY}yr{8x>0_x1+mBOluCWTkFlW zs~)Z#ElHV#u}bD!Ih67E(`yMyCyeAteyV)KwQB5l@)hCFB~|luXZ8@JR4AyTl;6#h zC)qfybr#Z1U(q!C6dAjmqEGvxlUdVB>z~2I)W#g2ZB&>X{#5)SVPx$1ihRe;``94e zjJ9i3mG@KUg72CY7}(QlX!?=I0M&HfPNysLW6y$J;OEBZ&r|r$oWP@mcL{Adyr3%7 zWRnqMeQO0Hn6A2D3NuA!u3z7A*=xSPp197A_kgPq>I23A z)bk+ej#BAzu*CgPxTrfCHSH05xl{5IHFycX1t(M#6JC&*ck8p*8 zF`<`lF0ik!uRf_E3INBpN@Ve~U-adBr__)f^weSMgDUDQL#hWW|QcHKz$#n7cvWp>Ot%C(@E&-bEnLQ!=Cd1$?DJ2zrcCY={+4ri2m#B&&_l#*}gpwxaKc9to6ng_iSi6|J95B=&TrPAY(o?7y6jc$U*(wQ1E%a=_G7;%e0QX zTXaPtG-oUsYIWu`tJou0dsVNtY+Rc6B&=&4lQ5B9UueXNe~?uT45EZ|Qgxh=2B6v` zromM&#bOe%i67h+^k*`pw#yi)&#iDNHg}ko*H6<9-79dDuf4s#2OE^J{zS&%9j z&}DbV^&oF79_R*YyVa@U!97!8dUymEWdwsc&2WC9KZu3D(q_PWNgRZNZ%y}zpw5va z+lPt_=YnY+0WB`4$zRKL`cyD=5V3DCDAmDR;ed+^5kSZMd6yJn()Zf4{Odwwy?7_8 zHojh{(HI=>#rrH`&&_b?Jf*E^{{BRu3=!r zAI6c}>%CpbpxQv&fy#A8b{Cp7IJc+v=JsY#qDZS*`%>+zf~3hrN;8_IzQb3U=?cC~d>ESm z-ExQNP~e@?RD?V3+JM7d2kR1}VyULb#?@ncB?uU~E1HA3O%wftpI46p^L*fpva{(i z!Jn2RYXUo)$+~Agbm8v_U`^V)GMcFOo|VU?^Ck(aT)_u^i=2-e_w1BHHOnfkz4yWy zc&_~Hfrd*yW`XfiK7>qQ)+OmD7gHMb4u#h_!&Z}TQYw^SPy0o&3ENy(FUi&R`ODMz2v$ReR4}?dc5cfUA z%Iecr^210|6bM;g_ zEaq$;0WQGGDU~0KfPOISpx(Q<NUHnopM&J^CNe(TK`CvBqVWo?EWV!b zAvs)DhYV99dZS)#2Ah{Q{OAanS{laF4_F&(Q!H}hD2Ys+URV}xF0~+K?ppaVPXF!L z*_eupU^t*1Oey2sdX%&Xyejb%$)vj6iU^Dk$##e?Zz2){Ml&nBB=(Hp)h2v{1WDq9 z5*XvK*Q2-2LMy`3N6~zNkVrtaE?(`(O&PGxSmltCkfn;a z+Z`1a9z50zeNC;X|z=i zgim@=+Nq-J*Gac~Rwf>Q7VpILg+|789x4`h(d~$T@H?E76~#}M^UVmb)$!U(>B!8wV&YXc`ARPM1rFq{hn2sA ztS|8vi`1e|?i?cQ5yAx2HLfbHZK6tso2Jlzh^(uTFV}0{{$6aV+ee%qaxmGY?Yy$L z-aSUt$t>M>x!8hG9^=}}viJ&a%iK)${A}@PSM3XV8H|8CxQ;(az8V`|!X0IEdw3$| zL(HoY(}MYcxHI!IHS6Bn-388482KZP_)+t~gT-Jf+A*Z*d+uPgVU1Xfq0cT4uQ5Jn z*AO&>d8h?kUz>-XkHwRRwojPFapE~`1d^aK;rmz~P59j04-)jXvMe7(ia##S&5R&6 ze|I%5tZ{tp0Ki~f+{X5xMseBgu*XnC#r?u$#BiOt^_vQs5(>IQ9gW5h`ySmkix7h) z(L#U=3Zp+M(a}4~RNilE<-fnzfvKeu5z`|Nq95^4%U#K-P7>Cv~6MQ15fp6ra61&`vrEff>AFr!LA8b zOswM!=(V$ZT@Z?<3_AMn%I_RQ2v=b#`}o|G&|oJxPMgOpQ`PwijJh*q=tv)YQxk9O z!@PcW5cCyP(wzc5H{v(=PCtToLJ3ZpIUwy{9@9~}8Q{~?6|5FIp+Xc4t@pTd=Tw5u z)6^*=DZr0Ohlov%7c8J=yN8>$)CnuZ!d{4)*?tRYb;-Lx@Zuht>G)1l%)f2> z@q|A~%uoq+>G1nBBD1bNpPIJlGl~~C=?1oPcPQ+GX>jGO=GM;M>GA#DMr%ljhquQ! zx}^RVtWWMvQZxB1Ysi|KPqtq-&Q#FyLgTITiB)*$0XiDvvDS`=h%l=Q+>LR1~(96@Q}%Fy3`SJBbST<9j4b-j%B>e6;-H;6GlPz z-#YV@u-^eatHwCRVwWy;ty@e!MP3-z4~p$op#Tmk++{4sNNGQR)h)VEtG7Ax@O!rz$T%z<(cM#W?(2O@M2R>fDKLqyR{8vu!KL@3d=NM zu1kXC@?mmdo3_F2`AG?};&Z~vgMmqHOOTkf*+sa_!8`xfiN{enUGmOhOJ!x{L$C5t z4Up6D$fR(im)q5EVqZ#WSfTi%*Q*^T3R(NvG)pQVjo|HKj; z|MtR*D`e!35H?)dgybL7jy)P05LZomL|TvYOoYHf=iqlpRFyd4%$xgJd0*CzSM>y3sA*~h`Y4mCbHAjdDyLv%yvNqxg@GhZeQ#%=qDV~9_v9n_nv%jPG*nQ)0Tzhr7EV9CkalEMS!Tre(s>+7 z-*65DQkwL=6`HCAw{FMhaSnGcMVA7yElh-1opMQxQ?sbkvGO1n1A`i955JuyTn?%#P*r{!Bv`!qMY4lFR-8-Xc}y8 zq?=&nIrWPXng`g4j7Tp#v}CZ_k}r@0%o3PjWz-lCMwxKJPTYAn5Mp2B4TXuif%Ff+J zR9lx2SrPrnxnlmok)cN`@*a(Fao4IF7ZcoPyr$3RY}KkGQ;Mj@(kG|WlS6DaFTC$Q zbtj~{M%jjtnZZPm>b6N*n}{z28BZ7d@MX<_^Nsz2r6{E47G19LoB&ekMVVt*$2PK* zWx@z*U*3Q0?wc9!7?-UPPVi%hq7WQSh!J%lHMpf27UL(AEI4b;O2HTJG_EK@kA>za zJ>b#hN%dSsTU{oxq0m`$&r`A-C_Fg9to4}gZ2j&cT|sj@rEw3YYy7E9iy70(Ej|T3 zvAHtIFceg~N}Q|@5D#=uBJ=?@bCfO=Ug;xQ%9BqFt_^<2-3DYsIM#)A93biknu!sa z&-wgnB{(Sx?0NLELmvqpx7j|R()Dass987*xw^wg=CjDM_l(ak&inr4N_95BxM+kz zJ_~{OM-}8cBB_<=G#S{~tB$y!fW5RqI3oah1_F7_t&R$f3XLZ4iU3Er$GEM`xIM16 z%W+9VY0tie>Ar=@zJJwKof$;7D$_K z0Y-uNPPgzGkVfI(BJ!|N$2@O`LLqNIJpqBoK-^N?0fC1-wn3N%dKlt+I^EJ|ctRKk zCYBHYhPmy4Oic_=!q0>NOf2mY4Du24aR3~_CGB1y?a?dYHX;P#HZS_ABLwmfRvgrC zRvdIKfE5Se#NFP%apK-HBZK~DFT`8t*+0DyfWDxAR2E5zic`wDSm;`rOUVD)AqVK< zX|HEYBWY!80cb(`cI=xk0+14CeaqAVd=Y>xO24w5fHWLnFmoLvJHkIZ+5-4;Z^!(~ z;=UCc(F59s(gC^`1CnHbZlZtrBi`=(9$d%5#N37a_Z&X{QGG=Fzh-i2|7eK%%N6ji zxluk5{q<5@rpS8@@3zy=e0mya~Ezk1i&x{&ke zTj?4A^0_qpO23Q&|D4~S=kX6i?yqi;^bG$SGfqD8vsE`CQm+$tZ&)e2r^upyh4N%C z-|KhBW>i)VVWvz5{HGJx@VM`wnQI)YKUqCYHF3^R&i@Z)}db({f`zgyhLwF_Ge6>q1aii1yq4nrt2rR$YCozej zxiof`TiM_g-OW)TPbffDyU6V8Id`EG2kFhaK42RG>9}j@#jTN5z$USb0NIFWSTgFs zK`mhSy0CP5$aS|@5V2+_oezz7oAJThqignKe7w@fRg0_SoZar`XjAghi-r73B59ue z3$|uVLrEp{ClviRZp(K6yw-=~hoAOeAN-Fe&hTGLc8m=G^A|7+oZfN#F-4UA)lK^^ z&s@b$)fSL;*cgxs_mAY$07tzo;P6L==wFZXt;9b*wLe~spM&_7j+gOAKHh&%!27cr zuz-Y-iIwU1jGn9NP%6r1=)Rgn5!SNPSYd|<$;9!&7z~t|tik?M0sfNV=2b?>_&XMC zm-vLDAtIvFmSr&2DxcL<8f;r+VP*X5=LCy!Ew zI8hhmsl}?~uv0uW2gPzk`PyyI;V=Z7`#kLz4<@J)57B$j^^Jn~I8YvG)(46p&vr|P zj=sZN<$;7g6r%pi+YVq=j11V&ah#K(o8t@vM-E7?h_Sd$rbXC02J#@C3PEN?2Ljno z%Yr6A5<+*`0hmb0A4$+b#VI+&rrDu&Z-#DlH2C)|nY*17dzU^xnn6VdHQMIhDX`Zg z#WEC2Xe`-#pEkAy>5U=OWa-VYv`ALGg5G$Jr1XR)Yv+!F7uq>#YFc;IcH_GtYY|U@ zJ5K^90$XNL*KwB&gXg`l2oPTT0wIEonI53 z7_==h7M>}^cMj$P`Ct%9N((m^0|H&7lv1Wl7S)5OtZ~e6eu#aL2c-M4Pob3-8ZWfh ziU-xmL~*7rci{CIu0`#R*9jcLpmnd$sm8cXdsvdH#VCh7RYC`7rIq^-)a!f4V9s0< zS_450{D#9J(b;qUU9~#z_Knr^*xBIg$A_mdv=uAt{ovK~oD*reoJZL%zlHqcFoT;V zl!_%CBO=)i|3?0G(x^vD zPx_X*%iBVE_fXn;sQmm}DA-dC)TO#LN0yb0ly)5lrKP6A?rI5V&KVEK1?rw1GcKcy z-;Px`+J15~Ft<{jnJQb6ib}{Ty6oet^w)_x1(pv(7kPei+XHf7cB|jIMK1;(&ix$t zd`l5{w}`I$tx-=KwonhtA4H|5f)vw*OPo8m)cR5%RLfNNalVry?>`g`Qdd{D?s^PR zP?^=X3@peyY*Z}OxgOqU%5%w+idqSPf>Uf!VE~HE1dWcy>(G|MHag@nU zbNQY1@3)U>tyJJh1=W)3jg6SBU_QD)bfr|sw(GSKc|B(kem@kyQC9bHVPhTn-ev5$ zKt~>QLjz@#{rTf}gj^(U`BV<#=$@d&p7g4omoTb5l;e`=02H>g{V`q=d|&U2%j!d` zt3%%nIee^viX~Uub5G zna%0lk?r8SruT#3Jl*t0NF{%P}u+L5^fvGmTk z4GZ=|{$YPRj^m4O-|HtQs|ezt*M9t*4dUk*S09z}UFE&*(e~Qr<#O%|yGCLF+4H&& zLLBeQ0DIiYDt2sj_-Ia(*LHGz$8L;zr0=Ho^T*D|8T1~z1-}G_F^AocK0<@e?QvqP z3$L)geE9auC<_{?gB~zK!Og(kKrn{@gn8yRgT!ubb1)Gwba2a6R9uR<<4KVED7$ zjhk4jUcZPSXbc~%X2FzZIyG@~*}*KypbCOrS0H3g7&=-is)M~{T&!kt7R#`cyxEAQ zX&)auh2uAkdX?PNHF~5+P0Z}G_SXwBC!7eL(C0Bj5D=w&-d%VyS;!r@rWDq1APSz^ zD~RWDBRw=7y6!o*P-f@GEQeSKO$m8VH7YnLD)ti9tFdi*;?k-K$HgoNjB@%RT)Wpe z&tAY812)^5LsC5kWojV1Wg<8#peGdsG7=PwG&sTkLsoD^9qQEx@V4=)2+$}Ucrq45 zXW02Hy$*ebTu?*-4zaZ?s_lRcDkgA7@CA`}oDpiqboIxDkR1-RUeE{Q-Od!!4SjzT>^a1i_EjC0Xi;D?0VEF`JM%kZFyr!#P z2pgZ0^C_yIA(+sWl8fbiZjq`$R^g)LP6kz*AkZ2m+VE_- zfEcv*K*QQ;Evih}blDr!MlQJG&qXmH%atEysEgnan9NbSbc=d6320mhcvADhGq6LH z!l+cI;khK#%Ut5wIzfx)O`n4vs1c&R4u7pFZ!@!3P((yvSdN4&HGn|iQ6L_&AEqLq zETHS7f{u0s10ClmfGNez0VJZ{M~)UkMwLY+qB)GLpc!Npkre?;Q{_)|$0@!72h(=F zd%CT8ZrZpWS8?eqzkBK|EO+4Tp|Z~j(|fZ_e8i-*6e4hbeQ|f|ZB=g=;O8$?hU%$6 z1l2{i9#H+L>FH@=-W*5#X#gZshw>R1lzqyh%hQGZ`x)sycYX|Az`7hzpr5{fcdim+ zzEi?O&iZ;_%?3|KeL)hbooGp5RBnwDBRgWuo%3amKXq5m6;IImp)=M|SSc{5It2lR zTga@M0yQcVuiUe5er}&?Kw(G9a6=Tf6F=x_u1QoGrD`G<;BMZ3m>c|1_oFu9ftVl!i zk9db4F`$XbK)EZ)z%^9e@o5e=w_Y85NhR^W@FtE1?Gy)j%?oqltA#IBR?AiN%b6N^ z0`$rE#QlS%z1AU%q~jred^$q=WCY*kikSCdcaSSx@4va6&g6b>@;H-)v6j+m=&3u+ zo5p|lEZX+&@ibADLS2eSCWZtDeWfG)D89b#awN~9O!h$>mh~1aiq|zO#Dd9W;<(JP zIzkn;O$!GfzUj63ad$%oJYx|921ti8HJ_YP+b@~871)O|t`r%=%m(J-? z<&AQc%{v6S%tQCf1-%AzS1ntXFPlh^Iyv9@7x`Z%3~FooH{qy~_YJ1r_gxyS(cT!i zp4rmk<}i9{g}Qb&;XMrFi%w3a<9vE0axe^%7rhy1G7AH&sNp8R^D}eT<2HLZ<`rfQ z5`?lqgfPep)j%YK_S+^ZbB;D`;CsIguB^R~U(EbI5jl}0X;w|-rFtPDbPid=eR5~D z?u!m*Ie~nvyNUu)1vQ(Sx5gn$|6A%hzO(Pk+sgx!53L9=bQ6yblWkM#aNLi|7Y4V~ z_s$NhYaeJPWa;=tH5NW}UB7EG8Ft9^O*`EUwb6Q6^4BR$lk&r4p?zy6b52rG$q;2w zHjMKU<%I%$K&8(YG1_N>Z@ig=9r)>FmY?e4qQkLRX zOqRCoc=d$NN(mUeCl}v_p6VC)?OUp@Ry-OTziB%iw^)@3b(iaTRt-y;`0&*$y3{Zn z)LB%1G&|r$-p??<9H~}F;)bBbR-Z3{nJ2G+hesnKE6Fc9pB&iiFg+QEc?hd>!H_TB z$aOaAa4AdG?orkej-w}a)pCSxmpcfumc4m>exhLwQ3i>6uZyE23xvcIzy}`1Y#`M{;uIBFH+pkVZ zHe$M+L6$LM30+)tq_&Yxo}+Gec9rfHT+Gv$#rk_#2T}lk(z3iPQ z+#jkaX2?27NvpnhH#Xh7i)!NasFb9|()cn&3qV#YYN9N_mUnvV4O?1frsE~L?uVM| zHZ@KwX5tvOK78paaWvYWoiBW@dvyQqIqO@}w~)*B*knyB{~3d|SBDtv6Ue#fcT#Ju zt_Kq@!bt2p6CQcW1Sv}T5Z$ymE?v0#l@Qm2jZ!C)Bv@FqMJIshX`zEw; zpnh()TF2qweT331V<5w?7e zr^2@F!EJ+RMBacgCjtd(z~UItR)+90WHX~we8R!U#O%?>1Yr)xCe??Ysm)vkl|S$0 z#QlWlNC?!uZ}{rO1ec2#-(#rlLvtKFcaCun6uK4NWRIuws{I{WyS5-$m@CVFrGvQ} zNG1;vUJ&bZuaBYhZ4%q9MkO*%zh7P|++qN4u>K8H(1b$dHhhn$WzTJdsbSl__yrHF z_|X`W%iFAh#vG&o&;)zW_@ZyR5f_G>m(v(FVn>Quh%xk}JwUh~c)Ce^lv>o&2<9!e zc^sf07?5dJ-5cl;Y1S==LuJDYAf+}bc?b#Oi*}GbWS$h^s{|MBXW+Ul!+W?xwgCSAtLsH**jE(QL4NM zIfx}l4Ft~{Xg?3R+;g9Agd9YI%v($gYlx*GfG#ienrp@}EdtF`pB#t}!EGgXruS}G zl`Cc3Y|EJFvV=^L6x8+OmNk7Q2%I;MB9k97kd!UN_fbC(YQ8kzNy^+Yu3>2{cxj?I zx-&|YAW1)k#OG^5F&Xl4P@GBF>bY;LP==B=<}ufhW#Hmv!Lnw|u+g=Rxoe`#Dd1us z;8eO9b&EdQ;HuwG96P#+;*ne6C7XwgRZ?kcDc0?9v}ssSCDxHFN78X?6TB_!ONnN4 zO%Mq=qPDkck^82hkN62}85kazL^h=WZ`4%)tgM0n=#i!ywn6kAsw-~Evm%AyCZ#!b zhEIKgF%@eh-NQut-h|hasi~Rz{hPZfo7H#1*KpqC-Xbs5{4q;aklj6e%+sRPJodfx zHsrGLHrLQg&5o|S^1V}zX_92mZ}bORkqZp89UvT?uED*5`903*FVI)>`~pDkKV#Or zPMWpcbw$a)52}@ka^Q#CcG~$cv$l5AsBb3j_3r4D!nKj*%-Rx`<>1DJ+tTX$O5>1E z`Q67uo1AhfH&GAGZ)$0CAB#S;luqmB4JXR>H>;V4TXsUF%#)^T6rQZ%Y}TcUh&l!l z_o%4c*q-)%4xX1?Tb=uqGt@OZr*UFYc}EJ*3fUtNggviv>noyMzca0m`w)dRp|Zpq zwPAN*7(<3yxOtTyhV3FRrXq%HnS3KBUtACY8JkAc5Y~7e%sc%C%ZsQkWcVZWiE*fk zGg4GO+Dt4gSP^Eup{f??D0L&Gd;rvoxnmX_>|h~M+>{wOHYQY@MImehev@c(o4_J; z-v@@IDuzSUZoHN}Q*3l)dNVwR_tQ~>jTbu!94Lw8aa*4mZG%<4&xG%Uks#bcw)fe! zc!j7BbCg=srLxtRtb#6L)ClZkQHBKc4$ovicrsp(X$&mZM$Q~?GEWY3!j@ffZ@D#k z`k`ngTOkjrs^#67CzWkOWo4G52IVVvJ(N=$5xK#X7g$=7RzGMoi!&!B*kmY4z-6~- zS(K(^z6}VC?rYUrlo?6oQC8_l$k1rk%$m=3`j~$+iky>hi&_m?99xzysom#gxji9* z^I>qg7Dty{ke)4;nP~vJHzK((=%|&y{sHg(`$t@RF!Q^YR?VbwE7I<5r%hTtiX$ty z6DyuM7d5@9v~b)(&H>zZS`W8811@RdWy!Ee&@l)Qjg=2eGr_xe5BH#Y!`KXwz}(E3 zdMT=yH{(GwA2OrKTTC1#lwAGaO;&1tSwq3D-m!A`1xjWtGJWnIQ0!vmTI!K_cx8~U zTsG>{vOZVPjwwcdSRNE(ldQOHa(S0y^sdjLNB9c&1~L^5V>jVuQac=jaVE}hMC_l?ons_F~v&56@w!d!|wSEotLYxT?PkiRs_ zjbjYk&lB^;Se|a4bA=yeyyG>us-G8(a|YdB3vk5U$C%w1arofV1bIk!7XjIu>u`)T zzKvR--h!Zb?IZQsAZ|MB&J6|l+69s%rl1pchV}*bOfn2_`0D$+1oi|ugIwS1`I#<= zBdlI+h~TMBhFNmlyV`T{7D-=d_4pT{Cw?Nj9<|FNG! ztVHT!n{Gn6YKWim)8q4tGR%?HSeSm_{Tbrspb&-*bN!9RkdOC9OWX!0I+^1O`Q3p5gKFi#uW!nmy zuWxPM+g*CrH#oeo%3<$S9K~C+L))o)WLoL0>%UE-iJr8Z_pqB#^|T{dFSohqLbblg z5oIANR@{5G+&}D~u$1?H>W`Dl!g!b8forz3s}fDydUYEx8#o*I#X0r+q43{nrnZn zCT{_`ecp?7%@gR_`fQ`&aNN+jkHCE2j^goCz3rlQI$^M!OJ$pAw2(fkHcd0^b6``q zsY9RKDVKF*bzb9`*Z@J+at2P&$0(*)J034BH;q1ezzBZd4}kfWj6k{SWQH>cN0nww ze-lracXE=5GTwA{Ce7irkrCMw7NaaDBiRGKPMVQ8Z8HR7r!XLQz#G@5f%sdAQ9r0Q|;Ym_8P^bXs`4* zx^C6G?jQm7K!LuXrR%+GGX!M^6AD0N-wNC+Mt&JhV{g?vzV=urvfGn|SK)5NpVpi1 zcH|wRw-+xV#!Vj)vQ5azaPxTQoRrI)OdxoF6Sjg$Q8ni-lQ^VchB5?NE8WB>n5PO> z$03%dRA%LL^c?%t^Gws@JEUaaZA1pS){{agekGSXw|{LEWQCKeYT&R`+tYo~ui1Zt z2a0$!KraByt<5IowrE+zCh0CltU{OwcW#qw`VFganml#+d_{q@!Z9K^GZY$m8aPwq zt+B;EPgy8!Hd_;=qf5XV9kj@scoVPGCY)O#(L`%i(JnlmiK09Z=A9~%a6g)vqLd=9 z{%@B2H7ti;qqliK*gkh~T;X%9tSD}DpmaGkxUdtoKP*^e&{JN&?SAXsas2gurGAIW zJ;D zRu+9!17$TOW>!XKHe{nVADhQ$s|8b;?!g_%{F(qO??NQteSxhlL2yC;sC@W!@`|wJ zQP{k!9)umiMOpz@g5(Ks<0-IFrdoSok5|ku0!z`bj?oo)*5u%PgD47Y%nIMJlP7?U z{Q~NiFn7{}g{Wba=8%(=(bTAejXzM|@9poVy$M0hx{89nj6#-Xy1GJzc1*lM)kc;^ zhN7H>z$!+}%2kF&rcyf}2RUSJXkeKJLl*Odj^*Tp7R>mDMK%B}ST;~5YEnjZI@$H2 z%eUN<(kl7D*+q2U3QGi=0t*IP25SKu0Xrg~6<|Py7{*}iztjc$0P7*34Xj%9X%lt_ z4QPpw35hZXN-h5l>Il%`0!W?qr>_yH?>`{8WdI8^D3FKcqgEGVQtm;0AiBk3(g4l} z3ZhFk0Y!HKJsbv_6%^q8CcvKT?*axiK?DAkIFyMnqjwYDIfOolzqb0;U>bZ`c_e-; z9<*r!!<=8jg==~pH}46cbrp%ACvqXYIWYt)5hDi&%6rB0uWYXTu8$PYqYTn_fnLpe z@tsYN)0p#9ZxrvXM$myQpE~}_>+4kPHYWso{1}|{!y6#*H~#y6QUB=0=lG3i;9}?u zaB$MAIJ%hp14n?6iStK*{O{4fjBLN6f7$+sg#G^#+4}#c?a%A}`)@$xFJMIfRQ^}Z zUoHMD`^|?dZ0F)=Vd5xf;-;YdV+F(i?+{y#f8Z1Rw|Dp_A>vO&0rT&Kg5L(GVqs(A z1Q?o=rV0aO%-&m2P`b*J#2N&=)GQVpL6fN@?O^6!Tbr00)r9rsTe+d(;c8Gv5-`s%GKD8NQ81lN)7( zXuU$Hs+-S#bJ=6^32%#y%0nSxkAc$!N37 zjH+=Iw%q4rpTm!z3Y7-OWa{`wY(3@fR(Cu`uCPirX;*zuS@w4{`LZ`Y>7|YBk~yXs z1{xXw0w|81@_0=y~`Y&u?{(KPjKpuQz*lc-Q&FKE$5KT=9Ngsm3kQKAlv6 ze3Rm4y7@%2L8g6E5T8G-tW=ed+ADQ04L&#wN@P<_QvNa$l#|bFC z(&bTD9|+ZeZVz1_3EXfKiZ)Ptm={PCu~b#-t+6yr=|bIkhB7!u`rG1jGDhx+Q)5V%gzHVmO1G;F8$pzHo0->=ss)(c~xO^qiBp*!?;2VxYH zSq}<;Q8l-#dY=#-Elky{@^TixmOn$6erE8jgGurGG#}|#hur$_q zPXuE^H@epNM~pHty6^7Z3%krypI>8r{I?mD<9bD^g6t}vx8)g>=3W<2eMGb@%1Jv) z7i)dILPV~@`qk>9_g-6s#cqWQ7P%5=Gs%XYPQkQES&R%JNJsl3NWX02H7G2<%O3zw z!lR8S4xS*=A=Y+m!Fs`KwmU*U9iMT^)tlvM?POpu?5V81_t0y!D{yD@rgyKp7X&HN z6Y2$VhY{xy;Uk$c)a4!j#EL_@vbddXU#2WpE}0F9!j|7bq!vVjZiE#Yd>YAadwHv+F$s1G)yI(iapk-%DURw$AHjKHI!=hq8Sx-5oyl9@fG;i z+<5P^sD&bm6Tun*om*N^6$QcYA(bQ3dvUnXZOT=PUQuWaKPX#4p7eL8)XS>`!(p{} z<{^fehb>NW6xL@l4$z%}Q7VZ(hEE-IuzmO1*BL3dyR)YCY6r4f+3gHUIvUyTW&yXI z6?eXVLLeXA{J~B~mm!qpr2>zJbGiw>DZ+HBgux7ivEL}q7=?1;rI5XLcy6!8&>eoP zQk9wFaydE zhr%^d%zokvyLY!{T4 zv0uYo)_at&S(Y)!h^BWpZ@iiFJZC91h`a=@4ah;SFNE;RuDm-{_7p}i@s3Y>6q)M4 zqrcvn?|{2k;;`5s^lS&DfmdgCBZ!^Cgh4vCOw6ByxMwQwsjL{3z1|yFGfO%X!FM8{^;19im*%I5QZ7;|D~lucR4%djLK zl_mio5h1?OE!ix31CX;wbfTCr##;uNzJ_$k(nN+RWYrV@I9!E8eLOlW2X2u&-23oo zx0WGcs*ElBGd~N$F!!R^ON*x(oDV^=!M+f;%DEBncSH1Wbh=9&lR0Jq;XMB6^lQ#sgtPMQL=|*>>Dq;(mc%r&CvR<)TtNYX7>T(k z_8Uo@u*>{6Hmp1bYc{yGL7|&OqhTh_1)0`GQpj&aWgx})m#++ZHpNX6H$Nz226L7% z3a*?W2j^oh$CB3uHsZ-3mgCvJuW&8>I7i%vu+}gsJgPU&-=T(!o~+8E z(<|!TK%wCd`2^l#tI*GBUm0B07yU);ETG^bb*5+SlYw%2xSy&{;>tNq~ku+xCLP^rKw(2$Cn4;d*1G(*u)a&VX;mIr!+ zvKpH5!BCLhI|g2YFx+lnBpR3ivsMI$c;SmUM|5#EL3Sh87@MZ7tlwHIx7*Z%@BY)I z+oXJG+EvTIL+1FqQMN$0vuM|CJ|kcCt#275Y4SXC0R(Mnh3&y_C-d-lSM^ccCY$i* z`L>lPMq3ior7cXWCYG;Qf;RJc?*kMlSnAlCbgV}uf&q(IhAy@*$ee|1%%IE`MmL`V z#9ClZrK8lN=WfLBKF8JA3HJgiVSQsSM6z?(@58-y~cKc-UX?&8ZtW7ip zZw_$`M}f!n9VCQ6L*jrQK%IADd{f5AC(DJn@0~a(fK3a?0sCRArpJ2R)-h|cRHjyzo2#Tnq>|k>cowO#H*~U{q?qZ5MbNEA;FA6RrjyCl zoT;d8^YU?*m^;%Lopc6>GHv%J>s3meN%nH)dm&e`w!zn)@Zr-C!P>{%$h$}v^?K2*{X)7Q=hUv z96U^P3)JtHrV8=|TyKGWlC7n>)Sxs8Dze|j)EU2?Ip6+KbkJbNKw6m;g@WY^gc2p? zZphrUJXMGN{yC2y6b|JPHO-NoDpI@p@u49@RB3YoLK*!qG+!RXv&MN3AuM(jUuoL$ zdSjSHvX!70W~Z=FY9V`!?}_7ibqWt7Efn!fX|wbK+X2LpNE(|?uXnx%)h8r6YW>Nb zuLxO^rO#nI&tH2nC`N+YtN7wy-|ZM;B=vvsET4LUJ<>~*$$^C;sW_C8K^&#n>d5(ttQ5>h-UV%%4ceF;q5AO#@xgCB z&$e*Rt&MrGs^Y`laA5C89>!c%4=l0W@PTe}!h>x<8CcdvI}TNxBm8x&V>K||fWUFs zf4zTqAC9m_u-0~^;HsAI?1YrtW^dIBd*_kXgPGLGj>?dL8;sgCnyCq^A$J@niy3^V z$tZp%F>Pz_etzJ>-*s{Ot+yOG0$rELr1Hw2MTsVnkV3ODvr3^)l@gH%)G=!>c8w9W zUD&*2MeY->C(X3~dlJcUFuSY@AojN5<1>cSAy8&mHYa<1_Um!qbsKtmA1Vk_`ul_5_Z#Ta=8uFlLiDySHijmSP8Mdi05+eA zg9{)$MiId6Q=xZov2zB5eVCa$|3M9up_l%}!Tdo0Gy5uwfWBxHF0A};o$N+Edul>LFm;n8MwEwyNRsU=I2PY8F@5hLKl>KN4pauTzU6YZa zkub4#HF35uGI%R*Xl>!(V)B3U*8R|K{}#>i->Q&5Lzw=uy-cjXp5Xs(NB-X8*YV%C zKkw(S(qDJ?f8YM>@mIeed;i_f&zisL|JwWOEPw6&YW-sjzn$^7=kmKI{Qp36{VO6% z`42G=PB@)N#yu3JAau(PPV^HA``>!CXw+E-YFC7k6<}ALQZDJKV#+C z899D4ia(BiW#wXJV*X!^;#)>0`X2+A07UTpyIC{`7(jafe$)hD9Df?9AFxwrH#>k? z`(eEP%PjshPQUP|MgUf+0)S&G4=_@{*-2FZ;#2})uap6HOAcVU)B$!-4Pd<_0ru=K zWU2$e?EU~3|M%!rcY2}UP1+y-r2Orj_!rTeg%yx^?0*)$tyN(ZP}R{-a*Ep@Vi~f< zOvYgg>acqT%vBI26axJO1q9zki(onTAPQn>D!Pqf%EGqTY6lfTy+~=J=R@{yB*hl$ zV-3iE&kGkrNx`gIW_>wjZmvJYp`_MWdhc0t*3osgy3yL~G1=FD!Lwnsx=0nFTmp-q z+05c+pw{(N%HMd|Py%tXjguZ$G{u`sfULLMf_xp6&#NycU_+oM-{Wv~wLSqYM@6lX*jP&F-s^RBdATt)Hl>}&>L+2Z zZEI^kG#|M6y)v-!yO8VRF33W8;GE&h`rHSoy2d`aZ;l$c86>#Ri10`Z&>^($xfh z7q6q2^)1Htl6$*LKkRt?+CHYq%ZS}TL*>YnAX8>ilmk&(`W9iot>Gw)1@2q6CcnE` z{@p;w2rb9YOv4yGK@y{2ERwlG^okFAX2{ejJ%)-&YF`Z*TL5cVVyJ-WtHg@kDO8@= zxhF9qcEmis5Yb?W%_;!C!Nh8fiz%{rXoC}gKhL08;#Q+(exRsTV(D|lcnx0;7FVc{ zD`88srUUoGk0cbyyEJHI^I8Z5TLRP6WCfd_XKlB%+1uBJrFw%8<=pE;kCy2dQ}Z@> zZ~vm8HGNvEm)}J&McN%&mKyxHmnA%8Q73-T7L+zrC3^5+AUzU@O zRwo4I0?pe5O{T+&xrX8zn7K|JBk!bDQipPDJU`d0HynLtF%SwHPliFz)hgvE)fJCg zn>ph0d_`av{{(_ai$hIvRG2adq5plkk6td6=cO2pr);=hJloW56P@3y(Zfq<`;uQ) zlduC+#-9>bK&qLhAwZT?Gj5#26+xO0@2>d~@qe>qRb!TZd6S4Youor?GruMM5i z=xF>j4j#rLZ@193vIq||1g;v*+!tqj9xb!%*bM`9eEf=1u321XJ7c5!d#or&(^il+ z`BI^KHN31gfd#=xuHe|1)Y{MC$VvH3ur}B#Nkn&NBcU=X8d7K<`xQu=jr5KX#oZZ> zYUjxOGx*d#DppgX(;N+d0r`?UDHo29nTyT#)??-N+wE?Kp&SfVm$403lZ#(xXIt^= zJSOPnIonP#y;h@jEZm+DUc$r*%r}SL4Z>-WU>sW!ng^iw#-F3N4GTd>R50S0h!N8Z zg{vm{EdzP!wgf+VN(Z8z1M@F_X}~nqpFJuxoZd&k2;<}PIjj)&IwqTKGd62UJ>X=t z*n`G34aq#$b17$*%ruYj*uM-(?VG4-jtdoCT0;%0-7p7N_nl&1J-YBZ?MbbcKBr1= zpK(Vp{!UQtD>`(3!=jgGWnOJLK8QQ|B~~~TmT}x1mZV!YjE`$Wi~`2yv$||alFL$h zbVPPy#vs=N^YIH7c7+m_5p0vI@$^!>Q7u_rT^)QFeMAvz9Ya)8AqZ|XPoaJXG&fmV zJ9}=h(*s!h42z*I%Qlh-px_71PL6U)U$fk~ z#UwONfjp+w)pAsNH(iEF!q#)6>oTL9+fq@vE3#7`#Vl(S<)~Gi$)3ej-^1`bqSxps zYt>DvW$!I=jYO_Km|OSG+Ob#{-u=w_$$T3Omgqdg5UQG@nioXIQ9c*hhwUd45Hi^C+NZ^|PDg ztAxFwE1=ogt>6?`;C(x((ry@d!W);GofQQlUtnt_&(<~VWvzTG>fA7v3GuGM*@iP@ zD`$P#a6wqwv>suxs-EidMu)sb3MAc$$|mGG#i4J4O9o|AnyJ}{ z4uAlO!YisnO^Sr}nPB3g!o*-Ow3Jc?VmF4d)r#~`h5-jUwqU!>BgV1roK>+m9$N_* zl{dLs6_=O9-L1d+UHNQ0adF1VN(_(0>?+FGuI@b}9Z%wYdtCEoOUg`2OY<7GjT>p8 z2m_1jiu7B7i|d75ECNCZAX5B@V^l!Wv{Qqp=$?NcAHu6sI){FF5Iw0~$~%yXN-#{n zRTZ_n_5O9`3>%5~k-aKuw3y7Y19ecwpS63MzE7%?=G>m!9+6@u!i;#!Em!LpcHC#~ zWVA$1bmY?}BW^WX8!C6Y)ZKA*Dq=}yV73R35RyKvh3>2k&2q7?MpqHCrI)I$ma3gy zrkZNRWutl3ExgR<9g)m}!mO`zUuVB^GNuTHEYE3jdbA#|_qAPH^Idp1R%iJDsi47v z!?|)W@P&zkm4E8Y8EVVY(xPAMc03x332O~<7=#N=kE}$Z6i=BIAxdH+;lJyBVRki- zbA>~)?PBBexs!Wa@v3aAM(yXkR{mU}!Q*+GuDOVZ{tbrt-t_soAwtf93xOwuge*G4 zVChqZrvbp)xnbgEdIGpTjG+SRH z9c1VdTnf104DF-8GsgyCeirCerpn*FBaTJVo#p5+FT7^SAvr2lA1%URp>0N2z-wT- zy(5b$kmU*%LJnRYT^O#tB8Erv{jh%N4g_VsAiAQ2 z2vWKqdz}!z3pUtaWFF~Tr=G!;S?daVH^0Vdb*(GS2(3^sArhwIvkmKK2};S>U@qCN zQ`Q_VUSqFb@6!Y5!~3FT686Q+#)trKQX}n?F8ZvSzVW&Qo;vrYx2K1eUEUAK9y;eB z7&FQ8ecT`DL9q2x_v6}S-KX8+a7x*X1=TE=oNF)On@cd8H7XV5&rdWYXH8o=`Cq46Rso`2im|CyaTK3ctom=0F z{y35!)C)nN%Eqh+$NM{{WzO9wDKdt_c@nsQUprLLDVwNQ#y!mvYFopT_Ta~B?{*Pm`*3=GnijViAZzA4GOJa&64rH^ zhiPgzipV6^JwP?cO74(_yFiZcG+j~zVH6=mUQ2hn6x9sjD@qow0z(eT!4x(-jUrol zC>DM3exnAJ*PFdV*e#B4hWoJ{G#a#d08$btPe*9YkvQWdAUvDGbh@zZ96 zGz*U&-@T_`?nPhI>CpKoPL6TJOJkTRna^+Fn>MF0DD*K$hJd|=mNUtQZHaTJxS901 zF>$>=g3P_z*HD}3yRb$lN|;CYDjT3B?lLi-;CDlo!<|!;!Dqsg@SYb#; zhrZzn(jxvu?Z6bH-lGLQ!hnTa)=hJHipOH?Fa3OzMJQ7Degl1O2(^$wfvu-qH*jRs zt%cb#Z7cv(Z!9WaIZC~H9T90P2b5hzAm`zXGNeF z>=c~5_BK6Tru{qF`n4YHPBz#h*_tfrNr~XeOZWVBd*B|NI}v^j>ZW9Inj0S?Rs|Ec zrJwpV?x7kBFX1Q3OF9OV?IT*uTNNEmH0AHyyWq-v7~)rpx$QT*buD3Dh;qD-6zhrsvl5qp0R8Y4Oow znB|uJR=znVJ=Dm?HG>-8X)ZD=`s=f{NE=7dfw?_!!&!bh-WMWaW1_P`Ldev5QF6|n zdsmuLn0lyI$9FXTxEwD1OJ(WEZ@~=g7J;}ht`;bh_j~t4_frA^ZANZ@*jvZmLXa-)d>`%9shn}}%AO-w^-dr-4AO52Qit`6+>K`EK)#Bf`za-;dNpOC)`0Gr+aytE} z|Ct2mXMU+4qX8WMCT9X%Ito(qzsbx$mXZFu)Xc*07p_R%!dB4M$>L9Z(Vvc!-$+w` z+`0d{#ee9UjDR!z@X-7cHvfl<{v~d5{#Z8qOWb5)V`2iFI_K5WC3sqnE=$tKgxc(Z{&W8p#LI2{=>rHe~}*&=w%pLI^*Nxwncr@o5*(CTYH+k^E!Q4eZnkoCV}k(B_$`N*U4hC z$>%KT*EVLv7W!JnS)4qMD=qkODTP5D9dk*%b!JTV_U>}vLF3VVdmHVvAPK7iJ$ol* z1j}gqY+jf8wFAh`+zaVZ@9@%%U=EQz)ZtjZb}wy-_NYGc1Jz)e(tXy!@V9DAR{Z^z zuUQOKlg1M)(qm)LQRA&4vjseb^Qm@?Sdrt!3X+jyBMC}6@F7a&t<%;t`4v;mG@8(4 zK`O?~>Eo^7@0yH#-bvN%Xhw}*PAF*?7!NZ~)Gm|4%%h{5p=-&Y2Td5#!9KsbLJ31$ z=>H$~-aNq0tSB4Dk3|@UPXyWIr#2&M0_3Lees7qCFqvcm6DG{UK1wIunKYB6Lw9F3 z_(VZa9A!sAWD^`@5!_H2K^OsL69qxpL{L9Lki`WM0r@>oopau|PF3CR2Iu<^{$To6 zPQ7QTI(4d^Q|FwYyWjb@zsI%rKXUs!T=@y#I=JW3QPe1q3cb|C3_-8-*8;4%>YZpECvhDYJ==Qtc^Yt%(?^~YptUvqL zE3d!#6Tk1|X$KyE=E--?{nUeR`=>v6`&-?3;pvCYee9vZQw}}l&i&UeeERm+KJ_n7 z-Tnbj{>2|2{r7!V-?Z%|55DeCm$toU-#Pz!kH_8pM}PeK+uZFD?|9xVU;4eDc>ks6 z&i~`Nzx7Xd{`B<69)J3cZ@kOyvu^dI7yaMAe&?6o^{M{wW7}@|6W8?rv-jQi*wekk zy+8WZPagQ)N1t}&w$J;8XTR^e|6t*Ex0w5$cQ1VM=8v9x&80tk?UhG9{5#{9-SQtF z@+Y_W#3z6AlW)EG{BK|L`CUKzpdHf(?(Kcb+Z8OP_zq5505GQy*~W=ltE%*WdNY zmwe#&4qke%Tb%s$e>?Kw7k~FTryqUVdAIu8>*ham*7x4x_m98eAKr4ePrmwP&wb$9 zzu)`k?|tewwx4?X)lYce&);vy-#q!mFaPy_e|g{E-1v>J?Ro8gJo{rGIdJOtZ!`br z@BHJhEr0dBcYMU@fB2_smtFVlUwG$N|LG~8f6>kF`^T@l%i})tg3n%c;>9oNeD|ea z{E7KnfBg1W{LSy3d;8}+>20Up{j--H-~X_OzWw*_a`zkFddvT~_33|h&(lAD?rqMv z_SzTz*+);k{yu-OaF1L3#vgwE!QXTA6R-QdAHVAje{|o~D=&D+qxSsa=RSU$_kHm0 z+iv{IkKXQH*FXOepL+if|I!C<|EDiFXZ|Ax{?`pFH~j18?)<{{{L8|^Z69=Y?>6tg z?tNdp)gyL4_h$d~g15f#6aW7DpS#(EzyACip7!3eU;5dfed0TAc%4U5!QWfG-GN{DKi_xj7yZh!zwdKTe8tnBe$L;V zwfcaE{M#qGUwOq_K79SJ-*V~ifBQ=hf83{Tdynfs@S5IbcY60_U%%7qI}g0;%ir{+ z$@hHevR6Ln5%>Q4hu_e9$*$l2)L%aT3%gD`wCBw4dDa8I@y56P#eaPE`+xqm4?O#e z?>X~B&wJKo_qynfBf&C8U4s(Ui_iuk9_}x_1EtFm`gwN3r~N_CqMIl{^<_i_@TFq?(n%AF5P{b*ZuELT=V5G zT|fN9j-PzzX!yYo4F35kmp<~Gub;f)$)=0ysJ-*SoNs7Yqn!hM!`pRVWj=@xqP)si$LJ*PPa zGC&&6#v5XX79YKE=+TRd-}l(7zPz^nrT0DU0rxq7?Vay=;Dryk&-PnA_0`{a#=_U{ z@!o$s_m}p)=CgnBZ?AjLi+}84OLx2LL$3bUpM3FOeDnW2^(|ky(-ZqYdCyyS=li|i zIrgy^99{gxul~frhd<}}FM9B=z2up%dF7*zE-$?5=4br*jW56D^M3CZ&-|r-I_+uO zzq?h^8v8A!#{tgCth^j#n-*-r=Iwt zd%X9wxle6>%g0{vjEBGG8NYGxIluCv+YEkf+wi=XzIl z{`Nil=j`n6yT{9aXKuKoJH7PAyFKQ%2Osu5Z~xu{&-~EiU-zFk{^&=#Z(jP` z<6rsV%kKD@>)(0cF~>gh>R*2Cj^&kCKX>O9uiW;kSHJdND(du} z`pe%+pPFZ|#?{^%Q)PyOx{M+WaX`mtXfzxs*?zv68l+4uCj?0DukJ72!+ zi;w%-SGM2fb-&wr)a0d)d-4bF_T@YM?Hzyj-Y@v*-9P(}|MJEsy!z|E^vxH3@xIr; z>Q`R>o4@&nH$41?H(dGtw~fAf{VQL1+g+ER{Ne}S`}KeB{=-+kaJOUce#47aZ+?rr z-t4lk-SFaAzuR=3a&IU+;f;0Rl7rn7Z=FB=V_!P$X}9UUqj%b3_l+;V^(S6>;K8r> z+dDn=%3FTmIrn?_lb-Ms`yYGpIxfTbx0Czcb@5j|_RQ<%{_aCB_`x4O{b~34hkv=t z=+@)^?+1SRRX6Us;~%{CCC^{K+4JA_ja~bGb=UWwIrznAd|`RA@5dLeddLS~`jIES z@wd*qa_}dgf7RJ%-|>Ar@A3G5_?ho}=@Wndme)P$8Lzm@5B&I-erE2v&p-W*ul=r< zcHZ=n*SzWN)1yy%&GMe3ue#w)H-FYQ&iUGJ>9!Y;;ssmU zoYE*HR;6r@#u?VlbQucpM~fqG&Y;b{<=5Tm+iy+Xjvmesw%J?55z@E%c!RTtcQ1LD z?YOaclW7{Az3EzCseKMd;O68EoW9?8G#oW~3_FG}SWVYv`1$I(-zlnf@!^a-naR{; zI(18kXkRd`!?=zAwJ!TV`NcO%=bM*G`HMkdd6~3?jOo9H%Kzd`Q--+6T9N|V3zm;Bvp=M z@jzNOPW1~3nUbtQ-e10GTPBU!m~m>%zV3Xd$G);pmg*g_ky(Q?yrTW-iY(w*Q9@0i0UXO&s5i?&RLU3N@P*S54C>qvP~DA(%%HGmZ% zt@I<)*te8poXhn_Qx2@8Ir4ibH|)(1rG2Fz{SGM8kZ-NZ`%h_e>~rpDzhkrG?p14tp51JVhH4#wX9#Lh8%6 za_jN=LARg2l{HC7qp>jN)+f?LYRs+2d>f7W9M(L^WeSca^F2(1Jl_)u?GjR&0i39i zZXbG(tw1Ry?MeorAqit1dtn?=(Wc2vNGXhSkL^kpBa_ldbaU%z8s>+bEqGr%%>p5f zM)LWrJVF}FK;tfZnJXkAO^@T%Yg<3=jmE4|@=75NmJ-VYl4wq4u1KlPl`ss3y)mrT zq=SR}(}}WL?Z0-%MW0v$j6J5^I5`NZ((!bP4vf=H0Esjij-UhhhqePCQR`$S$*r9X z#hi$h&m_zS&dg-z|BWa~bjHCWgn%cG=wwQgKr1c}0LOMA~St1xhe7(!bmR1!R)lnZe!IP9*k5Z!{ zCMZc+pE8Lx7JU*D7BiA^>oZA8<<=*XtQ$q2GKog3n_HhrY(&u~l15!I0!5#M)F1Qn zMW2K;pd2SjpHhcLBWZn4wH~X7l*)5i7{>#tQMDeWY($}q@imvu`>!*Fp(#b%#ekv+A(5;_N+4@1%`YDwOfqv6^xDjl=1e_ zpI5DiffkZ#J^CZxYFj^n>0^fmZR=shWho!Dt)C)Kn1h4E6QvQbU5V7Te%c?>CQpWK z>!*W0?F4FR89`znhwa!;hXYx}hi&Vp!;y@tbfhzJeJxYZ{iLC?pnJ_!i}J8t!f zq!Eo~t4}1+wSd7c<0B+UciifekmU1?L_osv1e9v^38UJd2q{ZsinX*irL~2%OP?pH zKhHTcF8U;-E~n(U=o3g-Qu=JfAWMWKm`IE@{JiE{FzlSTu)>-oeJuI}M%enUd@Hv; zk!Yqd?Hk4koH2fW*tQ;|F&T?K3FDA%*SP2tNqw>J2( zKqhhGj&oEXB$VUWm*9YmgPyGUB{%@7*B5VRT!I6VCU8>7*tUKE#bh5#Y=BZA$=H|B zfTVtp&L>aZh>b}k8Hw~TicC?g@1pl5E|5}U1jZ#SNTeZKU!sCc;^35^Ad%>Jj7v-) zBrN14sn!qrqB$iZV5vcWMA4RjfFzhcVN|V$`$B1_LrOlI}E|%0r zB5)IFudw*Hq*0%qz)0y6Y&WGlQu;K+#DWftls*kdBd%F6HSM=xmW0&y33m(4vqq&) zAkkipls;h$Ij{&5ns0$Llt#3z2WcXu+SZSHILHA;rB5Vb&Ww~kVJ)IT87X}NX(FZC z){pvq862fgBS?NUa+weHslgX-QJ8AK=UwNvidO0bPiZ(x(yn z!|_u3G#ZG@HB$Nn5|w(S^l3EgQV~Z=pGLzz-Acqq?Wn?6XS+%)05yRad(sFs_K>WU zQmx0Z%I8(f0Vy>c&?c8y zAd$ozmRLYYBUzM7ERaZIoJuT^NV52qSU^bVF+bmG4wfarVIZ|@7)V2!uwFa%AW@^o zB^HoxiO)SQu|OipNR(J0kz|ocu|Q?}SfRIlW9Z6c`?mePPPa20BA(TrA3rGn-W@58 z0!e0#(kPIKals*P)CbEbmjbAQCULY!N~2H;aW+V;Mqz;z%b+xB42zF(Ou0I6gQZ=7 zD@bZr2b7}ZMp~obeXvHYQCN>?v9v}3++$OiS9I??LZ@LkQ!C%K@vta zA23|Bep;iZSo1;B8U;LqBax%a{lG_wkoGw1fkjB2`+z5rjC4bQPbDGLNunvlBFPz9yMs!@Gm0_2QeNFr&=a@Jw>HD$#Z=g_k)OXCac zm&>xpP2Y0BupbC(qDn7v%uuLsvpLo|Y-p*n(C5fuKk!ri-O{&)$}!9FLt8f0R{ADo ziQiIjaukuv4iIH=%yJ-6s8}gE4mp;{RHC>-O{!O@FvpZdjwl+cpunRXR1_-k2#$J= zEA|7+Rej5$MN5@lD!6KG0GMOibOl2TEgbcIMq zWSF6nrbqPCu%y)Ar0fyK86qnxO4)pq73C1O`6l6zVNuCal8Xbzp#CO9>y!z4QM+TyB9`*X&%=0BIN^E7H1184(3UR8W`Xa)G$O5Tzp1Bkn*U zTref2BGV(T5!@+e2-yrBXA)p%Lu5rcXlYBbq8zrgL{^jomzKzia_G_!X+DAe))jGS z9_H|+Eh!b59?|0;<`AYWDHWL>(Zd5`U01|)dzb^6hMg0Bm_wPC$cl0>(-K)x4v!lm z7rS8&Xj-CFWMo8?a+rgfwxm>KWW?BTm;;+qQg-5ih*v%85-1$z;HE9figI|<5?N6W za9ScO${|ie6w8o2oW#ohvg+9WVmGa|zdcM3XMb6uJIKLwQ#~!q!02L^mSvCunzkew zIxxD}W#lz5x`<3^5^H+_REb2>Pg<6N(M4SIL}ER=Kp@dbj+O<$NLMM}fz?GCkwFf*n|9KOz=NqP;<7)m zx=15}JzG;!Dl$D1BVu(?jEL1mF(OtM8NOiKx2}i?G|YWX6eE&@rlv=9Y==2)YKiC( z9AU#Q6`3B15y`<*VMk^V*mL;Q5?N6W$y*{T${|!sWJNiMYKiPo4x<{P7?B)EwM40? zmQhcPNDj~2l2TFKqaHnHBra5a$2;aA=8&pk7xy9uRV|S{%3)PYWJNi!YKg2UhgJ0DswM6zPhgU68Dyn59aL+IY?QKb^$n;3uiyUS(CB?lcfmZf8dg5M`K&v6LMMTxQ+B70PVtcJ)Q<|Nwf^Z5@Izar6TE3Wq(PD?JxJzw(TF#tDPSJ z&hz$HcH0J47sawzT@=e=bx|yf)kU!^IjnEGB$mbMqF9z3+P5V!A_J?7Vp*&%5{(~N zU8H3JT3M?yEemjeLu5r(7in1rRu^em238koSq2$CY8p?=0tBzFi0%ot!xE7}8rFyY z6d@8e#44g>#SDrrvLuWgb0SbO_oyMVNktb6QJPeAu_3ZaMHd?)n^bhMAxcGgb~Qw) zDEBB42L!CZu6hIoo2SP#D6v#ik`4Na78=_Q{*WHFF z6=e(95T&B3M~K{|BGV%pJH+X&IM^c^yFrPi$~WmT0q8a*Sy73lnv$%j#8QPQ9#4s- z8lqHWdL$lC3GSPcQjzJAcswPvFD1p}DX~;TWJM*GYKZJniKQAMD=M*6Lu8LiELDi& z@swDqAxcH2N8$#RSgI*06`39}mV(JjgG>ts=L?%bBxV^Eq8Nb^OEtER(k!u5Lu8Xm zEY%R%_YzArL^i3!QVo%{r&vncUs>{v{Vgn*kK6W-(Rx9$rpo@R67XzQKWV9HMEXS+ zo04cKvIN=@k&Gilr+1%b8{DZ(%_%w(XyypUCiF0#}Pas1g8%b3cX1R9>L!$l6hDf=%U! z^olM@N$$v@OTD6tnFuhP#4Nc)?8-KEuV74xhzv+{341!aB20CRNU!K(Dv4<+C9^Ij zBC=Vb4ZWg^LS%L+wk3Kct}jIDVz208CL-sN#B47Lk<++Wbg>~yN!=qju-uMB2AgU; zw#me%7hO#3*tNiTB59~DDYL8SVlIhIN|wyJScqZ)OI)9c6K*{tGot$Dlx}{%=;B1_ zH_z?}EZYzgiKT=HuSQ0x#8M5B%249^L`43Tu&W*+({Ww|S7NEABx^6RR6}GtODt80;s%vi zDiO!BF9@5LG`S(lH+7Gg3div*`%+R8p(b+(`ek1#6D0u_o6Y=YWq)aujQuSvFcI1Q zBAE}KNNayp3E0ML0UILv34^Ix5A)4^`gCzMBEeJ=0W4bI)4IrHIIgj{mqW87Uq(vi z*_GHql#24~N1nj-l`b;ROo+Ni%rs-)D_sPU z`i%oi`kSzl9M4J@k!!#yhs58iB*GLDb&r^a#vYYDQW<%y9WB&1le@g`07aJ4;+&hzw49C6>xWh@8YqMo2>vcCB^H`;v+(86oqXqf}z4^v%h<=zNK#GO^Et z6TK2kB_guKI7%g!DnwD*5=#k@X<@9r#8QRGoE@AWQ3C=^6a_1>R4NJeWZz3HRfvgQ z_lRj^(j+Y-5b395RCGniwxAnSVyX1al!o6cu~a4^lZYj?)*-zsvFmxn%rN$-?2#6B zg2KHLOBEuM;(8^P%ET%2>C#cvVwae`#gQ+uR4NG~dsJenOoYCYsI?BJk_j?MX4ZWd z`w61-sItGLMD}+q%fPk+;|`LhfFlk%=PxZR;<|@kM_vtm=*sG1XYazXW0xFVT{(66 z(azq7?!CmC==6~;wy>+l$U2H$;EISIZb!*VxG;w>6xfTbN>1t4V8+x$y4}e1s)DIuzsECr|gRIw@m>OU82+jt-S*t5D z(4a6#Fss>_&5I<%nn(u-=Pqg@XF5(e)I{nVjs$2Tj`v9IRgaK*Cf}@jgiJH3z3LHi za5!{XT`%C@SxYaV!-8};sq6yU100LWKiIV#*U+yVD$aY`Q1)Y>Tc)arS(%MI}0Zk zJLluRunrG_cOJ65a%^FJdF8|!Kahque*pQ%!}-_!#$frx zsg+Y}opX<^9KJ%@odz)Tz?13KJO@`-E?-?ZethB3v8ABlNdXc!)~7TVBf#@LN+rgNMgc?PuvZNd&W-U^_5GO*HO#GCzlQ{FC6RO<^sG9gC67ZwZ)~? zcBs?rIDmw`X$S6055`B!>fqAqk(E_E5`1{6v;TzrNh!hV<=8QCe(N>kn22cM0VMiO zI|vv0lg=gcoz5j3oYZ#t6aRRBT#0e?_`>QHYtn0W;>hylr&gC1J6A2QAMG4Cb!>h4 zBsyD-FO22V+EFMNB-6{`Ypx9036N&8vE2^F6;Cyzf0wQ=A6vdwhDMY^^_`z6O3C() zu#ilBTX$m`!Lw5xoAh97;*Md+Da5Vyp`#kp4hU;2ddgIdX0u&oBG|(fu-XstJgFdpL7%R zVd)5Nk>FRdQqpzk0umXguk2k}SwDf7pE`ri?zL+$dsbIY&{9F7OY5EGwa!WrG-h-M zo`sCjTIbLyJkZ@awMHYe2>ZIaeCX6VrWtKDYs;D~wIS;pk<~LbSX#lIp>dY$S5&_d8CfxiX;)g{?J4;s|UOKrh zAAiX5>iW{vSWWn!^I-iJR@WC!?B6dvXnvi?in3ZuMMJEqrlL{jymQZ$p*nHwn$Fs( zlP6d3&Bdk*sfuA5vgH$L0QucK1?0OpBbepdNTl1j1Op%gow+(ES9vMO@|8 z&w73~uSFbOWcl}Itii*i_^n;s)E*+^RSgdg8PD(~`kjNzu<+~2&_WVNmQTQ>BzL-a zT5KIoc@48pX(tOYC)^;c4fz9X7{$Goypv!;;)Jl8SGZef0Lxa5<;Ic|d9~teBnKOp zriG}KOp8Ou;OG|ji=CJPbC^Un1}kRkQu#(>-7zZ?Kdcrt9E6{r*2_4T>&|Yq-jGEt zQrOCv+DQs6!uV+$zb00Eb>YP2OP!S?wWBJ5i-a+J%S>EWD&R3L3h)%Vd7I?G1U*My z1Kh^!y22LlwydiPJOK6t52VnbIAi2Prqgg7jo4tIoW5fVmt*#Jix(!0zzU|N!fxI0 zy`_BC{#+i8SQUcogS*0j13m>sd+w>V^_Anx*Oox0^@&h(Qg(g_35i&f8z;T>Oj)UX z=zM%_?dZZ4{80L5Hokae{07d=!GJd35Y^a!9Ff9tI38l)$&l4p7~oaHU-XL?VzI5a zATJp5L2Rk1P{T!?m~w1FRzY&$EZ?M&Fk zo0Mo}6;tKp3Zf85UtGw}o|WSZ%Yq-|eKhe$fRbZMi)GSZ1{8`h&HEXtAhw{ePE{r> zIcr?-#3hzhq_ZgXbdIfD4)_6fYgsw7sYJ4z%Q*m8g1UBy$mETZRNELAuejQh-+WXl zHlucW&bS=Bla{#SCl?OW5j}JbK6{mb87sw;VkKFfWmIu;H(TYZ@4zy|0~6H{ada*{ zxrirQI~U;pP8`0bv**+jW0usO#!=(vvvSMl0dWIdDMC&Q$o-d&E?l|1vdXeqt#jFF zY=D3`+`n-%_NbtPZpXz(myfKA10s&0Sjp7jRNF%qj-jP1M_5}n%2FG4bFl_fmQ}}5 zSL)KHJzq#8)O4L881bH^MOKw35UaunBjj!d;oRhJB#pd3!zpM8^?__^f*@{(mk`c7 z!g6^7OH>73K5U5ZOgFm|oA#ylw)ypJu%-RLv>O}QQy3U6Mcy4t%|P}=XdAI>1~BV{ z1iF9@Y6HvFjzF7uVQEyv45t_~iW;$c7OCbo97`5DYeFK&?NiEm0EX+ z#^$}m)HPf(fZ*Kf|8SJtBQZ;Lw>7&Ld_QliO8a@yN8m&chMk9Av}gAvyU)Gw(g$7ANw$few0L;M3goTW z{2^Qx*BXyF2y3k)-6xqnvx6{Si1GEzBdo%;at2R0%e_8keQl zDOT^i)m8Kz{_`q;8iHWw9$h+2Z;fqKs*jChtkAIqmEMZ!uSdbG6a1o@CrIZQ&WAG_ zx{Wwx_Zm=fEGu*Ylf}Dm6`*)-nlkRV#HX9*ks3Y%I5(9~khjLuI3gt?nzcP>En_oL zC{EbCb)J}Tdt#{T+^xx}$+;jlQ2nF;o>5zDj?MBBxHcCj%uCRj)^TP1c|*Q@7N#b& zq9A{J%R(#b71pMf1*DT=GsqdXWKZ+%e`?kQ_dWy#H(xXtlk4La&74M%zCJ}xi2pij z{C3>coQsgZ{Qm?#!zjx9s31R54CKe97b=hK5kJP=$yS{w>oz825H}$90`; zSf9gDwQmG39M10fy#}Pl*3a5C$FYaEip7aTfql%hO^I>TP7f|5i@*dN>|;Tt?883x z7z53Ob@(>JL{^|C32q#jVizB(1zqTN5)#&I#_v0Yt@vZhCj>Ir{tI&9;1))bLIAtT znp(UsiixdJ-VAOa8QY1H=ivWjqG%TeDa*x$QS$$vhY!g0Yz+!3aZ1*^37lFWopmL7 z6;wU>A6pgeI^hAYtouD3nJ#b(g%3VBXRFPM617lRwAE!vFqdc};K@z4?!z1r0DMm|AKyOSjZ3Bxce z&6oy@hU~dSL(O4T>W|g57oq^)esqg?R*eOY27ArFDaDra3DdaXYKwSPg1;%#jZts1 zAXOAHpA!(%SFoiS!fEoz!+cIl%teubTm+G~P`q+;7qG1k639u6`4b33f>{R@+HBpJ z?b76g$W*}yM}LC~cbzhGVAExKw4Lz%gk7v&pETHe*jxz8_a@A>2Z}fQjbFSC^xuSkz|0K z6PuwpsGw>lF79hkD8}(FL&y)Ym`9#%@l}HX5Z!9A8LG3x;pTHfa{iaK;iitW`wCsOisENp_3L?OZ9_Y9&nNjw_TUpR>)C;rx10 z7Ni`z{T;QlgOm%JvV0;pu_LszsW&%4B$AWXr3&l-&cG#+VgyinDfxslJ(Y)1_K@du z(tG+AQr*M#e)H|bJiqNWu9bEt0oHxvky{T)NYVQi?3E;jpmhR%5AX`$u3Dc+? zc|0G|njRdY$71VD4^paXizaFJ7DNx@sO{LP)8EILq;P2i{IoHc-r_RObT_FHczR6L z1yn2c^H*iNVRh-Tr;we?AN-Xx4!>9~sRVxX@FR&1KlrsYwD>XDj)zLh=Z5%^ zR%84NMmDdU_%X(hrUeuHXqqs^kJ1EGlh=ZS$ezHD(g19VV_nGA;IuSe87n`~nrzL$ zgVyBZM)-l&gv*c1540xd zb)poKGWen>uO`4RBDXoK62BOfIchUMHL{9?m$b`XB? zn>l+2zu2&xV1r+5SjwBJmM2QAUY<}E7xf?P;QozfF%epMKMy}3_onSom8Ru55nLY2 zJJ`VyyYfsPen1PGX5-l7v}rX?Cga7hECrlXoHlL7X+FFXR{jNAnD?IWHE3bpC&CZ3 zChr0r?4VTM=E2`+P2Rr2540xl&)^4IllNfo1Fgy1Eck)eyJ8B)Rzv?hZ__<Ecq7V%~&Yy!jkV3-i(DJX<0<6 zHe+Gy8~fAxfeVmNmg7V9% zQTmc!{MoD)E&cq4;btsa`uSzQ%~-Vb^P_v4v1sY%$L}^{(b69$UwRW3Ss9xV+mepS z`q{E<#U{%tTUheDxtldiOFy5Z+>Axf{rr6HW-MCzxS(MRIws41)0gDwZB~nxetx=k zGZrm|S8@w1;+8ahNv_={4HM_3VM)&1W-NN{&F9tRGaM)5o`!e!5(<(}_-ml}AR6&|kh z3|i{gKx}H@wI8_W%`<43I|i(nwhsbOlum0C!BJxRo@3CqK0lC{`haMk_k%hHEp6?9 za9DX=uVc`%woT&8caA|z8re*&a5J|^po!9NJd3>*7A*=2FSl*1Kl6^t|H(`-Qy=hni;x=QcWS^k7%~TadlLc4fr!6}6kyqG$eA+z3Gcn>w~MSHw4O zSQ4(ZakBvE(K<9dTM0VBqotpp3fQzBE&hDT{iZxx{y1v7xn$6`N&(VF!>09A8jw)# zO?mY6&o2^f%A<84KQ6Q>k2V3yrQn(;3!h#6GDh2obSsf0z|=|&wt@+Pr&5CiUvJhO zL4`}(v`@7`e*~gwc{uQ!tmbH&Rs-!>ZB&m|1Kh>3rFyg)Q^dRkVZpu^X z!B&>gyj9TjC+%}>T2G}1TZzU|kJbZ?6VN@Ui8Q`2IJ6dM{DK_3720uBa9@6;Px?X! z9rs@b9NH3$l%Yl*{v5922{`m}pywxY=I8j}o^MqpeT7?6vw6$0=g_jx@7PN}*mK$* z&rwNUX(b;2o`YcxIQ0AZ1)^m<{C-oMYFtO-g8tkPkVMv z&w0DJ3I+<;%Gu8a~0*I(|k+KSD{6&O+K%|=c{I(qY}Bo&^S~*G}3cuk?W4j;6_tWwzG-> zvTDV*P+T1~vZt0i*1;!TJ%<)Ku3K*w6kc-6ryf0r7P;=n%;_O}Y^5Lh%{>ty#KBP3 zSD_`I-2!gFYe+o8f`@c~CA@>)mu7_qOSlDJVrUf1n8w8Zt^7rTM8kO4&-SHe+;(8N{v3t1ONXv`F^Skg#fLLzA zr9g16hQkdYhQb$7OsSTIPBujibvq zT|Gx7bG28^7g+dKuIJD)*LBDWg}pq_p=GYEC|`mYAT;qDmCRKzHq$Aoa6G;5CasE` zE6FA+qpR06I&gfo&bLa-TyLPtx*0iF6^N(ximl=eI<5}E(@Lwf^$`g|Q#^;3d45|i zS!(K4*eWe^jjK|xMwsiq4lQ%dA@&N^%yVd&Ymk)Jjq|tX&@$HmF=fstGCYT#=ep-I zKOzsHg70jr_zaS-L(AOtJg~bANLuVJzonK|9E>h*E^>7M7ttIFQDr~)h_!7MM?}@3 zg5lJ2Z`*O0Tyv-(O5}muLSIKEb6p2?Hwqtd5>eNo{1SMHkwb=aSen5$Dp<~ODn)T< zz*2Vq0yjThSXd^Mh6MSl1>g4Z9oHh)9O%Xv-j8FbVLDja0)@@$ca700ICN$WTHg7+ zS<$JP3|ia+RYMnxKi``4ozUXW@1bv8g_d@Ht-LVsePv&RmbRAN%udV_Hm_0VGnl># z?Yj*X;3GB!iK?DM3%iONIroCqEZqxO6iO$!h=uk$rMOZ}&u_b8@)a%DstSm+>qZ}W z1)f6-+_owTvC|EFr5_p|k~J1{+}EKcZa0;2pgZ8uBG<7E$BOTQ`#QADwLI7=UJd0r zw9N6ONi+MX_QSy2OIO^Lp4*%QJV4~@sK7Vv!H8Z3D`vW{uGFESVZJ}b2d7;>B(1k_ z=#`&qY~+Kc>*NZD*7hDb0v|NpDpxpgT}(4p5;+Fmce-M(;ZPnv2AU7A`fgMrHvw>{ zh~jiMRcHVM4saCO;EhnV3TQ`6+$z8xzEygfTSW|8Rbg*-Lh-doSBGTPH2qLvM_QDF z=^rh>H$`R_SSOO=1LdrF+dvt8|df(H#YNYr5d9aM)?irKJ3< zdJb*c^;j`1=hMKRLoekzP>~=a2no6s_@=d8795;h@pWjK>pe3WtFTqtv}?SMh5_$q z1a)Yc+Zh#_cFDzQ^a>G8Q43~>!w*b^W`MX3lr zMH}Mjy||_dg>Pe+xJhM)DZLxlFsS@}RuOIHq_^K%j=E6;STkQoC2Z9w)`7$G0Y@cm z#W95EhRb*Zj!N8`BZdgmOKwd!)RBUjRa9u4K7_z-;KC)}s0xnL98}r>Mo#aul}70# z>zNFCYHMtp%N6$?oG_|XIg3>DL3Gcd&3j)K;k3l104d_o0(W&_ruaIvz#Rwr;yJXy z9ey6{Rj2pIn!#1`mEmVMKxY^RwGPKtg0S=~d8tElu#SF^^pf5zZ#i0nJ`2xD51Ka| z3X7bD&ZO7Q3&&RW`?xBoo~smy8HZu;0*IEm4h^N}0b16RQ%t2*+5r^Z4T7-r!g|A@ zcMfKxEv4tz8;*32URE-Zc@Vs$x7izxbjd-(5rGux;r7B&<;l!|nbNE74Tnl@o3$UF zo_udORLY%R2%!7mqORp6JCa zj^L;jfOqY@2V5R^%!KH?( z4$BecI#H+FR%wn|`8&M|lCyAGlrPe&54x(roBfEOUhem+RvC_H2LZ2EZ@__Tp!_-r z&tP^P!3jP*S)^^d4%KH$usY*vIil3s6r43OR{#R*2tC&tl2bs4v?}oMdtwDk9XhLM z#>qmwprqGxt?d}ZXwQLIYdg3aaiH0aaCAJDqd2ybPQ_f~wvMeNlJzP?&Q8H7A+A>; za+V`PfO-`oS0%B)Tt(}qYy}r-=qx1Kw~#?ka(y;rCPOvH)uw*ZTOR}VYBvK647LpYlwN(pDqo8r*G*UWxs zpIt-A?0Imq7f+FCk!zS&ID#y)UIoY5I-IL4%HG8b;d-nTFg#P{ z6%?m89LNy?+<5m))lo%uGv-r;!s(;4vtq{zf~#tn6+2b{+?FE28h(>;YkjdOXQ@|% zZ`#MlEQqp$dKL7BM@KMjZ5>gT6EhrJU1uh==Ah|w(WV@cD|1#4uEY^h>?lXK8xD2; zmmJ|NuTVEF4{}6#YPi2s_d}gymdHHF9_Up^SzXi|Z1d9hS7W8>5KlLXv+GbA}bo0a6%uTHD#I$%Mg{5-Cs?a1mXW+e^wEA&l|>Xp__f+mIo%kL;6@o7 zchb_X@-YyHL}wn;Le%s_oQAx-&Nz_Jug;)u(h(LAQ^Q{~_>Q`xS zTRG?2ukV|a|L16X#?J57I>Ya+zi`x(W)MbqJ*|+dze5D=CA_MOI@a zMk_CtGmc#KE6`0JjS)=@PTx{H+d4G-E3OEFB)7;^pj)Mh2)m-pAfEbd>!`B9W*jL= z4o)*x`Vh*D{IPxoy6INUI$wy_I(4g*mdrZJhlk@7hqgVl4v6$C(5-@Iu|7n}#Qh3% zvl|f+jNPw5H+{nzU-upcd5QfBbaNb$%q!5%aUhS&X-NgTX%2Y(ctNjI`>~PC@nCJhvC%wd!mY1kBbhTH-E(Xt^C&H`U*T@9=g400b!;@xqiJ5j zZaO!MW)}|?`6?>d&2dD*as|6Nj^MU{eg(T(4sKYwj#sdo;|Kr}f>=rs)xCi1`3Sy@ zucH#V<$z!0IVzD`4#W+fqnhTHBU%P4;LY}Y7%hVp@a8z8Wv~L?97nVaR=}I%h?c<$ zc+(v87=rUL{R(%}4B|0FcDlmdEC*g>^Wvy*H^&j#=?Zsq99X%X$Sd4UbD-nIWIRJP z$&HQ-5V2a0bi-a_rey}_h$sf72Q}&@Cs;ROsLZ;^auWuCEQVijvr*sQp*b7)myi^y|p{1?6!R?1Z;J^$j z%uR#dvqq|d+%&p8lR-)nF4B;8OkEg!EQQje!hO%iu1h~)+q z=%zVXMc^By{fTC_sy0a#utH8hD$Gq+A;Jq5{6U4eIgTJUs-vg28-w6D<)DJx97g~n5%5wo!*m1B*!!WXAU9V> z*zszD+d85Jwu0Pj9sS69R*;+H2=<`|73AhPg1yy21-UtnAif+_kelO(+?OiP&2dC) zQ-!%%4(?JrWrwphTjtEj@Ep}LZY0B|baNch9IO)E97ljQ4yr^q%Mq<-HRJ|hqwyzapb&Vj zRjHL;&}iAmK?+6M7cQ%ZHF&*Z6A*)?D@YniPPHx_YX27x)U7|RslH4={n*@vRdR>8SmI0oJXVB8t3{Y}zg?k3=wrK|TAu{L{(xw^E zhhBij@&>q8#VteRCR8|^Wr%EAmEUF=f>URMD!olHU`p^%fgdD2uT^D!Lg5NqZP4z?=5!-rK$TU7@+f(@p$ zXCC&0tqPL)hSmLUu8!c;DsQmapdUe^9mAp791(&(tPnQas$sAtm2!N-o@1`_`oW{fzEv}2PL9Z4 z)i|53gZ+r?RRy%Uo=5ho%5SSUu;MV$*!81^+H|YXk6v(F026Lzj4n9>h=X%~1CC$| zeOO~{x>e{056Jm`%#=A>6+o-JoneDk0Y~+9Y$Wqu5Ss9+=C%%wLZ0Pl(bR)^ILe|>$V>qLuOv4IRYm0L@2f-oOVFjwG@@!79qcp4_ zHB%nzf$MGssG0HsOgpULw7PsBThIRcEE5lB6g3a4^fmMSAZQ*6WHICWk$P4zn)!YZ zR17N+O_hhdq5wFZ+?>&#ApRXz0Gj$fLPz#lAwyRC%})yvo{tpJjsfqkOOt zJlqH*i^5%|Yq#Im5j83p2YZ#nnIIV%g58zjMj#oFa=R{X1d>Gw(8DSrP4@vp4kO(v zA5Akr%3+|p5l9xsxf_9GVVFA;Bx92zMcN1?8%3Hl3nXL4Mi}E_gJPy?gduK~h^B`X zsu4!GGf^@!M8>X4L$ftR@$F2MjGd0c+nFdCn-oR2Gf^@!M8WM$lnmM$9c>?Ogpx(? zaioJg#b%gM8U)+2!zuyIG6Z`_!wMwR3>env`HoSAk!c2u(g1;jH3Fk5|I9K3Tbb!R zP1I=)Yv4%nmYZ0;(TB*B;xia6gFbE!mn=HbKC0j`&A{X^-n-;`trur~+MFEY0N~_I zSB;X;rGOIj$GyWas^BqOM|7D+y7ssXt>XxsD&C4SyH&VO!1uh$K+_jpu;-DZ$8?~! z4$FbdQi3|P#Pw|qtb^CHI(by^n7+k;9K89;bLjQj%AB{pdyY!x0=2Xf>}Ga0l=p9r+QNey~>>)T9c)}Uv%ZW2Wuq#LHwkwsw*Lv;P=sDi`{ zL-e%Ss5v{mf$K_Y@%wICAbO~GYrwKvP`{DO%mLAlRjvNd?JIq34@-~`Wo6CKQ?qg3H#Do~hNUW>YI4?Ke?t9gX@Bx*kKrYLucaKp^?n?E;7pvfJVohl1iO}_D#gr>6oX{nZ!K(n4JW!09`~wH1g)fT)s`(hU+TOCT=A;h6+B@ei>yE?46G)_{?~Ip$b;;S#|3w zdOZq~QAQPhW;yz3nD2$Q;reAUj%;*8Z4z)ZvVzOD3KBiM!zY5LS!<%kl;Miqvp z6@;xC2AiUz3PjUNh)#C{#A;N5Xd@M{fPwsOq$&{2Fho~yF~3N4Tkxw!1*09vQ3aye z+c$%K_E80*83x|?>ibYhTQ!N}+Kr-*3PrQgKYe0fhnBXEHZicgt;REGQ?4(FWTT>2 z$VL^8W;u9r&)1=6x8;b^_!v*N(z9W9bXb9~k>W5xa|9}lW$(UBB|TK4<^jOKEVtQp z^n;xWW@yjm;M-nytj0B*re+3P6^WeN5vmTu5uK|V*KnHQ;GI9dRoY9_Z;C;!BI}uO zr)F?vO1}XmI3QW@+tRlcX##O4BzWi2%5nzJj z3QMyyb`YHEV6tT^KFh&7N&QGw0LEwijS5V& z91+kwmf*Y*hp8h1S;iHZrtf-$jzqhj;|fkQ&mKp)Z#@3oj?_T>%2pVW7FJ1SmLW(c z;$@Di26Mk)5H5|Y)T;XYkVH7P%6`rOqTZ6jtvSHr` z?XT%O6-5vbhUd^TTi<<0jtHF{*U4sb0Ja%eUR3C+s1P-GEnRT#b6i1cdMOs;5vfN7 zsp$(6Ik7QEe516q&4vZ9bo2~*V%uH>w>_kFu~?^}1X^jF*oo-+w{Zoi>ANS%5n9;_ zP^<1!1V^-eG_G>bbiY|glrcQ6vd{EwmBJCZITfa+#Rp+TFME$GNNp&1Q=?K0ADuAJ z*474U*NZg-F=z@aiZBd>(2bV*3R4@2tJgG%`sJIX*KI8dG^rbT|23?p=24P_$3ez5 zuqN0}(Mj-!Is^mUuFW?qG?=|{l95G6dP0I$f- z)X)o#NR8`+GzEyVNl|oB18cIHH3Q2lmVBqRu&ptO@_fcsR+_Q>d{fC+QJHcH<5HVM zc95Uv(9+hX9Ni9Qc9oWD-Ow+G@kz*M7TotaSFVkhegQUxG1*>TWR>23c ze8080ttF4%MHyGPn(nwLNMJuITrK61HsX%D8BtL!xG6HydFI`_E7)nxf=dmUWXFs`!G^j%ZzMD)J)xVj)sb5Ih&(YbL2tLfXR zWMG4dSEZ*JhAzBst4@;&RO^e+*7SN50G5*qQ!@8BgfBtD?zM@a`?MsU%bn^b6;ei(x_1aV8+`Hwm+wkFuJnFy-T za45;i911eRCl#8eyDbVE7}-e$r#X%wh@4b#nt3fCN&=fyaGHKrVU~JSXqsUNrCot( zh9SBkWl|m6G;l$*O)#mjG|K=G#pzQ8r5T23Co{dcU4(5IqRq2O1*BPqAW3>s;b`^| zhG4CmR5;o|*2>0mM2KTb3~BlxOF+Xg#Mj&?iKEdlh#TB6#5HLD%=96087Eab+Mu@i zQL=hUpJ|$87^3-5L1?B1o*?p_)~>i^zUxN<2m`1HZ zy$FV%)c9E~xdxoYW&PFU_SazXcMkGG0V;v{H*RZQww|*+BMTv(7rP3 z&vR75RwIi>@%)ryr~x#^Aj?GHyQc)5R@yBhiz=dPQ6^PJnwi(prBxG&VVfH2c^!Fw zlL|pI206OOd{QB3W?u850Y6NYuxst$>j$1ehh3)A(K99!2`^`KIyiJcso*m+OZh04 zYf%NC8HVWA`jnE?w5T>sQ8whH0?*7+9pRFbDj&^=p&Nh%6R22=*I0%C&zr~=QPT&d z-uTiexMFQmVP{5e(LH063OX}QiXOF_RLGfOh>j&rWaOJZh@DcaR-k(oZq}X3{7W2E z3egO&9u;<`Q&~6S(RN(tX>P=$!)%?jxDk&QyH1tch(|Bot&!S@L(g%2)7nNndYbFI zw>IL@R=uZ|%Z+%nUDp>EZ@^O_YC6W)h^N{f5ua~U&5>DE^ixAp8`Yz=pi)tMjT?*P zz3l9>Z?|+}5ud(YcT!<%mSYrhXbrF&!(iKEQh{rF4$xXe%R-g3W*B(f-St&lat z5M5tCsemw?RJfX9;1xTr6BVvz8KPd-u$op4ZU_7CYs;=}E_x6%=t->&?o0-4)wQ*qIYwI0 zh9OkG3RCOJ05pOQqsj_XGYk=uIIS@?`L$sU(;8E&r)XrzrZuKkGejWVw8~P`xAk*a zgUDoBV`_qkG<_HbmTy{PYBd9|WVX6Aty0uXlOj1xYfMdbniGx?hp$42 z{J8iW{DVVIieOgq2S-T$5PXIIMD-O4*Bbdl!U6shwdkU{k*%H_Tv%OVTT=5b+F6vZcm0KVBT{*DV|0WdK!lejNqtEQnrk`A+|_Wf>qdRoY*-r*+h~ z+@8EE4fB)KIPZ)CJk0IMa?G2uxMwYmV@^zxbBENkoOV>VhfPcdV9V{v88A4flBRl2 zp%EX0_2dm}IXo-B1!V<2jR*dl=22?$F3d{+?kmfJ(ehW*Yr5zOT@>bZOXVlE$Rn9D~G=JF|g{5Q2Hhm>e9mT+nsjiIai-tIDEy@`rM(V z%a>1_b;cL|=*?%$EiayR#zTh(<`0}acj@Tz`PZ&4U3~3>E;)Sd6^EybXPk5PX=h%& z>+0htkK=1|S06uiVr|#eXPvPCIAUoR{w8_n8FNx({fe{B=y$q1kdJ)E*{99T&7HZr zcx2Z_d-i5N{5tE5qwDJ@ckSGH)m2w@uIhJIRxjV#old7a=X*PQy&WjAW9^y~>kC)! zII;G_=_BPkdzRJ?uP&clUtT#e$L}s2S~<0T)){B8qUL|8fhSc(C)PUB*v{dV<2&&W zJG-6!&gRQtJQKuS2Uk}XPaR%bJ$v`z)s;gF>vMaS*VdPh9a~zR8+YbA!?|q_T?Pbm z)!Ozm`H{AKTf2PcflJq1wX(XncJ`(FaLDLBd-w0XaBknyiKW$r^_A7Rb5~ZE?z8{k zedND;5ANH2pNA~3t}k7kzS;2RZ=Si6-BjI{F=dMleY4@Xdf{XBc= z>s;sy8hpvh%CWQet}Yy3IDxVrUl zEgs%=WM%dE!ur_@Cr=(*KD&#k1cZs z?K-w_;_|c3xO&Ip(vgKz$JWm{J5aB=D+ita+@))f*1l8Ai%Us~jxE<8PSm&$I52!SMm?xru?GzQ_o zfkw|mlW_cat>&282M+AovvT+p=fVCxXP<(0?dmNp4i8Vd3p*ADI!Q{|n$Mn#6 zykmR>*B2e0UsxCp4wvsL-VKdG8a=E=SkZPiOIoc;X)@mQ|KE1_rvG;H#qU(o48>Od z_|9-`Wt5sS-x;oED7Ny)cZO>#qtul7&TutDv6Vl*GhAC4rKZeA!=-{^Y_gwq##IP; zZ+9C0%t3S6d7r9doDzS)&1aahZO<;oIrH6l>_OnghtVUp??hPsn5Dz(+xBkiV?Iip z%tzgHUgq|lyHBkjU0L0x{qOBNrNyAu!QA$pCFb1rEyb(bcb0g0+pKu`Q6*r0)b{N= z5$??zs!JFP$sm|G*#;7vKX_sP%%l`^ym^GFPB$|G1c4ZC)p#%H~3 zIbI=eY0Pu8G5wmoVZHZ!aq47y$J9GG%FZp;{3pYWZbF`d}bbFV7C9>#LEq!wyOho%D6gk?TFLeG0(%8 zaY4177lE}aCs*dz<2RP8X$)=R$6zd5p>;VNC35Y<`4QJXfY?rlkYVoRi$r86Bc!0Z zF`dZnfoaQ_&rcZI#OvB*%7m@D+;pC~Lx$G%I)wdF*S_h%>(Er5iZkCEag*NAtca+<$63oXn1)j*wT2JlBET09!d&Z{XJa%>iU6=FV|n($6$46h}#W3 z@5If^;b`i|3U@uZvBK>>?t8fZ#h2p+@ut7H8^X02&GWo|ErW${YZ>0n;Bk<8tl-nQ z_Q7npI`BDPj)yVr_Xb|qu!-e)d8?o0m1Dc6eG{G^t!YREbNztx?euTTG)&9Oo8K)B zE0?1UnJ{7Mm0N`k&9A?B{J_uE>6AOk6)(Ii?w%T2*V#Jk{W@ER`wDpjqT!X@ctaa9 zv%t`p@^5K!Cf1abjJukKloUIDqi)y919#~=dx|}4r@N!>!1;u*uuhin-~yW07hEms z{4iWG%Pfn!A2@|(=RQ^=r!O!OPG8{3Gi$z` zAxdXYVX>VaV5x9=fTV3^{MY?O(v<5*5BXWHoMb&2UcOvnzc*x-pD8C#3K$x%-?ruC z)R`$abv`g&igNxgE*x{~0dtS%S#)P}5H2{M25yA&X>bPItqVBj?bd}!S5AvpdWBpXw@%|cw)3fR0a;f#w(Cb($b$`Y=R!(<>z=hq>($BzN-6|**bf@$gMz;a~g?*t~t>IO~#yY&qr zn2T3&j@RiVa*f=)g!(zVJLyl{+B1QB>iU7CMAwgjw?jC{%5273mIKLXG&FhG+0gvF zoFEy|+Ay30b!!<`GUszmaJz_|zet#JYXvNXmk~lbJEtc&*6ZX3_s`AC$;j&@4s*GF z;0%wo#W)e;@RtejKPL|)YrDQ7)^##Mtn2zQ^*$G>b+PVbDtBHy8HD&~xay-#xX#+P_NQ8EC2Hj;Ab^X0Q90^DB@Y5kk%8W~Q9+;q~@faIf8%!VNCcYnV6pcLa7^aJ1L4(1v-y1uu z0Odx0{Kj&ozitZ>N{v3_T1*5AcY&AV~w!7s66 ziknERuSZYb+DQ(*^%+2eBABtKOKr!#hsIca?)7+!Yb`gp8~%Gew+29L11ArN()t!C zC-)N7V}<*{tghi&OY1XWW5VrCfWS-8Oq-$3b_{TBgd0?i~Z*pq)Y`T3Mp0o16c?r9IpqyJjKq!$`YwL#Mj~96E4y5Hzy9Sk6uC44}Ce@X9Hb zx*u?*EbkESyxMxLfa z;b8#gE%pGjCZ|fOwg7LkJ{QW#)pVxZ)W(wF^m7Z?l8s$Zjt4&Zxppl>t>9bfpobh?< z|AE%E_y%Y}y(1Sxz>)Iv2$7n_;8D)SI5^Nc@AO~-5X!D)$Ug9W17PCI$)(}7Jm4c) zT>}c|+lQ?aH};4jER8U~l{t1VE%rbOSzgdwP6B8S^MFI>_TNCmB46toXnh-#fF`HY z^ca99C!h??;lP+N^LEaF<}hE-9Oes}oEog_z?O}X5y}ni{u^j+e-$*BbAqdh(P29V zcpS=&3zh{lxA5|fJbF^M4{MaMO}Jgu<*@YN%3GhN-yNXGbz4Al{y1out*)GlopDc^ z!&*RdaWZJmcLdGFemG|>r_OYLK|_RY+JZDO8*B8jt;U0YKo1joHy+ z7n_3S>*c`Uhyq`tm5} zd;y>bV;hTu=3?g|=Yo|7&b?ay0Oef#2AYfCKpR+}5HxvEv>F3ov=$Fz)`-Q6L4!1n z9w1t^aRnAt=c9uLfY|Fi4w5YVgjZ9(f<9373D*q8|so!h?z4I7hny`UlS)zNr8 zV>K60&S8w8$s@vg{J2Wnd?e7|+}iH}f-*Xea=gCC)QcQ6%ZuQ}wXchJ1&urqf;oQ; zG=~L&2Dir43y8v##bv1ri&h6eTqHgE&gEp}1 zG-wWc#WNDvtTb)GvSocUtXeMq0L`tJp!My(5H_sg%9=W`a9KJOIs|vajXfabfLCs8sN_Mn&gvg0jqy)M%(1b3LnegvPf<=D zw5{qumX^iHkT&Ay42VvazyPc-fKqNB5yb#~n{mN9V|@lj;?^Gm&F%Lv>($02paBJN zbzle6(g0T3`N6bOJI=Ug!Pz?OvRnTi0I07Qmy%kHk;sV6KV?L0<61n-irH`4JcZ$~ zeZv--jb{MkUZkZNTY_>9=K{^e@h~NFd1f_!OvSOf0rO&QB213+ zi$RmyhpO+vCA2Zl7&FVxRjlxCu7U=QG5tj_Y1d1X<7-;=xC{_Cn)!xud?nkKlSduv zHp9oXdVqD%%`HT4cFz#ILN3n|w62Xw#)w^PUJGa=YqLRfd8(i}><~1EE02eL7-Q34 z>_S+3g}2aL+yfd0+VXmT9Oc~p0%$-L3@@A&iwj^ecbGnCF0KI0`LTeck$`IJfa`5_ zcf_FGm2)}5_?|qYS?K{Fc zG?+!li%btoV}hcixifYQLj&HRUV#6u&0~lE;d>4PU}~PL1G#F}Z^GByIVI45@S1)w zFU`sq`wMoB2d}rq%uBQ5f~*W1U*g#-fGD=!A*`aKVfH)P(Bd@s9+qWSP9A=#^bfP# z**cge-)6v$u6-lEAy?bF31SyFRR8#951tPa~2#O$tp2s-Up0adZ_ z2LMaC)vb~_mTrpypq$$m!X~!2IY5h@%|Y6i^Hp#v)!CdWUfnc(gC~lUCv|^8!)B4A z0ra&rq)WN)A!pmn4+ukUkkn%Unp=B78(3TgGXWr@`&`yiDBTX8Mp5qx%eo@4Qa^Jw}8 ztk3!&*u-|{SU`g-=6K!t3VzLv74SM6hXPF;IeQPh&gl#8XLW6cDYok>+#H9u)Ah0W zFQ7TB4>Y&01{zLMnf@ZKaD9V2e75F*dRU*8<}-~L326aprM797fD%0H{iy)JSfmcHrAzUYxN$qft~xHAv!Si0-~^ce1Jur zZKrE%{W=6zZrumXVW0rRct1XerO90Y&G})ZS^NbwfE1P&0JNFsK+*V_Ik2F>X%-Y&8>0=y3U$Hgb^z82D) z?hX++8s7}%-1&XbdNyC4G$&uU@_r1kQEcUl4Pcw!j_cnc<#G2Yk!E8*(A?QWu3FZ=C(W)Op!J>Y1kJ`r zC?_}US9Sw58(X2A%k?A8=AVM*a3s>4{e#tTwhlBm-|#iN_TYQo#$qeR`pPKh;(eUx za(D!3Ha8D6ch-Znpqz`lQ4Uty%wM?AzI|PnQwd(a302#1(EPX{STpe@cwMe3Xn{Pi z;p2H71`1xc&kmZy*GRK|9cc2HORZO3AT_pc4E{XL`gPM0_8YBj0?pwe0@T;h{F(>vB`D`|e^CdL zj_vn+J{PPh4kfvA@UT41;?Lmi+1x17tPc#DyGMyMZxfMo;>G~S>D-(FJY?6I5wL$J z51a`$u?Ff5Y!jd_Ux)YMus`E<9EWs#9q#@dY&;FoKBR91w4j{JOTz-?eMcO9aQ+Zz&c_7m9h7r-;GhoP3Tf69k8`2i zC@AM}DU@?~1ZmE%!_0F2AHx}EbLc3#_64>H4vZ^@<;~OFJ`NThch(m)Y%th*VLUy} z`?0tJCn)EAejpw}Ik#`hEsUU?%O^xRmkSG;%kv;D_+B6ne?1GFJ|lpLkjz58xoaTp*80uzsK$ZruVr>1hC}?YICzwJ|ml*!;Q$@XPrd z0ZxO}!^O3LzHDw3;V|!;A?|i|5^=Yu`M4YDiM|}-ZpRzoNWd|Ja&AwAr*MOENNllV ziY>H&7L>!q3y#<2l%w9jxApl_C=D2L=^SI(U$K{$F+|)QrI>Fehz3sxj-JgVZePakO%T3e7Qg#$eHlv z0(s!Pt}hqJgNgsHeStiX`sT|8@<9HsFBize->ZgM8OQ^dR9N43jQkB7&w%D)PVAaG zdk>oP6M3fE*WvEdLi^lVc+wnR2kT<n)jQ}0 z<=ov=_#QSuY?~3Edzz02k%SwR^YI`uFN1PE9>kRhLAgL4xcbDmFOUb~b6+ly2jX*I zE|3S(q7k@AT+Qu57 zx%dMa>OnboRsn6n!4B6CcV+^_y>=nD0E-pj(>#%DkS=;yx9!*fr<)or5$jWzZ@$n#!1^ROCo^5>3W9y&= z?eo|=vVDSbE(Zg3U?b7C83)1wT2RjK!$MnvaxM=AnT>%wu)Xi=R`B<58ceJU! zhY!3+2D9aG*-5h@km;ne1o#i^Mrk3*><$FE@VyaR;=ZoV$;QnbSczB%QeSdCV89s?D{>_mI8n zc-{Rp^jL#(J~tGhi_0O!*-e)R1)9T3age}aprAPn6!)MxtOYcOjUeiAmSzG)JuN6V2+Fzpsd1poojoKi z_#S{bSFgJt1iVl-R}N^EqXqInN|rAd$b+X=?Dr6}2ehDEAP;|D2wB{LJaEFo*Ad7A zF}p7p$OB8GFBixIrv`kvKpqI4eYrp$L=WBg1@eFy^5p_~U^%yIJg(_*K00VFUm0R^ zJ}}?Du>LMmChR${DbpzI8UT;b`COpcbAjN+?d4_+a7(#cqd;@}h&ZU^_TNBrwjGd> z+rK1?WYcJ94X59nEe6fua5!!6Y%FLlhZ*+FKRgx*^xk1c zQf~dn|Er`ne`iA3H|9^Yew%j(3MBiQIe_&~AYOE?tq;9sQk~L-Pl5uT3NjaXK z>?C=hNXTI#i3&x+y=X*2-#L8Bpb4d=jR zdqqrRlmX8)(GCPBm6)76amZba8N~4yW5!_H<7Ov0$HKN3?SO4B+5y{MtRJxLMLRH+ zh<0E2WUPC$&zZh?m@?9C2d@sS_ zf7uTCu8g-+u}=p~t^rtPL?6MCTgpYA?}0@k=N!C7NckD&Fbq|Zj-o^I{>4!|17=8P zcn^aEo9H*VABcW~i-;IUc=Zu-1}!CY9Mg*dGo+K}tzeP}o*J9w;#?MO`inlotFi$z zq{G{{kSUBSj?w0##0=@gomWl0fh3+a*e=-E5PF480x_mRxJ%6NUJUwA=mtnt17=7k zVqTyfhBXC*lbD~0&=Kv$4y(lEdpoXz5IPCUQeb3E^88?MNle@!h*Q>xXe6GMXQe5e zhfF8#=R|(ujy}TV8j3|;j2SGBVtins5xRy+Tj&NRK%ocldKdi*??D45ZCEh#QhwrY z4zvx86Y}G6fFO5lv1Hq(F}CIr#?QoQ%#e=z+)+C214$V7xziY18wulQel*5-QNs8= zVj44~<9FpzIv!JuFmBsxjM-&`@z~KCW4=9v!FtK`hsKy)1`M`+RoI(}yzVK79wT(CzkF+|i7 z>3Dn^$`1!!MLHg%o6_<4FB)TOC}BKSr^eWtM;JVBM7eM{6Bu7p=-DQl+cn1KY+zV^ zMcZKJiFplf4+hMTj>o&EGOC7jFwl8BaK>He3%16xYXId}XKMgqJPxzQm>oqJzjsq( z%#I=q-tnS-@Xiw$KW9zPa=&51n#`Wj7&~VO46iw&fAK0RbQhF|&|RE+7xMw)bBT7q zwNbPK#}N&foI7#Nqv#{V*Asn&@JK>;=~5pqKU_L#z~tPCd%mSyc)T={AH0A>8Q5JE z80YlqS$;nlFvMFDdW!HbLI#LeCE5YkH&JI?L?rqWAtXheaqLsn8Lsi7FG0(Te#3UW ztTX3YsBN5UA&he^8k2L5h&N2>_#KRd!JCfD9^nt947lA$&vLsF7yuOo@M4-f5y9WUAoJ6?<}VaL<6+>X~6 zv(E|RF`YEV>{Y_J-f7$TO6`tf+1)DF%?YD})lprwRdu&pa) zz+_+iTq(-|t*g@Uh z`QeBDvjIM155#h;aQF@nbR*@)K{)NXvD)jkKvuxn1islg9aTH{y=cE!4Bsg)-Wx2& z<6G;aih@y~d}|a$^SBgDmmk7KY`xwaoDH76E?N^c38P!l1`6*r&=^Pt;UJF0!|}VWjrYp6~1b2hX3J z?EfQ(Vs%rrpGTp;@Km&S;zi-mjjdiVkApEJihP}N0}cBvnEK)M{B^NtpNGB>6;|_R z$vOJbaege?mq9#MS`@5w>tnrKvwoc;-zST}S4DJ@LcYUyyKrHH&MbT6IYfa{l#Qg% z7BQNx=JqdW>l__RJc@N;uW%qVQvx|xvFp3BYk~fQP6DBP=n*o_>5-Y5o_N&NuaFH? z8Q9Bz{%%dtvB{sx8~gHhrtB`V8HZOogQxCLjdd=Vpsb5@m36_15)DWjs4huS-Ec9* zSI2(prr^x8l#o4(nM2n)QuOeuUENpI1x^|ADLZfMfmOA8Y98-Bsg&u|dc}c4=;E5| z(nz^4mi_QFyb8L{F8cqn&YoLLC8$4Aov;*KQY)x^OtN@+{?vY|rdRP>3pUbWBA}J5 zJDquq;WNR=`{`!pdhb_#cVm#+|L@o)HIrfH<72H~_Zv zbQ?yOVL0w&C$P57e@{t(e!`p(jBaW2>Ch})aw;XKd0DBn8jY5oe_D={WRgnB^3cUA zLmsty3)6k74oM>R_)75&8OTa3CnTNru?6pYYq`%B(O7G{@7ZdsCYU|ukbNa9d*5qe zC7QT#C$$)n!nN(qPpQ@djAw2+4p%YhX7h5C?1Hx=%rm)83#kbBka5Z#G;5=2S?vF;LqeFL8QgqoWd>?RY(NIq*$T#)uy^}#AJuGcl9_{t|m{p}@ zFh2g@O@kjl?{$uOA!|`Q5h2NfBw9bf~VL z!+sklWf4m$?U1b*gH2wUL*{~%L*hgGA`FS!&}`3zeKsA1_@>!7eCxexH;#IZLBBpY zsP-EDUblYKcN+bJULX8_vv$%w?X>BP9Qmy`YJJe7_5NwM+pnL1_XA zeYEHl1?K>w9Zhkm6tZ1{%oAC4sFH=h~HvYbyzCmH7M6t}kF`aZ6;%eKpI@BF;$4 zom6v6Yjzecj_@I)f7W!x*y22Fim^2@uuT&KyCkh1%D^_e&F(>SP;J~(0$U}{wJR6bRub4M@qOC0B@YYYapk>Aw^F8fSk3TleygWL2WW0-#ls%pC-{?P zcv#$AnGOeL;9(Ccckr-8T0NA9h3_un8um_m{XqpMup6fbr~T#tH&P(Da_^+q+wicO Oa5eC-6b^6A!~PF$23X+$ literal 0 HcmV?d00001 diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy new file mode 100644 index 00000000..364ce6e1 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_xlnx_2Kx36_2clk +RECTANGLE Normal 32 32 544 672 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName din[35:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Normal 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Normal 144 704 144 672 +PIN 144 704 BOTTOM 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName dout[35:0] +PINATTR Polarity OUT +LINE Normal 576 208 544 208 +PIN 576 208 RIGHT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 576 368 544 368 +PIN 576 368 RIGHT 36 +PINATTR PinName wr_data_count[10:0] +PINATTR Polarity OUT +LINE Normal 576 432 544 432 +PIN 576 432 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 576 592 544 592 +PIN 576 592 RIGHT 36 +PINATTR PinName rd_data_count[10:0] +PINATTR Polarity OUT + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc new file mode 100644 index 00000000..321f44d7 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$4`g4d<,[o}e~g`n;"2*413&;$?"<>!1!&2?55<89:?<6>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=?41292*57338;1EC^ZT;CG@WG;990;2<:4108JJUSS2HNO^O2>0;2=65=693CE\XZ5psmd[`kw|pUdk|h=33>585?2;:6D@_UU8svjaXmdzuRzgrdqk[dutm{~7==4?>35854:HLSQQa:32>JSSX\^1hlzn_bmvjq:683:5=i5>1;MVPUSS2{nThlzn_bmvjq:683:5=i5>1;MVPUSS2~xThlzn_bmvjq:683:5=<5>:HLSQQ11092>LHW]]0OE]L30;2=57=62F__\XZ5DNRB85<76880=7AZTQWW>AIWJ5:1<3?425907756:29=6D@_UU8gmk:4=3:5=95<6;MVPUSS2me~x1=::1<1?6>c3:y9<=>ig575)07<<81=>6864:4:=32<>0K:>68J4::76477310BB][[:EKB82<768:047GAPTV9@LD;?3:5=<57:NWWTPR=LFK7;7>1109;>JSSX\^1HBL37;2=5>?330:;5?56659:23??3HNO^L2?>c9B@ATF48:1<374AEFQE977611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>99B@ATF4<437LJKR@>5:==FLMXJ0:07;@FGVD:?611JHI\N<8<;?DBCZK6;2o5NDEPA846=8730MIJ]B=33:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI0406;@QZJFYUMN90NX<7;CWP[LHAG81H86MN8@08G@753JBNOFQCIBGMW@YSQYO97NG;;BNHE1=DDBH87NB]9:ALIHOS\LN:86M@RD]DAKCUI]CDBRGAFN58GWCF\LN:7I<4DH48@LG;87<0HDO31?48@LG;:7<0HDO33?48@LG;<7<0HDO35?48@LG;>720HDO37;2=2>BNI5=5:6JFB=2=2>BNJ5;5:6JFB=0=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=<>BNJ5=1<384DH@?3;?69GMUG;8730HD^M<183:2=CAYH7<384DNC?4;016:FLE919>2NDN1>16:FLF979>2NDN1<16:FLF959>2NDN1:16:FLF939>2NDN1818:FLF91=87<0HBL37?;8@JVF490;2:5KOQC?4;?69GKUD;8790ICO<;DLA0>@5N980J5<4FE18BAE33ONHI>5IDD18BAV33ON[I85Iamqf5>A43NDO>6G?2:K26>O5:2C846GAIUR\45>>8:KMMQVX8;20ECG[P^20<>OIA]ZT<964IOKWTZ6202CEEY^P07:8MKOSXV:<56GAIUQWEQC03@DBXR>?7:KMMQY79>1BBDZP0358MKOSW99<7D@FT^273>OIA]U;9:5FNHV\4311BBDZP1358MKOSW89<7D@FT^373>OIA]U:9:5FNHV\531969JJLRX9H=0ECG[_0@4?LHN\V;H;6GAIU]2@2=NF@^T=H94IOKW[4@03@DBXR1BBDZP2358MKOSW;9<7D@FT^073>OIA]U99:5FNHV\631H94IOKW[7@03@DBXR=?7:KMMQY49>1BBDZP3358MKOSW:9<7D@FT^173>OIA]U89:5FNHV\73198;HLJPZ5??2CEEYQ<969JJLRX;H=0ECG[_2@4?LHN\V9H;6GAIU]0@2=NF@^T?H94IOKW[6@13@DBXRO9;HLJPZD43@EI>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[279OQQ4XD=1GYY=;;MWW03=K]]>T@85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G;87C??4:L24=2159M54733G;:?95A1060?K75<2D:>4=4N017?K748=1E=>?;;O3061=I9:9?7C?<459M56333G;8:95A1257?K740=1E=>7<;O370>H6<9>0B<:>4:L2072886@>4568J422<2D:8;:4N0640>H6<1>0B<:63:L211=I9<:?7C?:159M50433G;>?95A1467?K72==1E=88;;O3631=I9<2?7C?:929M5326068J405<2D::>:4N0470>H6><>0B<893:L231=I9>;?7C?8259M52533G;<895A1677?K70>=1E=:9;;O34<1=I9>387C?74:L2<528568J4>2<2D:4;:4N0:40>H601>0B<663:L2=1=I90:?7C?6159M5<433G;2?95A1860?K47;2D9=>5A2318J7543G8??6@=529M635?<;O117>H4;:1E?9=4N270?K51;2D8;>5A3918J6?43G>;?6@;129M07587C:92:L56>H0:2D3>6@6f:LA[GSTX@DT\_A_S69MAQQHZB>0BB@J1:M1?JM63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Qb9QEHD6>VY2S^7>;R30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF76=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?01]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4566W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw678=UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>:_Sgpqir6;>1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2340YUmzgx9_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2342YUmzgx<=8;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=>>_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1236ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678:UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=:PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0126[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567>VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<:Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?01:\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq456>W[oxyaz>359V4*aun'xm#jmw.bnh|*Kg{Ua}Qyamwf4Z6Xpfx;<=>Pxn>2:774<2_;#j|i.sd,cf~)keas#@v`r^vntZpfd|o;S=Qwos2345Yg595?<=<;T2,cw`)zo%lou lljz,I}iuW}g{S{ocud2\4Z~hz9:;5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQmio]{kw6789UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[goiWqey<=>>_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UiecQwos2346YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_ckm[}iu89:?S_k|umv276=R8&myj#|i/fa{*fjlp&GscQxr^rmpwYeagUsc>?04]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySoga_ymq4561W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]amkYg{:;<:Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWkceSua}012;[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQmio]{kw6780UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?06]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp345>XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:2S_k|umv250=R8&myj#|i/fa{*fjlp&D8=85Z0.eqb+ta'nis"nbdx.L750=R8&myj#|i/fa{*fjlp&D>><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1>1239V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=33:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:66;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag692??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>7:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:26;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6=2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>;:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:>6;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU;>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR?=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga_0214>S7'nxm"h gbz-gim'{nT|cz}_ckm[7473\:$kh!rg-dg}(ddbr$~iQnup\flhX;;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR;=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_703?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\376<]9%l~k }f.e`|+ekcq%yhR~ats]amkY?:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV39:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012363=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;=?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2347413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<===6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4563:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?5348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?8=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01512>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:3>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0=0=1:W3+bta&{l$knv!cmi{+wbXxg~ySjm311<14>S7'nxm"h gbz-gim'{nT|cz}_fa?5;473\:$kh!rg-dg}(ddbr$~iQnup\cf:56;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k595>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh090=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm35?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>5:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg919:91^<"i}f/pe+be&jf`t"|k_qlwvZad4149<6[?/fpe*w`(ojr%oaew/sf\tkruWni753?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_003?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]244`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:2b>S7'nxm"h gbz-gim'{nT|cz}_fa\=70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7<3<8;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8469:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk161279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:>6;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX9;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP1106?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W;8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_206?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W=8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_406?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W?8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_606?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W18>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_80:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7<3<3<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0>0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64=4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2808512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><7<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0:0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6414956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f28<8502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_10;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T=?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y68;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\17><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh?1378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567:;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?3378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567<;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?5378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567>;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?7378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv5670;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?9358Q5)`zo$yj"ilx/aoo})seyU}ma{j0^2\|jt789:9;6[?/fpe*w`(ojr%oaew/uos[sgk}l8T;T2,cw`)zo%lou lljz,swYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?6;463\:$kh!rg-dg}(ddbr${Qnup\flh;;78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d783<>;T2,cw`)zo%lou lljz,swYwf}xTnd`35?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?2;463\:$kh!rg-dg}(ddbr${Qnup\flh;?78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d743<>;T2,cw`)zo%lou lljz,swYwf}xTnd`39?03?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\476<]9%l~k }f.e`|+ekcq%|~R~ats]amkY6:81^<"i}f/pe+be&jf`t"y}_qlwvZdnfV;;>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR<=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_203?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\076<]9%l~k }f.e`|+ekcq%|~R~ats]amkY2:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV<9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS:?5348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01512>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:3>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123=76<]9%l~k }f.e`|+ekcq%|~R~ats]dg969:81^<"i}f/pe+be&jf`t"y}_qlwvZad48:5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0<0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm32?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>0:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg929:91^<"i}f/pe+be&jf`t"y}_qlwvZad4<49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7:3328Q5)`zo$yj"ilx/aoo})pzVzexQhc=:=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`8<86n2_;#j|i.sd,cf~)keas#z|Ppovq[beX88l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV;9<6[?/fpe*w`(ojr%oaew/vp\tkruWniT==?i;T2,cw`)zo%lou lljz,swYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_23e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]75c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[07a3\:$kh!rg-dg}(ddbr${Qnup\cfY19o1^<"i}f/pe+be&jf`t"y}_qlwvZadW>;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU3=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS4<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8585?2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1??>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo595>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>7:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm793<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8385>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk191279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:?6;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi39?06?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W98>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_005?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W8:996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^011>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^611>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV?996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^411>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV=996[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^:11>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboV3956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f28585i2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><02=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=171299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P110;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T>?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y4:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^61<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S8<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X>;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]46==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R6=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W08>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0106?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw67888>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0306?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678:8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0506?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678<8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0706?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678>8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0906?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw67808j7X> gsd-vc)`d9$yh"i}1/pescr(ZHGT^IQJN^DTBQ7512_;#j|i.sd,ci6)zm%l~< }fvdw+WGJW[OLCXZPEO307>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9:<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?"Io1;?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb6%@d:=>=4U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe002>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:$Ce?55Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId3/Jj47502_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPfc04?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Ub>h5Z0.eqb+ta'nf;"j gs3-vcqa|&of|ywPfvdw[lYhz9:;!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Ydq5:5?l5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\g|:66:k0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWzi<=>?_b{?6;5f3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;S7'nxm"h gm2-va)`zhy%kjl1/pgg*Kt}kUyhRjl_h]pqg6789Uhu1:13`9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xkp6>2>o4U1-dvc(un&mg<#|k/fpbw+aulj;%~im Mrwa[wbXljUbS~{m0123[f;>79j7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^az8284i2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qly=:=7d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)J{|hT~iQkc^k\wpd789:Tot26>2`8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567We0=0!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Yk}}6:<3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Zjr|5;5?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\hpr;:79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp959;k1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pltv?0;5e3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;.sf`+HurjVxoSimPi^qvf5678Vf~x1913c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~743=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Zjr|535?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\|jt;879h7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv9776:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWzi<=>?_ymq8484j2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&GxyoQ}d^f`[lYt}k:;<=Qwos>1:6d<]9%l~k }f.eo4+tc'nxj#i}db3-vae(EziSjPdb]j[vse89:;Sua}<2<0f>S7'nxm"h gm2-va)`zhy%kjl1/pgg*Kt}kUyhRjl_h]pqg6789Usc2;>2`8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,IvseW{nThnQf_rwa4567Wqey080!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Yg{6=2>l4U1-dvc(un&mg<#|k/fpbw+aulj;%~im Mrwa[wbXljUbS~{m0123[}iu4>48n6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"C|uc]q`ZbdW`Uxyo>?01]{kw:?6:h0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWzi<=>?_ymq8<8512_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^21=>S7'nxm"h gm2-va)`zhy%kjl1/pgg*tcWmiTeR?=a:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaV;;>45Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[lY5:01^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%yhRjl_h]06<=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ;289V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`U>>45Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[lY1:01^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%yhRjl_h]46<=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSdQ7289V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`U2??5Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[lYt}k:;<=2?>218Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,vaYckVcTxl?012?5584:2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^qvf56785;5??5Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[lYt}k:;<=2=>208Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,vaYckVcTxl?012?7;553\:$kh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_rwa45674=48>6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"|k_ea\mZurj9:;<1;1339V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`Uxyo>?01>5:64<]9%l~k }f.eo4+tc'nxj#i}db3-vae(zmUooRgPst`3456;?7997X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#jPdb]j[vse89:;050<2:W3+bta&{l$ka>!re-dvdu)o{nh=#|kc.pg[aeXaVy~n=>?0=;=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1>1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|5;5>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp949:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=1=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1:12g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[5_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY6Y+aj{'gx>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?30?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5979::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7>3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;<7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1;12c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\4Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T8Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V?Tbbz?01226<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1>1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|5;5>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp949:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=1=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1:12g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[5_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY6Y+aj{'gx>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?30?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5979::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7>3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1;12c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\4Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T8Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V?Tbbz?012265=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6582_;#j|i.sd,ci6)zm%l~l}!rrvp+fijx8827X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[l573\:$kh!rg-dh5(ul&mym~ }suq,vdkXmdzuRhm_h]lv5678:;0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;=?=4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|88>7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{1107?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq25<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex8<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw272<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~<>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu:10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|0827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?4;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw30?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2>>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6:2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq585>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}949W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8685k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<2<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw34?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs783Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6>2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:26Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}909:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=4=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<6<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0:0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7436;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>::Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7d<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|311<1a>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1??>^pw6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2>>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7>36:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|36?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0:0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz525>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:>68l0Y=!hrg,qb*ak8'xo#~ats-`kphs4949<6[?/fpe*w`(oe:%~i!}povq+firf}6:<3?i;T2,cw`)zo%l`= }d.psjqt(kfex1?11g9V4*aun'xm#jb?.sf,vuhsz&idycz32?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=1=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?0;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9399o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;>7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5=5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~743?i;T2,cw`)zo%l`= }d.psjqt(kfex1711d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03e?P6(o{l%~k!hl1,q`*twf}x$ob{at^335`=R8&myj#|i/fn3*wb(zyd~"m`uov\64c<]9%l~k }f.eo4+tc'{zex!lotlw[67b3\:$kh!rg-dh5(ul&x{by| cnwmpZ26m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY29l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX>8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW>;n7X> gsd-vc)`d9$yh"|nup,gjsi|V2:i6[?/fpe*w`(oe:%~i!}povq+firf}U2>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2?>318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?5585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;?7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:050=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=;=64=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th0338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\677<]9%l~k }f.eo4+tc'{zex!lotlw[a7X;;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T8??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P5338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\277<]9%l~k }f.eo4+tc'{zex!lotlw[a7X?;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T4??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P9508Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_b{?4;253\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Ze~484?>6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Uhu1<1439V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX{UomyoPcnwmpZoX{|h;<=>Pcx>0:14<]9%l~k }f.eo4+qu'n}j#ixdb2-sae(EziSz|Pd`vb[firf}UbS~{m0123[f;<7>97X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vir080;2:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qly=4=07=R8&myj#|i/fn3*rt(o~kx"jykc1,t`f)J{|hT{Qkauc\gjsi|VcTxl?012\g|:06=80Y=!hrg,qb*ak8'}y#jyns/et`f6)mi$A~{m_vp\`drfWje~byQf_rwa4567Wjs743:=;T2,cw`)zo%l`= xr.etev(`mi;"zjl/LqvfZquWmkmRm`uov\mZurj9:;S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]oqq:76=>0Y=!hrg,qb*ak8'}y#jyns/et`f6)mi$A~{m_vp\`drfWje~byQf_rwa4567We0<>1429V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX{UomyoPcnwmpZoX{|h;<=>Pltv?5;243\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Zjr|5858>5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:T`xz33?60?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+HurjV}ySio{a^alqkrXaVy~n=>?0^nvp929<:1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%FxlPws]geqgXkfexRgPst`3456Xd|~793:<;T2,cw`)zo%l`= xr.etev(`mi;"zjl/LqvfZquWmkmRm`uov\mZurj9:;87X> gsd-vc)`d9$|~"ixar,dsae7&~nh#@}zb^uq[agsiVidyczPi^qvf5678Vf~x161429V4*aun'xm#jb?.vp,crgt&n}oo= xdb-NwpdX{UomyoPcnwmpZoX{|h;<=>Pltv?=;243\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'Dy~nRy}_ecweZeh}g~TeR}zb1234Z~hz5:5895Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!Bst`\swYci}kTob{at^k\wpd789:Ttb|311<77>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]{kw:66=90Y=!hrg,qb*ak8'}y#jyns/et`f6)mi$A~{m_vp\`drfWje~byQf_rwa4567Wqey0?0;3:W3+bta&{l$ka>!ws-dsdu)o~nh<#ykc.OpqgYpzVnjxlQlotlw[lYt}k:;<=Qwos>0:15<]9%l~k }f.eo4+qu'n}j#ixdb2-sae(EziSz|Pd`vb[firf}UbS~{m0123[}iu4=4??6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"C|uc]tvZbf|hUhcx`{_h]pqg6789Usc2:>518Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,IvseW~xThlzn_bmvjqYnWzi<=>?_ymq8383;2_;#j|i.sd,ci6){%l{l}!gvf`4+qck&GxyoQxr^fbpdYdg|dSdQ|uc2345Yg{6<29=4U1-dvc(un&mg<#y}/fubw+aplj:%{im Mrwa[rtXlh~jSnaznu]j[vse89:;Sua}<9<77>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*Kt}kU|~Rjnt`]`kphsW`Uxyo>?01]{kw:>6:;0Y=!hrg,qb*ak8'}y#jyns/et`f6)mi${Qkauc\gjsi|VcT<>?4U1-dvc(un&mg<#y}/fubw+aplj:%{im ws]geqgXkfexRgP1208Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,swYci}kTob{at^k\55563\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnW;9:7X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS>=>;T2,cw`)zo%l`= xr.etev(`mi;"zjl/vp\`drfWje~byQf_512?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[0563\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnW?9:7X> gsd-vc)`d9$|~"ixar,dsae7&~nh#z|Pd`vb[firf}UbS:=>;T2,cw`)zo%l`= xr.etev(`mi;"zjl/vp\`drfWje~byQf_912?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[<5f3\:$kh!rg-dh5(pz&m|m~ hwea3*rbd'~xThlzn_bmvjqYnWzi<=>?<1<0f>S7'nxm"h gm2-sw)`hy%kzjl0/ugg*quWmkmRm`uov\mZurj9:;<1??>2c8Q5)`zo$yj"ic0/uq+bqf{'m|hn>!wea,swYci}kTob{at^k\wpd789:7=3=n;T2,cw`)zo%l`= xr.etev(`mi;"zjl/vp\`drfWje~byQf_rwa45674;48m6[?/fpe*w`(oe:%{!hw`q-crbd8'}oo"y}_ecweZeh}g~TeR}zb1234959;h1^<"i}f/pe+bj7&~x$kzo|.fugg5(plj%|~Rjnt`]`kphsW`Uxyo>?01>7:6g<]9%l~k }f.eo4+qu'n}j#ixdb2-sae({UomyoPcnwmpZoX{|h;<=>35?1b?P6(o{l%~k!hl1,tv*apiz$l{im?.vf`+rtXlh~jSnaznu]j[vse89:;0;0!ws-dsdu)o~nh<#ykc.uq[agsiVidyczPi^qvf56785=5?l5Z0.eqb+ta'nf;"z| gvcp*bqck9$|hn!xr^fbpdYdg|dSdQ|uc2345:?6:k0Y=!hrg,qb*ak8'}y#jyns/et`f6)mi${Qkauc\gjsi|VcTxl?012?=;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)di{xrbhz30?0:?P6(o{l%~k!hl1,tv*apiz$|y} c0-`ewt~fl~7=3<6;T2,cw`)zo%l`= xr.etev(p{}y$o gsd-vc)`d9$|~"ixar,twqu(k8%hm|vndv?7;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g4)di{xrbhz34?0e?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'mfW gsd-vc)`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#jczx/en_0[)ody%a~<<;T2,cw`)zo%l`= xr.etev(p{}y$o gsd-vc)`d9$|~"ixar,twqu(k8%}=1<1229V4*aun'xm#jb?.vp,crgt&~y"m>/w3?7;443\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q95>5>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?35?0a?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m>/w3\5Zgil9:;<<o5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?P3^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=R:Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T9R``t123444>3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz30?0:?P6(o{l%~k!hl1,tv*apiz$|y} c3-`ewt~fl~7=3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;:7827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm|vndv?7;4>3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz34?0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY7Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_0[)ody%a~<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=2=66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;97887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1<1229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?7;443\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q95>5>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?35?0a?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.vp,crgt&~y"m=/w3\5Zgil9:;<<o5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?P3^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=R:Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';T9R``t12344473\:$kh!rg-dh5(pz&m|m~ xsuq,gjkw9;:0Y=!hrg,qb*ak8'}y#jyns/uppv)dgdz9>55Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]efZo5=2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rg=c:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXg{:;<=S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{3368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr3:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by;=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp3433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d;?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov;61=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}3956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8585k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw31?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:56Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}959:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<5<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7935:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu191_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir050=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~414T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;178h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?=;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?4;4e3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<02=6`=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2>0?]qp7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|31?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0?0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz595>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:36;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?1;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<7<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1912`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{632?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;17;m7X> gsd-vc)`d9$|~"ynup,gjsi|5:5>=5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7==0>f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"h gm2-sw)pxg~y#naznu>0:4`<]9%l~k }f.eo4+qu'~zex!lotlw8186n2_;#j|i.sd,ci6){%||cz}/bmvjq:268l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4?4:j6[?/fpe*w`(oe:%{!xpovq+firf}6<2f:W3+bta&{l$ka>!ws-ttkru'je~by26>0g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_13f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^32b>S7'nxm"h gm2-sw)pxg~y#naznu]244c<]9%l~k }f.eo4+qu'~zex!lotlw[77b3\:$kh!rg-dh5(pz&}{by| cnwmpZ56m2_;#j|i.sd,ci6){%||cz}/bmvjqY39l1^<"i}f/pe+bj7&~x${}`{r.alqkrX=8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW?;n7X> gsd-vc)`d9$|~"ynup,gjsi|V=:i6[?/fpe*w`(oe:%{!xpovq+firf}U3=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T5?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?30?00?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28469:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86:2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?32?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28685:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95>5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2:>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?2;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64>49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=161239V4*aun'xm#jb?.vp,suhsz&idyczPd0>::77<]9%l~k }f.eo4+qu'~zex!lotlw[a7X8;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T=?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P1102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S5<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_801?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18585;2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5;;2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<31?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18785:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:595>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2;>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?1;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54?49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>191239V4*aun'xm#jb?.vp,suhsz&idyczPd3>;:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;178:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_001?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[46592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V89=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R==1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^615>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z3592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V<9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R9=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^:15>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z?6>2_;#j|i.sd,i`k(lfSdQ?179V4*aun'xm#`kb/emvpZoX98=0Y=!hrg,qb*kbe&ndyyQf_0223>S7'nxm"h mdo,`jssW`U:=<94U1-dvc(un&gna"j`uu]j[446?2_;#j|i.sd,i`k(lfSdQ>3058Q5)`zo$yj"cjm.flqqYnW8>:;6[?/fpe*w`(elg$hb{{_h]2141<]9%l~k }f.ofi*bh}}UbS<8>7:W3+bta&{l$ahc dnww[lY6?8=0Y=!hrg,qb*kbe&ndyyQf_0:23>S7'nxm"h mdo,`jssW`U:5<84U1-dvc(un&gna"j`uu]j[7703\:$kh!rg-nah)cg|~TeR gsd-vc)jmd%ocxzPi^0152=R8&myj#|i/lgn+air|VcT>>?8;T2,cw`)zo%fi`!kotv\mZ439>1^<"i}f/pe+hcj'me~xRgP2434?P6(o{l%~k!bel-gkprXaV8==:5Z0.eqb+ta'dof#iazt^k\62703\:$kh!rg-nah)cg|~TeR<7169V4*aun'xm#`kb/emvpZoX:0;=7X> gsd-vc)jmd%ocxzPi^123>S7'nxm"h mdo,`jssW`U8<<94U1-dvc(un&gna"j`uu]j[676?2_;#j|i.sd,i`k(lfSdQ<2058Q5)`zo$yj"cjm.flqqYnW:9:;6[?/fpe*w`(elg$hb{{_h]0041<]9%l~k }f.ofi*bh}}UbS>;>6:W3+bta&{l$ahc dnww[lY39?1^<"i}f/pe+hcj'me~xRgP5048Q5)`zo$yj"cjm.flqqYnW?;=7X> gsd-vc)jmd%ocxzPi^522>S7'nxm"h mdo,`jssW`U3=;5Z0.eqb+ta'dof#iazt^k\=4?<]9%l~k }f.ofi*bh}}Ufi`2?>0c8Q5)`zo$yj"cjm.flqqYjmd6:<3?n;T2,cw`)zo%fi`!kotv\i`k;984:m6[?/fpe*w`(elg$hb{{_lgn84499h1^<"i}f/pe+hcj'me~xRcjm=30:4g<]9%l~k }f.ofi*bh}}Ufi`2>4?3b?P6(o{l%~k!bel-gkprXelg7=80>a:W3+bta&{l$ahc dnww[hcj48<5=l5Z0.eqb+ta'dof#iazt^ofi97068k0Y=!hrg,qb*kbe&ndyyQbel>2<;7f3\:$kh!rg-nah)cg|~Tahc318<2=>S7'nxm"h mdo,`jssWdof0<0>a:W3+bta&{l$ahc dnww[hcj4;:5=l5Z0.eqb+ta'dof#iazt^ofi94668k0Y=!hrg,qb*kbe&ndyyQbel>16;7f3\:$kh!rg-nah)cg|~Tahc322<2e>S7'nxm"h mdo,`jssWdof0?:11`9V4*aun'xm#`kb/emvpZkbe58>27;j7X> gsd-vc)jmd%ocxzPmdo?6286i2_;#j|i.sd,i`k(lfS`kb<3:=5d=R8&myj#|i/lgn+air|Vgna1<6>0;8Q5)`zo$yj"cjm.flqqYjmd692 gsd-vc)jmd%ocxzPmdo?7486i2_;#j|i.sd,i`k(lfS`kb<20=5d=R8&myj#|i/lgn+air|Vgna1=<>0c8Q5)`zo$yj"cjm.flqqYjmd6883?n;T2,cw`)zo%fi`!kotv\i`k;;<4:56[?/fpe*w`(elg$hb{{_lgn868612_;#j|i.sd,i`k(lfS`kb<5<2=>S7'nxm"h mdo,`jssWdof080>9:W3+bta&{l$ahc dnww[hcj4?4:56[?/fpe*w`(elg$hb{{_lgn828612_;#j|i.sd,i`k(lfS`kb<9<2=>S7'nxm"h mdo,`jssWdof0409c:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)q:Vddecg{.scn+av>p0$ym`8l;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{WP }al,q+s4Xffceey }al-gt<~>&{kf:n5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ8Q#|nm/p,r7Yig`dbx#|nm.fs=}?)zhg:?6[?/fpe*w`(zhgT~iQjn058Q5)`zo$yj"|nm^pfcjssWld:?6[?/fpe*w`(zhgT{Qjn0f8Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f265=R8&myj#|i/sqwfim(zmUyyQnssgd[a46k2_;#j|i.sd,vvredb%yhR||t^alig7c3\:$kh!rg-qwqdkc&xoS}{_bmnf47b3\:$kh!rg-qwqdkc&xoS}{_sgd8486m2_;#j|i.sd,vvredb%yhR||t^pfc9499m1^<"i}f/pe+wusjea$~iQ}su]qabY69m1^<"i}f/pe+wusjea$~iQ}su]qabY59m1^<"i}f/pe+wusjea${Q}su]bwwc`:91^<"i}f/pe+wusjea${Q}su]bwwc`Wm;9<6[?/fpe*w`(zz~i`f!xr^pppZgtzlmTh??l;T2,cw`)zo%yylck.uq[wusWjefnd:W3+bta&{l$~~zmlj-tvZtt|VxnkR>>d:W3+bta&{l$~~zmlj-tvZtt|VxnkR?n;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1\IL=4WD@a?RTN\LUME_][c:UQMQCXEFNNSLm4WSKWAZKHLLUI=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohfj1j``a|t^gntqe3hffc~zPftno2>dfkb{h6lncjws[hguclx87nbdd:fbpdYdg|d$='k;ecweZeh}g~#=$k4d`vb[firf}":<$j4d`vb[firf}"9%i5kauc\gjsi|!9"h6jnt`]`kphs =#o7io{a^alqkr/= n0hlzn_bmvjq.1!m1omyoPcnwmp-1.l2njxlQlotlw,=/c3mkmRm`uov+=,b3:4624?69?2nieyk}r79gmk.7!?1oec&>)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(58`lh/90#=7iga(3+4?aoi ;:";6jfn)02-2=cag"9>$94dhl+66/03mce$?:&7:fjj-42!>1oec&=6(58`lh/:>#<7iga(3:*3>bnf!82%;5kio*0-2=cag"8<$94dhl+74/03mce$><&7:fjj-54!>1oec&<4(58`lh/;<#=7iga(5+5?aoi <#=7iga(7+5?aoi >#=7iga(9+5?aoi 0#=7iga<1<4?aoi48:5;6jfn=32:2=cag6:>394dhl?56803mce0<:17:fjj9726>1oec2>6?58`lh;9>4<7iga<0:=3>bnf5;22;5kio>2:2=cag69<394dhl?64803mce0?<17:fjj9446>1oec2=4?58`lh;:<4<7iga<34=3>bnf58<2:5kio>1<;1409;ekm87803mce0>>17:fjj9566>1oec2<2?58`lh;;:4<7iga<26==>bnf59>6=08;ekm8639>2nbb1=16:fjj929>2nbb1;16:fjj909>2nbb1916:fjj9>9>2nbb1717:flqq.7!>1ocxz'1(:8`jss 8:"46j`uu*25,>bh}}":9$64dnww,40.02ndyy&>7(:8`jss 82"46j`uu*2=,1:'7;emvp-4?!11ocxz'28+4?air|!9"46j`uu*04,>?&8:flqq.4: 20hb{{(21*<>bh}}"88$64dnww,63.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=255kotv?528?3me~x1?7>99gkpr;904<7iazt=3=<>bh}}69<364dnww877902ndyy2=2?:8`jss4;9546j`uu>10;>720hb{{<35=<>bh}}694364dnww87?9?2ndyy2=>99gkpr;;9437iazt=12:==cg|~7??07;emvp954611ocxz3356=07;emvp9526>1ocxz33?58`jss4=4<7iazt=7=3>bh}}6=2:5kotv?3;1,b]kevYulVnjxlQlotlw94*dWmceSzgkti?02)eX`hyT{Qkauc\gjsi|4;'oRhzlm]ehdatW{yS{oc=0.`[lkwdlgnbyo{inl\p|vb5;&hSx}j_doaaabblVxnk0>#c^uq[ctby4;'oR{|e^flqqYu{}7; nQznegqbiipWee|1="l_icp[jpbzofd{0>#c^rqaiiflVfjxh`ly<2/gZbh}}U|eizg=24/gZktofdTzlb21-a\vaYcmy~c1?>;8-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbjR||t<3/gZbf|hUhcx`{_vkgpm;69%iTdl}Pws]bgn;7$jU~hQjcb?3(fYdgdgdbRmcobi>4)eX{UjofQcov?3(fYulVzexQxievk947+kVxiRhxfu]geqgXkfex0>#c^jbwZgkefyShctx?3(fYulVnjxlQlotlw[roc|a7:=!mPowgqbiipWee|1="l_vp\tkruW~coxe3>1-a\twi`Wlg{xtQ{yqg>4)eX{UomyoPcnwmpZqnl}b6=<"l_qpfhjgcW{ol0?#c^flqqYu{}U}ma3?,b]kevYci}kTob{at<2/gZvugnUmyabPtipfwmYimnkiRxnl<0303*dW~xThh~{h<030=*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh83+kVzycjQjmqvz[qnumzbTm~}jru]uei;3$jUcm~Qyamkg95*dWyxdkRhzlm]wlwct`Vkxh|{_wco9763?%ida}aaeov\jdkb5ocmcRvcny]1O}51W;igg!mPv`nj`Zjh4:'oR~}il]emciX|pzn1?ew19.`[uthoVl~`aQ{yqg>4)eXelgd~tQ{yqg>5){5m2lbjbQwloz\6N~4>V8h`fQiigm\c`hbzh~d~Rx;_2]{wqY6<2l~`a94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-430|ah_dosp|Ys`{oxd%8&159svjaXmdzuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-41b:rqkbYbey~rSyf}erj\evubz}";%.9k1{~biPelrw}ZrozlycSckhaug+=,7b3yxdkRkbpu{\pmtb{aUeijo{e=33>58>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?;;qplcZ`rdeUdk|h)33-45%<=4psmd[cskdV~c~h}g(7+27>vugnUmyabPtipfwm.0!890|ah_gwohZrozlyc$5'>3:rqkbYa}efTxe|jsi*:-40vugnUmyabPtipfwmYf{zoyx%=&1`9svjaXn|fgSyf}erj\evubz}"?%vugnUmyabPtipfwmYimnki%=&1`9svjaXn|fgSyf}erj\j`af|l"?%&119q`Zbf|hUhcx`{(3+24>tcWmkmRm`uov+7,773{nThlzn_bmvjq.3!8:0~iQkauc\gjsi|!?"==5}d^fbpdYdg|d$;'>0:pg[agsiVidycz'7(33?wbXlh~jSnaznu*;-46038vaYci}kTob{at=33:46028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7jPd`vb[firf}632<>4re]geqgXkfex1715:pg[`h13{nT~~z<;sqw3>uea}oy~95|rrv14>rjx&Uhk"hffn]{hk~X:Br8:R4ws]geqgXkfex%7&119tvZbf|hUhcx`{<1<27>quWmkmRm`uov?55<768;0{Qkauc\gjsi|5;;2<>4ws]geqgXkfex1?1119tvZbf|hUhcx`{<3<24>quWmkmRm`uov?7;773~xThlzn_bmvjq:368:0{Qkauc\gjsi|5?5==5xr^fbpdYdg|d0;0>0:uq[agsiVidycz37?33?rtXlh~jSnaznu>;:46<{UomyoPcnwmp9?9=2}ySh`9;vp\vvrzHIzm:<5O@y7:a?@=<3;p_9h553a91?74:?2?m7=640dxj1>2281e85854:&7<6<3?m1v_9j553a91?74:?2?m7=640d8Wab==:?1<7?<27:7e?5><;:0_9j552794?74:?2?m7=64328`04a290:6:08154}T>n4::0112=2f2:3?=k5+4659``=Q<1>1>v{j7;38q`>=82w/=8651`9a17`=83<>6>495zJ730=]<=0?w<>5f;3;>41=u-;=97;=f:&7<7<2:l1b94750;9l1d0=83.:9l4:b19m50?=821d9lk50;&21d<2j91e=8751:9l1db=83.:9l4:b19m50?=:21d9lm50;&21d<2j91e=8753:9l1dd=83.:9l4:b19m50?=<21d9lo50;&21d<2j91e=8755:9l1d?=83.:9l4:b19m50?=>21d9l650;&21d<2j91e=8757:9l1d1=83.:9l4:b19m50?=021d9l;50;&21d<2j91e=8759:9l1d2=83.:9l4:b19m50?=i21d95:50;&21d<20l1e=8750:9l1=e=83.:9l4:8d9m50?=921d95l50;&21d<20l1e=8752:9l1=g=83.:9l4:8d9m50?=;21d95750;&21d<20l1e=8754:9l1=>=83.:9l4:8d9m50?==21d95950;&21d<20l1e=8756:9l1=0=83.:9l4:8d9m50?=?21d95;50;&21d<20l1e=8758:9l1=5=83.:9l4:8d9m50?=121d95<50;&21d<20l1e=875a:9j1gd=831d9>=50;9l17b=831b9l=50;9j150;&21d<2::1e=8750:9l0c`=83.:9l4:229m50?=921d8kk50;&21d<2::1e=8752:9l0cb=83.:9l4:229m50?=;21d8km50;&21d<2::1e=8754:9l0cd=83.:9l4:229m50?==21d8k750;&21d<2::1e=8756:9l0c>=83.:9l4:229m50?=?21d8k950;&21d<2::1e=8758:9l0c0=83.:9l4:229m50?=121d8k;50;&21d<2::1e=875a:9l0c2=83.:9l4:229m50?=j21d8k=50;&21d<2::1e=875c:9l0c4=83.:9l4:229m50?=l21d8k?50;&21d<2::1e=875e:9l0c6=83.:9l4:229m50?=n21d8hk50;&21d<2::1e=8751198k1cc290/=8o55318j43>28;07b:jc;29 43f2<887c?:9;31?>i3mk0;6)?:a;717>h6=00:?65`4dc94?"6=h0>>>5a14;951=7:9l0`0=83.:9l4:229m50?=9110c9k::18'50g==;90b<;6:0;8?j37>3:1(<;n:400?k7213;j76a:0483>!72i3?9?6`>5882f>=h=9>1<7*>5`8666=i9<31=n54o420>5<#94b<3f?;>7>5$07b>0443g;>57?j;:m644<72-;>m7;=3:l21<<6n21d8ko50;&21d<2::1e=8752198k1ca290/=8o55318j43>2;;07b:j4;29 43f2<887c?:9;01?>i3m:0;6)?:a;717>h6=009?65f4c594?"6=h0?hl5a14;94>=n5`87`d=i9<31=65f4c794?"6=h0?hl5a14;96>=n1<7*>5`87`d=i9<31?65f4c194?"6=h0?hl5a14;90>=n5`87`d=i9<31965f4c294?"6=h0?hl5a14;92>=n5`87`d=i9<31;65f4`g94?"6=h0?hl5a14;9<>=n5`87`d=i9<31565f4`a94?"6=h0?hl5a14;9e>=n5`87`d=i9<31n65f4`c94?"6=h0?hl5a14;9g>=n5`87`d=i9<31h65f4`:94?"6=h0?hl5a14;9a>=n5`87`d=i9<31j65f4`794?"6=h0?hl5a14;955=3:9j0d7=83.:9l4;d`9m50?=9=10e9o?:18'50g=n3:1(<;n:5fb?k7213;=76g;9d83>!72i3>om6`>58823>=n<0n1<7*>5`87`d=i9<31=554i5;`>5<#94?<3`>ih7>5$07b>1bf3g;>57?n;:k7ff<72-;>m7:ka:l21<<6j21b8ol50;&21d<3lh1e=8751b98m1df290/=8o54ec8j43>28n07d:m9;29 43f2=nj7c?:9;3f?>o3j10;6)?:a;6ge>h6=00:j65f4c394?"6=h0?hl5a14;965=7)?95;642>i6=>0;66sm8`83>4<729qC8:;4$046>=g{I641>\3<3;=w44>0;d9`?c=j3;3654>7;a9e?c=990:47h59;34>a0553-nj6564$5:9166<,=o19>?4$071>4313`?2m7>5;n76g?6=3`?2h7>5;n6;3?6=3f?j=7>5;h6;e?6=3`??:7>5$07b>02a3g;>57>4;h77a?6=,8?j68:i;o36=?7<3`??h7>5$07b>02a3g;>57<4;h77g?6=,8?j68:i;o36=?5<3`??n7>5$07b>02a3g;>57:4;h77e?6=,8?j68:i;o36=?3<3`??57>5$07b>02a3g;>5784;h775$07b>02a3g;>5764;h771?6=,8?j68:i;o36=??<3`??87>5$07b>02a3g;>57o4;h7:=?6=3f?8:7>5;n7b2?6=,8?j68l?;o36=?6<3f?ji7>5$07b>0d73g;>57?4;n7b`?6=,8?j68l?;o36=?4<3f?jo7>5$07b>0d73g;>57=4;n7bf?6=,8?j68l?;o36=?2<3f?jm7>5$07b>0d73g;>57;4;n7b=?6=,8?j68l?;o36=?0<3f?j47>5$07b>0d73g;>5794;n7b3?6=,8?j68l?;o36=?><3f?j97>5$07b>0d73g;>5774;n7b0?6=,8?j68l?;o36=?g<3f?387>5$07b>0>b3g;>57>4;n7;g?6=,8?j686j;o36=?7<3f?3n7>5$07b>0>b3g;>57<4;n7;e?6=,8?j686j;o36=?5<3f?357>5$07b>0>b3g;>57:4;n7;5$07b>0>b3g;>5784;n7;2?6=,8?j686j;o36=?1<3f?397>5$07b>0>b3g;>5764;n7;7?6=,8?j686j;o36=??<3f?3>7>5$07b>0>b3g;>57o4;h743?6=,8?j686?;o36=?6<3`?5$07b>0>73g;>57?4;h74a?6=,8?j686?;o36=?4<3`?5$07b>0>73g;>57=4;h74g?6=,8?j686?;o36=?2<3`?5$07b>0>73g;>57;4;h74e?6=,8?j686?;o36=?0<3`?<57>5$07b>0>73g;>5794;h74<3`?<:7>5$07b>0>73g;>5774;h741?6=,8?j686?;o36=?g<3`?in7>5;n6;a?6=,8?j6977;o36=?6<3f>2:7>5$07b>1??3g;>57?4;n6:1?6=,8?j6977;o36=?4<3f>287>5$07b>1??3g;>57=4;n6:7?6=,8?j6977;o36=?2<3f>2>7>5$07b>1??3g;>57;4;n6:5?6=,8?j6977;o36=?0<3f>2<7>5$07b>1??3g;>5794;n6;b?6=,8?j6977;o36=?><3f>3h7>5$07b>1??3g;>5774;n6;g?6=,8?j6977;o36=?g<3f?8?7>5;n71`?6=3`?>j7>5$07b>00?3g;>57>4;h753?6=,8?j6887;o36=?7<3`?=:7>5$07b>00?3g;>57<4;h751?6=,8?j6887;o36=?5<3`?=87>5$07b>00?3g;>57:4;h757?6=,8?j6887;o36=?3<3`?=>7>5$07b>00?3g;>5784;h755?6=,8?j6887;o36=?1<3`?=<7>5$07b>00?3g;>5764;h76a?6=,8?j6887;o36=??<3`?>h7>5$07b>00?3g;>57o4;h7b7?6=3`?887>5;h6;f?6=3`?io7>5;n7:g?6=3f?j>7>5;n6;5;h7:f?6=3f?;<7>5$07b>0443g;>57>4;n6eb?6=,8?j68<<;o36=?7<3f>mi7>5$07b>0443g;>57<4;n6e`?6=,8?j68<<;o36=?5<3f>mo7>5$07b>0443g;>57:4;n6ef?6=,8?j68<<;o36=?3<3f>m57>5$07b>0443g;>5784;n6em;7>5$07b>0443g;>5764;n6e2?6=,8?j68<<;o36=??<3f>m97>5$07b>0443g;>57o4;n6e0?6=,8?j68<<;o36=?d<3f>m?7>5$07b>0443g;>57m4;n6e6?6=,8?j68<<;o36=?b<3f>m=7>5$07b>0443g;>57k4;n6e4?6=,8?j68<<;o36=?`<3f>ni7>5$07b>0443g;>57??;:m7aa<72-;>m7;=3:l21<<6921d8hm50;&21d<2::1e=8751398k1ce290/=8o55318j43>28907b:ja;29 43f2<887c?:9;37?>i3m00;6)?:a;717>h6=00:965`4d:94?"6=h0>>>5a14;953=9:9l150=83.:9l4:229m50?=9h10c8>::18'50g==;90b<;6:0`8?j37<3:1(<;n:400?k7213;h76a:0283>!72i3?9?6`>5882`>=h=981<7*>5`8666=i9<31=h54o422>5<#94`<3f>mm7>5$07b>0443g;>57m7;=3:l21<<5921d8h:50;&21d<2::1e=8752398k1c4290/=8o55318j43>2;907d;87c?:9;28?l33:3:1(<;n:460?k7213;07d;;1;29 43f2<>87c?:9;08?l3383:1(<;n:460?k7213907d;87c?:9;68?l34m3:1(<;n:460?k7213?07d;87c?:9;48?l34k3:1(<;n:460?k7213=07d;87c?:9;:8?l3413:1(<;n:460?k7213307d;<8;29 43f2<>87c?:9;c8?l2e?3:1(<;n:5fb?k7213:07d:m6;29 43f2=nj7c?:9;38?l2e=3:1(<;n:5fb?k7213807d:m4;29 43f2=nj7c?:9;18?l2e;3:1(<;n:5fb?k7213>07d:m2;29 43f2=nj7c?:9;78?l2e83:1(<;n:5fb?k7213<07d:nf;29 43f2=nj7c?:9;58?l2fm3:1(<;n:5fb?k7213207d:nd;29 43f2=nj7c?:9;;8?l2fk3:1(<;n:5fb?k7213k07d:nb;29 43f2=nj7c?:9;`8?l2fi3:1(<;n:5fb?k7213i07d:n9;29 43f2=nj7c?:9;f8?l2f03:1(<;n:5fb?k7213o07d:n7;29 43f2=nj7c?:9;d8?l2f=3:1(<;n:5fb?k7213;;76g;a583>!72i3>om6`>58825>=n5`87`d=i9<31=?54i5c1>5<#945<3`>j=7>5$07b>1bf3g;>57?;;:k7e5<72-;>m7:ka:l21<<6=21b84h50;&21d<3lh1e=8751798m1?b290/=8o54ec8j43>28=07d:6d;29 43f2=nj7c?:9;3;?>o31j0;6)?:a;6ge>h6=00:565f4cf94?"6=h0?hl5a14;95d=d:9j0g?=83.:9l4;d`9m50?=9l10e9l7:18'50g=!72i3>om6`>58815>=n<0h1<7*>5`87`d=i9<31>?54i5;b>5<#975<3`>357>5;h75f?6=,8?j689;;o36=?6<3`?5$07b>0133g;>57?4;h746?6=,8?j689;;o36=?4<3`?<=7>5$07b>0133g;>57=4;h744?6=,8?j689;;o36=?2<3`?=j7>5$07b>0133g;>57;4;h75a?6=,8?j689;;o36=?0<3`?=h7>5$07b>0133g;>5794;h75g?6=,8?j689;;o36=?><3`?=m7>5$07b>0133g;>5774;h75=?6=,8?j689;;o36=?g<3`?>>7>5$07b>03e3g;>57>4;h76e?6=,8?j68;m;o36=?7<3`?>57>5$07b>03e3g;>57<4;h76;7>5$07b>03e3g;>57:4;h762?6=,8?j68;m;o36=?3<3`?>97>5$07b>03e3g;>5784;h760?6=,8?j68;m;o36=?1<3`?>?7>5$07b>03e3g;>5764;h765?6=,8?j68;m;o36=??<3`?><7>5$07b>03e3g;>57o4;n703?6=3f>257>5;h7;5?6=3k>3<7>51;294~"6><03m6F;7g9K0235<6290;w)?95;642>N3?o1C8:;4o074>5<=87>53;294~"6><03>6F;7g9K023<@=80(h;558d8 <1=92c?97>5;h73>5<648;6>N3?o1C8:;4H508 `3==0l0(4951:k71?6=3`?;6=44o07`>5<=?7>53;294~"6><03>6F;7g9K023<@=80(h;558d8 <1=92c?97>5;h73>5<648;3>N3?o1C8:;4H508 `3==0l0(4951:k71?6=3`><6=44i4294?=n9>6=44i4294?=h95<2290;w)?95;:4?M20n2B?;85G439'a0<21o1/5:4>;h66>5<>o6=k0;66a>5b83>>{e">?3;0e9;50;9j15<722c:9o4?::m21f<722wi8;750;694?6|,8<>6584H55e?M20=2.2;7?4i5794?=n=90;66g>5c83>>i6=j0;66sm46194?2=83:p(<8::948L11a3A><96*67;38m13=831b9=4?::k21g<722e:9n4?::a024=83>1<7>t$046>=0<@==m7E:85:&:3?7>o6=k0;66a>5b83>>{e:l;1<7:50;2x 40221<0D99i;I641>">?3>0e9;50;9j15<722c:9o4?::m21f<722wi>4l50;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=n1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4$8595>o3=3:17d:l:188m06=831d=8m50;9~f7?a290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e:h:1<7:50;2x 4022190D99i;I641>">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th9m<4?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a6d2=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd5i<0;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn>o=:187>5<7s-;=9769;I64b>N3?<1/5:4>;h66>5<5<3290;w)?95;:5?M20n2B?;85+9682?l222900e8>50;9j50d=831d=8m50;9~f63e290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e;">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th89h4?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo=:f;290?6=8r.::8473:J73c=O<>?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a737=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd4>;0;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn>8;:187>5<7s-;=976<;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm37794?2=83:p(<8::918L11a3A><96*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`01a<72=0;6=u+1779<6=O<>l0D99:;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg4?=3:1?7>50z&22097>5;|`13g<72=0;6=u+1779a3=O<>l0D99:;h6:>5<5<4290;w)?95;64a>N3?o1C8:;4i5;94?=nlk0;66a>5483>>{e:1=1<7=50;2x 4022l:0D99i;I641>">?38=7d6m:188m=e=831d=8;50;9~f71d290?6=4?{%351?c13A>=7>5;h36a?6=3f;>97>5;|`1=7<72:0;6=u+177902c<@==m7E:85:k7=?6=3`ni6=44o076>5<53;294~"6><0n<6F;7g9K023<,0=1>;5f8c83>>o?k3:17b?:5;29?xd5?m0;694?:1y'533=m?1C8:h4H556?l2>2900e8?50;9j50c=831d=8;50;9~f7?429086=4?{%351?20m2B?;k5G4678m1?=831bho4?::m210<722wi>5750;194?6|,8<>6h>4H55e?M20=2.2;7<9;h:a>5<4?:1y'533=<>o0D99i;I641>o313:17djm:188k4322900qo<7a;297?6=8r.::84j0:J73c=O<>?0(495279j5;n361?6=3th9;k4?:583>5}#9??1i;5G46d8L1123`>26=44i4394?=n96=4<:183!71=3>>i6=<0;66sm29`94?5=83:p(<8::d28L11a3A><96*67;05?l>e2900e5m50;9l503=831vn?6?:187>5<7s-;=97k9;I64b>N3?<1b844?::k65?6=3`;>i7>5;n361?6=3th95;4?:283>5}#9??18:k4H55e?M20=2c?57>5;hfa>5<6=44}c0;g?6=;3:1648f4>N3?o1C8:;4$85963=n0k0;66g7c;29?j72=3:17pl=8083>1<729q/=;;5e79K02`<@==>7d:6:188m07=831b=8k50;9l503=831vn?78:180>5<7s-;=97:8e:J73c=O<>?0e9750;9j`g<722e:984?::a6=b=8391<7>t$046>`6<@==m7E:85:&:3?413`2i6=44i9a94?=h95<3290;w)?95;g5?M20n2B?;85f4883>>o293:17d?:e;29?j72=3:17pl=9983>6<729q/=;;546g8L11a3A><96g;9;29?lbe2900c<;::188yg4?m3:1?7>50z&22097>5;|`1<6<72=0;6=u+1779a3=O<>l0D99:;h6:>5<5<4290;w)?95;64a>N3?o1C8:;4i5;94?=nlk0;66a>5483>>{e:1l1<7=50;2x 4022l:0D99i;I641>">?38=7d6m:188m=e=831d=8;50;9~f7>3290?6=4?{%351?c13A>=7>5;h36a?6=3f;>97>5;|`1=d<72:0;6=u+177902c<@==m7E:85:k7=?6=3`ni6=44o076>5<53;294~"6><0n<6F;7g9K023<,0=1>;5f8c83>>o?k3:17b?:5;29?xd5180;6>4?:1y'533=<>o0D99i;I641>o313:17djm:188k4322900qo=;5;297?6=8r.::84j0:J73c=O<>?0(495279j5;n361?6=3th8?o4?:583>5}#9??1i;5G46d8L1123`>26=44i4394?=n9>i6=<0;66sm35594?5=83:p(<8::d28L11a3A><96*67;05?l>e2900e5m50;9l503=831vn>=l:187>5<7s-;=97k9;I64b>N3?<1b844?::k65?6=3`;>i7>5;n361?6=3th89?4?:283>5}#9??18:k4H55e?M20=2c?57>5;hfa>5<6=44}c17648f4>N3?o1C8:;4$85963=n0k0;66g7c;29?j72=3:17pl<3e83>1<729q/=;;5e79K02`<@==>7d:6:188m07=831b=8k50;9l503=831vn>;<:180>5<7s-;=97:8e:J73c=O<>?0e9750;9j`g<722e:984?::a71?=8391<7>t$046>`6<@==m7E:85:&:3?413`2i6=44i9a94?=h95<3290;w)?95;g5?M20n2B?;85f4883>>o293:17d?:e;29?j72=3:17pl<5583>6<729q/=;;546g8L11a3A><96g;9;29?lbe2900c<;::188yg53i3:1?7>50z&22097>5;|`07c<72=0;6=u+1779a3=O<>l0D99:;h6:>5<5<4290;w)?95;64a>N3?o1C8:;4i5;94?=nlk0;66a>5483>>{e;=h1<7=50;2x 4022l:0D99i;I641>">?38=7d6m:188m=e=831d=8;50;9~f627290?6=4?{%351?c13A>=7>5;h36a?6=3f;>97>5;|`013<72:0;6=u+177902c<@==m7E:85:k7=?6=3`ni6=44o076>5<53;294~"6><0n<6F;7g9K023<,0=1>;5f8c83>>o?k3:17b?:5;29?xd4<80;694?:1y'533=m?1C8:h4H556?l2>2900e8?50;9j50c=831d=8;50;9~f63029086=4?{%351?20m2B?;k5G4678m1?=831bho4?::m210<722wi?9j50;194?6|,8<>6h>4H55e?M20=2.2;7<9;h:a>5<96=4;:183!71=3o=7E:8f:J730=n<00;66g:1;29?l72m3:17b?:5;29?xd4=10;6>4?:1y'533=<>o0D99i;I641>o313:17djm:188k4322900qo=;e;297?6=8r.::84j0:J73c=O<>?0(495279j5;n361?6=3th88>4?:583>5}#9??1i;5G46d8L1123`>26=44i4394?=n9>i6=<0;66sm35d94?5=83:p(<8::d28L11a3A><96*67;05?l>e2900e5m50;9l503=831vn>:;:187>5<7s-;=97k9;I64b>N3?<1b844?::k65?6=3`;>i7>5;n361?6=3th89l4?:283>5}#9??18:k4H55e?M20=2c?57>5;hfa>5<6=44}c172?6=;3:1648f4>N3?o1C8:;4$85963=n0k0;66g7c;29?j72=3:17pl<5083>6<729q/=;;546g8L11a3A><96g;9;29?lbe2900c<;::188yg4ej3:187>50z&2202B?;k5G4678m1?=831b9<4?::k21`<722e:984?::a6gg=83>1<7>t$046>`0<@==m7E:85:k7=?6=3`?:6=44i07f>5<6=44}c0a=?6=<3:1648f2>N3?o1C8:;4i5;94?=n=80;66g>5d83>>i6=<0;66sm2c:94?2=83:p(<8::d48L11a3A><96g;9;29?l362900e<;j:188k4322900qo?0e9750;9j14<722c:9h4?::m210<722wi>o850;694?6|,8<>6h84H55e?M20=2c?57>5;h72>5<5<7>54;294~"6><0n:6F;7g9K023>o6=l0;66a>5483>>{e:m;1<7:50;2x 4022l<0D99i;I641>o313:17d;>:188m43b2900c<;::188yg4c83:187>50z&2202B?;k5G4678m1?=831b9<4?::k21`<722e:984?::a6f`=83>1<7>t$046>`0<@==m7E:85:k7=?6=3`?:6=44i07f>5<6=44}c0`a?6=<3:1648f2>N3?o1C8:;4i5;94?=n=80;66g>5d83>>i6=<0;66sm2bf94?2=83:p(<8::d48L11a3A><96g;9;29?l362900e<;j:188k4322900qo=8b;290?6=8r.::84j6:J73c=O<>?0e9750;9j14<722c:9h4?::m210<722wi?:o50;694?6|,8<>6h84H55e?M20=2c?57>5;h72>5<5<54;294~"6><0n:6F;7g9K023>o6=l0;66a>5483>>{e;>21<7:50;2x 4022l<0D99i;I641>o313:17d;>:188m43b2900c<;::188yg50?3:187>50z&2202B?;k5G4678m1?=831b9<4?::k21`<722e:984?::a720=83>1<7>t$046>`0<@==m7E:85:k7=?6=3`?:6=44i07f>5<6=44}c1:6?6=<3:1648f2>N3?o1C8:;4i5;94?=n=80;66g>5d83>>i6=<0;66sm38394?2=83:p(<8::d48L11a3A><96g;9;29?l362900e<;j:188k4322900qo=60;290?6=8r.::84j6:J73c=O<>?0e9750;9j14<722c:9h4?::m210<722wi?5h50;694?6|,8<>6h84H55e?M20=2c?57>5;h72>5<5<54;294~"6><0n:6F;7g9K023>o6=l0;66a>5483>>{e;1n1<7:50;2x 4022l<0D99i;I641>o313:17d;>:188m43b2900c<;::188yg7f<3:187>50z&2205;h6`>5<0:7d:::188m1e=831b9=4?::m21f<722wi=ll50;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=na`83>1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4$8595>o3=3:17d:l:188m06=831d=8m50;9~f4g?290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e9h=1<7:50;2x 4022190D99i;I641>">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th:m;4?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo?n5;290?6=8r.::8473:J73c=O<>?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a5d4=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd5980;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn??7:187>5<7s-;=976<;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm20594?2=83:p(<8::918L11a3A><96*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`153<72=0;6=u+1779<6=O<>l0D99:;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg46=3:187>50z&2205;h6`>5<0:7d:::188m1e=831b9=4?::m21f<722wi><=50;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=n1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4$8595>o3=3:17d:l:188m06=831d=8m50;9~f76a290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e:">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th9:94?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo<93;290?6=8r.::8473:J73c=O<>?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a637=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd5>90;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<j7>54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn?;j:187>5<7s-;=976<;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm24f94?2=83:p(<8::918L11a3A><96*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`11g<72=0;6=u+1779<6=O<>l0D99:;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg42i3:187>50z&2205;h6`>5<0:7d:::188m1e=831b9=4?::m21f<722wi=k950;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=nf783>1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4$8595>o3=3:17d:l:188m06=831d=8m50;9~f4`3290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e9o91<7:50;2x 4022190D99i;I641>">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th:j?4?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo?i1;290?6=8r.::8473:J73c=O<>?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a5`c=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd6mm0;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<@=80(h;558d8 <1=92c?97>5;h6`>5<n6=4;:183!71=3287E:8f:J730=O<;1/i84:9g9'=2<63`>>6=44i5a94?=n=90;66a>5b83>>{e:=n1<7:50;2x 4022190D99i;I641>N3:2.n97;6f:&:3?7>o283:17b?:c;29?xd5687i;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg43j3:187>50z&220o3=3:17d:l:188m06=831d=8m50;9~f72f290?6=4?{%351?>43A>5k5+9682?l222900e9m50;9j15<722e:9n4?::a61?=83>1<7>t$046>=5<@==m7E:85:J76>"b=3?2j6*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`10=<72=0;6=u+1779<6=O<>l0D99:;I61?!c22<3m7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4H508 `3==0l0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85G439'a0<21o1/5:4>;h66>5<>i6=j0;66sm25694?2=83:p(<8::918L11a3A><96F;2:&f1?3>n2.2;7?4i5794?=nd883>1<729q/=;;5829K02`<@==>7E:=;%g6>0?a3-3<6<5f4483>>o3k3:17d;?:188k43d2900qo?j1;290?6=8r.::8473:J73c=O<>?0D9<4$d791<`<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn5<7s-;=976<;I64b>N3?<1C8?5+e486=c=#1>0:7d:::188m1e=831b9=4?::m21f<722wi=ih50;694?6|,8<>65=4H55e?M20=2B?>6*j5;7:b>">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th:hh4?:583>5}#9??14>5G46d8L1123A>97)k::4;e?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<@=80(h;558d8 <1=92c?97>5;h6`>5<>6=44i5a94?=n=90;66a>5b83>>{e9mh1<7:50;2x 4022190D99i;I641>N3:2.n97;6f:&:3?7>o283:17b?:c;29?xd6lh0;694?:1y'533=0:1C8:h4H556?M253-o>687i;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg7c03:187>50z&220o3=3:17d:l:188m06=831d=8m50;9~f4b0290?6=4?{%351?>43A>5k5+9682?l222900e9m50;9j15<722e:9n4?::a5f5=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd6kk0;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn5<7s-;=976<;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm1b:94?2=83:p(<8::918L11a3A><96*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`2g2<72=0;6=u+1779<6=O<>l0D99:;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg7d>3:187>50z&2205;h6`>5<6=4;:183!71=3287E:8f:J730=#1>0:7d:::188m1e=831b9=4?::m21f<722wi=n:50;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=nc383>1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;7>N3?o1C8:;4$8595>o3=3:17d:l:188m06=831d=8m50;9~f757290?6=4?{%351?>43A>>6=44i5a94?=n=90;66a>5b83>>{e::21<7:50;2x 4022190D99i;I641>">?3;0e9;50;9j0f<722c><7>5;n36g?6=3th9?:4?:583>5}#9??14>5G46d8L1123-3<6<5f4483>>o3k3:17d;?:188k43d2900qo<<6;290?6=8r.::8473:J73c=O<>?0(4951:k71?6=3`>h6=44i4294?=h95<3290;w)?95;:0?M20n2B?;85+9682?l222900e9m50;9j15<722e:9n4?::a662=83>1<7>t$046>=5<@==m7E:85:&:3?7>o283:17b?:c;29?xd5;:0;694?:1y'533=0:1C8:h4H556?!?0281b884?::k7g?6=3`?;6=44o07`>5<7>54;294~"6><03?6F;7g9K023<,0=1=6g;5;29?l2d2900e8>50;9l50e=831vn?=>:187>5<7s-;=976<;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm23d94?2=83:p(<8::918L11a3A><96*67;38m13=831b8n4?::k64?6=3f;>o7>5;|`16`<72=0;6=u+1779<6=O<>l0D99:;%;4>4=n<<0;66g;c;29?l372900c<;l:188yg56k3:197>50z&2205;h64>5<>i6=j0;66sm33694?3=83:p(<8::968L11a3A><96*67;38m13=831b8:4?::k7g?6=3`?;6=44o07`>5<7>55;294~"6><0386F;7g9K023<,0=1=6g;5;29?l202900e9m50;9j15<722e:9n4?::a777=83?1<7>t$046>=2<@==m7E:85:&:3?7>o3k3:17d;?:188k43d2900qo==3;291?6=8r.::8474:J73c=O<>?0(4951:k71?6=3`><6=44i5a94?=n=90;66a>5b83>>{e;8l1<7;50;2x 40221>0D99i;I641>">?3;0e9;50;9j02<722c?o7>5;h73>5<648;0>N3?o1C8:;4$8595>o3=3:17d:8:188m1e=831b9=4?::m21f<722wi??>50;794?6|,8<>65:4H55e?M20=2.2;7?4i5794?=n<>0;66g;c;29?l372900c<;l:188yg56j3:197>50z&2205;h64>5<>i6=j0;66sm30c94?3=83:p(<8::958L11a3A><96*67;68m13=831b8:4?::k64?6=3`;>n7>5;n36g?6=3th8=i4?:483>5}#9??1495G46d8L1123-3<6<5f4483>>o3?3:17d:l:188m06=831d=8m50;9~f66329086=4?{%351?20m2B?;k5G4678m1?=831bho4?::m210<722wi?=k50;194?6|,8<>699j;I64b>N3?<1b844?::kgf?6=3f;>97>5;|`044<72=0;6=u+1779a3=O<>l0D99:;h6:>5<5<4290;w)?95;64a>N3?o1C8:;4i5;94?=nlk0;66a>5483>>{e:ol1<7:50;2x 4022l<0D99i;I641>o313:17d;>:188m43b2900c<;::188yg57k3:1?7>50z&220<3?l1C8:h4H556?l2>2900eil50;9l503=831vn?hk:187>5<7s-;=97k9;I64b>N3?<1b844?::k65?6=3`;>i7>5;n361?6=3th85}#9??18:k4H55e?M20=2c?57>5;hfa>5<6=44}c0ef?6=<3:1648f2>N3?o1C8:;4i5;94?=n=80;66g>5d83>>i6=<0;66sm31c94?5=83:p(<8::55f?M20n2B?;85f4883>>ocj3:17b?:5;29?xd5n00;694?:1y'533=m?1C8:h4H556?l2>2900e8?50;9j50c=831d=8;50;9~f66>29086=4?{%351?20m2B?;k5G4678m1?=831bho4?::m210<722wi>k950;694?6|,8<>6h84H55e?M20=2c?57>5;h72>5<5<53;294~"6><0?;h5G46d8L1123`>26=44ie`94?=h95<3290;w)?95;g5?M20n2B?;85f4883>>o293:17d?:e;29?j72=3:17pl<0683>6<729q/=;;546g8L11a3A><96g;9;29?lbe2900c<;::188yg4a;3:187>50z&2202B?;k5G4678m1?=831b9<4?::k21`<722e:984?::a750=8391<7>t$046>11b3A>5;n361?6=3th9j<4?:583>5}#9??1i;5G46d8L1123`>26=44i4394?=n9>i6=<0;66sm2dd94?2=83:p(<8::d48L11a3A><96g;9;29?l362900e<;j:188k4322900qo=<2;291?6=8r.::8474:J73c=O<>?0(4951:k71?6=3`><6=44i5a94?=n=90;66a>5b83>>{e;:k1<7;50;2x 40221>0D99i;I641>">?3;0e9;50;9j02<722c?o7>5;h73>5<648;0>N3?o1C8:;4$8595>o3=3:17d:8:188m1e=831b9=4?::m21f<722wi?>650;794?6|,8<>65:4H55e?M20=2.2;7?4i5794?=n<>0;66g;c;29?l372900c<;l:188yg54?3:197>50z&2205;h64>5<>i6=j0;66sm32494?3=83:p(<8::968L11a3A><96*67;38m13=831b8:4?::k7g?6=3`?;6=44o07`>5<55;294~"6><0386F;7g9K023<,0=1=6g;5;29?l202900e9m50;9j15<722e:9n4?::a762=83?1<7>t$046>=2<@==m7E:85:&:3?7>o3k3:17d;?:188k43d2900qo=<3;291?6=8r.::8474:J73c=O<>?0(4951:k71?6=3`><6=44i5a94?=n=90;66a>5b83>>{e;:;1<7;50;2x 40221>0D99i;I641>">?3;0e9;50;9j02<722c?o7>5;h73>5<648;0>N3?o1C8:;4$8595>o3=3:17d:8:188m1e=831b9=4?::m21f<722wi>::50;694?6|,8<>65=4H55e?M20=2.2;7?4i5794?=n1<729q/=;;5829K02`<@==>7)78:09j00<722c?o7>5;h73>5<648;2>N3?o1C8:;4$8590>o3=3:17d;?:188m43e2900c<;l:188yg40?3:187>50z&2202B?;k5G4678 <1=<2c?97>5;h73>5<5<55;294~"6><0386F;7g9K023<,0=1=6g;5;29?l202900e9m50;9j15<722e:9n4?::a7`0=83?1<7>t$046>=2<@==m7E:85:&:3?7>o3k3:17d;?:188k43d2900qo=j4;291?6=8r.::8474:J73c=O<>?0(4951:k71?6=3`><6=44i5a94?=n=90;66a>5b83>>{e;l91<7;50;2x 40221>0D99i;I641>">?3;0e9;50;9j02<722c?o7>5;h73>5<648;0>N3?o1C8:;4$8595>o3=3:17d:8:188m1e=831b9=4?::m21f<722wi?h?50;794?6|,8<>65:4H55e?M20=2.2;7?4i5794?=n<>0;66g;c;29?l372900c<;l:188yg5b83:197>50z&2205;h64>5<>i6=j0;66sm3d094?3=83:p(<8::968L11a3A><96*67;38m13=831b8:4?::k7g?6=3`?;6=44o07`>5<55;294~"6><03;6F;7g9K023<,0=186g;5;29?l202900e8>50;9j50d=831d=8m50;9~f6bd290>6=4?{%351?>33A>>6=44i5594?=n0<729q/=;;5859K02`<@==>7)78:09j00<722c?;7>5;h6`>5<>i6=<0;66sm3e294?5=83:p(<8::55f?M20n2B?;85f4883>>ocj3:17b?:5;29?xd4k:0;694?:1y'533=m?1C8:h4H556?l2>2900e8?50;9j50c=831d=8;50;9~f6ea29086=4?{%351?20m2B?;k5G4678m1?=831bho4?::m210<722wi?n?50;694?6|,8<>6h84H55e?M20=2c?57>5;h72>5<5<53;294~"6><0?;h5G46d8L1123`>26=44ie`94?=h95<3290;w)?95;g5?M20n2B?;85f4883>>o293:17d?:e;29?j72=3:17pl6<729q/=;;546g8L11a3A><96g;9;29?lbe2900c<;::188yg5el3:187>50z&2202B?;k5G4678m1?=831b9<4?::k21`<722e:984?::a7fe=8391<7>t$046>11b3A>5;n361?6=3th8no4?:583>5}#9??1i;5G46d8L1123`>26=44i4394?=n9>i6=<0;66sm3c;94?2=83:p(<8::d48L11a3A><96g;9;29?l362900e<;j:188k4322900qo=la;297?6=8r.::84;7d9K02`<@==>7d:6:188mad=831d=8;50;9~f6d0290?6=4?{%351?c13A>=7>5;h36a?6=3f;>97>5;|`0g<<72:0;6=u+177902c<@==m7E:85:k7=?6=3`ni6=44o076>5<54;294~"6><0n:6F;7g9K023>o6=l0;66a>5483>>{e;j21<7=50;2x 4022==n7E:8f:J730=n<00;66gkb;29?j72=3:17pl1<729q/=;;5e79K02`<@==>7d:6:188m07=831b=8k50;9l503=831vn>m::180>5<7s-;=97:8e:J73c=O<>?0e9750;9j`g<722e:984?::a7g7=83>1<7>t$046>`0<@==m7E:85:k7=?6=3`?:6=44i07f>5<6=44}c1e1?6==3:1648;0>N3?o1C8:;4$8595>o3=3:17d:8:188m1e=831b9=4?::m21f<722wi?k<50;794?6|,8<>6594H55e?M20=2.2;7:4i5794?=n<>0;66g:0;29?l72j3:17b?:c;29?xd4n:0;684?:1y'533=0=1C8:h4H556?!?0281b884?::k73?6=3`>h6=44i4294?=h95<2290;w)?95;:7?M20n2B?;85+9682?l222900e9950;9j0f<722c><7>5;n36g?6=3th8j;4?:483>5}#9??1495G46d8L1123-3<6<5f4483>>o3?3:17d:l:188m06=831d=8m50;9~f6`0290>6=4?{%351?>33A>>6=44i5594?=n0<729q/=;;5859K02`<@==>7)78:09j00<722c?;7>5;h6`>5<0:7d:::188m11=831b8n4?::k64?6=3f;>o7>5;|`0bd<72<0;6=u+1779<1=O<>l0D99:;%;4>4=n<<0;66g;7;29?l2d2900e8>50;9l50e=831vn>hl:186>5<7s-;=976;;I64b>N3?<1/5:4>;h66>5<>o283:17b?:c;29?xd4n=0;684?:1y'533=0=1C8:h4H556?!?0281b884?::k73?6=3`>h6=44i4294?=h95<2290;w)?95;:7?M20n2B?;85+9682?l222900e9950;9j0f<722c><7>5;n36g?6=3th?=?4?:483>5}#9??1495G46d8L1123-3<6<5f4483>>o3?3:17d:l:188m06=831d=8m50;9~f176290>6=4?{%351?>33A>>6=44i5594?=n0<729q/=;;5859K02`<@==>7)78:09j00<722c?;7>5;h6`>5<0:7d:::188m11=831b8n4?::k64?6=3f;>o7>5;|`74`<72<0;6=u+1779<1=O<>l0D99:;%;4>4=n<<0;66g;7;29?l2d2900e8>50;9l50e=831vn9>k:186>5<7s-;=976;;I64b>N3?<1/5:4>;h66>5<>o283:17b?:c;29?xd38j0;684?:1y'533=0=1C8:h4H556?!?0281b884?::k73?6=3`>h6=44i4294?=h95<2290;w)?95;:7?M20n2B?;85+9682?l222900e9950;9j0f<722c><7>5;n36g?6=3th?<44?:483>5}#9??1495G46d8L1123-3<6<5f4483>>o3?3:17d:l:188m06=831d=8m50;9~f16?290>6=4?{%351?>33A>>6=44i5594?=n1<729q/=;;5849K02`<@==>7)78:09j00<722c?;7>5;h73>5<648;1>N3?o1C8:;4$8595>o3=3:17d:8:188m06=831d=8m50;9~f14b290?6=4?{%351?>23A>>6=44i5594?=n=90;66a>5b83>>{e<;n1<7:50;2x 40221?0D99i;I641>">?3;0e9;50;9j02<722c><7>5;n36g?6=3th?>n4?:583>5}#9??1485G46d8L1123-3<6<5f4483>>o3?3:17d;?:188k43d2900qo:=b;290?6=8r.::8475:J73c=O<>?0(4951:k71?6=3`><6=44i4294?=h95<3290;w)?95;:6?M20n2B?;85+9682?l222900e9950;9j15<722e:9n4?::a07>=83>1<7>t$046>=3<@==m7E:85:&:3?7>o283:17b?:c;29?xd3:>0;694?:1y'533=0<1C8:h4H556?!?0281b884?::k73?6=3`?;6=44o07`>5<9:7>54;294~"6><0396F;7g9K023<,0=1=6g;5;29?l202900e8>50;9l50e=831vn9<::187>5<7s-;=976:;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm43694?2=83:p(<8::978L11a3A><96*67;38m13=831b8:4?::k64?6=3f;>o7>5;|`766<72=0;6=u+1779<0=O<>l0D99:;%;4>4=n<<0;66g;7;29?l372900c<;l:188yg25:3:187>50z&2205;h64>5<7E:8f:J730=#1>0:7d:::188m11=831b9=4?::m21f<722wi8?>50;694?6|,8<>65;4H55e?M20=2.2;7?4i5794?=n<>0;66g:0;29?j72k3:17pl;1d83>1<729q/=;;5849K02`<@==>7)78:09j00<722c?;7>5;h73>5<648;1>N3?o1C8:;4$8595>o3=3:17d:8:188m06=831d=8m50;9~f17d290?6=4?{%351?>23A>>6=44i5594?=n=90;66a>5b83>>{e<8h1<7:50;2x 40221?0D99i;I641>">?3;0e9;50;9j02<722c><7>5;n36g?6=3th?=l4?:583>5}#9??1485G46d8L1123-3<6<5f4483>>o3?3:17d;?:188k43d2900qo:>9;290?6=8r.::8475:J73c=O<>?0(4951:k71?6=3`><6=44i4294?=h95<3290;w)?95;:6?M20n2B?;85+9682?l222900e9950;9j15<722e:9n4?::a041=83>1<7>t$046>=3<@==m7E:85:&:3?7>o283:17b?:c;29?xd39?0;694?:1y'533=0<1C8:h4H556?!?0281b884?::k73?6=3`?;6=44o07`>5<:97>54;294~"6><0396F;7g9K023<,0=1=6g;5;29?l202900e8>50;9l50e=831vn9=9:187>5<7s-;=976:;I64b>N3?<1/5:4>;h66>5<>i6=j0;66sm42794?2=83:p(<8::978L11a3A><96*67;38m13=831b8:4?::k64?6=3f;>o7>5;|`771<72=0;6=u+1779<0=O<>l0D99:;%;4>4=n<<0;66g;7;29?l372900c<;l:188yg24;3:187>50z&2205;h64>5<7E:8f:J730=#1>0:7d:::188m11=831b9=4?::m21f<722wi8>?50;694?6|,8<>65;4H55e?M20=2.2;7?4i5794?=n<>0;66g:0;29?j72k3:17pl;2`83>1<729q/=;;5849K02`<@==>7)78:09j00<722c?;7>5;h73>5<648;1>N3?o1C8:;4$8595>o3=3:17d:8:188m06=831d=8m50;9~f173290?6=4?{%351?>23A>>6=44i5594?=n=90;66a>5b83>>{e<891<7:50;2x 40221?0D99i;I641>">?3;0e9;50;9j02<722c><7>5;n36g?6=3th?:k4?:283>5}#9??1i=5G46d8L1123-3<65483>>{e">?3;i7d6m:188m=e=831d=8;50;9~f4?429086=4?{%351?c73A>5;h:`>5<6=44}c3:6?6=;3:1648f4>N3?o1C8:;4$8595f=n0k0;66g7c;29?j72=3:17pl>9083>6<729q/=;;5e19K02`<@==>7)78:0a8m=d=831b4n4?::m210<722wi=4>50;194?6|,8<>6h>4H55e?M20=2.2;7?l;h:a>5<0:o6g7b;29?l>d2900c<;::188yg7?m3:1?7>50z&22097>5;|`2l0D99:;%;4>4e>i6=<0;66sm19a94?5=83:p(<8::d28L11a3A><96*67;3`?l>e2900e5m50;9l503=831vn<6m:180>5<7s-;=97k?;I64b>N3?<1/5:4>c:k;f?6=3`2h6=44o076>5<53;294~"6><0n<6F;7g9K023<,0=1=n5f8c83>>o?k3:17b?:5;29?xd6?h0;6>4?:1y'533=m91C8:h4H556?!?028i0e5l50;9jt$046>`6<@==m7E:85:&:3?7d3`2i6=44i9a94?=h95<4290;w)?95;g3?M20n2B?;85+9682g>o?j3:17d6l:188k4322900qo?87;297?6=8r.::84j0:J73c=O<>?0(4951b9j5;n361?6=3th:;;4?:283>5}#9??1i=5G46d8L1123-3<65483>>{e9>?1<7=50;2x 4022l:0D99i;I641>">?3;h7d6m:188m=e=831d=8;50;9~f41329086=4?{%351?c73A>5;h:`>5<6=44}c347?6=;3:1648f4>N3?o1C8:;4$8595f=n0k0;66g7c;29?j72=3:17pl>7383>6<729q/=;;5e19K02`<@==>7)78:0a8m=d=831b4n4?::m210<722wi=:?50;194?6|,8<>6h>4H55e?M20=2.2;7?l;h:a>5<09<6g7b;29?l>d2900e5j50;9l503=831vn?9n:186>5<7s-;=97k<;I64b>N3?<1/5:4;6:k;f?6=3`2h6=44i9f94?=n0l0;66a>5483>>{e:><1<7;50;2x 4022l90D99i;I641>">?3;m7d6m:188m=e=831b4i4?::k;a?6=3f;>97>5;|`0e0<72:0;6=u+1779a5=O<>l0D99:;%;4>43>i6=<0;66sm26294?2=83:p(<8::d08L11a3A><96*67;3:?l>e2900e5m50;9jt$046>`5<@==m7E:85:&:3?713`2i6=44i9a94?=n0m0;66g7e;29?j72=3:17pl<9d83>6<729q/=;;5e19K02`<@==>7)78:348m=d=831b4n4?::m210<722wi?5950;194?6|,8<>6h>4H55e?M20=2.2;7<9;h:a>5<09:6g7b;29?l>d2900c<;::188yg4d?3:1?7>50z&22097>5;|`0=a<72<0;6=u+1779a6=O<>l0D99:;%;4>71>o?l3:17d6j:188k4322900qo=76;291?6=8r.::84j3:J73c=O<>?0(495269j5;h:g>5<09;6g7b;29?l>d2900e5j50;9j<`<722e:984?::a6f0=83?1<7>t$046>`5<@==m7E:85:&:3?463`2i6=44i9a94?=n0m0;66g7e;29?j72=3:17pl<9b83>0<729q/=;;5e29K02`<@==>7)78:358m=d=831b4n4?::k;`?6=3`2n6=44o076>5<55;294~"6><0n?6F;7g9K023<,0=1>:5f8c83>>o?k3:17d6k:188m=c=831d=8;50;9~f7bd290>6=4?{%351?c43A>5;h:`>5<>i6=<0;66sm2b794?3=83:p(<8::d18L11a3A><96*67;02?l>e2900e5m50;9j5;n361?6=3th85o4?:483>5}#9??1i>5G46d8L1123-3<6?94i9`94?=n0j0;66g7d;29?l>b2900c<;::188yg5?<3:197>50z&2201b4o4?::k;g?6=3`2o6=44i9g94?=h95<2290;w)?95;g0?M20n2B?;85+96813>o?j3:17d6l:188m=b=831b4h4?::m210<722wi>n:50;794?6|,8<>6h=4H55e?M20=2.2;7<>;h:a>5<>o?m3:17b?:5;29?xd41h0;684?:1y'533=m:1C8:h4H556?!?02;=0e5l50;9j5;h:f>5<6=44}c1;7?6==3:1648f7>N3?o1C8:;4$85962=n0k0;66g7c;29?l>c2900e5k50;9l503=831vn?jn:186>5<7s-;=97k<;I64b>N3?<1/5:4=7:k;f?6=3`2h6=44i9f94?=n0l0;66a>5483>>{e:j91<7;50;2x 4022l90D99i;I641>">?38:7d6m:188m=e=831b4i4?::k;a?6=3f;>97>5;|`1a6<72=0;6=u+1779a7=O<>l0D99:;%;4>=7>o?l3:17b?:5;29?xd4i=0;684?:1y'533=m:1C8:h4H556?!?021:0e5l50;9j5;h:f>5<6=44}c1:=?6==3:1648f7>N3?o1C8:;4$85962=n0k0;66g7c;29?l>c2900e5k50;9l503=831vn>6=:186>5<7s-;=97k<;I64b>N3?<1/5:4=7:k;f?6=3`2h6=44i9f94?=n0l0;66a>5483>>{e:m31<7;50;2x 4022l90D99i;I641>">?38<7d6m:188m=e=831b4i4?::k;a?6=3f;>97>5;|`1g7<72<0;6=u+1779a6=O<>l0D99:;%;4>77>o?l3:17d6j:188k4322900qo?73;297?6=8r.::84j0:J73c=O<>?0(4951b9j5;n361?6=3th:;o4?:283>5}#9??1i=5G46d8L1123-3<6?84i9`94?=n0j0;66a>5483>>{e91=1<7=50;2x 4022l:0D99i;I641>">?3;h7d6m:188m=e=831d=8;50;9~f4>629086=4?{%351?c73A>5;h:`>5<6=44}c3;0?6=<3:1648f6>N3?o1C8:;4$8596==n0k0;66g7c;29?l>c2900c<;::188yg71l3:1?7>50z&22097>5;|`223<72:0;6=u+1779a5=O<>l0D99:;%;4>4e>i6=<0;66sm31094?4=83:p(<8::ed8L11a3A><96*67;36?l>e2900c<;::188yg5783:1>7>50z&220kk50;094?6|,8<>6ih4H55e?M20=2.2;7?:;h:a>5<6=44}c0eg?6=:3:1648gb>N3?o1C8:;4$85950=n0k0;66a>5483>>{e:ok1<7<50;2x 4022ml0D99i;I641>">?3;>7d6m:188k4322900qo?0(495149jt$046>a`<@==m7E:85:&:3?723`2i6=44o076>5<52;294~"6><0oj6F;7g9K023<,0=1=85f8c83>>i6=<0;66sm2g094?4=83:p(<8::ed8L11a3A><96*67;36?l>e2900c<;::188yg4a83:1>7>50z&2206ih4H55e?M20=2.2;7?:;h:a>5<6=44}c1`6?6=:3:1648gb>N3?o1C8:;4$85950=n0k0;66a>5483>>{e;j:1<7<50;2x 4022ml0D99i;I641>">?3;>7d6m:188k4322900qo=me;296?6=8r.::84kf:J73c=O<>?0(495149jt$046>a`<@==m7E:85:&:3?723`2i6=44o076>5<52;294~"6><0oj6F;7g9K023<,0=1=85f8c83>>i6=<0;66sm3c:94?4=83:p(<8::ed8L11a3A><96*67;36?l>e2900c<;::188yg5e>3:1>7>50z&2206ih4H55e?M20=2.2;7?:;h:a>5<6=44}c1a6?6=:3:1648gb>N3?o1C8:;4$85950=n0k0;66a>5483>>{e;9?1<7<50;2x 4022ml0D99i;I641>">?3;>7d6m:188k4322900qo=l7;296?6=8r.::84kf:J73c=O<>?0(495149j1<7>t$046>`4<@==m7E:85:&:3?4?3`2i6=44i9a94?=n0m0;66a>5483>>{e9?31<7:50;2x 4022l80D99i;I641>">?3837d6m:188m=e=831b4i4?::m210<722wi=;k50;694?6|,8<>6h<4H55e?M20=2.2;7<7;h:a>5<>i6=<0;66sm19494?3=83:p(<8::d18L11a3A><96*67;0:?l>e2900e5m50;9j5;n361?6=3th:444?:483>5}#9??1i>5G46d8L1123-3<6b2900c<;::188yg7083:197>50z&2205<2290;w)?95;g0?M20n2B?;85+9682a>o?j3:17d6l:188m=b=831b4h4?::m210<722wi=5650;694?6|,8<>6h<4H55e?M20=2.2;7<7;h:a>5<>i6=<0;66sm19794?3=83:p(<8::d18L11a3A><96*67;3f?l>e2900e5m50;9j5;n361?6=3th::l4?:483>5}#9??1i>5G46d8L1123-3<6b2900c<;::188yg70m3:187>50z&2205<55;294~"6><0n?6F;7g9K023<,0=1=h5f8c83>>o?k3:17d6k:188m=c=831d=8;50;9~f4>5290?6=4?{%351?c53A>5;h:`>5<0:i6g7b;29?l>d2900e5j50;9j<`<722e:984?::a52`=83>1<7>t$046>`4<@==m7E:85:&:3?7c3`2i6=44i9a94?=n0m0;66a>5483>>{e9>n1<7;50;2x 4022l90D99i;I641>">?3827d6m:188m=e=831b4i4?::k;a?6=3f;>97>5;|`71g<72=81<7>t$046>43c3A>1=>4=5;37>x"c>390(i953:&;b?5<,0:1?6*61;18 <4=;2.2?7=4$8697>"6>8097)?92;08 <>=;2.257=4$8c97>">j390(4m53:&:`?5<,0o1?6*6f;18 d6=;2.j=7=4$`097>"f;390(l:53:&b1?5<,h<1?6*n7;18 d>=;2.j57=4$`c97>"fj390(lm53:&b`?5<,ho1?6*nf;18 g6=;2.i=7=4$c097>"e;390(o:53:&a1?5<,k<1?6*m7;18 g>=;2.i57=4$cc97>"ej390(om53:&a`?5<,ko1?6*mf;18 f6=;2.h=7=4$b097>"d;390(n:53:&`1?5<,j<1?6*l7;18 f>=;2.h57=4$bc97>"dj390(nm53:&``?5<,jo1?6*lf;18 a6=;2.o=7=4$e097>"c;390(i:53:&g1?5<,==h699n;%;6>6=#9"c1380(99m:55b?!?12:1b8l4?::k7f?6=3`=n6=44i6d94?=n9?91<75f17694?=n<>21<75f46;94?=n:j0;6)?:a;0a?k7213:07d=::18'50g=:k1e=8751:9j71<72-;>m7!72i38i7c?:9;68?l56290/=8o52c9m50?==21b?=4?:%36e?4e3g;>5784;h0e>5<#9o5a14;93>=n:l0;6)?:a;0a?k7213207dm7!72i39<7c?:9;38?l27290/=8o5369m50?=:21b?k4?:%36e?503g;>57=4;h1f>5<#9=n;m0;6)?:a;14?k7213?07d=l:18'50g=;>1e=8756:9j7g<72-;>m7=8;o36=?1<3`9j6=4+14c972=i9<31465f3883>!72i39<7c?:9;;8?l51290/=8o5369m50?=i21b9l4?:%36e?3>3g;>57>4;h7;>5<#9=n=>0;6)?:a;7:?k7213807d;9:18'50g==01e=8753:9j10<72-;>m7;6;o36=?2<3`??6=4+14c91<=i9<31965f5283>!72i3?27c?:9;48?l35290/=8o5589m50?=?21b:>4?:%36e?053g;>57>4;h42>5<#9=n>90;6)?:a;41?k7213807d;i:18'50g=>;1e=8753:9j1`<72-;>m78=;o36=?2<3`?o6=4+14c927=i9<31965f5b83>!72i3<97c?:9;48?l3e290/=8o5639m50?=?21b:84?:%36e?033g;>57>4;h44>5<#9=h?90;6)?:a;4e?k7213:07b8j:18'50g=>o1e=8751:9l2a<72-;>m78i;o36=?4<3f!72i35784;n4;>5<#9=h?k0;6)?:a;5b?k7213:07b96:18'50g=?11e=8750:9l32<72-;>m797;o36=?7<3f==6=4+14c93==i9<31>65`7483>!72i3=37c?:9;18?j13290/=8o5799m50?=<21d;>4?:%36e?1?3g;>57;4;n51>5<#9=h?80;6)?:a;5;?k7213=07b9k:18'50g=?j1e=8750:9~f13d290?>7>50z&220<6=m1C8:h4H556?_232hq=6:4=2;00>47=9;0987?<:37951">:390(4=53:&:0?5<,8<:6?5+17096>">0390(4753:&:e?5<,0h1?6*6c;18 "f8390(l?53:&b6?5<,h91?6*n4;18 d3=;2.j:7=4$`597>"f0390(l753:&be?5<,hh1?6*nc;18 db=;2.ji7=4$`d97>"e8390(o?53:&a6?5<,k91?6*m4;18 g3=;2.i:7=4$c597>"e0390(o753:&ae?5<,kh1?6*mc;18 gb=;2.ii7=4$cd97>"d8390(n?53:&`6?5<,j91?6*l4;18 f3=;2.h:7=4$b597>"d0390(n753:&`e?5<,jh1?6*lc;18 fb=;2.hi7=4$bd97>"c8390(i?53:&g6?5<,m91?6*k4;18 a3=;2.?;n4;7`9'=0<43-;>j7?:4:&g6*;7c873d=#1?087d:n:188m1d=831b;h4?::k4b?6=3`;=?7>5;h350?6=3`><47>5;h64=?6=3`8h6=4+14c96g=i9<31<65f3483>!72i38i7c?:9;38?l53290/=8o52c9m50?=:21b?>4?:%36e?4e3g;>57=4;h11>5<#9o5a14;90>=n;80;6)?:a;0a?k7213?07d=?:18'50g=:k1e=8756:9j6c<72-;>m7!72i38i7c?:9;;8?l4f290/=8o52c9m50?=i21b?54?:%36e?503g;>57>4;h62>5<#9=n<90;6)?:a;14?k7213807d=i:18'50g=;>1e=8753:9j7`<72-;>m7=8;o36=?2<3`9o6=4+14c972=i9<31965f3b83>!72i39<7c?:9;48?l5e290/=8o5369m50?=?21b?l4?:%36e?503g;>5764;h1:>5<#9=n;?0;6)?:a;14?k7213k07d;n:18'50g==01e=8750:9j1=<72-;>m7;6;o36=?7<3`?<6=4+14c91<=i9<31>65f5783>!72i3?27c?:9;18?l32290/=8o5589m50?=<21b994?:%36e?3>3g;>57;4;h70>5<#9=n=;0;6)?:a;7:?k7213=07d8<:18'50g=>;1e=8750:9j24<72-;>m78=;o36=?7<3`<;6=4+14c927=i9<31>65f5g83>!72i3<97c?:9;18?l3b290/=8o5639m50?=<21b9i4?:%36e?053g;>57;4;h7`>5<#9=n=k0;6)?:a;41?k7213=07d8::18'50g=>=1e=8750:9j22<72-;>m789;o36=?6<3f=;6=4+14c92c=i9<31<65`6d83>!72i357=4;n4a>5<#9=h>h0;6)?:a;4e?k7213?07b86:18'50g=>o1e=8756:9l2=<72-;>m78i;o36=?1<3f=i6=4+14c93d=i9<31<65`7883>!72i3=37c?:9;28?j10290/=8o5799m50?=921d;;4?:%36e?1?3g;>57<4;n56>5<#9=h?=0;6)?:a;5;?k7213>07b9<:18'50g=?11e=8755:9l37<72-;>m797;o36=?0<3f=:6=4+14c93==i9<31;65`7e83>!72i3=h7c?:9;28?xd3=m0;69<50;2x 40228?o7E:8f:J730=]<=0jw;48:30966<693;96?:512811?732t.o:7=4$e597>"?n390(4>53:&:5?5<,081?6*63;18 <2=;2.::<4=;%356?4<,021?6*69;18 ">l390(4k53:&:b?5<,h:1?6*n1;18 d4=;2.j?7=4$`697>"f=390(l853:&b3?5<,h21?6*n9;18 dg=;2.jn7=4$`a97>"fl390(lk53:&bb?5<,k:1?6*m1;18 g4=;2.i?7=4$c697>"e=390(o853:&a3?5<,k21?6*m9;18 gg=;2.in7=4$ca97>"el390(ok53:&ab?5<,j:1?6*l1;18 f4=;2.h?7=4$b697>"d=390(n853:&`3?5<,j21?6*l9;18 fg=;2.hn7=4$ba97>"dl390(nk53:&`b?5<,m:1?6*k1;18 a4=;2.o?7=4$e697>"c=390(99l:55b?!?22:1/=8h51468 a>=:2.o57<4$55a>11f3-3=6>5f4`83>>o3j3:17d9j:188m2`=831b=;=50;9j532=831b8:650;9j02?=831b>n4?:%36e?4e3g;>57>4;h16>5<#9o5a14;95>=n;=0;6)?:a;0a?k7213807d=<:18'50g=:k1e=8753:9j77<72-;>m7!72i38i7c?:9;48?l4a290/=8o52c9m50?=?21b>h4?:%36e?4e3g;>5764;h0g>5<#9o5a14;9=>=n:h0;6)?:a;0a?k7213k07d=7:18'50g=;>1e=8750:9j04<72-;>m7=8;o36=?7<3`>;6=4+14c972=i9<31>65f3g83>!72i39<7c?:9;18?l5b290/=8o5369m50?=<21b?i4?:%36e?503g;>57;4;h1`>5<#9=n;k0;6)?:a;14?k7213=07d=n:18'50g=;>1e=8758:9j7<<72-;>m7=8;o36=??<3`9=6=4+14c972=i9<31m65f5`83>!72i3?27c?:9;28?l3?290/=8o5589m50?=921b9:4?:%36e?3>3g;>57<4;h75>5<#9=n=<0;6)?:a;7:?k7213>07d;;:18'50g==01e=8755:9j16<72-;>m7;6;o36=?0<3`?96=4+14c91<=i9<31;65f6283>!72i3<97c?:9;28?l06290/=8o5639m50?=921b:=4?:%36e?053g;>57<4;h7e>5<#9=n=l0;6)?:a;41?k7213>07d;k:18'50g=>;1e=8755:9j1f<72-;>m78=;o36=?0<3`?i6=4+14c927=i9<31;65f6483>!72i357>4;n4f>5<#9=h>m0;6)?:a;4e?k7213807b8l:18'50g=>o1e=8753:9l2g<72-;>m78i;o36=?2<3f!72i357>4;n5:>5<#9=h?>0;6)?:a;5;?k7213;07b99:18'50g=?11e=8752:9l30<72-;>m797;o36=?5<3f=?6=4+14c93==i9<31865`7283>!72i3=37c?:9;78?j15290/=8o5799m50?=>21d;<4?:%36e?1?3g;>5794;n5g>5<#9=zj=?n6=4;2;294~"6><0:9i5G46d8L1123S>?6lu9:6816?4428;1=?4=4;30>73=9=0v(i853:&g3?5<,1l1?6*60;18 <7=;2.2>7=4$8197>"><390(<8>:39'534=:2.247=4$8;97>">i390(4l53:&:g?5<,0n1?6*6e;18 <`=;2.j<7=4$`397>"f:390(l=53:&b0?5<,h?1?6*n6;18 d1=;2.j47=4$`;97>"fi390(ll53:&bg?5<,hn1?6*ne;18 d`=;2.i<7=4$c397>"e:390(o=53:&a0?5<,k?1?6*m6;18 g1=;2.i47=4$c;97>"ei390(ol53:&ag?5<,kn1?6*me;18 g`=;2.h<7=4$b397>"d:390(n=53:&`0?5<,j?1?6*l6;18 f1=;2.h47=4$b;97>"di390(nl53:&`g?5<,jn1?6*le;18 f`=;2.o<7=4$e397>"c:390(i=53:&g0?5<,m?1?6*;7b873d=#1<087)?:f;360>"c0380(i752:&73g<3?h1/5;4<;h6b>5<>o0n3:17d?93;29?l71<3:17d:88;29?l2013:17dm765f3283>!72i38i7c?:9;18?l55290/=8o52c9m50?=<21b?<4?:%36e?4e3g;>57;4;h13>5<#9o5a14;92>=n:o0;6)?:a;0a?k7213=07dm7!72i39<7c?:9;28?l26290/=8o5369m50?=921b8=4?:%36e?503g;>57<4;h1e>5<#9=n;l0;6)?:a;14?k7213>07d=k:18'50g=;>1e=8755:9j7f<72-;>m7=8;o36=?0<3`9i6=4+14c972=i9<31;65f3`83>!72i39<7c?:9;:8?l5>290/=8o5369m50?=121b?;4?:%36e?503g;>57o4;h7b>5<#9=n=10;6)?:a;7:?k7213;07d;8:18'50g==01e=8752:9j13<72-;>m7;6;o36=?5<3`?>6=4+14c91<=i9<31865f5583>!72i3?27c?:9;78?l34290/=8o5589m50?=>21b9?4?:%36e?3>3g;>5794;h40>5<#9=n>80;6)?:a;41?k7213;07d8?:18'50g=>;1e=8752:9j1c<72-;>m78=;o36=?5<3`?n6=4+14c927=i9<31865f5e83>!72i3<97c?:9;78?l3d290/=8o5639m50?=>21b9o4?:%36e?053g;>5794;h46>5<#9=n>>0;6)?:a;45?k7213:07b9?:18'50g=>o1e=8750:9l2`<72-;>m78i;o36=?7<3f65`6b83>!72i357;4;n4:>5<#9=h>10;6)?:a;4e?k7213=07b9m:18'50g=?h1e=8750:9l3<<72-;>m797;o36=?6<3f=<6=4+14c93==i9<31=65`7783>!72i3=37c?:9;08?j12290/=8o5799m50?=;21d;94?:%36e?1?3g;>57:4;n50>5<#9=h?;0;6)?:a;5;?k7213<07b9>:18'50g=?11e=8757:9l3a<72-;>m79l;o36=?6<3th:;n4?:483>5}#9??1i95G46d8L1123-3<6?74i9`94?=n0j0;66g7d;29?l>b2900cim50;9~f40a29086=4?{%351?c63A>5;h:`>5<5<2290;w)?95;g7?M20n2B?;85+9682a>o?j3:17d6l:188m=b=831b4h4?::mgg?6=3ty>544?:7y]1=c<5;==65k4=353>=d<5;m=5Q4958Z0?d3W?8:6P:a09]161X31;1U84?4^5;3?[2?n2T?4i5Q49a891>72130198m:42891052<:0198n:428910>2<:0199<:42891152<:01?lm:43897df2<;01?l6:43897d?2<;01?l8:43897d12<;01?j=:43897b62<;01?j?:43897ea2<;01?mj:43897ec2<;01>9m:438961f2<;01>96:438961?2<;01>98:43896112<;01>7=:43896?62<;01>7?:43896>a2<;01>6j:43896>c2<;01>>>:43897`a2<;01?hk:43897`e2<;01?h6:43897`02<;01?h::43897`42<;01?h>:43897ca2<;01>m<:43896e62<;01>li:43896dc2<;01>lm:43896d>2<;01>l8:43896d22<;01>l<:43896d62<;019;m:040?822j3;=863;5c873<=:<5244`924=:<:1688j51768913c2==270::d;40?822l3<:70::d;43?822l3?m70::d;7f?822l3?o70::d;7`?822l3?i70::d;44?822m3;=?63;5d8221=:<35<5=?n6;?4=57f>36<5=?n68h4=57f>0c<5=?n68j4=57f>0e<5=?n68l4=57f>3153z\6=g=:43e3ty>>i4?:3y]17b<5;=36<;l;|q6fg<72:qU9ol4=2c6>=d<5:k?65l4}r7;5?6=09qU95?4=547>13<5=<869;4=541>13<5=13<5;o:69;4=3;a>13<5;3h69;4=3;f>13<5;3m69;4=3c3>13<5;k:69;4=3c1>13<5;k869;4=3c7>13<5;k>69;4=3;g>13<5;;:69;4=33:>13<5;;369;4=334>13<5;;=69;4=336>13<5;;?69;4=330>13<5;;969;4=333>13<5;:m69;4=37`>13<5;13<5;<969;4=342>13<5;<;69;4=37e>13<5;?n69;4=37g>13<5;?i69;4=37b>13<5;>=69;4=36f>13<5;>o69;4=36`>13<5;>i69;4=36b>13<5;>269;4=36;>13<5;><69;4=366>13<5;>?69;4=0a0>13<58ii69;4=0ab>13<58i269;4=0a;>13<58i<69;4=0a5>13<58i>69;4=0a7>13<58i969;4=0a2>13<5:;h69;4=207>13<5:8969;4=202>13<5:8869;4=23e>13<5:;n69;4=203>13<5:;i69;4=23b>13<5:;o69;4=211>13<5:9j69;4=21:>13<5:9369;4=214>13<5:9=69;4=216>13<5:9?69;4=210>13<5:9:69;4=213>13<5;=?69;4=352>13<5;=369;4=354>13<5=9;69;4=50e>13<5=8n69;4=50g>13<5=8h69;4=50a>13<5=8269;4=50;>13<5=8<69;4=505>13<5=8>69;4=507>13<5=8869;4=501>13<5=8:69;4=503>13<5=;n69;4=53g>13<5=;h69;4=53a>13<5=;j69;4=53:>13<5=;369;4=534>13<5=;=69;4=536>13<5=9=69;4=516>13<5=9?69;4=510>13<5=9969;4=512>13<5=8j69;4=53e>13<5=;?69;4=530>13<5=?i69l4=57`>1d<5=?o69l4=57f>1d52z\676=:;h81=8m4}r7b7?6=>=qU9l=4=54g>13<5=13<5==869;4=551>13<5:k969;4=2c2>13<5:?i69;4=27`>13<5:?n69;4=27e>13<5:<;69;4=242>13<5:<969;4=240>13<5:13<5:?o69;4=0c7>13<58kh69;4=0ca>13<58kj69;4=0c:>13<58k369;4=0c4>13<58k=69;4=0c6>13<58k869;4=0c1>13<58om69;4=0d4>13<58l=69;4=0d6>13<58l?69;4=0d0>13<58l969;4=0d2>13<58l;69;4=0gf>13<58oo69;4=0f:>13<58o:69;4=0g3>13<58nm69;4=0ff>13<58no69;4=0f`>13<58ni69;4=0fb>13<58n369;4=0f4>13<5;9;69;4=31;>13<5;9<69;4=315>13<5;9>69;4=317>13<5;9869;4=311>13<5;9:69;4=30e>13<5;8n69;4=2ff>13<5:o=69;4=2g7>13<5:o869;4=2g6>13<5:o:69;4=2g3>13<5:o969;4=2fg>13<5:nh69;4=2fe>13<5:l>69;4=2d1>13<5:l869;4=2d;>13<5:l=69;4=2d4>13<5:li69;4=2d:>13<5:lj69;4=2d`>13<5:l?69;4=52b>13<5=;969;4=532>13<5=;;69;4=52e>13<5=:n69;4=52g>13<5=:h69;4=52a>13<5=:269;4=52;>13<5=?i69o4=57`>1g<5=?o69o4=57f>1g52z\6e3=:;mh52377950e52z\6ea=:;?>1=8m4}r7amn52371950e52z\6eg=:;?81=8m4}r7a2?6=:rT>ml52373950e52z\6e<=:;?:1=8m4}r7a0?6=:rT>m55234d950e52z\6e2=:;m85234a950e52z\6e1=:;<=52422950e7>52z\7bc=:<;l1=8m4}r715?6=:rT?jh5243g950e52z\7ba=:<;n1=8m4}r72b?6=:rT?jn5243a950e52z\7bg=:<;h1=8m4}r72g?6=:rT?j45243;950e52z\7b==:<;21=8m4}r72e?6=:rT?j:52435950e52z\7b3=:<;<1=8m4}r7252z\7b1=:<;>1=8m4}r722?6=:rT?j>52431950e52z\7b7=:<;81=8m4}r720?6=:rT?j<52433950e52z\7b5=:<;:1=8m4}r725?6=:rT?ih5240g950e52z\7aa=:<8n1=8m4}r73b?6=:rT?in5240a950e52z\7ag=:<8h1=8m4}r73`?6=:rT?il5240c950e52z\7a<=:<831=8m4}r73f?6=:rT?i55240:950e52z\7a2=:<8=1=8m4}r73=?6=:rT?i;52404950e52z\7a0=:<8?1=8m4}r71f?6=:rT><;52424950e52z\640=:<:?1=8m4}r71=?6=:rT><952426950e52z\646=:<:91=8m4}r713?6=:rT>52z\644=:<:;1=8m4}r711?6=:rT?jl5243c950e52z\7ac=:<8l1=8m4}r726?6=:rT?i952406950e52z\7a6=:<891=8m4}r7;b?6=:rT>495228f950e52z\64o522`6950e52z\644522`0950e52z\6<==::h;1=8m4}r7:7?6=:rT>4:522`2950e7>52z\6<3=::0l1=8m4}r7:5?6=:rT>485228g950e52z\6<6=::0i1=8m4}r7;`?6=:rT>4?5228`950eon7>52z\7f2=:<5<5sW>i:63;5d86e>{t7}Y019;j:458yv2c>3:1>vP;b29>00c==?1v9j::181[2e:27?9h4:5:p0a5=838pR9l?;<66a?333ty?h?4?:3y]0d`<5=?n68=4}r6g5?6=:rT?mh5244g917=z{=n;6=4={_6b`>;3=m0=96s|4bd94?4|V=kh70::d;7b?xu3kl0;6?uQ4``8913c2<20q~:ld;296~X3ih1688j5569~w1ed2909wS:n9:?71a<2>2wx8nl50;0xZ1g?34>>h7;:;|q7gd<72;qU8l94=57g>02h47>52z\7e0=:<5rs5a4>5<5sW>j863;5e866>{t7p};c483>7}YvP;a09>00e==11v9m<:181[2f827?9n4:7:p0f4=838pR97i;<66g?313ty?o<4?:3y]0;3=j0>?6s|4d094?4|V=ho70::c;71?xu3m80;6?uQ4ca8913e2??0q~:j0;296~X3jk1688l55`9~w1ba2909wS:ma:?71g<202wx8ik50;0xZ1d>34>>n7;8;|q7`a<72;qU8o64=57a>00oo7>52z\7f4=:<5<5sW>j:63;5c860>{t7}Y<0k019;m:408yvc>290:=v3;808212=::>h1845228290<=:;:h1845234290<=::k<184522bf90<=:;><1845239f90<=:;99184522dd90<=:;j?184523c390<=:<=?7?:c:?727<3?2wx8;:50;0x910328?h70:96;:a?xu3>j0;6>u247f915=:=h7>52z?72a<6=j168;h58c9~w1052908w0:93;73?821:3;>o63;678;g>{t43d34>=o7;?;<65b?>d3ty?:84?:2y>03g=93;>96s|47:94?40s4>=m7?:c:?1a4<6=k16>4l54b9>64k54b9>6<`=l>54b9>6d7=l<54b9>6d5=l:54b9>6d3=4j54b9>74e=774=775=74c=54b9>74d===:5a8965f2=i01>=6:5a8965?2=i01>=8:5a896512=i01>=::5a896532=i01>=<:5a896562=i01>=?:5a897132=i01?9>:5a8971?28?i70<87;36f>{t;3>o0:985rs552>5<5nr7?;>4>5b9>7d4=9o>:07a?852j3>h70=:c;6`?852m3>h70=:f;6`?85183>h70=91;6`?851:3>h70=93;6`?851<3>h70=95;6`?852l3>h70=ke;6`?85b>3>h70=j4;6`?85b;3>h70=j5;6`?85b93>h70=j0;6`?85b:3>h70=kd;36f>;4lj0?o63;4n<0?o6318n5241c90f=:<8818n5240390f=:<8:18n5241d90f=:<9o18n5241f90f=:<9i18n5241`90f=:<9318n5241:90f=z{==;6=4=dz?737<6=j16=l:54b9>5de=5dg=5d>=5d0=5d5=5``=5c0=5c2=5c4=5c6=5`b=5`7=54b9>5a`=5ab=5ad=5a>=666=>654b9>661=>854b9>663=>:54b9>665=><54b9>667=?h54b9>67c={t:l;1<7=t=3g2>43d348<:76m;<05b?>d3ty9m;4?:3y>64>51478yv4f03:1>v3=9b864>;51;0:985rs3c:>5<5s482i7;?;<0:7?72=2wx>lo50;0x97?a2<:01?7;:076?xu5ik0;6?u22`2915=::0?1=8;4}r0bg?6=:r79m<4:0:?1=3<6=<1v?ok:18184f:3?;70<67;361>{t:ho1<706<5;336<;:;|q1ec<72;q6>l:5519>6<63=9`8210=z{;k<6=4={<0:`?373482=7?:5:p7d5=839p1>o=:42896g62<:01>o;:076?xu4i80;6>u23`3950e<5:k>65m4=2c7>=e52z?01g<282789=4>549~w60?2909w0=:c;73?852:3;>96s|37;94?4|5:?n68>4=270>4323ty8:l4?:3y>70`==916?8:51478yv51j3:1>v3<61864>;4=<0:985rs24`>5<5s49==7;?;<162?72=2wx?;j50;0x96052<:01>;8:076?xu4>l0;6?u2371915=:;<21=8;4}r15b?6=:r78:94:0:?01<<6=<1v>9?:181851=3?;70=:a;361>{t;?=1<706<5:?:6<;:;|q141<725;58c9>62d==816>=h514a897b>21o01?m=:9`8yv55=3:14v3=848;g>;4;90:9n5216c95<4s48397?:5:?13g<6=l16>4>5dc9~w71e2908w0<8b;361>;5?j0?563=9387=>{t:9<1<7;t=3:4>=d<5;=h68?4=333>43d348o576l;<0`6?>d3ty8>:4?:8y>6=1=0j16?>?514a8941f21h01<96:9a897e521o019;m:2;8913d2:3019;k:2;8913b2:30q~<77;297~;50>0:985226a950c<5;396il4}r04g?6=;r79;n4>549>62b=<016>4=5489~w760290>w0<78;:a?840l3?:70<>2;36g>;5lh03i63=c28;f>{t;;21<77t=3:;>=e<5:986<;l;<34=?>e34;<476l;<0`7?>c34>>n7=n;<66g?5f34>>h7=n;<66a?5f3ty9454?:2y>6=>=9;3ni7p}=7e83>6}::>n1=8;4=35f>1?<5;3?6974}r034>5b9>6ag=0j16>n=58b9~w64>2902w0<79;:`?854<3;>o63>798;f>;6?>03o63=c28;a>;3=k08n63;5b80f>;3=m08n63;5d80f>{t:131<7=t=3::>432348:k50;1x971b28?>70<8f;6:?84>=3>27p}=0883>0}::1k14o5226d914=::8>1=8m4=3fa>=c<5;i?65l4}r11e?6=1r794l47c:?070<6=j16=:958c9>520=0j16>n:58e9>00d=;j1688m53b9>00b=;j1688k53b9~w7>f2908w0<7a;361>;5?o0:9h522879`g=z{;=m6=4<{<04b?72=2794=4;9:?1=3<312wx>=o50;7x97>e21h01?6?:438977228?h70<}::1h14n52324950e<58==65l4=056>=e<5;i?65k4=57a>6b<5=?h6>j4=57g>6b<5=?n6>j4}r0;f?6=;r794o4>549>6=6=9e3483=7;>;<022?72k279hn47e:?1g0d21i01>=8:07`?870=32i70?84;:`?84d=32o70::b;1f?822k39n70::d;1f?822m39n7p}=8b83>6}::1i1=8;4=3:2>43b3482;7jm;|q1<4<72:q6>5?5147897>52=301?77:5;8yv47k3:19v3=8e8;f>;50;0>=63=16821f=::mi14n522b79d349847?:c:?23147c:?1g05j50;1x97>c28?>70<72;36a>;5110on6s|29094?5|5;296<;:;<0;7?2>348257:6;|q14a<725k58c9>6=5==816><6514a897bc21o01?m9:9`8yv55m3:15v3=8d8;g>;4;00:9n521619814n522b494>5d9>6963=8587=>;51h0?56s|21g94?3|5;2m65l4=3:7>07<5;;26<;l;<0g`?>d348h:76l;|q06c<720q6>5h58b9>76g=91=8k4=3;b>ad52z?1<1<6=<16>4?5489~w762290?w0<76;:a?84693;>o63=dd8;f>;5k>03n6s|33494??|5;2=65m4=0a0>06<5:996<;l;<345?>e348h;76l;<66f?5?34>>o7=7;<66`?5?34>>i7=7;|q1<3<72;q6>585147897?62mh0q~?67;290~;4<<03n63>a3821f=:;0314h523909<`=z{:lo6=47{<171?>d3498n7;>;<6347c:?71g<5i27?9n4=a:?71a<5i27?9h4=a:p713=839p1>:::076?854j3;>i63<518gf>{t;:h1<7=t=21a>4323498o7:6;<166?2>3ty:544?:5y>711=0k16=l=514a896?>21i01>6=:9a8yv5an3:15v3<468;g>;4;j0>=63;08821f=:90914o521809i5244a96a=:<i5244g96a=z{:><6=4<{<173?72=278?n4>5d9>704=lk1v>=l:180854k3;>963<3e87=>;4=:0?56s|18c94?2|5:>365l4=0c6>43d3492m76j;<1;7?>b3ty?<=4?:8y>71>=0j16?>j5509>05d=9549>76c=<016?8:5489~w4?e290?w0=;9;:a?87f>3;>o63<9`8;g>;40:03o6s|41394??|5:>265m4=21f>07<5=:h6<;l;<3:5?>e34;2<76l;<66f?4a34>>o7>i7u232g9503<5:9m6974=276>1?;>7>59z?00d58c9>5=`=0j1688l5319>00e=;91688j5319>00c=;91v<7l:187853i32h70?n7;36g>;41k03i63<858;a>{t;=k1<7=t=26b>4323498j7?:e:?010h50;1x965a28?>70=;0;6:?852>3>27p};0283><}:;=h14o52352914=:<9o1=8m4=0:e>=d<582n65m4=57a>67<5=?h6>?4=57g>67<5=?n6>?4}r3:`?6=7=2=0j1v>:m:180853j3;>963<41821`=:;<<1ho5rs263>5<4s49?<7?:5:?004<312789:4;9:p052=833p1>:l:9`896262<;019>i:07`?87?m32i70?7d;:`?822j39970::c;11?822l39970::e;11?xu61l0;69u235a9=c<5:2>65k4}r17g?6=;r788n4>549>717=9;8:e`8yv5393:1?v3<408210=:;=81845234:90<=z{=:>6=46{<17`?>e349?>7;>;<624?72k27:4i47b:?2k32h70=75;:`?xu4u235f9503<5:>96<;j;<16714=9:<:5;8963>2=30q~:?6;29=~;4;3980:9n5219a95<3s49?i76l;<3bf?72k2785i47e:?0<370=;3;36a>;4=00on6s|35194?5|5:>86<;:;<170?2>349>m7:6;|q742<720q6?9h58c9>712==8168<<514a894>e21h01<6n:9a8913e2:?019;l:278913c2:?019;j:278yv7f93:18v3<4g8;g>;6ij0:9n5238f95<4s49?j7?:5:?001<6=l16?8o5dc9~w6232909w0=;4;361>;4=80?56s|3gg94?>|5:>=65l4=313>06<5=:j6<;l;<3;e?>e34>>n7>h7710=0j16=l:514a896?b21i01>68:9a8yv53>3:1>v3<478210=:;<;1ho5rs3`6>5<5s48in7:6;<0ae?72=2wx>n?50;0x97de28?n70{t:ml1<7432348n?76k;|q1f1<72;q6>oo5489>6g?=952z?1f<<31279n54>549~w7da2909w0;5k<0:985rs3`1>5<5s48i47:6;<0a3?72=2wx>ok50;0x97d?28?n70{t:k;1<71?<5;h=6<;:;|q1fa<72;q6>o9514g897e428?>7p}=bb83>7}::k<1=8k4=3a1>4323ty9on4?:3y>6a4=<016>i?51478yv4c03:1>v3=d3821`=::mo1=8;4}r0f4?6=:r79h?4>549>6`5=0k1v?mm:18184c93>270{t:m=1<743b348oh7?:5:p6fg=838p1?j?:5;897ea28?>7p}=d783>7}::m:1=8k4=3f`>4323ty9o44?:3y>6f`=<016>nk51478yv4c=3:1>v3=cg821`=::mh1=8;4}r0`i63=d`8210=z{;n86=4={<0``?72m279h44>549~w6122909w0=8b;6:?850i3;>96s|39394?4|5:=i6<;j;<1;3?72=2wx?4h50;0x961e28?>70=n4;:g?xu4?=0;6?u236c90<=:;>31=8;4}r1;4?6=:r78;l4>5d9>7=0=9549~w6152909w0=88;6:?850?3;>96s|36g94?4|5:=36<;j;<1;0?72=2wx?:?50;0x96102=301>99:076?xu4?m0;6?u2365950c<5:286<;:;|q03f<72;q6?:8514g896>528?>7p}<8b83>7}:;0818452383950352z?0=7<6=l16?4k51478yv5f83:1>v3<938210=:;h>14h5rs2:a>5<5s492=7:6;<1:4?72=2wx?4950;0x96?628?n70=6d;361>{t;1k1<71?<5:2m6<;:;|q0=3<72;q6?4>514g896?d28?>7p}<8883>7}:;1l1845239g950352z?0v3<8d87=>;40m0:985rs2;7>5<5s493i7?:e:?0=d<6=<1v>7<:18185?l3;>i63<988210=z{8o86=49{<3b0?3734;nj7?:c:?2237p}>ad83>1}:9hh19=521759503<58<265l4=04b>=d52z?2ed<2827::54>549~w4d72909w0?n9;73?87113;>96s|1c394?4|58k368>4=04b>4323ty:n?4?:7y>5d1==916=;j58b9>53c=0m16=:>58d9>5=6=0l16=;l51478yv7e;3:1>v3>a7864>;6>m0:985rs0`7>5<5s4;j97;?;<35a?72=2wx=o;50;0x94g42<:01<9?:076?xu58:0;6?u21`0915=:91:1=8;4}r064?6=>r79=<4:0:?11f<6=j16=5?58b9>5=?=0l16=:k58b9>5=4=0j1v??n:18184613?;70?71;361>{t:8h1<706<58296<;:;|q167<72?q6><95519>5=5=0k16=5:58e9>5=0=0l16=575147894>221n0q~<>c;296~;59?0><63>828210=z{;;o6=4={<021?3734;387?:5:p64c=838p1??;:42894>228?>7p}=1g83>1}::8919=521959=b52z?157<2827:4:4>549~w7462909w0<>0;73?87?03;>96s|21094?4|5;:m68>4=05g>4323ty9?l4?:3y>60e==916>98514a8yv43;3:1>v3=65864>;55<2s48=87?:c:?2<41}::?91=8m4=0::>=e<582965j4=05`>=e52z?127<282798n4>5b9~w7302908w0<92;36g>;60003n63>7b8;f>{t:=:1<706<5;>i6<;l;|q113<72?q6>;?514a894>421i01<6;:9a894>121i01<6::9a8941d21o0q~<90><63=4`821f=z{;?>6=4:{<054?72k27:4947b:?2<3>k50;0x973a2<:01?:6:07`?xu5==0;69u224d950e<58=i65m4=0:6>=c<58=o65j4}r00`?6=:r799h4:0:?10=<6=j1v?;<:180842m3;>o63>7c8;f>;6?o03n6s|22a94?4|5;?o68>4=364>43d3ty99?4?:5y>60b=9?21i01<9i:9a8yv44j3:1>v3=5c864>;5<<0:9n5rs372>5<4s48>n7?:c:?2<=7}::=b52z?2ac<2827:h44>5b9~w4b12909w0?i7;73?87b93;>o6s|1da94?3|58l<6<;l;<352?>e34;=;76m;<35f?>e34;=476m;|q2`0<72;q6=k85519>5`6=95b9>53?=0m16=;o58e9>53e=0l1v{t9l21<7=t=0d0>43d34;=m76j;<35f?>c3ty:h<4?:3y>5c4==916=im514a8yv7b?3:1>v3>f3821f=:9?i14o5rs0f3>5<5s4;m=7;?;<3gf?72k2wx=h850;7x94`628?h70?9d;:a?871m32h70?80;:g?87?832h7p}>cg83>7}:9o:19=521ec950e54z?2b5<6=j16=;k58c9>526=0j16=5>58c9~w4eb2909w0?je;73?87c03;>o6s|1d694?5|58on6<;l;<344?>e34;=j76m;|q2gf<72;q6=hj5519>5a1=97}::=n19=52225950e52z?10f<28279?;4>5b9~w74f2909w0<;b;73?844=3;>o6s|23;94?4|5;>j68>4=317>43d3ty9>54?:3y>61?==916>>=514a8yv45?3:1>v3=49864>;5;;0:9n5rs305>5<5s48?;7;?;<005?72k2wx>?;50;0x97222<:01?{t9kl1<706<58ij6<;l;|q2f`<72;q6=ih5519>5f?=9<63>c9821f=z{8hh6=4={<3g`?3734;h;7?:c:p5gd=838p1b`83>7}:9mh19=521b7950e52z?2`d<2827:o94>5b9~w4d?2909w0?k8;73?87d:3;>o6s|1c494?4|58n<68>4=0a2>43d3ty:j54?:3y>5fd==916=:?51478yv7a13:1>v3>c`864>;6?;0:985rs0db>5<5s4;h57;?;<347?72=2wx=kl50;0x94e?2<:01<9;:076?xu6nj0;6?u21b5915=:9>?1=8;4}r3e`?6=:r7:o;4:0:?233<6=<1v{t9ol1<706<58=36<;:;|q145<72;q6=n<5519>52?=9<63>7`8210=z{;<>6=4={<00e28?>7p}=6683>7}:::<19=5219a950352z?170<2827:4i4>549~w70>2909w0<<4;73?87?m3;>96s|27c94?4|5;9868>4=0:e>4323ty9:o4?:3y>664==916=4>51478yv41k3:1>v3=30864>;6180:985rs34g>5<5s489j7;?;<3:6?72=2wx>;k50;0x974b2<:01<7<:076?xu3=o0;611<5:8?6994=201>11<5:8:6994=200>11<5:;m6994=23f>11<5:8;6994=23a>11<5:;j6994=23g>11<5:996994=21b>11<5:926994=21;>11<5:9<6994=215>11<5:9>6994=217>11<5:986994=212>11<5:9;6994=34e>432348n?76l;<66f?1a34>>o79i;<66`?1a34>>i79i;|q1a3<72;q6?752=90;290~;49j0:9n52320915=::mo14n523179p1><;:07`?854i3?;707}:;;819=5231a950354z?067<6=j16?>65519>6ae=0k16>kk58c9~w7ce2909w0==1;73?857j3;>96s|30494?2|5:8:6<;l;<103?37348oo76k;<0eg?>e3ty9ii4?:3y>775==916?=j51478yv5603:18v3<22821f=:;:319=522ef95<5s49:j7;?;<13=?72=2wx?<:50;6x967a28?h70=<5;73?84cj32o705b9>762==916>io58c9>6c0=0k1v?kn:18185583?;70=?a;361>{t;8?1<7:t=203>43d3498:7;?;<0gf?>e348mm76m;|q1a0<72;q6?750=91;290~;49k0:9n52323915=::m314o522g09p1>?n:07`?85483?;707}:;8n19=5231595037>54z?05a<6=j16?>=5519>6ag=0m16>k:58c9~w6662909w0=?4;6:?85793;>96s|31794?4|5::?6il4=226>4323ty9jk4?:2y>75c=<016?=?5489>6c`=9549~w6672908w0=?d;fa?84an3;>i63<018210=z{;li6=4<{<13g?2>348mh7:6;<0ef?72=2wx>kk50;1x966d2mh01?hk:07f?84am3;>96s|2g;94?5|5::i6974=3da>1?<5;l26<;:;|q1bf<72:q6?=l5dc9>6cd=90;6>u231c90<=::o3184522g5950353z?04d5d9>6cg=9;5n<0:985rs3d;>5<4s49;57jm;<0e3?72m279j54>549~w7`42908w0=?8;6:?84a=3>270{t:o<1<7=t=22;>ad<5;l>6<;j;<0e2?72=2wx>k?50;1x96602=301?h<:5;897`628?>7p}=f583>6}:;9=1ho522g1950c<5;l?6<;:;|q1ac<72:q6?=85489>6c7=<016>hh51478yv4a:3:1?v3<078gf>;5n80:9h522g0950353z?0465d9>6c6=9<63=778210=z{;=?6=49{<040?72k279;>47c:?13d:428971428?>7p}=7083>3}::>;1=8m4=350>=d<5;=j65m4=355>=e<5;=;65m4=34e>=b53z?13=<28279;:4:0:?13d<6=<1v?98:181840?3;>o63=7`8;f>{t7:8;<1g`?20349oo7:8;<1gb?20349m97:8;<1e6?20349m?7:8;<1e:>7:8;<625?2034>:<7:8;<63b?2034>;i7:8;<63`?2034>;o7:8;<63f?2034>;57:8;<63{t;h21<706<5:i=6<;:;|q0`7<72=q6?ik514a896`32<:01>7j:9`896e021h0q~=m0;296~;4m?0><63k;:42896eb28?>7p}1}:;l>1=8m4=2db>06<5:3h65l4=2a3>=d52z?0a6<28278oi4>549~w6b?290?w0=j3;36g>;4n00><63<9b8;`>;4jl03n6s|3`d94?4|5:o>68>4=2ae>4323ty8hl4?:5y>7`3=9hm:42896?c21n01>m=:9`8yv5fj3:1>v3;4kk0:985rs2f5>5<3s49n=7?:c:?0b2<282785o47d:?0fdmn:076?xu4l<0;69u23d2950e<5:l=68>4=2;b>=d<5:h365l4}r1bg?6=:r78i?4:0:?0gf<6=<1v>j8:18785b:3;>o63;41k03n63{t;h=1<706<5:i36<;:;|q0`6<72=q6?ij514a896`42<:01>76:9`896d321h0q~=n6;296~;4lj0><63ji:42896e>28?>7p}1}:;ml1=8m4=2d6>06<5:3j65j4=2`5>=d52z?0g3<31278o>4>549~w6e02909w0=l6;fa?85d?3;>96s|3b394?5|5:n;6974=2a0>1?<5:i:6<;:;|q0g1<72:q6?i>5dc9>7f5=9m;:076?xu4jo0;6>u23bd90<=:;j;184523cd95037>53z?0gc5d9>7f4=9;4jm0:985rs2a3>5<4s49hi7jm;<1ab?72m278o=4>549~w6de2908w0=ld;6:?85el3>270=mb;361>{t;ko1<7=t=2ag>ad<5:ho6<;j;<1aa?72=2wx?o750;1x96ed2=301>lm:5;896d>28?>7p}6}:;ji1ho523c`950c<5:hh6<;:;|q0f2<72:q6?nl5489>7g?=<016?o951478yv5ei3:1?v3;4j00:9h523cc950353z?0gd<31278n:4;9:?0f0<6=<1v>l7:18085di3ni70=m7;36a>;4j10:985rs2`0>5<4s49h57:6;<1a1?2>349i?7?:5:p7g0=839p1>m6:e`896d228?n70=m6;361>{t;k;1<7=t=2a;>1?<5:h86974=2`2>4323ty8n94?:2y>7f>=lk16?o=514g896d328?>7p}6}:;j?1ho523c3950c<5:h96<;:;|q0ad<72:q6?k;514a8916e2<:01>6<:9f8yv5b?3:1?v36}:;o<1=8m4=52`>06<5:2865l4}r1fg?6=;r78j:4>5b9>05b==916?5:58e9~w6`72908w0=ib;36g>;3980><63<878;`>{t;lo1<7=t=2d:>43d34>;j7;?;<1;1?>c3ty8ik4?:2y>7cg=9221h0q~=i1;297~;4nj0:9n52400915=:;1<14o5rs2g;>5<4s49m87?:c:?74d<282784:47b:p036=838>w0:<0;64?825n3><70:=e;64?825l3><70:=c;64?825j3><70:=9;64?82503><70:=7;64?825>3><70:=5;64?825<3><70:=3;64?825:3><70:=1;64?82583><70:>e;64?826l3><70:>c;64?826j3><70:>a;64?82613><70:>8;64?826?3><70:>6;64?826=3><70:<6;64?824=3><70:<4;64?824;3><70:<2;64?82493><70:=a;64?826n3><70:>4;64?826;3><70<80;361>{t<<91<706<5=?n6:j4}r666?6=:r7?>k4:0:?71`<012wx88?50;0x914b2<:019;j:658yv2283:1>v3;2e864>;3=l0<:6s|45d94?4|5=8h68>4=57f>23?i7>52z?76g<2827?9h484:p01e=838p19<6:428913b2>90q~:;b;296~;3:10><63;5d846>{t<=k1<706<5=?n6:?4}r67=?6=:r7?>;4:0:?71a<0l2wx89650;0x91422<:019;k:6;8yv23?3:1>v3;25864>;3=m0<;6s|45494?4|5=8868>4=57g>20?97>52z?767<2827?9i485:p012=838p19<>:428913c2>>0q~:;3;296~;3:90><63;5e847>{t<=;1<706<5=?o6:<4}r674?6=:r7?=i4:0:?71a<092wx8>h50;0x917d2<:019;l:6f8yv24m3:1>v3;1c864>;3=j0<56s|42f94?4|5=;j68>4=57`>218o7>52z?75<<2827?9n486:p06d=838p19?7:428913d2>?0q~:0><63;5b840>{t<:31<706<5=?h6:=4}r60v3;34864>;3=k04=57a>2?>;7>52z?776<2827?9o487:p000=838p19==:428913e2><0q~::5;296~;3;80><63;5c841>{t<<>1<706<5=?i6::4}r67`?6=:r7?=k4:0:?71g<0;2wx89<50;0x91732<:019;m:608yv24?3:1>v3;12864>;3=k0<=6s|18694?4|58=i6<;:;<3;2?>c3ty:8o4?:3y>5=6=0m16=;h5db9~w4?22909w0?8e;361>;6?m03o6s|15a94?4|58ae52z?23c<6=<16=:j58d9~w4?12909w0?8d;:a?870k3nh7ps|4e`94?4|V=h<70:<:5`4?!20<3;?i6s|4e;94?4|V=h=70:<:5`5?!20<3;?j6s|4e:94?4|V=h>70:<:5`6?!20<3;><6s|4e594?4|V=h?70:<:5`7?!20<3;>=6s|4e494?4|V=h870:<:5`0?!20<3oj7p};d483>7}Y4;b19'022=n81v9j=:181[2fn27??7:nf:&731869oj;%640?`b3ty?h=4?:3y]0db<5=918lj4$557>46>3ty?ok4?:3y]0de<5=918lm4$557>4733ty?oh4?:3y]0dd<5=918ll4$557>47d3ty?oi4?:3y]0dg<5=918lo4$557>4413ty?on4?:3y]0d?<5=918l74$557>44a3ty?oo4?:3y]0d><5=918l64$557>4523ty?ol4?:3y]0d1<5=918l94$557>4513ty?o54?:3y]0d3<5=918l;4$557>4503ty?o:4?:3y]0d2<5=918l:4$557>45?3ty?o;4?:3y]0d5<5=918l=4$557>45>3ty?o84?:3y]0d4<5=918l<4$557>45f3ty?o94?:3y]0d7<5=918l?4$557>45e3ty?o>4?:3y]0d6<5=918l>4$557>45d3ty?o?4?:3y]0<`<5=9184h4$557>45c3ty?o<4?:3y]045b3ty?o=4?:3y]045a3ty?nk4?:3y]04273ty?i?4?:3y]0gb<5=918oj4$557>4263ty?i<4?:3y]0ge<5=918om4$557>4253ty?i=4?:3y]0gd<5=918ol4$557>4243ty?hk4?:3y]0gg<5=918oo4$557>4233ty?hh4?:3y]0g?<5=918o74$557>4223ty?hi4?:3y]0g><5=918o64$557>4213ty?hn4?:3y]0g7<5=918o?4$557>4203ty?h94?:3y]0d0<5=918l84$557>42?3ty?o44?:3y]042>3ty?nh4?:3y]042f3ty>4<4?:3y]1=7<5=9195?4$557>`d52z\6=<=:<:0>545+4669af=z{<3i6=4={_7:f>;3;3?2n6*;758fa>{t=h91<7vP:019>06<2891/8::5f39~w0452909wS:if:?77?2an2.?;94i4:p177=838pR9hj;<60>1`b3-><87h:;|q665<72;qU8kj4=5190cb<,==?6k84}r72b?6=:rT?jn524287bf=#<>>1j:5rs43f>5<5sW>mn63;3;6ef>"3?=0m46s|50a94?4|V=l270:<:5d:?!20<3l27p}:1c83>7}Ya;296~X3n>168>4;f69'022=nk1v8?6:181[2a>27??7:i6:&731869h:;%640?`c3ty>=:4?:3y]0c2<5=918k:4$557>c`52z\7b6=:<:0?j>5+466955652z\7b7=:<:0?j?5+466955752z\7b4=:<:0?j<5+466955452z\7b5=:<:0?j=5+466955552z\7a`=:<:0?ih5+466955252z\7aa=:<:0?ii5+466955352z\7af=:<:0?in5+466955052z\7ag=:<:0?io5+466955152z\7ad=:<:0?il5+466955>52z\7a<=:<:0?i45+466955g52z\7a==:<:0?i55+466955d52z\7a2=:<:0?i:5+466955e52z\7a3=:<:0?i;5+466955b52z\7a0=:<:0?i85+466955c52z\643=:<:0><;5+466955`52z\640=:<:0><85+466954652z\641=:<:0><95+466954752z\646=:<:0><>5+466954452z\647=:<:0>52z\644=:<:0><<5+466954352z\7bd=:<:0?jl5+466954052z\7ac=:<:0?ik5+46695417>52z\7a1=:<:0?i95+466954>52z\7a6=:<:0?i>5+466954?52z\66a=:<:0>>i5+466954g52z\676=:<:0>?>5+466954d52z\6<1=:<:0>495+466954b52z\64n5+466954c52z\64o5+466954`52z\64l5+466957652z\6<<=:<:0>445+466957752z\6<==:<:0>455+466957452z\6<2=:<:0>4:5+46695757>52z\6<3=:<:0>4;5+466957252z\6<0=:<:0>485+466957352z\6<6=:<:0>4>5+466957152z\6<7=:<:0>4?5+466957>52z\6e3=:<:0>m;5+466957?52z\6e`=:<:0>mh5+466957g52z\6ea=:<:0>mi5+466957d52z\6ef=:<:0>mn5+466957e52z\6eg=:<:0>mo5+466957b52z\6ed=:<:0>ml5+466957c52z\6e<=:<:0>m45+466956652z\6e==:<:0>m55+466956752z\6e2=:<:0>m:5+46695647>52z\6e0=:<:0>m85+466956552z\6e1=:<:0>m95+466956252zJ730=zfjoo6=4={I641>{iklo1<7vF;749~jf`62909wE:85:mgc4=838pD99:;|l`b6<72;qC8:;4}oae0?6=:rB?;85rnbd6>5<5sA><96sacg494?4|@==>7p`lf683>7}O<>?0qcmi8;296~N3?<1vbnh6:181M20=2weoko50;0xL1123tdhjo4?:3yK02352zJ730=zfjlo6=4={I641>{ikoo1<7vF;749~ja662909wE:85:m`54=838pD99:;|lg46<72;qC8:;4}of30?6=:rB?;85rne26>5<5sA><96sad1494?4|@==>7p`k0683>7}O<>?0qcj?8;296~N3?<1vbi>6:181M20=2weh=o50;0xL1123tdo52zJ730=zfm:o6=4={I641>{il9o1<7vF;749~ja762909wE:85:m`44=838pD99:;|lg56<72;qC8:;4}of20?6=:rB?;85rne36>5<5sA><96sad0494?4|@==>7p`k1683>7}O<>?0qcj>8;296~N3?<1vbi?6:181M20=2weh52zJ730=zfm;o6=4={I641>{il8o1<7vF;749~ja462909wE:85:m`74=838pD99:;|lg66<72;qC8:;4}of10?6=:rB?;85rne06>5<5sA><96sad3494?4|@==>7p`k2683>7}O<>?0qcj=8;296~N3?<1vbi<6:181M20=2weh?o50;0xL1123tdo>o4?:3yK02352zJ730=zfm8o6=4={I641>{il;o1<7vF;749~ja562909wE:85:m`64=838pD99:;|lg76<72;qC8:;4}of00?6=:rB?;85rne16>5<5sA><96sad2494?4|@==>7p`k3683>7}O<>?0qcj<8;296~N3?<1vbi=6:181M20=2weh>o50;0xL1123tdo?o4?:3yK02352zJ730=zfm9o6=4={I641>{il:o1<7vF;749~ja262909wE:85:m`14=838pD99:;|lg06<72;qC8:;4}of70?6=:rB?;85rne66>5<5sA><96sad5494?4|@==>7p`k4683>7}O<>?0qcj;8;296~N3?<1vbi:6:181M20=2weh9o50;0xL1123tdo8o4?:3yK02352zJ730=zfm>o6=4={I641>{il=o1<75<6sA><96sab5794?7|@==>7p`m4783>4}O<>?0qcl;7;295~N3?<1vbo:7:182M20=2wen9750;3xL1123tdi8l4?:0yK02351zJ730=zfk>h6=4>{I641>{ij=n1<7?tH556?xhe5<6sA><96sab4794?7|@==>7p`m5783>4}O<>?0qcl:7;295~N3?<1vbo;7:182M20=2wen8750;3xL1123tdi9l4?:0yK023n7>51zJ730=zfk?h6=4>{I641>{ij5<6sA><96sab7794?7|@==>7p`m6783>4}O<>?0qcl97;295~N3?<1vbo87:182M20=2wen;750;3xL1123tdi:l4?:0yK02351zJ730=zfk{I641>{ij?n1<7?tH556?xhe>l0;65<6sA><96sab6794?7|@==>7p`m7783>4}O<>?0qcl87;295~N3?<1vbo97:182M20=2wen:750;3xL1123tdi;l4?:0yK02351zJ730=zfk=h6=4>{I641>{ij>n1<7?tH556?xhe?l0;67290:wE:85:mf=7=83;pD99:;|la<7<728qC8:;4}o`;7?6=9rB?;85rnc:7>5<6sA><96sab9794?7|@==>7p`m8783>4}O<>?0qcl77;295~N3?<1vbo67:182M20=2wen5750;3xL1123tdi4l4?:0yK02351zJ730=zfk2h6=4>{I641>{ij1n1<7?tH556?xhe0l0;65<6sA><96sab8794?7|@==>7p`m9783>4}O<>?0qcl67;295~N3?<1vbo77:182M20=2wen4750;3xL1123tdi5l4?:0yK02351zJ730=zfk3h6=4>{I641>{ij0n1<7?tH556?xhe1l0;6n3:1=vF;749~jgg7290:wE:85:mfd7=83;pD99:;|lae7<728qC8:;4}o`b7?6=9rB?;85rncc7>5<6sA><96sab`794?7|@==>7p`ma783>4}O<>?0qcln7;295~N3?<1vboo7:182M20=2wenl750;3xL1123tdiml4?:0yK02351zJ730=zfkkh6=4>{I641>{ijhn1<7?tH556?xheil0;65<6sA><96sabc794?7|@==>7p`mb783>4}O<>?0qclm7;295~N3?<1vbol7:182M20=2weno750;3xL1123tdinl4?:0yK02351zJ730=zfkhh6=4>{I641>{ijkn1<7?tH556?xhejl0;65<6sA><96sabb794?7|@==>7p`mc783>4}O<>?0qcll7;295~N3?<1vbom7:182M20=2wenn750;3xL1123tdiol4?:0yK02351zJ730=zfkih6=4>{I641>{ijjn1<7?tH556?xhekl0;65<6sA><96sabe794?7|@==>7p`md783>4}O<>?0qclk7;295~N3?<1vboj7:182M20=2weni750;3xL1123tdihl4?:0yK02351zJ730=zfknh6=4>{I641>{ijmn1<7?tH556?xhell0;65<6sA><96sabd794?7|@==>7p`me783>4}O<>?0qclj7;295~N3?<1vbok7:182M20=2wenh750;3xL1123tdiil4?:0yK02351zJ730=zfkoh6=4>{I641>{ijln1<7?tH556?xheml0;65<6sA><96sabg794?7|@==>7p`mf783>4}O<>?0qcli7;295~N3?<1vboh7:182M20=2wenk750;3xL1123tdijl4?:0yK02351zJ730=zfklh6=4>{I641>{ijon1<7?tH556?xhenl0;65<6sA><96sac1794?7|@==>7p`l0783>4}O<>?0qcm?7;295~N3?<1vbn>7:182M20=2weo=750;3xL1123tdh51zJ730=zfj:h6=4>{I641>{ik9n1<7?tH556?xhd8l0;65<6sA><96sac0794?7|@==>7p`l1783>4}O<>?0qcm>7;295~N3?<1vbn?7:182M20=2weo<750;3xL1123tdh=l4?:0yK02351zJ730=zfj;h6=4>{I641>{ik8n1<7?tH556?xhd9l0;65<6sA><96sac3794?7|@==>7p`l2783>4}O<>?0qcm=7;295~N3?<1vbn<7:182M20=2weo?750;3xL1123tdh>l4?:0yK02351zJ730=zfj8h6=4>{I641>{ik;n1<7?tH556?xhd:l0;65<6sA><96sac2794?7|@==>7p`l3783>4}O<>?0qcm<7;295~N3?<1vbn=7:182M20=2weo>750;3xL1123tdh?l4?:0yK02351zJ730=zfj9h6=4>{I641>{ik:n1<7?tH556?xhd;l0;65<6sA><96sac5794?7|@==>7p`l4783>4}O<>?0qcm;7;295~N3?<1vbn:7:182M20=2weo9750;3xL1123tdh8l4?:0yK02351zJ730=zfj>h6=4>{I641>{ik=n1<7?tH556?xhd5<6sA><96sac4794?7|@==>7p`l5783>4}O<>?0qcm:7;295~N3?<1vbn;7:182M20=2weo8750;3xL1123tdh9l4?:0yK023n7>51zJ730=zfj?h6=4>{I641>{ik5<6sA><96sac7794?7|@==>7p`l6783>4}O<>?0qcm97;295~N3?<1vbn87:182M20=2weo;750;3xL1123tdh:l4?:0yK02351zJ730=zfj{I641>{ik?n1<7?tH556?xhd>l0;65<6sA><96sac6794?7|@==>7p`l7783>4}O<>?0qcm87;295~N3?<1vbn97:182M20=2weo:750;3xL1123tdh;l4?:0yK02351zJ730=zfj=h6=4>{I641>{ik>n1<7?tH556?xhd?l0;67290:wE:85:mg=7=83;pD99:;|l`<7<728qC8:;4}oa;7?6=9rB?;85rnb:7>5<6sA><96sac9794?7|@==>7p`l8783>4}O<>?0qcm77;295~N3?<1vbn67:182M20=2weo5750;3xL1123tdh4l4?:0yK02351zJ730=zfj2h6=4>{I641>{ik1n1<7?tH556?xhd0l0;65<6sA><96sac8794?7|@==>7p`l9783>4}O<>?0qcm67;295~N3?<1vbn77:182M20=2weo4750;3xL1123tdh5l4?:0yK02351zJ730=zfj3h6=4>{I641>{ik0n1<7?tH556?xhd1l0;6n3:1=vF;749~jfg7290:wE:85:mgd7=83;pD99:;|l`e7<728qC8:;4}oab7?6=9rB?;85rnbc7>5<6sA><96sac`794?7|@==>7p`la783>4}O<>?0qcmn7;295~N3?<1vbno7:182M20=2weol750;3xL1123tdhml4?:0yK02351zJ730=zfjkh6=4>{I641>{ikhn1<7?tH556?xhdil0;65<6sA><96sacc794?7|@==>7p`lb783>4}O<>?0qcmm7;295~N3?<1vbnl7:182M20=2weoo750;3xL1123tdhnl4?:0yK02351zJ730=zfjhh6=4>{I641>{ikkn1<7?tH556?xhdjl0;65<6sA><96sacb794?7|@==>7p`lc783>4}O<>?0qcml7;295~N3?<1vbnm7:182M20=2weon750;3xL1123tdhol4?:0yK02351zJ730=zfjih6=4>{I641>{ikjn1<7?tH556?xhdkl0;65<6sA><96sace794?7|@==>7p`ld783>4}O<>?0qcmk7;295~N3?<1vbnj7:182M20=2weoi750;3xL1123tdhhl4?:0yK02351zJ730=zfjnh6=4>{I641>{ikmn1<7?tH556?xhdll0;65<6sA><96sacd794?7|@==>7p`le783>4}O<>?0qcmj7;295~N3?<1vbnk7:182M20=2weoh750;3xL1123tdhil4?:0yK023??nmqMNM{1CDU}zHI \ No newline at end of file diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym new file mode 100644 index 00000000..ab6729a9 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym @@ -0,0 +1,74 @@ +VERSION 5 +BEGIN SYMBOL fifo_xlnx_2Kx36_2clk +SYMBOLTYPE BLOCK +TIMESTAMP 2008 7 14 23 44 58 +SYMPIN 0 80 Input din[35:0] +SYMPIN 0 144 Input wr_en +SYMPIN 0 176 Input wr_clk +SYMPIN 0 240 Input rd_en +SYMPIN 0 272 Input rd_clk +SYMPIN 144 704 Input rst +SYMPIN 576 80 Output dout[35:0] +SYMPIN 576 208 Output full +SYMPIN 576 368 Output wr_data_count[10:0] +SYMPIN 576 432 Output empty +SYMPIN 576 592 Output rd_data_count[10:0] +BEGIN DISPLAY 32 32 TEXT fifo_xlnx_2Kx36_2clk + FONT 40 "Arial" +END DISPLAY +RECTANGLE N 32 32 544 672 +BEGIN LINE W 0 80 32 80 +END LINE +BEGIN DISPLAY 36 80 PIN din[35:0] ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 144 32 144 +BEGIN DISPLAY 36 144 PIN wr_en ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 176 32 176 +BEGIN DISPLAY 36 176 PIN wr_clk ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 240 32 240 +BEGIN DISPLAY 36 240 PIN rd_en ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 272 32 272 +BEGIN DISPLAY 36 272 PIN rd_clk ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 144 704 144 672 +BEGIN DISPLAY 144 668 PIN rst ATTR PinName + ALIGNMENT BCENTER + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 80 544 80 +END LINE +BEGIN DISPLAY 540 80 PIN dout[35:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +LINE N 576 208 544 208 +BEGIN DISPLAY 540 208 PIN full ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 368 544 368 +END LINE +BEGIN DISPLAY 540 368 PIN wr_data_count[10:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +LINE N 576 432 544 432 +BEGIN DISPLAY 540 432 PIN empty ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 592 544 592 +END LINE +BEGIN DISPLAY 540 592 PIN rd_data_count[10:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +END SYMBOL diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v new file mode 100644 index 00000000..c45dacda --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v @@ -0,0 +1,173 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file fifo_xlnx_2Kx36_2clk.v when simulating +// the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module fifo_xlnx_2Kx36_2clk( + din, + rd_clk, + rd_en, + rst, + wr_clk, + wr_en, + dout, + empty, + full, + rd_data_count, + wr_data_count); + + +input [35 : 0] din; +input rd_clk; +input rd_en; +input rst; +input wr_clk; +input wr_en; +output [35 : 0] dout; +output empty; +output full; +output [10 : 0] rd_data_count; +output [10 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V4_3 #( + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(11), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(36), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(36), + .C_ENABLE_RLOCS(0), + .C_FAMILY("spartan3"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_INT_CLK(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_INIT_WR_PNTR_VAL(0), + .C_MEMORY_TYPE(1), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("2kx18"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(2047), + .C_PROG_FULL_THRESH_NEGATE_VAL(2046), + .C_PROG_FULL_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(11), + .C_RD_DEPTH(2048), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(11), + .C_UNDERFLOW_LOW(0), + .C_USE_DOUT_RST(0), + .C_USE_ECC(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(0), + .C_VALID_LOW(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(11), + .C_WR_DEPTH(2048), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(11), + .C_WR_RESPONSE_LATENCY(1)) + inst ( + .DIN(din), + .RD_CLK(rd_clk), + .RD_EN(rd_en), + .RST(rst), + .WR_CLK(wr_clk), + .WR_EN(wr_en), + .DOUT(dout), + .EMPTY(empty), + .FULL(full), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .CLK(), + .INT_CLK(), + .BACKUP(), + .BACKUP_MARKER(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .RD_RST(), + .SRST(), + .WR_RST(), + .ALMOST_EMPTY(), + .ALMOST_FULL(), + .DATA_COUNT(), + .OVERFLOW(), + .PROG_EMPTY(), + .PROG_FULL(), + .VALID(), + .UNDERFLOW(), + .WR_ACK(), + .SBITERR(), + .DBITERR()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of fifo_xlnx_2Kx36_2clk is "black_box" + +endmodule + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo new file mode 100644 index 00000000..bb691ff4 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo @@ -0,0 +1,53 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_xlnx_2Kx36_2clk YourInstanceName ( + .din(din), // Bus [35 : 0] + .rd_clk(rd_clk), + .rd_en(rd_en), + .rst(rst), + .wr_clk(wr_clk), + .wr_en(wr_en), + .dout(dout), // Bus [35 : 0] + .empty(empty), + .full(full), + .rd_data_count(rd_data_count), // Bus [10 : 0] + .wr_data_count(wr_data_count)); // Bus [10 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_xlnx_2Kx36_2clk.v when simulating +// the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd new file mode 100644 index 00000000..834abf27 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd @@ -0,0 +1,156 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file fifo_xlnx_2Kx36_2clk.vhd when simulating +-- the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY fifo_xlnx_2Kx36_2clk IS + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(10 downto 0); + wr_data_count: OUT std_logic_VECTOR(10 downto 0)); +END fifo_xlnx_2Kx36_2clk; + +ARCHITECTURE fifo_xlnx_2Kx36_2clk_a OF fifo_xlnx_2Kx36_2clk IS +-- synthesis translate_off +component wrapped_fifo_xlnx_2Kx36_2clk + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(10 downto 0); + wr_data_count: OUT std_logic_VECTOR(10 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_fifo_xlnx_2Kx36_2clk use entity XilinxCoreLib.fifo_generator_v4_3(behavioral) + generic map( + c_has_int_clk => 0, + c_rd_freq => 1, + c_wr_response_latency => 1, + c_has_srst => 0, + c_has_rd_data_count => 1, + c_din_width => 36, + c_has_wr_data_count => 1, + c_full_flags_rst_val => 1, + c_implementation_type => 2, + c_family => "spartan3", + c_use_embedded_reg => 0, + c_has_wr_rst => 0, + c_wr_freq => 1, + c_use_dout_rst => 0, + c_underflow_low => 0, + c_has_meminit_file => 0, + c_has_overflow => 0, + c_preload_latency => 0, + c_dout_width => 36, + c_msgon_val => 1, + c_rd_depth => 2048, + c_default_value => "BlankString", + c_mif_file_name => "BlankString", + c_has_underflow => 0, + c_has_rd_rst => 0, + c_has_almost_full => 0, + c_has_rst => 1, + c_data_count_width => 11, + c_has_wr_ack => 0, + c_use_ecc => 0, + c_wr_ack_low => 0, + c_common_clock => 0, + c_rd_pntr_width => 11, + c_use_fwft_data_count => 0, + c_has_almost_empty => 0, + c_rd_data_count_width => 11, + c_enable_rlocs => 0, + c_wr_pntr_width => 11, + c_overflow_low => 0, + c_prog_empty_type => 0, + c_optimization_mode => 0, + c_wr_data_count_width => 11, + c_preload_regs => 1, + c_dout_rst_val => "0", + c_has_data_count => 0, + c_prog_full_thresh_negate_val => 2046, + c_wr_depth => 2048, + c_prog_empty_thresh_negate_val => 5, + c_prog_empty_thresh_assert_val => 4, + c_has_valid => 0, + c_init_wr_pntr_val => 0, + c_prog_full_thresh_assert_val => 2047, + c_use_fifo16_flags => 0, + c_has_backup => 0, + c_valid_low => 0, + c_prim_fifo_type => "2kx18", + c_count_type => 0, + c_prog_full_type => 0, + c_memory_type => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_fifo_xlnx_2Kx36_2clk + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- synthesis translate_on + +END fifo_xlnx_2Kx36_2clk_a; + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho new file mode 100644 index 00000000..3fd2e43f --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho @@ -0,0 +1,76 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component fifo_xlnx_2Kx36_2clk + port ( + din: IN std_logic_VECTOR(35 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(35 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(10 downto 0); + wr_data_count: OUT std_logic_VECTOR(10 downto 0)); +end component; + +-- Synplicity black box declaration +attribute syn_black_box : boolean; +attribute syn_black_box of fifo_xlnx_2Kx36_2clk: component is true; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : fifo_xlnx_2Kx36_2clk + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file fifo_xlnx_2Kx36_2clk.vhd when simulating +-- the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco new file mode 100644 index 00000000..3afc64a1 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco @@ -0,0 +1,82 @@ +############################################################## +# +# Xilinx Core Generator version K.37 +# Date: Mon Jul 14 23:45:29 2008 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = True +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s2000 +SET devicefamily = spartan3 +SET flowvendor = Foundation_iSE +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fg456 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -5 +SET verilogsim = True +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Fifo_Generator family Xilinx,_Inc. 4.3 +# END Select +# BEGIN Parameters +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET component_name=fifo_xlnx_2Kx36_2clk +CSET data_count=false +CSET data_count_width=11 +CSET disable_timing_violations=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_negate_value=5 +CSET enable_ecc=false +CSET enable_int_clk=false +CSET fifo_implementation=Independent_Clocks_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=2047 +CSET full_threshold_negate_value=2046 +CSET input_data_width=36 +CSET input_depth=2048 +CSET output_data_width=36 +CSET output_depth=2048 +CSET overflow_flag=false +CSET overflow_sense=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=11 +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET underflow_flag=false +CSET underflow_sense=Active_High +CSET use_dout_reset=false +CSET use_embedded_registers=false +CSET use_extra_logic=false +CSET valid_flag=false +CSET valid_sense=Active_High +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=11 +# END Parameters +GENERATE +# CRC: a8b698f5 + diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso new file mode 100644 index 00000000..f1a6f789 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso @@ -0,0 +1,3 @@ +blkmemdp_v6_2 +blk_mem_gen_v2_6 +fifo_generator_v4_3 diff --git a/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt new file mode 100644 index 00000000..7089f8c2 --- /dev/null +++ b/usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt @@ -0,0 +1,105 @@ + + + + + + +